SPI Preftech logic added
diff --git a/def/spi_master.def.gz b/def/spi_master.def.gz
index e1d3e4a..823db14 100644
--- a/def/spi_master.def.gz
+++ b/def/spi_master.def.gz
Binary files differ
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index d21d1b8..8bc18ff 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/gds/spi_master.gds.gz b/gds/spi_master.gds.gz
index c08f789..025d8a7 100644
--- a/gds/spi_master.gds.gz
+++ b/gds/spi_master.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 2743c7c..489e70b 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/spi_master.lef.gz b/lef/spi_master.lef.gz
index 06f5f75..278d577 100644
--- a/lef/spi_master.lef.gz
+++ b/lef/spi_master.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 9992430..7f297c4 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/mag/spi_master.mag.gz b/mag/spi_master.mag.gz
index 9fad270..dd36d43 100644
--- a/mag/spi_master.mag.gz
+++ b/mag/spi_master.mag.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 7c25204..496ae25 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/spi_master.mag.gz b/maglef/spi_master.mag.gz
index 6f6e25f..34dec82 100644
--- a/maglef/spi_master.mag.gz
+++ b/maglef/spi_master.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index 0c356d2..0167a61 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/openlane/spi_master/base.sdc b/openlane/spi_master/base.sdc
index 10ad658..a531c30 100644
--- a/openlane/spi_master/base.sdc
+++ b/openlane/spi_master/base.sdc
@@ -53,15 +53,15 @@
 set spi_input_delay_value  [expr $::env(SPI_CLOCK_PERIOD) * 0.6]
 set spi_output_delay_value [expr $::env(SPI_CLOCK_PERIOD) * 0.6]
 
-set_input_delay  6   -max -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[5]]
-set_input_delay  6   -max -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[4]]
 set_input_delay  6   -max -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[3]]
 set_input_delay  6   -max -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[2]]
+set_input_delay  6   -max -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[1]]
+set_input_delay  6   -max -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[0]]
 
-set_input_delay  0   -min -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[5]]
-set_input_delay  0   -min -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[4]]
 set_input_delay  0   -min -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[3]]
 set_input_delay  0   -min -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[2]]
+set_input_delay  0   -min -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[1]]
+set_input_delay  0   -min -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_in[0]]
 
 #io_out[0] is spiclcok
 #set_output_delay $wb_output_delay_value  -clock [get_clocks $::env(SPI_CLOCK_PORT)] [get_port io_out[0]]
diff --git a/openlane/user_project_wrapper/gen_pdn.tcl b/openlane/user_project_wrapper/gen_pdn.tcl
new file mode 100644
index 0000000..74d61c4
--- /dev/null
+++ b/openlane/user_project_wrapper/gen_pdn.tcl
@@ -0,0 +1,34 @@
+read_lef $::env(MERGED_LEF_UNPADDED)
+read_def $::env(CURRENT_DEF)
+
+set ::env(_SPACING) 1.7
+set ::env(_WIDTH) 3
+
+set power_domains [list {vccd1 vssd1 1} {vccd2 vssd2 0} {vdda1 vssa1 0} {vdda2 vssa2 0}]
+
+set ::env(_VDD_NET_NAME) vccd1
+set ::env(_GND_NET_NAME) vssd1
+set ::env(_WITH_STRAPS) 1
+set ::env(_V_OFFSET) 14
+set ::env(_H_OFFSET) $::env(_V_OFFSET)
+set ::env(_V_PITCH) 80
+set ::env(_H_PITCH) 80
+set ::env(_V_PDN_OFFSET) 0
+set ::env(_H_PDN_OFFSET) 0
+
+foreach domain $power_domains {
+	set ::env(_VDD_NET_NAME) [lindex $domain 0]
+	set ::env(_GND_NET_NAME) [lindex $domain 1]
+	set ::env(_WITH_STRAPS)  [lindex $domain 2]
+
+	pdngen $::env(PDN_CFG) -verbose
+
+	set ::env(_V_OFFSET) \
+		[expr $::env(_V_OFFSET) + 2*($::env(_WIDTH)+$::env(_SPACING))]
+	set ::env(_H_OFFSET) \
+		[expr $::env(_H_OFFSET) + 2*($::env(_WIDTH)+$::env(_SPACING))]
+	set ::env(_V_PDN_OFFSET) [expr $::env(_V_PDN_OFFSET)+6*$::env(_WIDTH)]
+	set ::env(_H_PDN_OFFSET) [expr $::env(_H_PDN_OFFSET)+6*$::env(_WIDTH)]
+}
+
+write_def $::env(SAVE_DEF)
diff --git a/openlane/user_project_wrapper/interactive.tcl b/openlane/user_project_wrapper/interactive.tcl
new file mode 100644
index 0000000..02f4516
--- /dev/null
+++ b/openlane/user_project_wrapper/interactive.tcl
@@ -0,0 +1,218 @@
+#!/usr/bin/tclsh
+# Copyright 2020 Efabless Corporation
+# Copyright 2020 Sylvain Munaut
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+
+package require openlane;
+
+proc run_floorplan_yifive {args} {
+		puts_info "Running Floorplanning for yifive..."
+		# |----------------------------------------------------|
+		# |----------------   2. FLOORPLAN   ------------------|
+		# |----------------------------------------------------|
+		#
+                set script_dir [file dirname [file normalize [info script]]]
+		# intial fp
+		init_floorplan
+
+
+		# place io
+		if { [info exists ::env(FP_PIN_ORDER_CFG)] } {
+				place_io_ol
+		} else {
+			if { [info exists ::env(FP_CONTEXT_DEF)] && [info exists ::env(FP_CONTEXT_LEF)] } {
+				place_io
+				global_placement_or
+				place_contextualized_io \
+					-lef $::env(FP_CONTEXT_LEF) \
+					-def $::env(FP_CONTEXT_DEF)
+			} else {
+				place_io
+			}
+		}
+
+		apply_def_template
+
+		if { [info exist ::env(EXTRA_LEFS)] } {
+			if { [info exist ::env(MACRO_PLACEMENT_CFG)] } {
+				file copy -force $::env(MACRO_PLACEMENT_CFG) $::env(TMP_DIR)/macro_placement.cfg
+				manual_macro_placement f
+			} else {
+				global_placement_or
+				basic_macro_placement
+			}
+		}
+
+		# tapcell
+		tap_decap_or
+		scrot_klayout -layout $::env(CURRENT_DEF)
+		puts_info "Running pdn_gen for yifive..."
+		# power grid generation
+		#run_power_grid_generation
+		set ::env(SAVE_DEF) [index_file $::env(pdn_tmp_file_tag).def]
+		try_catch openroad -exit $script_dir/gen_pdn.tcl \
+           	|& tee $::env(TERMINAL_OUTPUT) [index_file $::env(pdn_log_file_tag).log 0]
+
+		set_def $::env(SAVE_DEF)
+}
+
+proc run_power_grid_generation {args} {
+	if { [info exists ::env(VDD_NETS)] || [info exists ::env(GND_NETS)] } {
+		# they both must exist and be equal in length
+		# current assumption: they cannot have a common ground
+		if { ! [info exists ::env(VDD_NETS)] || ! [info exists ::env(GND_NETS)] } {
+			puts_err "VDD_NETS and GND_NETS must *both* either be defined or undefined"
+			return -code error
+		}
+	} elseif { [info exists ::env(SYNTH_USE_PG_PINS_DEFINES)] } {
+		set ::env(VDD_NETS) [list]
+		set ::env(GND_NETS) [list]
+		# get the pins that are in $yosys_tmp_file_tag.pg_define.v
+		# that are not in $yosys_result_file_tag.v
+		#
+		set full_pins {*}[extract_pins_from_yosys_netlist $::env(yosys_tmp_file_tag).pg_define.v]
+		puts_info $full_pins
+
+		set non_pg_pins {*}[extract_pins_from_yosys_netlist $::env(yosys_result_file_tag).v]
+		puts_info $non_pg_pins
+
+		# assumes the pins are ordered correctly (e.g., vdd1, vss1, vcc1, vss1, ...)
+		foreach {vdd gnd} $full_pins {
+			if { $vdd ne "" && $vdd ni $non_pg_pins } {
+				lappend ::env(VDD_NETS) $vdd
+			}
+			if { $gnd ne "" && $gnd ni $non_pg_pins } {
+				lappend ::env(GND_NETS) $gnd
+			}
+		}
+	} else {
+		set ::env(VDD_NETS) $::env(VDD_PIN)
+		set ::env(GND_NETS) $::env(GND_PIN)
+	}
+
+	puts_info "Power planning the following nets"
+	puts_info "Power: $::env(VDD_NETS)"
+	puts_info "Ground: $::env(GND_NETS)"
+
+	if { [llength $::env(VDD_NETS)] != [llength $::env(GND_NETS)] } {
+		puts_err "VDD_NETS and GND_NETS must be of equal lengths"
+		return -code error
+	}
+
+	# generate multiple power grids per pair of (VDD,GND)
+	# offseted by WIDTH + SPACING
+	foreach vdd $::env(VDD_NETS) gnd $::env(GND_NETS) {
+		set ::env(VDD_NET) $vdd
+		set ::env(GND_NET) $gnd
+
+		gen_pdn
+
+		set ::env(FP_PDN_ENABLE_RAILS) 0
+
+		# allow failure until open_pdks is up to date...
+		catch {set ::env(FP_PDN_VOFFSET) [expr $::env(FP_PDN_VOFFSET)+$::env(FP_PDN_VWIDTH)+$::env(FP_PDN_VSPACING)]}
+		catch {set ::env(FP_PDN_HOFFSET) [expr $::env(FP_PDN_HOFFSET)+$::env(FP_PDN_HWIDTH)+$::env(FP_PDN_HSPACING)]}
+
+		catch {set ::env(FP_PDN_CORE_RING_VOFFSET) \
+			[expr $::env(FP_PDN_CORE_RING_VOFFSET)\
+			+2*($::env(FP_PDN_CORE_RING_VWIDTH)\
+			+max($::env(FP_PDN_CORE_RING_VSPACING), $::env(FP_PDN_CORE_RING_HSPACING)))]}
+		catch {set ::env(FP_PDN_CORE_RING_HOFFSET) [expr $::env(FP_PDN_CORE_RING_HOFFSET)\
+			+2*($::env(FP_PDN_CORE_RING_HWIDTH)+\
+			max($::env(FP_PDN_CORE_RING_VSPACING), $::env(FP_PDN_CORE_RING_HSPACING)))]}
+	}
+	set ::env(FP_PDN_ENABLE_RAILS) 1
+}
+
+proc run_flow {args} {
+       set script_dir [file dirname [file normalize [info script]]]
+
+		set options {
+		{-design required}
+		{-save_path optional}
+		{-no_lvs optional}
+	    {-no_drc optional}
+	    {-no_antennacheck optional}
+	}
+	set flags {-save}
+	parse_key_args "run_flow" args arg_values $options flags_map $flags -no_consume
+
+	prep {*}$args
+
+	run_synthesis
+	run_floorplan_yifive
+	run_placement
+	run_cts
+	run_routing
+
+	if { ($::env(DIODE_INSERTION_STRATEGY) == 2) || ($::env(DIODE_INSERTION_STRATEGY) == 5) } {
+		run_antenna_check
+		heal_antenna_violators; # modifies the routed DEF
+	}
+
+    if { $::env(LVS_INSERT_POWER_PINS) } {
+		write_powered_verilog
+		set_netlist $::env(lvs_result_file_tag).powered.v
+    }
+
+	run_magic
+
+	run_klayout
+
+	run_klayout_gds_xor
+
+	if { ! [info exists flags_map(-no_lvs)] } {
+		run_magic_spice_export
+	}
+
+	if {  [info exists flags_map(-save) ] } {
+		if { ! [info exists arg_values(-save_path)] } {
+			set arg_values(-save_path) ""
+		}
+		save_views 	-lef_path $::env(magic_result_file_tag).lef \
+			-def_path $::env(tritonRoute_result_file_tag).def \
+			-gds_path $::env(magic_result_file_tag).gds \
+			-mag_path $::env(magic_result_file_tag).mag \
+			-maglef_path $::env(magic_result_file_tag).lef.mag \
+			-spice_path $::env(magic_result_file_tag).spice \
+			-verilog_path $::env(CURRENT_NETLIST) \
+			-save_path $arg_values(-save_path) \
+			-tag $::env(RUN_TAG)
+	}
+
+	# Physical verification
+	if { ! [info exists flags_map(-no_lvs)] } {
+		run_lvs; # requires run_magic_spice_export
+	}
+
+	if { ! [info exists flags_map(-no_drc)] } {
+		run_magic_drc
+		run_klayout_drc
+	}
+
+	if {  ! [info exists flags_map(-no_antennacheck) ] } {
+		run_antenna_check
+	}
+
+	run_lef_cvc
+
+	calc_total_runtime
+	generate_final_summary_report
+
+	puts_success "Flow Completed Without Fatal Errors."
+
+}
+
+run_flow {*}$argv
diff --git a/openlane/user_project_wrapper/mod.tcl b/openlane/user_project_wrapper/mod.tcl
new file mode 100644
index 0000000..0e97ab8
--- /dev/null
+++ b/openlane/user_project_wrapper/mod.tcl
@@ -0,0 +1,73 @@
+# SPDX-FileCopyrightText:  2021 , Dinesh Annayya
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+# SPDX-FileContributor: Modified by Dinesh Annayya <dinesha@opencores.org>
+
+
+set ::env(LIB_FASTEST) "$::env(PDK_ROOT)/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib"
+set ::env(LIB_SLOWEST) "$::env(PDK_ROOT)/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
+set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_8"
+set ::env(SYNTH_DRIVING_CELL_PIN) "Y"
+set ::env(SYNTH_CAP_LOAD) "17.65"
+set ::env(WIRE_RC_LAYER) "met1"
+
+#To disable empty filler cell black box get created
+#set link_make_black_boxes 0
+
+
+set_cmd_units -time ns -capacitance pF -current mA -voltage V -resistance kOhm -distance um
+define_corners wc bc
+read_liberty -corner bc $::env(LIB_FASTEST)
+read_liberty -corner wc $::env(LIB_SLOWEST)
+
+# Removing the decap and diode
+read_verilog ../../verilog/gl/clk_buf.v  
+link_design  clk_buf
+write_verilog -remove_cells [get_lib_cells {sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill* sky130_fd_sc_hd__diode_* sky130_ef_sc_hd__fakediode* sky130_fd_sc_hd__tapvpwrvgnd*}] netlist/clk_buf.v
+# Removing the decap and diode
+read_verilog  ../../verilog/gl/clk_skew_adjust.v  
+link_design  clk_skew_adjust
+write_verilog -remove_cells [get_lib_cells {sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill* sky130_fd_sc_hd__diode_* sky130_ef_sc_hd__fakediode* sky130_fd_sc_hd__tapvpwrvgnd*}] netlist/clk_skew_adjust.v
+
+# Removing the decap and diode
+read_verilog  ../../verilog/gl/glbl_cfg.v  
+link_design  glbl_cfg
+write_verilog -remove_cells [get_lib_cells {sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill* sky130_fd_sc_hd__diode_* sky130_ef_sc_hd__fakediode* sky130_fd_sc_hd__tapvpwrvgnd*}] netlist/glbl_cfg.v
+
+read_verilog  ../../verilog/gl/sdram.v  
+link_design  sdrc_top
+write_verilog -remove_cells [get_lib_cells {sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill* sky130_fd_sc_hd__diode_* sky130_ef_sc_hd__fakediode* sky130_fd_sc_hd__tapvpwrvgnd*}] netlist/sdram.v
+
+read_verilog  ../../verilog/gl/spi_master.v 
+link_design  spim_top 
+write_verilog -remove_cells [get_lib_cells {sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill* sky130_fd_sc_hd__diode_* sky130_ef_sc_hd__fakediode* sky130_fd_sc_hd__tapvpwrvgnd*}] netlist/spi_master.v
+
+read_verilog  ../../verilog/gl/syntacore.v  
+link_design  scr1_top_wb
+write_verilog -remove_cells [get_lib_cells {sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill* sky130_fd_sc_hd__diode_* sky130_ef_sc_hd__fakediode* sky130_fd_sc_hd__tapvpwrvgnd*}] netlist/syntacore.v
+
+read_verilog  ../../verilog/gl/uart.v  
+link_design  uart_core
+write_verilog -remove_cells [get_lib_cells {sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill* sky130_fd_sc_hd__diode_* sky130_ef_sc_hd__fakediode* sky130_fd_sc_hd__tapvpwrvgnd*}] netlist/uart.v
+
+read_verilog  ../../verilog/gl/wb_host.v  
+link_design  wb_host
+write_verilog -remove_cells [get_lib_cells {sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill* sky130_fd_sc_hd__diode_* sky130_ef_sc_hd__fakediode* sky130_fd_sc_hd__tapvpwrvgnd*}] netlist/wb_host.v
+
+read_verilog  ../../verilog/gl/wb_interconnect.v
+link_design  wb_interconnect
+write_verilog -remove_cells [get_lib_cells {sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill* sky130_fd_sc_hd__diode_* sky130_ef_sc_hd__fakediode* sky130_fd_sc_hd__tapvpwrvgnd*}] netlist/wb_interconnect.v
+
+
+exit
diff --git a/openlane/user_project_wrapper/pdn.tcl b/openlane/user_project_wrapper/pdn.tcl
new file mode 100644
index 0000000..d9bab11
--- /dev/null
+++ b/openlane/user_project_wrapper/pdn.tcl
@@ -0,0 +1,44 @@
+# Power nets
+set ::power_nets $::env(_VDD_NET_NAME)
+set ::ground_nets $::env(_GND_NET_NAME)
+
+set stdcell {
+    name grid
+	core_ring {
+		met5 {width $::env(_WIDTH) spacing $::env(_SPACING) core_offset $::env(_H_OFFSET)}
+		met4 {width $::env(_WIDTH) spacing $::env(_SPACING) core_offset $::env(_V_OFFSET)}
+	}
+	rails {
+	}
+    connect {{met4 met5}}
+}
+
+if { $::env(_WITH_STRAPS) } {
+	dict append stdcell straps {
+	    met4 {width $::env(_WIDTH) pitch $::env(_V_PITCH) offset $::env(_V_PDN_OFFSET)}
+	    met5 {width $::env(_WIDTH) pitch $::env(_H_PITCH) offset $::env(_H_PDN_OFFSET)}
+    }
+}
+
+pdngen::specify_grid stdcell $stdcell
+
+set macro {
+    orient {R0 R180 MX MY R90 R270 MXR90 MYR90}
+    power_pins "vccd1"
+    ground_pins "vssd1"
+    blockages "li1 met1 met2 met3 met4"
+    straps { 
+    } 
+    connect {{met4_PIN_ver met5}}
+}
+
+pdngen::specify_grid macro [subst $macro]
+
+set ::halo 10
+
+# POWER or GROUND #Std. cell rails starting with power or ground rails at the bottom of the core area
+set ::rails_start_with "POWER" ;
+
+# POWER or GROUND #Upper metal stripes starting with power or ground rails at the left/bottom of the core area
+set ::stripes_start_with "POWER" ;
+
diff --git a/signoff/spi_master/final_summary_report.csv b/signoff/spi_master/final_summary_report.csv
index 8d0d32b..099784f 100644
--- a/signoff/spi_master/final_summary_report.csv
+++ b/signoff/spi_master/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/spi_master,spim_top,spi_master,Flow_completed,0h12m59s,0h8m21s,45758.33333333334,0.24,22879.16666666667,33,608.8,5491,0,0,0,0,0,0,0,2,4,-1,0,246103,42258,-0.01,-0.01,0.0,0.0,0.0,-0.01,-0.01,0.0,0.0,0.0,192084576,0.0,17.57,28.06,0.0,-1,-1,5427,5567,901,1041,0,0,0,5491,223,0,184,93,748,126,37,1613,982,921,24,424,2889,0,3313,100.0,10.0,10,AREA 0,4,50,1,100,100,0.55,0,sky130_fd_sc_hd,4,3
+0,/project/openlane/spi_master,spim_top,spi_master,Flow_completed,0h10m24s,0h6m34s,64225.0,0.24,32112.5,47,650.94,7707,0,0,0,0,0,0,0,2,4,-1,0,340960,59901,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,257797329,0.0,27.62,36.77,0.2,-1,-1,7643,7783,1271,1411,0,0,0,7707,242,0,168,95,1009,216,28,2394,1352,1291,25,424,2889,0,3313,100.0,10.0,10,AREA 0,4,50,1,100,100,0.55,0,sky130_fd_sc_hd,4,3
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index f17a8a9..b025532 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,Flow_completed,0h37m42s,0h4m32s,3.3079078455790785,10.2784,1.6539539227895392,0,552.07,17,0,0,0,0,0,0,0,0,1,-1,-1,1189479,3954,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.26,4.31,0.72,1.81,-1,848,1466,848,1466,0,0,0,17,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,80,80,0.55,0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,Flow_completed,0h39m39s,0h4m47s,3.3079078455790785,10.2784,1.6539539227895392,0,555.97,17,0,0,0,0,0,0,0,0,1,-1,-1,1189476,3936,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.26,4.31,0.72,1.81,-1,848,1466,848,1466,0,0,0,17,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,80,80,0.55,0,sky130_fd_sc_hd,4,0
diff --git a/spi/lvs/spi_master.spice.gz b/spi/lvs/spi_master.spice.gz
index b3a6fe4..2efea3b 100644
--- a/spi/lvs/spi_master.spice.gz
+++ b/spi/lvs/spi_master.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index a3ff377..5c2c99a 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/verilog/dv/model/s25fl256s.sv b/verilog/dv/model/s25fl256s.sv
index 748f037..7883af3 100644
--- a/verilog/dv/model/s25fl256s.sv
+++ b/verilog/dv/model/s25fl256s.sv
@@ -710,21 +710,21 @@
         // Typical Byte Programming Time
         specparam        tdevice_BP                = 4e8;//tBP
         // Sector Erase Operation
-        specparam        tdevice_SE64              = 650e7;//tSE
+        specparam        tdevice_SE64              = 10e7; // 650e7;//tSE Dinesh A
         // Sector Erase Operation
-        specparam        tdevice_SE256             = 1875e7;//tSE
+        specparam        tdevice_SE256             = 10e7; // 1875e7;//tSE Dinesh A
         // Bulk Erase Operation
         specparam        tdevice_BE                = 330e9;//tBE
         // WRR Cycle Time
-        specparam        tdevice_WRR               = 1; // 2e9;//tW
+        specparam        tdevice_WRR               = 1; // 2e9;//tW Dinesh A
         // Erase Suspend/Erase Resume Time
         specparam        tdevice_ERSSUSP           = 45e6;//tESL
         // Program Suspend/Program Resume Time
-        specparam        tdevice_PRGSUSP           = 1; // 40e6;//
+        specparam        tdevice_PRGSUSP           = 1; // 40e6;// Dinesh A
         // VCC (min) to CS# Low
-        specparam        tdevice_PU                = 1; // 3e8;//tPU
+        specparam        tdevice_PU                = 1; // 3e8;//tPU Dinesh A
         // PPB Erase Time
-        specparam        tdevice_PPBERASE          = 15e9;//
+        specparam        tdevice_PPBERASE          = 1; // 15e9;// Dinesh A
         // Password Unlock Time
         specparam        tdevice_PASSULCK          = 1e6;//
         // Password Unlock to Password Unlock Time
@@ -2932,6 +2932,7 @@
                                                     end
                                                 end
                                                 WByte[i] = Byte_slv;
+						//$display("%m: Loc: %x Byte: %x",i,Byte_slv);
                                             end
 
                                             if (data_cnt > (PageSize+1)*BYTE)
@@ -3955,8 +3956,10 @@
                             begin
                                 if (Viol != 0)
                                     WData[i] = -1;
-                                else
-                                    WData[i] = WByte[i];
+			        else begin
+                                        WData[i] = WByte[i];
+					//$display("%m: Loc: %x WData: %x",i,WData[i]);
+			        end
                             end
                         end
                         else
@@ -5069,6 +5072,7 @@
                         begin
                             new_int = WData[i];
                             old_int = Mem[Addr + i - cnt];
+			    //$display("%m: New Loc: %x New Data: %x Old Data: %x",i,new_int,old_int);
                             if (new_int > -1)
                             begin
                                 new_bit = new_int;
@@ -5109,6 +5113,7 @@
                     for (i=0;i<=wr_cnt;i=i+1)
                     begin
                         Mem[Addr_tmp + i - cnt] = WData[i];
+			//$display("%m => SFLASH WR Address: %x Data: %x",Addr_tmp + i - cnt, WData[i]);
                         if ((Addr_tmp + i) == AddrHi)
                         begin
                             Addr_tmp = AddrLo;
@@ -6035,6 +6040,7 @@
                     if (~EDONE)
                     begin
                         ADDRHILO_SEC(AddrLo, AddrHi, Addr);
+			$display("%m: Sector Erase Address: %x Start: %x End: %x",Addr,AddrLo,AddrHi);
                         for (i=AddrLo;i<=AddrHi;i=i+1)
                         begin
                             Mem[i] = -1;
diff --git a/verilog/dv/risc_boot/risc_boot_tb.v b/verilog/dv/risc_boot/risc_boot_tb.v
index 2cb80c3..169f2a8 100644
--- a/verilog/dv/risc_boot/risc_boot_tb.v
+++ b/verilog/dv/risc_boot/risc_boot_tb.v
@@ -158,7 +158,7 @@
 	   $display("Monitor: Test User Risc Boot Started");
        
 	   // Wait for user risc core to boot up 
-           repeat (35000) @(posedge clock);  
+           repeat (25000) @(posedge clock);  
            tb_uart.uart_init;
            tb_uart.control_setup (uart_data_bit, uart_stop_bits, uart_parity_en, uart_even_odd_parity, 
         	                          uart_stick_parity, uart_timeout, uart_divisor);
@@ -307,7 +307,8 @@
 
    // Quard flash
      s25fl256s #(.mem_file_name("user_uart.hex"),
-	         .otp_file_name("none")) 
+	         .otp_file_name("none"), 
+                 .TimingModel("S25FL512SAGMFI010_F_30pF")) 
 		 u_spi_flash_256mb (
            // Data Inputs/Outputs
        .SI      (mprj_io[32]),
diff --git a/verilog/dv/user_risc_boot/user_risc_boot_tb.v b/verilog/dv/user_risc_boot/user_risc_boot_tb.v
index aa4c71a..5abdac9 100644
--- a/verilog/dv/user_risc_boot/user_risc_boot_tb.v
+++ b/verilog/dv/user_risc_boot/user_risc_boot_tb.v
@@ -155,7 +155,7 @@
 
 
 		// Repeat cycles of 1000 clock edges as needed to complete testbench
-		repeat (30) begin
+		repeat (20) begin
 			repeat (1000) @(posedge clock);
 			// $display("+1000 cycles");
 		end
@@ -374,7 +374,8 @@
 
    // Quard flash
      s25fl256s #(.mem_file_name("user_risc_boot.hex"),
-	         .otp_file_name("none")) 
+	         .otp_file_name("none"),
+                 .TimingModel("S25FL512SAGMFI010_F_30pF")) 
 		 u_spi_flash_256mb (
            // Data Inputs/Outputs
        .SI      (flash_io0),
diff --git a/verilog/dv/user_spi/user_spi_tb.v b/verilog/dv/user_spi/user_spi_tb.v
index 60ad1f9..65371ae 100644
--- a/verilog/dv/user_spi/user_spi_tb.v
+++ b/verilog/dv/user_spi/user_spi_tb.v
@@ -105,7 +105,52 @@
 	reg        test_fail;
 	reg [31:0] read_data;
 
+/*************************************************************
+*  SPI FSM State Control
+*
+*   OPERATION   COMMAND                   SEQUENCE 
+*
+*    ERASE       P4E(0x20)           ->  COMMAND + ADDRESS
+*    ERASE       P8E(0x40)           ->  COMMAND + ADDRESS
+*    ERASE       SE(0xD8)            ->  COMMAND + ADDRESS
+*    ERASE       BE(0x60)            ->  COMMAND + ADDRESS
+*    ERASE       BE(0xC7)            ->  COMMAND 
+*    PROGRAM     PP(0x02)            ->  COMMAND + ADDRESS + Write DATA
+*    PROGRAM     QPP(0x32)           ->  COMMAND + ADDRESS + Write DATA
+*    READ        READ(0x3)           ->  COMMAND + ADDRESS + READ DATA
+*    READ        FAST_READ(0xB)      ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        DOR (0x3B)          ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        QOR (0x6B)          ->  COMMAND + ADDRESS + DUMMY + READ DATA
+*    READ        DIOR (0xBB)         ->  COMMAND + ADDRESS + MODE  + READ DATA
+*    READ        QIOR (0xEB)         ->  COMMAND + ADDRESS + MODE  + DUMMY + READ DATA
+*    READ        RDID (0x9F)         ->  COMMAND + READ DATA
+*    READ        READ_ID (0x90)      ->  COMMAND + ADDRESS + READ DATA
+*    WRITE       WREN(0x6)           ->  COMMAND
+*    WRITE       WRDI                ->  COMMAND
+*    STATUS      RDSR(0x05)          ->  COMMAND + READ DATA
+*    STATUS      RCR(0x35)           ->  COMMAND + READ DATA
+*    CONFIG      WRR(0x01)           ->  COMMAND + WRITE DATA
+*    CONFIG      CLSR(0x30)          ->  COMMAND
+*    Power Saving DP(0xB9)           ->  COMMAND
+*    Power Saving RES(0xAB)          ->  COMMAND + READ DATA
+*    OTP          OTPP(0x42)         ->  COMMAND + ADDR+ WRITE DATA
+*    OTP          OTPR(0x4B)         ->  COMMAND + ADDR + DUMMY + READ DATA
+*    ********************************************************************/
+parameter P_FSM_C      = 4'b0000; // Command Phase Only
+parameter P_FSM_CW     = 4'b0001; // Command + Write DATA Phase Only
+parameter P_FSM_CA     = 4'b0010; // Command -> Address Phase Only
 
+parameter P_FSM_CAR    = 4'b0011; // Command -> Address -> Read Data
+parameter P_FSM_CADR   = 4'b0100; // Command -> Address -> Dummy -> Read Data
+parameter P_FSM_CAMR   = 4'b0101; // Command -> Address -> Mode -> Read Data
+parameter P_FSM_CAMDR  = 4'b0110; // Command -> Address -> Mode -> Dummy -> Read Data
+
+parameter P_FSM_CAW    = 4'b0111; // Command -> Address ->Write Data
+parameter P_FSM_CADW   = 4'b1000; // Command -> Address -> DUMMY + Write Data
+
+parameter P_FSM_CDR    = 4'b1001; // COMMAND -> DUMMY -> READ
+parameter P_FSM_CDW    = 4'b1010; // COMMAND -> DUMMY -> WRITE
+parameter P_FSM_CR     = 4'b1011;  // COMMAND -> READ
 
 	// External clock is used by default.  Make this artificially fast for the
 	// simulation.  Normally this would be a slow clock and the digital PLL
@@ -150,8 +195,198 @@
 		test_fail = 0;
 	        repeat (200) @(posedge clock);
 		$display("#############################################");
-		$display("  Testing Direct SPI Memory Read             ");
+		$display("  Read Identification (RDID:0x9F)            ");
 		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h4,2'b00,2'b00,4'b1011,8'h00,8'h9F});
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00190201);
+		$display("#############################################");
+		$display("Testing Direct SPI Memory Read              ");
+		$display(" SPI Mode: Normal/Single Bit                ");
+		$display("Prefetch : 1DW, OPCODE:READ(0x3)            ");
+		$display("SEQ: Command -> Address -> Read Data        ");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h10000004,{24'h0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000008,{8'h04,2'b00,2'b10,4'h3,8'h00,8'h03});
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00000293);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00000313);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00000393);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00000413);
+		wb_user_core_read_check(32'h00000400,read_data,32'h11223737);
+		wb_user_core_read_check(32'h00000404,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h00000408,read_data,32'h34470293);
+		wb_user_core_read_check(32'h0000040C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h00000410,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h00000414,read_data,32'h45530393);
+		wb_user_core_read_check(32'h00000418,read_data,32'h33445537);
+		wb_user_core_read_check(32'h0000041C,read_data,32'h0077ae23);
+		$display("#############################################");
+		$display("Testing Direct SPI Memory Read              ");
+		$display(" SPI Mode: Normal/Single Bit                ");
+		$display("Prefetch : 1DW, OPCODE:FASTREAD(0xB)        ");
+		$display("SEQ: Command -> Address -> Dummy -> Read Data");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h10000004,{24'h0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000008,{8'h04,2'b00,2'b10,4'h4,8'h00,8'h0B});
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00000293);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00000313);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00000393);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00000413);
+		wb_user_core_read_check(32'h00000400,read_data,32'h11223737);
+		wb_user_core_read_check(32'h00000404,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h00000408,read_data,32'h34470293);
+		wb_user_core_read_check(32'h0000040C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h00000410,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h00000414,read_data,32'h45530393);
+		wb_user_core_read_check(32'h00000418,read_data,32'h33445537);
+		wb_user_core_read_check(32'h0000041C,read_data,32'h0077ae23);
+
+		$display("#############################################");
+		$display("Testing Direct SPI Memory Read              ");
+		$display(" SPI Mode: Dual Mode                        ");
+		$display("Prefetch : 1DW, OPCODE:DOR(0x3B)        ");
+		$display("SEQ: Command -> Address -> Dummy -> Read Data");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h10000004,{24'h0,2'b10,2'b01,4'b0001});
+		wb_user_core_write(32'h10000008,{8'h04,2'b00,2'b10,4'h4,8'h00,8'h3B});
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00000293);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00000313);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00000393);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00000413);
+		wb_user_core_read_check(32'h00000400,read_data,32'h11223737);
+		wb_user_core_read_check(32'h00000404,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h00000408,read_data,32'h34470293);
+		wb_user_core_read_check(32'h0000040C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h00000410,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h00000414,read_data,32'h45530393);
+		wb_user_core_read_check(32'h00000418,read_data,32'h33445537);
+		wb_user_core_read_check(32'h0000041C,read_data,32'h0077ae23);
+
+		$display("#############################################");
+		$display("Testing Direct SPI Memory Read with Prefetch");
+		$display(" SPI Mode: Quad                             ");
+		$display("Prefetch : 8DW, OPCODE:URAD READ(0xEB)      ");
+		$display("SEQ: Command -> Address -> Dummy -> Read Data");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h10000004,{24'h0,2'b01,2'b10,4'b0001});
+		wb_user_core_write(32'h10000008,{8'h20,2'b01,2'b10,4'h6,8'h00,8'hEB});
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00000293);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00000313);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00000393);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00000413);
+		wb_user_core_read_check(32'h00000400,read_data,32'h11223737);
+		wb_user_core_read_check(32'h00000404,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h00000408,read_data,32'h34470293);
+		wb_user_core_read_check(32'h0000040C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h00000410,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h00000414,read_data,32'h45530393);
+		wb_user_core_read_check(32'h00000418,read_data,32'h33445537);
+		wb_user_core_read_check(32'h0000041C,read_data,32'h0077ae23);
+
+		$display("#############################################");
+		$display("Testing Direct SPI Memory Read with Prefetch:3DW");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h10000004,{24'h0,2'b01,2'b10,4'b0001});
+		wb_user_core_write(32'h10000008,{8'hC,2'b01,2'b10,4'h6,8'h00,8'hEB});
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00000293);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00000313);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00000393);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00000413);
+		wb_user_core_read_check(32'h00000400,read_data,32'h11223737);
+		wb_user_core_read_check(32'h00000404,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h00000408,read_data,32'h34470293);
+		wb_user_core_read_check(32'h0000040C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h00000410,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h00000414,read_data,32'h45530393);
+		wb_user_core_read_check(32'h00000418,read_data,32'h33445537);
+		wb_user_core_read_check(32'h0000041C,read_data,32'h0077ae23);
+
+		$display("#############################################");
+		$display("Testing Direct SPI Memory Read with Prefetch:2DW");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h10000004,{24'h0,2'b01,2'b10,4'b0001});
+		wb_user_core_write(32'h10000008,{8'h8,2'b01,2'b10,4'h6,8'h00,8'hEB});
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00000293);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00000313);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00000393);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00000413);
+		wb_user_core_read_check(32'h00000400,read_data,32'h11223737);
+		wb_user_core_read_check(32'h00000404,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h00000408,read_data,32'h34470293);
+		wb_user_core_read_check(32'h0000040C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h00000410,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h00000414,read_data,32'h45530393);
+		wb_user_core_read_check(32'h00000418,read_data,32'h33445537);
+		wb_user_core_read_check(32'h0000041C,read_data,32'h0077ae23);
+
+		$display("#############################################");
+		$display("Testing Direct SPI Memory Read with Prefetch:1DW");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h10000004,{24'h0,2'b01,2'b10,4'b0001});
+		wb_user_core_write(32'h10000008,{8'h4,2'b01,2'b10,4'h6,8'h00,8'hEB});
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00000293);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00000313);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00000393);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00000413);
+		wb_user_core_read_check(32'h00000400,read_data,32'h11223737);
+		wb_user_core_read_check(32'h00000404,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h00000408,read_data,32'h34470293);
+		wb_user_core_read_check(32'h0000040C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h00000410,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h00000414,read_data,32'h45530393);
+		wb_user_core_read_check(32'h00000418,read_data,32'h33445537);
+		wb_user_core_read_check(32'h0000041C,read_data,32'h0077ae23);
+
+		$display("#############################################");
+		$display("Testing Direct SPI Memory Read with Prefetch:7DW");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h10000004,{24'h0,2'b01,2'b10,4'b0001});
+		wb_user_core_write(32'h10000008,{8'h1C,2'b01,2'b10,4'h6,8'h00,8'hEB});
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
 		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
 		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
 		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
@@ -173,7 +408,6 @@
 		$display("  Testing Single Word Indirect SPI Memory Read");
 		$display("#############################################");
                 wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
-
 		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
 		wb_user_core_write(32'h10000010,{8'h4,2'b01,2'b10,4'b0110,8'h00,8'hEB});
 		wb_user_core_write(32'h10000014,32'h00000200);
@@ -212,6 +446,7 @@
 		$display("#############################################");
 		$display("  Testing Two Word Indirect SPI Memory Read");
 		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
 		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
 		wb_user_core_write(32'h10000010,{8'h8,2'b01,2'b10,4'b0110,8'h00,8'hEB});
 		wb_user_core_write(32'h10000014,32'h00000200);
@@ -242,6 +477,8 @@
 		$display("#############################################");
 		$display("  Testing Three Word Indirect SPI Memory Read");
 		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
 		wb_user_core_write(32'h10000010,{8'hC,2'b01,2'b10,4'b0110,8'h00,8'hEB});
 		wb_user_core_write(32'h10000014,32'h00000200);
 		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
@@ -263,6 +500,8 @@
 		$display("#############################################");
 		$display("  Testing Four Word Indirect SPI Memory Read");
 		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
 		wb_user_core_write(32'h10000010,{8'h10,2'b01,2'b10,4'b0110,8'h00,8'hEB});
 		wb_user_core_write(32'h10000014,32'h00000200);
 		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
@@ -288,6 +527,8 @@
 		$display("#############################################");
 		$display("  Testing Five Word Indirect SPI Memory Read");
 		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
 		wb_user_core_write(32'h10000010,{8'h14,2'b01,2'b10,4'b0110,8'h00,8'hEB});
 		wb_user_core_write(32'h10000014,32'h00000200);
 		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
@@ -304,6 +545,8 @@
 		$display("#############################################");
 		$display("  Testing Eight Word Indirect SPI Memory Read");
 		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
 		wb_user_core_write(32'h10000010,{8'h20,2'b01,2'b10,4'b0110,8'h00,8'hEB});
 		wb_user_core_write(32'h10000014,32'h00000200);
 		wb_user_core_read_check(32'h1000001C,read_data,32'h00000093);
@@ -323,6 +566,464 @@
 		wb_user_core_read_check(32'h1000001C,read_data,32'h45530393);
 		wb_user_core_read_check(32'h1000001C,read_data,32'h33445537);
 		wb_user_core_read_check(32'h1000001C,read_data,32'h0077ae23);
+
+		$display("#############################################");
+		$display("  Sector Erase Command            ");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		// WEN COMMAND
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h0,2'b00,2'b00,4'b0000,8'h00,8'h06});
+		wb_user_core_write(32'h10000018,32'h0);
+                // Sector Erase
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h0,2'b00,2'b10,4'b0010,8'h00,8'hD8});
+		wb_user_core_write(32'h10000014,32'h00000000);
+		wb_user_core_write(32'h10000018,32'h0);
+
+		// RDSR
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h4,2'b00,2'b00,4'b1011,8'h00,8'h05});
+		read_data = 32'hFFFF_FFFF;
+		while (read_data[1:0] == 2'b11) begin
+		    wb_user_core_read(32'h1000001C,read_data);
+		    repeat (10) @(posedge clock);
+		end
+
+		$display("#############################################");
+		$display("  Page Write Command Address: 0x00          ");
+		$display("#############################################");
+		// WEN COMMAND
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h0,2'b00,2'b00,4'b0000,8'h00,8'h06});
+		wb_user_core_write(32'h10000018,32'h0);
+		 // Page Programing
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'hF0,2'b00,2'b10,P_FSM_CAW,8'h00,8'h02});
+		wb_user_core_write(32'h10000014,32'h00000000);
+		wb_user_core_write(32'h10000018,32'h00010000);
+		wb_user_core_write(32'h10000018,32'h00010001);
+		wb_user_core_write(32'h10000018,32'h00010002);
+		wb_user_core_write(32'h10000018,32'h00010003);
+		wb_user_core_write(32'h10000018,32'h00010004);
+		wb_user_core_write(32'h10000018,32'h00010005);
+		wb_user_core_write(32'h10000018,32'h00010006);
+		wb_user_core_write(32'h10000018,32'h00010007);
+		wb_user_core_write(32'h10000018,32'h00010008);
+		wb_user_core_write(32'h10000018,32'h00010009);
+		wb_user_core_write(32'h10000018,32'h00010010);
+		wb_user_core_write(32'h10000018,32'h00010011);
+		wb_user_core_write(32'h10000018,32'h00010012);
+		wb_user_core_write(32'h10000018,32'h00010013);
+		wb_user_core_write(32'h10000018,32'h00010014);
+		wb_user_core_write(32'h10000018,32'h00010015);
+		wb_user_core_write(32'h10000018,32'h00010016);
+		wb_user_core_write(32'h10000018,32'h00010017);
+		wb_user_core_write(32'h10000018,32'h00010018);
+		wb_user_core_write(32'h10000018,32'h00010019);
+		wb_user_core_write(32'h10000018,32'h00010020);
+		wb_user_core_write(32'h10000018,32'h00010021);
+		wb_user_core_write(32'h10000018,32'h00010022);
+		wb_user_core_write(32'h10000018,32'h00010023);
+		wb_user_core_write(32'h10000018,32'h00010024);
+		wb_user_core_write(32'h10000018,32'h00010025);
+		wb_user_core_write(32'h10000018,32'h00010026);
+		wb_user_core_write(32'h10000018,32'h00010027);
+		wb_user_core_write(32'h10000018,32'h00010028);
+		wb_user_core_write(32'h10000018,32'h00010029);
+		wb_user_core_write(32'h10000018,32'h00010030);
+		wb_user_core_write(32'h10000018,32'h00010031);
+		wb_user_core_write(32'h10000018,32'h00010032);
+		wb_user_core_write(32'h10000018,32'h00010033);
+		wb_user_core_write(32'h10000018,32'h00010034);
+		wb_user_core_write(32'h10000018,32'h00010035);
+		wb_user_core_write(32'h10000018,32'h00010036);
+		wb_user_core_write(32'h10000018,32'h00010037);
+		wb_user_core_write(32'h10000018,32'h00010038);
+		wb_user_core_write(32'h10000018,32'h00010039);
+		wb_user_core_write(32'h10000018,32'h00010040);
+		wb_user_core_write(32'h10000018,32'h00010041);
+		wb_user_core_write(32'h10000018,32'h00010042);
+		wb_user_core_write(32'h10000018,32'h00010043);
+		wb_user_core_write(32'h10000018,32'h00010044);
+		wb_user_core_write(32'h10000018,32'h00010045);
+		wb_user_core_write(32'h10000018,32'h00010046);
+		wb_user_core_write(32'h10000018,32'h00010047);
+		wb_user_core_write(32'h10000018,32'h00010048);
+		wb_user_core_write(32'h10000018,32'h00010049);
+		wb_user_core_write(32'h10000018,32'h00010050);
+		wb_user_core_write(32'h10000018,32'h00010051);
+		wb_user_core_write(32'h10000018,32'h00010052);
+		wb_user_core_write(32'h10000018,32'h00010053);
+		wb_user_core_write(32'h10000018,32'h00010054);
+		wb_user_core_write(32'h10000018,32'h00010055);
+		wb_user_core_write(32'h10000018,32'h00010056);
+		wb_user_core_write(32'h10000018,32'h00010057);
+		wb_user_core_write(32'h10000018,32'h00010058);
+		wb_user_core_write(32'h10000018,32'h00010059);
+
+		// RDSR
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h4,2'b00,2'b00,4'b1011,8'h00,8'h05});
+		read_data = 32'hFFFF_FFFF;
+		while (read_data[1:0] == 2'b11) begin
+		    wb_user_core_read(32'h1000001C,read_data);
+		    repeat (10) @(posedge clock);
+		 end
+
+		$display("#############################################");
+		$display("  Page Read through Direct Access            ");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000000,read_data,32'h00010000);
+		wb_user_core_read_check(32'h00000004,read_data,32'h00010001);
+		wb_user_core_read_check(32'h00000008,read_data,32'h00010002);
+		wb_user_core_read_check(32'h0000000C,read_data,32'h00010003);
+		wb_user_core_read_check(32'h00000010,read_data,32'h00010004);
+		wb_user_core_read_check(32'h00000014,read_data,32'h00010005);
+		wb_user_core_read_check(32'h00000018,read_data,32'h00010006);
+		wb_user_core_read_check(32'h0000001C,read_data,32'h00010007);
+		wb_user_core_read_check(32'h00000020,read_data,32'h00010008);
+		wb_user_core_read_check(32'h00000024,read_data,32'h00010009);
+		wb_user_core_read_check(32'h00000028,read_data,32'h00010010);
+		wb_user_core_read_check(32'h0000002C,read_data,32'h00010011);
+		wb_user_core_read_check(32'h00000030,read_data,32'h00010012);
+		wb_user_core_read_check(32'h00000034,read_data,32'h00010013);
+		wb_user_core_read_check(32'h00000038,read_data,32'h00010014);
+		wb_user_core_read_check(32'h0000003C,read_data,32'h00010015);
+		wb_user_core_read_check(32'h00000040,read_data,32'h00010016);
+		wb_user_core_read_check(32'h00000044,read_data,32'h00010017);
+		wb_user_core_read_check(32'h00000048,read_data,32'h00010018);
+		wb_user_core_read_check(32'h0000004C,read_data,32'h00010019);
+		wb_user_core_read_check(32'h00000050,read_data,32'h00010020);
+		wb_user_core_read_check(32'h00000054,read_data,32'h00010021);
+		wb_user_core_read_check(32'h00000058,read_data,32'h00010022);
+		wb_user_core_read_check(32'h0000005C,read_data,32'h00010023);
+		wb_user_core_read_check(32'h00000060,read_data,32'h00010024);
+		wb_user_core_read_check(32'h00000064,read_data,32'h00010025);
+		wb_user_core_read_check(32'h00000068,read_data,32'h00010026);
+		wb_user_core_read_check(32'h0000006C,read_data,32'h00010027);
+		wb_user_core_read_check(32'h00000070,read_data,32'h00010028);
+		wb_user_core_read_check(32'h00000074,read_data,32'h00010029);
+		wb_user_core_read_check(32'h00000078,read_data,32'h00010030);
+		wb_user_core_read_check(32'h0000007C,read_data,32'h00010031);
+		wb_user_core_read_check(32'h00000080,read_data,32'h00010032);
+		wb_user_core_read_check(32'h00000084,read_data,32'h00010033);
+		wb_user_core_read_check(32'h00000088,read_data,32'h00010034);
+		wb_user_core_read_check(32'h0000008C,read_data,32'h00010035);
+		wb_user_core_read_check(32'h00000090,read_data,32'h00010036);
+		wb_user_core_read_check(32'h00000094,read_data,32'h00010037);
+		wb_user_core_read_check(32'h00000098,read_data,32'h00010038);
+		wb_user_core_read_check(32'h0000009C,read_data,32'h00010039);
+		wb_user_core_read_check(32'h000000A0,read_data,32'h00010040);
+		wb_user_core_read_check(32'h000000A4,read_data,32'h00010041);
+		wb_user_core_read_check(32'h000000A8,read_data,32'h00010042);
+		wb_user_core_read_check(32'h000000AC,read_data,32'h00010043);
+		wb_user_core_read_check(32'h000000B0,read_data,32'h00010044);
+		wb_user_core_read_check(32'h000000B4,read_data,32'h00010045);
+		wb_user_core_read_check(32'h000000B8,read_data,32'h00010046);
+		wb_user_core_read_check(32'h000000BC,read_data,32'h00010047);
+		wb_user_core_read_check(32'h000000C0,read_data,32'h00010048);
+		wb_user_core_read_check(32'h000000C4,read_data,32'h00010049);
+		wb_user_core_read_check(32'h000000C8,read_data,32'h00010050);
+		wb_user_core_read_check(32'h000000CC,read_data,32'h00010051);
+		wb_user_core_read_check(32'h000000D0,read_data,32'h00010052);
+		wb_user_core_read_check(32'h000000D4,read_data,32'h00010053);
+		wb_user_core_read_check(32'h000000D8,read_data,32'h00010054);
+		wb_user_core_read_check(32'h000000DC,read_data,32'h00010055);
+		wb_user_core_read_check(32'h000000E0,read_data,32'h00010056);
+		wb_user_core_read_check(32'h000000E4,read_data,32'h00010057);
+		wb_user_core_read_check(32'h000000E8,read_data,32'h00010058);
+		wb_user_core_read_check(32'h000000EC,read_data,32'h00010059);
+
+		repeat (100) @(posedge clock);
+		$display("#############################################");
+		$display("  Page Read through Indirect Access           ");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
+		wb_user_core_write(32'h10000010,{8'hF0,2'b01,2'b10,4'b0110,8'h00,8'hEB});
+		wb_user_core_write(32'h10000014,32'h00000000);
+
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010000);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010001);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010002);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010003);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010004);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010005);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010006);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010007);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010008);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010009);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010010);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010011);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010012);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010013);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010014);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010015);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010016);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010017);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010018);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010019);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010020);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010021);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010022);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010023);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010024);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010025);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010026);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010027);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010028);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010029);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010030);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010031);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010032);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010033);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010034);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010035);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010036);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010037);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010038);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010039);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010040);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010041);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010042);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010043);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010044);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010045);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010046);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010047);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010048);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010049);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010050);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010051);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010052);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010053);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010054);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010055);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010056);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010057);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010058);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00010059);
+
+		repeat (100) @(posedge clock);
+		$display("#############################################");
+		$display("  Page Write Command Address: 0x200          ");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		// WEN COMMAND
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h0,2'b00,2'b00,4'b0000,8'h00,8'h06});
+		wb_user_core_write(32'h10000018,32'h0);
+		 // Page Programing
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'hF0,2'b00,2'b10,P_FSM_CAW,8'h00,8'h02});
+		wb_user_core_write(32'h10000014,32'h00000200);
+		wb_user_core_write(32'h10000018,32'h00020000);
+		wb_user_core_write(32'h10000018,32'h00020001);
+		wb_user_core_write(32'h10000018,32'h00020002);
+		wb_user_core_write(32'h10000018,32'h00020003);
+		wb_user_core_write(32'h10000018,32'h00020004);
+		wb_user_core_write(32'h10000018,32'h00020005);
+		wb_user_core_write(32'h10000018,32'h00020006);
+		wb_user_core_write(32'h10000018,32'h00020007);
+		wb_user_core_write(32'h10000018,32'h00020008);
+		wb_user_core_write(32'h10000018,32'h00020009);
+		wb_user_core_write(32'h10000018,32'h00020010);
+		wb_user_core_write(32'h10000018,32'h00020011);
+		wb_user_core_write(32'h10000018,32'h00020012);
+		wb_user_core_write(32'h10000018,32'h00020013);
+		wb_user_core_write(32'h10000018,32'h00020014);
+		wb_user_core_write(32'h10000018,32'h00020015);
+		wb_user_core_write(32'h10000018,32'h00020016);
+		wb_user_core_write(32'h10000018,32'h00020017);
+		wb_user_core_write(32'h10000018,32'h00020018);
+		wb_user_core_write(32'h10000018,32'h00020019);
+		wb_user_core_write(32'h10000018,32'h00020020);
+		wb_user_core_write(32'h10000018,32'h00020021);
+		wb_user_core_write(32'h10000018,32'h00020022);
+		wb_user_core_write(32'h10000018,32'h00020023);
+		wb_user_core_write(32'h10000018,32'h00020024);
+		wb_user_core_write(32'h10000018,32'h00020025);
+		wb_user_core_write(32'h10000018,32'h00020026);
+		wb_user_core_write(32'h10000018,32'h00020027);
+		wb_user_core_write(32'h10000018,32'h00020028);
+		wb_user_core_write(32'h10000018,32'h00020029);
+		wb_user_core_write(32'h10000018,32'h00020030);
+		wb_user_core_write(32'h10000018,32'h00020031);
+		wb_user_core_write(32'h10000018,32'h00020032);
+		wb_user_core_write(32'h10000018,32'h00020033);
+		wb_user_core_write(32'h10000018,32'h00020034);
+		wb_user_core_write(32'h10000018,32'h00020035);
+		wb_user_core_write(32'h10000018,32'h00020036);
+		wb_user_core_write(32'h10000018,32'h00020037);
+		wb_user_core_write(32'h10000018,32'h00020038);
+		wb_user_core_write(32'h10000018,32'h00020039);
+		wb_user_core_write(32'h10000018,32'h00020040);
+		wb_user_core_write(32'h10000018,32'h00020041);
+		wb_user_core_write(32'h10000018,32'h00020042);
+		wb_user_core_write(32'h10000018,32'h00020043);
+		wb_user_core_write(32'h10000018,32'h00020044);
+		wb_user_core_write(32'h10000018,32'h00020045);
+		wb_user_core_write(32'h10000018,32'h00020046);
+		wb_user_core_write(32'h10000018,32'h00020047);
+		wb_user_core_write(32'h10000018,32'h00020048);
+		wb_user_core_write(32'h10000018,32'h00020049);
+		wb_user_core_write(32'h10000018,32'h00020050);
+		wb_user_core_write(32'h10000018,32'h00020051);
+		wb_user_core_write(32'h10000018,32'h00020052);
+		wb_user_core_write(32'h10000018,32'h00020053);
+		wb_user_core_write(32'h10000018,32'h00020054);
+		wb_user_core_write(32'h10000018,32'h00020055);
+		wb_user_core_write(32'h10000018,32'h00020056);
+		wb_user_core_write(32'h10000018,32'h00020057);
+		wb_user_core_write(32'h10000018,32'h00020058);
+		wb_user_core_write(32'h10000018,32'h00020059);
+
+		// RDSR
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b00,2'b00,4'b0001});
+		wb_user_core_write(32'h10000010,{8'h4,2'b00,2'b00,4'b1011,8'h00,8'h05});
+		read_data = 32'hFFFF_FFFF;
+		while (read_data[1:0] == 2'b11) begin
+		    wb_user_core_read(32'h1000001C,read_data);
+		    repeat (10) @(posedge clock);
+		 end
+
+		$display("#############################################");
+		$display("  Page Read through Direct Access            ");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000200,read_data,32'h00020000);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00020001);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00020002);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00020003);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00020004);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00020005);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00020006);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00020007);
+		wb_user_core_read_check(32'h00000220,read_data,32'h00020008);
+		wb_user_core_read_check(32'h00000224,read_data,32'h00020009);
+		wb_user_core_read_check(32'h00000228,read_data,32'h00020010);
+		wb_user_core_read_check(32'h0000022C,read_data,32'h00020011);
+		wb_user_core_read_check(32'h00000230,read_data,32'h00020012);
+		wb_user_core_read_check(32'h00000234,read_data,32'h00020013);
+		wb_user_core_read_check(32'h00000238,read_data,32'h00020014);
+		wb_user_core_read_check(32'h0000023C,read_data,32'h00020015);
+		wb_user_core_read_check(32'h00000240,read_data,32'h00020016);
+		wb_user_core_read_check(32'h00000244,read_data,32'h00020017);
+		wb_user_core_read_check(32'h00000248,read_data,32'h00020018);
+		wb_user_core_read_check(32'h0000024C,read_data,32'h00020019);
+		wb_user_core_read_check(32'h00000250,read_data,32'h00020020);
+		wb_user_core_read_check(32'h00000254,read_data,32'h00020021);
+		wb_user_core_read_check(32'h00000258,read_data,32'h00020022);
+		wb_user_core_read_check(32'h0000025C,read_data,32'h00020023);
+		wb_user_core_read_check(32'h00000260,read_data,32'h00020024);
+		wb_user_core_read_check(32'h00000264,read_data,32'h00020025);
+		wb_user_core_read_check(32'h00000268,read_data,32'h00020026);
+		wb_user_core_read_check(32'h0000026C,read_data,32'h00020027);
+		wb_user_core_read_check(32'h00000270,read_data,32'h00020028);
+		wb_user_core_read_check(32'h00000274,read_data,32'h00020029);
+		wb_user_core_read_check(32'h00000278,read_data,32'h00020030);
+		wb_user_core_read_check(32'h0000027C,read_data,32'h00020031);
+		wb_user_core_read_check(32'h00000280,read_data,32'h00020032);
+		wb_user_core_read_check(32'h00000284,read_data,32'h00020033);
+		wb_user_core_read_check(32'h00000288,read_data,32'h00020034);
+		wb_user_core_read_check(32'h0000028C,read_data,32'h00020035);
+		wb_user_core_read_check(32'h00000290,read_data,32'h00020036);
+		wb_user_core_read_check(32'h00000294,read_data,32'h00020037);
+		wb_user_core_read_check(32'h00000298,read_data,32'h00020038);
+		wb_user_core_read_check(32'h0000029C,read_data,32'h00020039);
+		wb_user_core_read_check(32'h000002A0,read_data,32'h00020040);
+		wb_user_core_read_check(32'h000002A4,read_data,32'h00020041);
+		wb_user_core_read_check(32'h000002A8,read_data,32'h00020042);
+		wb_user_core_read_check(32'h000002AC,read_data,32'h00020043);
+		wb_user_core_read_check(32'h000002B0,read_data,32'h00020044);
+		wb_user_core_read_check(32'h000002B4,read_data,32'h00020045);
+		wb_user_core_read_check(32'h000002B8,read_data,32'h00020046);
+		wb_user_core_read_check(32'h000002BC,read_data,32'h00020047);
+		wb_user_core_read_check(32'h000002C0,read_data,32'h00020048);
+		wb_user_core_read_check(32'h000002C4,read_data,32'h00020049);
+		wb_user_core_read_check(32'h000002C8,read_data,32'h00020050);
+		wb_user_core_read_check(32'h000002CC,read_data,32'h00020051);
+		wb_user_core_read_check(32'h000002D0,read_data,32'h00020052);
+		wb_user_core_read_check(32'h000002D4,read_data,32'h00020053);
+		wb_user_core_read_check(32'h000002D8,read_data,32'h00020054);
+		wb_user_core_read_check(32'h000002DC,read_data,32'h00020055);
+		wb_user_core_read_check(32'h000002E0,read_data,32'h00020056);
+		wb_user_core_read_check(32'h000002E4,read_data,32'h00020057);
+		wb_user_core_read_check(32'h000002E8,read_data,32'h00020058);
+		wb_user_core_read_check(32'h000002EC,read_data,32'h00020059);
+
+		repeat (10) @(posedge clock);
+		$display("#############################################");
+		$display("  Page Read through Indirect Access           ");
+		$display("#############################################");
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h1000000C,{15'h0,1'b0,2'b01,2'b10,4'b0001});
+		wb_user_core_write(32'h10000010,{8'hF0,2'b01,2'b10,4'b0110,8'h00,8'hEB});
+		wb_user_core_write(32'h10000014,32'h00000200);
+
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020000);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020001);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020002);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020003);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020004);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020005);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020006);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020007);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020008);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020009);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020010);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020011);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020012);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020013);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020014);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020015);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020016);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020017);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020018);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020019);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020020);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020021);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020022);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020023);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020024);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020025);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020026);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020027);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020028);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020029);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020030);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020031);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020032);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020033);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020034);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020035);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020036);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020037);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020038);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020039);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020040);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020041);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020042);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020043);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020044);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020045);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020046);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020047);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020048);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020049);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020050);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020051);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020052);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020053);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020054);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020055);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020056);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020057);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020058);
+		wb_user_core_read_check(32'h1000001C,read_data,32'h00020059);
+
 		repeat (100) @(posedge clock);
 			// $display("+1000 cycles");
 
@@ -508,7 +1209,8 @@
 
    // Quard flash
      s25fl256s #(.mem_file_name("user_risc_boot.hex"),
-	         .otp_file_name("none")) 
+	         .otp_file_name("none"),
+                 .TimingModel("S25FL512SAGMFI010_F_30pF")) 
 		 u_spi_flash_256mb (
            // Data Inputs/Outputs
        .SI      (flash_io0),
diff --git a/verilog/dv/user_uart/user_uart_tb.v b/verilog/dv/user_uart/user_uart_tb.v
index 10dca8b..3edeaba 100644
--- a/verilog/dv/user_uart/user_uart_tb.v
+++ b/verilog/dv/user_uart/user_uart_tb.v
@@ -190,7 +190,7 @@
    // Remove all the reset
    wb_user_core_write('h3080_0000,'hF);
 
-   repeat (20000) @(posedge clock);  // wait for Processor Get Ready
+   repeat (16000) @(posedge clock);  // wait for Processor Get Ready
    tb_uart.uart_init;
    wb_user_core_write(`ADDR_SPACE_UART+8'h0,{3'h0,2'b00,1'b1,1'b1,1'b1});  
    
@@ -412,7 +412,10 @@
 
 
    // Quard flash
-     s25fl256s #(.mem_file_name("user_uart.hex"),.otp_file_name("none")) u_spi_flash_256mb
+     s25fl256s #(.mem_file_name("user_uart.hex"),
+	         .otp_file_name("none"), 
+                 .TimingModel("S25FL512SAGMFI010_F_30pF")) 
+		 u_spi_flash_256mb
        (
            // Data Inputs/Outputs
        .SI      (flash_io0),
diff --git a/verilog/gl/spi_master.v b/verilog/gl/spi_master.v
index f5bb31e..7277291 100644
--- a/verilog/gl/spi_master.v
+++ b/verilog/gl/spi_master.v
@@ -31,7554 +31,4252 @@
  output [31:0] wbd_dat_o;
  input [3:0] wbd_sel_i;
 
- sky130_fd_sc_hd__inv_2 _04526_ (.A(\u_spim_regs.spim_reg_rdata[30] ),
-    .Y(_00908_),
+ sky130_fd_sc_hd__inv_2 _06372_ (.A(\u_spim_regs.cfg_m0_data_cnt[6] ),
+    .Y(_01278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04527_ (.A(\u_spim_regs.spi_init_done ),
-    .Y(_00909_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04528_ (.A(_00909_),
-    .X(_00910_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04529_ (.A(\u_wb_if.spim_wb_addr[28] ),
-    .X(_00911_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04530_ (.A(\u_spim_regs.spim_reg_we ),
-    .Y(_00912_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04531_ (.A(_00912_),
-    .X(_00913_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04532_ (.A(\u_spim_regs.spim_reg_addr[1] ),
-    .Y(_00914_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04533_ (.A(\u_spim_regs.spim_reg_addr[0] ),
-    .Y(_00915_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04534_ (.A(\u_spim_regs.spim_reg_addr[2] ),
-    .Y(_00916_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04535_ (.A(_00914_),
-    .B(_00915_),
-    .C(\u_spim_regs.spim_reg_addr[3] ),
-    .D(_00916_),
-    .X(_00917_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _04536_ (.A(\u_wb_if.spim_wb_req ),
-    .B(_00911_),
-    .C(_00913_),
-    .D(_00917_),
-    .X(_00918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04537_ (.A(spi_debug[10]),
-    .Y(_00919_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04538_ (.A(spi_debug[9]),
-    .Y(_00920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _04539_ (.A(_00919_),
-    .B(_00920_),
-    .C(spi_debug[11]),
-    .X(_00921_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04540_ (.A(\u_m1_res_fifo.wr_ptr[0] ),
-    .Y(_00922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04541_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
-    .Y(_00923_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04542_ (.A1(\u_m1_res_fifo.wr_ptr[0] ),
-    .A2(\u_m1_res_fifo.rd_ptr[0] ),
-    .B1(_00922_),
-    .B2(_00923_),
-    .X(_00924_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04543_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
-    .Y(_00925_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04544_ (.A(\u_m1_res_fifo.rd_ptr[1] ),
-    .Y(_00926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04545_ (.A1(\u_m1_res_fifo.wr_ptr[1] ),
-    .A2(\u_m1_res_fifo.rd_ptr[1] ),
-    .B1(_00925_),
-    .B2(_00926_),
-    .X(_00927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04546_ (.A(_00924_),
-    .B(_00927_),
-    .X(_00928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04547_ (.A(_00928_),
-    .Y(\u_m1_res_fifo.empty ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04548_ (.A(\u_wb_if.spim_wb_req ),
-    .Y(_00929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04549_ (.A(\u_wb_if.spim_wb_addr[28] ),
-    .Y(_00930_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04550_ (.A(_00929_),
-    .B(_00930_),
-    .C(\u_spim_regs.spim_reg_we ),
-    .D(_00917_),
-    .X(_00931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04551_ (.A(\u_m1_res_fifo.empty ),
-    .B(_00931_),
-    .X(_00932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04552_ (.A(_00921_),
-    .B(_00932_),
-    .X(_00933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04553_ (.A(_00933_),
-    .Y(_00934_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _04554_ (.A(_00918_),
-    .B(_00934_),
-    .Y(_00935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _04555_ (.A(\u_spim_regs.spim_reg_ack ),
-    .B(_00910_),
-    .C(_00935_),
-    .X(_00936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04556_ (.A(_00936_),
-    .Y(_00937_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04557_ (.A(_00937_),
-    .X(_00938_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04558_ (.A(_00929_),
-    .X(_00939_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04559_ (.A(_00930_),
-    .X(_00940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04560_ (.A(\u_spim_regs.spim_reg_addr[3] ),
-    .X(_00941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04561_ (.A(_00941_),
-    .X(_00942_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04562_ (.A(\u_spim_regs.spim_reg_addr[1] ),
-    .X(_00943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04563_ (.A(_00943_),
-    .X(_00944_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04564_ (.A(\u_spim_regs.spim_reg_addr[0] ),
-    .X(_00945_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04565_ (.A(_00945_),
-    .X(_00946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04566_ (.A(_00941_),
-    .X(_00947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04567_ (.A(_00947_),
-    .Y(_00948_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04568_ (.A(\u_spim_regs.spim_reg_addr[2] ),
-    .X(_00949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04569_ (.A(_00949_),
-    .X(_00950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04570_ (.A(_00944_),
-    .B(_00946_),
-    .C(_00948_),
-    .D(_00950_),
-    .X(_00951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04571_ (.A(_00951_),
-    .X(_00952_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04572_ (.A(_00942_),
-    .B(_00952_),
-    .X(_00953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04573_ (.A(_00939_),
-    .B(_00940_),
-    .C(_00953_),
-    .D(_00936_),
-    .X(_00954_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04574_ (.A(_00947_),
-    .B(_00916_),
-    .C(_00943_),
-    .D(_00915_),
-    .X(_00955_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04575_ (.A(_00955_),
-    .Y(_00956_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04576_ (.A(_00956_),
-    .X(_00957_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04577_ (.A(\u_spim_regs.cfg_m1_addr[30] ),
-    .B(_00957_),
-    .Y(_00958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04578_ (.A(\u_spim_regs.cfg_m0_data_cnt[6] ),
-    .Y(_00959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04579_ (.A(_00914_),
-    .B(_00945_),
-    .C(_00941_),
-    .D(_00949_),
-    .X(_00960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04580_ (.A(_00960_),
-    .X(_00961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04581_ (.A(\u_spim_regs.cfg_m1_data_cnt[6] ),
-    .Y(_00962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04582_ (.A(_00941_),
-    .B(_00916_),
-    .C(_00943_),
-    .D(_00945_),
-    .X(_00963_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04583_ (.A(_00963_),
-    .X(_00964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04584_ (.A1(_00959_),
-    .A2(_00961_),
-    .B1(_00962_),
-    .B2(_00964_),
-    .X(_00965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04585_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
-    .X(_00966_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04586_ (.A(_00966_),
-    .X(_00967_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04587_ (.A(_00967_),
-    .X(_00968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04588_ (.A(\u_m1_res_fifo.mem[1][30] ),
-    .Y(_00969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04589_ (.A(_00923_),
-    .X(_00970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04590_ (.A(\u_m1_res_fifo.mem[0][30] ),
-    .Y(_00971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04591_ (.A(_00970_),
-    .B(_00971_),
-    .X(_00972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04592_ (.A(_00933_),
-    .X(_00973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04593_ (.A(_00973_),
-    .X(_00974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04594_ (.A1(_00968_),
-    .A2(_00969_),
-    .B1(_00972_),
-    .C1(_00974_),
-    .X(_00975_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04595_ (.A(_00951_),
-    .X(_00976_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04596_ (.A(\u_spictrl.gnt[1] ),
-    .Y(_00977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04597_ (.A(spi_debug[17]),
-    .X(_00978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04598_ (.A(_00978_),
-    .X(_00979_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04599_ (.A(_00979_),
-    .X(_00980_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04600_ (.A(spi_debug[20]),
-    .Y(_00981_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04601_ (.A(_00981_),
-    .X(_00982_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04602_ (.A(psn_net_40),
-    .X(_00983_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04603_ (.A(_00983_),
-    .B(_00982_),
-    .X(_00984_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04604_ (.A(_00984_),
-    .X(_00985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04605_ (.A(spi_debug[18]),
-    .X(_00986_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04606_ (.A(_00986_),
-    .X(_00987_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04607_ (.A(\u_spictrl.u_txreg.tx_CS ),
-    .Y(_00988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04608_ (.A(\u_spictrl.u_txreg.counter[10] ),
-    .Y(_00989_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04609_ (.A(\u_spictrl.u_txreg.counter[9] ),
-    .Y(_00990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04610_ (.A(\u_spictrl.u_txreg.counter[8] ),
-    .Y(_00991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04611_ (.A(\u_spictrl.u_txreg.counter[1] ),
-    .B(\u_spictrl.u_txreg.counter[0] ),
-    .X(_00992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04612_ (.A(_00992_),
-    .B(\u_spictrl.u_txreg.counter[2] ),
-    .X(_00993_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _04613_ (.A(\u_spictrl.u_txreg.counter[4] ),
-    .B(\u_spictrl.u_txreg.counter[3] ),
-    .C(\u_spictrl.u_txreg.counter[5] ),
-    .D(_00993_),
-    .X(_00994_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04614_ (.A(\u_spictrl.u_txreg.counter[6] ),
-    .B(_00994_),
-    .X(_00995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04615_ (.A(\u_spictrl.u_txreg.counter[7] ),
-    .B(_00995_),
-    .X(_00996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04616_ (.A(_00996_),
-    .Y(_00997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _04617_ (.A(_00990_),
-    .B(_00991_),
-    .C(_00997_),
-    .X(_00998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04618_ (.A(_00989_),
-    .B(_00998_),
-    .X(_00999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _04619_ (.A1(_00989_),
-    .A2(_00998_),
-    .B1_N(_00999_),
-    .X(_01000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04620_ (.A(_01000_),
-    .Y(_01001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04621_ (.A(\u_spictrl.u_txreg.counter_trgt[10] ),
-    .Y(_01002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04622_ (.A1(\u_spictrl.u_txreg.counter_trgt[10] ),
-    .A2(_01001_),
-    .B1(_01002_),
-    .B2(_01000_),
-    .X(_01003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04623_ (.A(\u_spictrl.u_txreg.counter[11] ),
-    .Y(_01004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04624_ (.A(_00990_),
-    .B(_00991_),
-    .C(_01004_),
-    .D(_00989_),
-    .X(_01005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _04625_ (.A(_00997_),
-    .B(_01005_),
-    .Y(_01006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _04626_ (.A(\u_spictrl.u_txreg.counter[13] ),
-    .B(\u_spictrl.u_txreg.counter[12] ),
-    .C(_01006_),
-    .X(_01007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04627_ (.A(\u_spictrl.u_txreg.counter[14] ),
-    .B(_01007_),
-    .X(_01008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04628_ (.A(\u_spictrl.u_txreg.counter[15] ),
-    .Y(_01009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04629_ (.A(_01008_),
-    .Y(_01010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04630_ (.A1(\u_spictrl.u_txreg.counter[15] ),
-    .A2(_01008_),
-    .B1(_01009_),
-    .B2(_01010_),
-    .X(_01011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04631_ (.A(\u_spictrl.u_txreg.counter_trgt[9] ),
-    .Y(_01012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04632_ (.A(\u_spictrl.u_txreg.counter[8] ),
-    .B(_00996_),
-    .X(_01013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04633_ (.A1(\u_spictrl.u_txreg.counter[9] ),
-    .A2(_01013_),
-    .B1(_00998_),
-    .X(_01014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04634_ (.A1(\u_spictrl.u_txreg.counter[14] ),
-    .A2(_01007_),
-    .B1(_01010_),
-    .X(_01015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04635_ (.A(_00993_),
-    .X(_01016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04636_ (.A(_01016_),
-    .B(\u_spictrl.u_txreg.counter[3] ),
-    .X(_01017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04637_ (.A(_01017_),
-    .B(\u_spictrl.u_txreg.counter[4] ),
-    .X(_01018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04638_ (.A(_00994_),
-    .Y(_01019_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04639_ (.A1(\u_spictrl.u_txreg.counter[5] ),
-    .A2(psn_net_23),
-    .B1(_01019_),
-    .X(_01020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04640_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .A2_N(_01020_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .B2(_01020_),
-    .X(_01021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04641_ (.A(_01021_),
-    .Y(_01022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04642_ (.A(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .Y(_01023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04643_ (.A(psn_net_27),
-    .X(_01024_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04644_ (.A(_01024_),
-    .Y(_01025_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04645_ (.A1(_01023_),
-    .A2(_01024_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .B2(_01025_),
-    .X(_01026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04646_ (.A(psn_net_12),
-    .Y(_01027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04647_ (.A1(psn_net_14),
-    .A2(_01024_),
-    .B1(_01027_),
-    .X(_01028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04648_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[1] ),
-    .A2_N(_01028_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[1] ),
-    .B2(_01028_),
-    .X(_01029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04649_ (.A(_01029_),
-    .Y(_01030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04650_ (.A(_01016_),
-    .Y(_01031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04651_ (.A1(\u_spictrl.u_txreg.counter[2] ),
-    .A2(psn_net_13),
-    .B1(_01031_),
-    .X(_01032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04652_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[2] ),
-    .A2_N(_01032_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[2] ),
-    .B2(_01032_),
-    .X(_01033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04653_ (.A(_01033_),
-    .Y(_01034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04654_ (.A(\u_spictrl.u_txreg.counter[15] ),
-    .B(_01026_),
-    .C(_01030_),
-    .D(_01034_),
-    .X(_01035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04655_ (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
-    .Y(_01036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04656_ (.A(_01017_),
-    .Y(_01037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04657_ (.A1(\u_spictrl.u_txreg.counter[3] ),
-    .A2(psn_net_10),
-    .B1(_01037_),
-    .X(_01038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04658_ (.A1_N(_01036_),
-    .A2_N(_01038_),
-    .B1(_01036_),
-    .B2(_01038_),
-    .X(_01039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04659_ (.A(_00995_),
-    .Y(_01040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04660_ (.A1(\u_spictrl.u_txreg.counter[6] ),
-    .A2(_00994_),
-    .B1(_01040_),
-    .X(_01041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04661_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .A2_N(_01041_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .B2(_01041_),
-    .X(_01042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04662_ (.A(_01042_),
-    .Y(_01043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04663_ (.A(_01018_),
-    .Y(_01044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04664_ (.A1(\u_spictrl.u_txreg.counter[4] ),
-    .A2(_01017_),
-    .B1(_01044_),
-    .X(_01045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04665_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .A2_N(_01045_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .B2(_01045_),
-    .X(_01046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04666_ (.A(_01046_),
-    .Y(_01047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04667_ (.A(_01043_),
-    .B(_01039_),
-    .C(_01047_),
-    .D(_01035_),
-    .X(_01048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04668_ (.A1(\u_spictrl.u_txreg.counter[7] ),
-    .A2(_00995_),
-    .B1(_00997_),
-    .X(_01049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04669_ (.A1_N(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .A2_N(_01049_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .B2(_01049_),
-    .X(_01050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04670_ (.A(_01050_),
-    .Y(_01051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04671_ (.A(\u_spictrl.u_txreg.counter[12] ),
-    .B(_01006_),
-    .X(_01052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04672_ (.A(_01052_),
-    .Y(_01053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04673_ (.A1(\u_spictrl.u_txreg.counter[12] ),
-    .A2(_01006_),
-    .B1(_01053_),
-    .X(_01054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04674_ (.A(_01022_),
-    .B(_01054_),
-    .C(_01051_),
-    .D(_01048_),
-    .X(_01055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04675_ (.A1(_01012_),
-    .A2(_01014_),
-    .B1(_01015_),
-    .C1(_01055_),
-    .X(_01056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _04676_ (.A1(_00991_),
-    .A2(_00997_),
-    .B1(_01013_),
-    .X(_01057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04677_ (.A(_01057_),
-    .Y(_01058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04678_ (.A(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .Y(_01059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04679_ (.A1(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .A2(_01058_),
-    .B1(_01059_),
-    .B2(_01057_),
-    .X(_01060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _04680_ (.A(_01012_),
-    .B(_01014_),
-    .Y(_01061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04681_ (.A(_01007_),
-    .Y(_01062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _04682_ (.A1(\u_spictrl.u_txreg.counter[13] ),
-    .A2(_01052_),
-    .B1(_01062_),
-    .X(_01063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _04683_ (.A1(_01004_),
-    .A2(_00999_),
-    .B1(_01006_),
-    .Y(_01064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04684_ (.A(_01060_),
-    .B(_01061_),
-    .C(_01063_),
-    .D(_01064_),
-    .X(_01065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04685_ (.A(_01003_),
-    .B(_01011_),
-    .C(_01065_),
-    .D(_01056_),
-    .X(_01066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04686_ (.A(_00988_),
-    .B(_01066_),
-    .X(_01067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04687_ (.A(_00980_),
-    .B(_00985_),
-    .C(_00987_),
-    .D(_01067_),
-    .X(_01068_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04688_ (.A(_00977_),
-    .B(_01068_),
-    .X(_01069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04689_ (.A(_00976_),
-    .B(psn_net_19),
-    .X(_01070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _04690_ (.A(_00958_),
-    .B(_00965_),
-    .C(_00975_),
-    .D(_01070_),
-    .X(_01071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04691_ (.A1(_00908_),
-    .A2(_00938_),
-    .B1(_00954_),
-    .B2(_01071_),
-    .X(_01072_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04692_ (.A(_01072_),
-    .Y(_00906_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04693_ (.A(\u_spim_regs.spim_reg_rdata[29] ),
-    .Y(_01073_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04694_ (.A(_00936_),
-    .X(_01074_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04695_ (.A(_01074_),
-    .X(_01075_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04696_ (.A(_00951_),
-    .X(_01076_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04697_ (.A(\u_spim_regs.cfg_m1_addr[29] ),
-    .Y(_01077_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04698_ (.A(_00955_),
-    .X(_01078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04699_ (.A1(_00910_),
-    .A2(_01076_),
-    .B1(_01077_),
-    .B2(_01078_),
-    .X(_01079_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04700_ (.A(\u_spim_regs.cfg_m0_data_cnt[5] ),
-    .Y(_01080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04701_ (.A(_00960_),
-    .X(_01081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04702_ (.A(_01081_),
-    .X(_01082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04703_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
-    .X(_01083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04704_ (.A(_01083_),
-    .Y(_01084_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04705_ (.A(_00963_),
-    .X(_01085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04706_ (.A(_01085_),
-    .X(_01086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04707_ (.A1(_01080_),
-    .A2(_01082_),
-    .B1(_01084_),
-    .B2(_01086_),
-    .X(_01087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04708_ (.A(_00934_),
-    .X(_01088_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04709_ (.A(_00966_),
-    .X(_01089_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04710_ (.A(_00923_),
-    .X(_01090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04711_ (.A(_01090_),
-    .X(_01091_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04712_ (.A1(_01089_),
-    .A2(\u_m1_res_fifo.mem[0][29] ),
-    .B1(_01091_),
-    .B2(\u_m1_res_fifo.mem[1][29] ),
-    .X(_01092_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04713_ (.A(_01088_),
-    .B(_01092_),
-    .Y(_01093_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _04714_ (.A(_01079_),
-    .B(_01087_),
-    .C(_01093_),
-    .X(_01094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04715_ (.A1(_01073_),
-    .A2(_00938_),
-    .B1(_01075_),
-    .B2(_01094_),
-    .X(_01095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04716_ (.A(_01095_),
-    .Y(_00905_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04717_ (.A(\u_spim_regs.spim_reg_rdata[28] ),
-    .Y(_01096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04718_ (.A(_00937_),
-    .X(_01097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04719_ (.A(_01097_),
-    .X(_01098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04720_ (.A(\u_spim_regs.cfg_m1_addr[28] ),
-    .B(_00957_),
-    .Y(_01099_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04721_ (.A(\u_spim_regs.cfg_m0_data_cnt[4] ),
-    .Y(_01100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04722_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
-    .Y(_01101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04723_ (.A1(_01100_),
-    .A2(_00961_),
-    .B1(_01101_),
-    .B2(_00964_),
-    .X(_01102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04724_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
-    .Y(_01103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04725_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
-    .Y(_01104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04726_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
-    .A2(\u_m0_cmd_fifo.rd_ptr[0] ),
-    .B1(_01103_),
-    .B2(_01104_),
-    .X(_01105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04727_ (.A(\u_m0_cmd_fifo.wr_ptr[1] ),
-    .Y(_01106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04728_ (.A(\u_m0_cmd_fifo.rd_ptr[1] ),
-    .Y(_01107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04729_ (.A1(\u_m0_cmd_fifo.wr_ptr[1] ),
-    .A2(\u_m0_cmd_fifo.rd_ptr[1] ),
-    .B1(_01106_),
-    .B2(_01107_),
-    .X(_01108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04730_ (.A(_01108_),
-    .Y(_01109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04731_ (.A(_01105_),
-    .B(_01109_),
-    .X(_01110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04732_ (.A(_00976_),
-    .B(_01110_),
-    .X(_01111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04733_ (.A(\u_m1_res_fifo.mem[1][28] ),
-    .Y(_01112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04734_ (.A(\u_m1_res_fifo.mem[0][28] ),
-    .Y(_01113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04735_ (.A(_00970_),
-    .B(_01113_),
-    .X(_01114_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04736_ (.A(_00933_),
-    .X(_01115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04737_ (.A1(_00968_),
-    .A2(_01112_),
-    .B1(_01114_),
-    .C1(_01115_),
-    .X(_01116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _04738_ (.A(_01099_),
-    .B(_01102_),
-    .C(_01111_),
-    .D(_01116_),
-    .X(_01117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04739_ (.A1(_01096_),
-    .A2(_01098_),
-    .B1(_01075_),
-    .B2(_01117_),
-    .X(_01118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04740_ (.A(_01118_),
-    .Y(_00904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04741_ (.A(\u_spim_regs.spim_reg_rdata[27] ),
-    .Y(_01119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04742_ (.A(_01074_),
-    .X(_01120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04743_ (.A(\u_spim_regs.cfg_m0_data_cnt[3] ),
-    .Y(_01121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04744_ (.A(_01081_),
-    .X(_01122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04745_ (.A(_01121_),
-    .B(_01122_),
-    .X(_01123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04746_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
-    .X(_01124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04747_ (.A(_00963_),
-    .Y(_01125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04748_ (.A(_01125_),
-    .X(_01126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04749_ (.A(_01126_),
-    .X(_01127_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04750_ (.A(_00956_),
-    .X(_01128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _04751_ (.A1(_01124_),
-    .A2(_01127_),
-    .B1(\u_spim_regs.cfg_m1_addr[27] ),
-    .B2(_01128_),
-    .Y(_01129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04752_ (.A(_01105_),
-    .B(_01108_),
-    .X(_01130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04753_ (.A(_01130_),
-    .X(_01131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04754_ (.A(_00976_),
-    .B(_01131_),
-    .X(_01132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04755_ (.A(_00966_),
-    .X(_01133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04756_ (.A(\u_m1_res_fifo.mem[1][27] ),
-    .Y(_01134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04757_ (.A(_00923_),
-    .X(_01135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04758_ (.A(\u_m1_res_fifo.mem[0][27] ),
-    .Y(_01136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04759_ (.A(_01135_),
-    .B(_01136_),
-    .X(_01137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04760_ (.A1(_01133_),
-    .A2(_01134_),
-    .B1(_01137_),
-    .C1(_01115_),
-    .X(_01138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _04761_ (.A(_01123_),
-    .B(_01129_),
-    .C(_01132_),
-    .D(_01138_),
-    .X(_01139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04762_ (.A1(_01119_),
-    .A2(_01098_),
-    .B1(_01120_),
-    .B2(_01139_),
-    .X(_01140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04763_ (.A(_01140_),
-    .Y(_00903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04764_ (.A(\u_spim_regs.spim_reg_rdata[26] ),
-    .Y(_01141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04765_ (.A(_01097_),
-    .X(_01142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04766_ (.A(_00934_),
-    .X(_01143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04767_ (.A(_00967_),
-    .X(_01144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04768_ (.A(_01090_),
-    .X(_01145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04769_ (.A(_01145_),
-    .X(_01146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04770_ (.A1(_01144_),
-    .A2(\u_m1_res_fifo.mem[0][26] ),
-    .B1(_01146_),
-    .B2(\u_m1_res_fifo.mem[1][26] ),
-    .X(_01147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04771_ (.A(_01081_),
-    .Y(_01148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04772_ (.A(_01148_),
-    .X(_01149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04773_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
-    .B(_01149_),
-    .X(_01150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04774_ (.A(\u_spim_regs.cfg_m1_addr[26] ),
-    .Y(_01151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04775_ (.A(_00955_),
-    .X(_01152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04776_ (.A(_01152_),
-    .X(_01153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04777_ (.A(\u_spim_regs.cfg_m1_data_cnt[2] ),
-    .X(_01154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04778_ (.A(_01126_),
-    .X(_01155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04779_ (.A1_N(_01151_),
-    .A2_N(_01153_),
-    .B1(_01154_),
-    .B2(_01155_),
-    .X(_01156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04780_ (.A(_01076_),
-    .X(_01157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04781_ (.A(\u_m0_res_fifo.wr_ptr[2] ),
-    .Y(_01158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04782_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
-    .Y(_01159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04783_ (.A1(\u_m0_res_fifo.wr_ptr[2] ),
-    .A2(\u_m0_res_fifo.rd_ptr[2] ),
-    .B1(_01158_),
-    .B2(_01159_),
-    .X(_01160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04784_ (.A(_01160_),
-    .Y(_01161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04785_ (.A(\u_m0_res_fifo.rd_ptr[0] ),
-    .X(_01162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04786_ (.A(_01162_),
-    .Y(_01163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04787_ (.A(\u_m0_res_fifo.wr_ptr[0] ),
-    .Y(_01164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04788_ (.A(_01164_),
-    .B(\u_m0_res_fifo.rd_ptr[0] ),
-    .X(_01165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04789_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
-    .X(_01166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04790_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
-    .X(_01167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04791_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
-    .Y(_01168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04792_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
-    .Y(_01169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04793_ (.A1(_01166_),
-    .A2(_01167_),
-    .B1(_01168_),
-    .B2(_01169_),
-    .X(_01170_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04794_ (.A1(\u_m0_res_fifo.wr_ptr[0] ),
-    .A2(_01163_),
-    .B1(_01165_),
-    .C1(_01170_),
-    .X(_01171_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04795_ (.A(_01161_),
-    .B(_01171_),
-    .X(_01172_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _04796_ (.A(_01157_),
-    .B(_01172_),
-    .Y(_01173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2111o_4 _04797_ (.A1(_01143_),
-    .A2(_01147_),
-    .B1(_01150_),
-    .C1(_01156_),
-    .D1(_01173_),
-    .X(_01174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04798_ (.A1_N(_01141_),
-    .A2_N(_01142_),
-    .B1(_01142_),
-    .B2(_01174_),
-    .X(_00902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04799_ (.A(\u_spim_regs.spim_reg_rdata[25] ),
-    .Y(_01175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04800_ (.A1(_01144_),
-    .A2(\u_m1_res_fifo.mem[0][25] ),
-    .B1(_01146_),
-    .B2(\u_m1_res_fifo.mem[1][25] ),
-    .X(_01176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04801_ (.A(\u_spim_regs.cfg_m0_data_cnt[1] ),
-    .B(_01149_),
-    .X(_01177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04802_ (.A(\u_spim_regs.cfg_m1_addr[25] ),
-    .Y(_01178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04803_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
-    .X(_01179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04804_ (.A1_N(_01178_),
-    .A2_N(_01153_),
-    .B1(_01179_),
-    .B2(_01155_),
-    .X(_01180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04805_ (.A(_01160_),
-    .B(_01171_),
-    .X(_01181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _04806_ (.A(_01157_),
-    .B(_01181_),
-    .Y(_01182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2111o_4 _04807_ (.A1(_01143_),
-    .A2(_01176_),
-    .B1(_01177_),
-    .C1(_01180_),
-    .D1(_01182_),
-    .X(_01183_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04808_ (.A1_N(_01175_),
-    .A2_N(_01142_),
-    .B1(_01142_),
-    .B2(_01183_),
-    .X(_00901_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04809_ (.A(\u_spim_regs.spim_reg_rdata[24] ),
-    .Y(_01184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04810_ (.A(_01097_),
-    .X(_01185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04811_ (.A(_00970_),
-    .X(_01186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04812_ (.A1(_01144_),
-    .A2(\u_m1_res_fifo.mem[0][24] ),
-    .B1(_01186_),
-    .B2(\u_m1_res_fifo.mem[1][24] ),
-    .X(_01187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04813_ (.A(\u_spim_regs.cfg_m0_data_cnt[0] ),
-    .B(_01149_),
-    .X(_01188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04814_ (.A(\u_spim_regs.cfg_m1_addr[24] ),
-    .Y(_01189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04815_ (.A(\u_spim_regs.cfg_m1_data_cnt[0] ),
-    .X(_01190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04816_ (.A1_N(_01189_),
-    .A2_N(_01153_),
-    .B1(_01190_),
-    .B2(_01155_),
-    .X(_01191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04817_ (.A(\u_m1_cmd_fifo.wr_ptr[2] ),
-    .Y(_01192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04818_ (.A(\u_m1_cmd_fifo.rd_ptr[2] ),
-    .Y(_01193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04819_ (.A1(_01192_),
-    .A2(\u_m1_cmd_fifo.rd_ptr[2] ),
-    .B1(\u_m1_cmd_fifo.wr_ptr[2] ),
-    .B2(_01193_),
-    .X(_01194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04820_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
-    .X(_01195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04821_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
-    .Y(_01196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04822_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
-    .Y(_01197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04823_ (.A(_01197_),
-    .B(\u_m1_cmd_fifo.rd_ptr[0] ),
-    .X(_01198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04824_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
-    .Y(_01199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04825_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
-    .Y(_01200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04826_ (.A1(\u_m1_cmd_fifo.wr_ptr[1] ),
-    .A2(\u_m1_cmd_fifo.rd_ptr[1] ),
-    .B1(_01199_),
-    .B2(_01200_),
-    .X(_01201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04827_ (.A1(_01195_),
-    .A2(_01196_),
-    .B1(_01198_),
-    .C1(_01201_),
-    .X(_01202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04828_ (.A(_01194_),
-    .B(_01202_),
-    .X(_01203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _04829_ (.A(_01157_),
-    .B(_01203_),
-    .Y(_01204_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2111o_4 _04830_ (.A1(_01143_),
-    .A2(_01187_),
-    .B1(_01188_),
-    .C1(_01191_),
-    .D1(_01204_),
-    .X(_01205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04831_ (.A1_N(_01184_),
-    .A2_N(_01185_),
-    .B1(_01185_),
-    .B2(_01205_),
-    .X(_00900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04832_ (.A(\u_spim_regs.spim_reg_rdata[23] ),
-    .Y(_01206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04833_ (.A(_00967_),
-    .X(_01207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04834_ (.A1(_01207_),
-    .A2(\u_m1_res_fifo.mem[0][23] ),
-    .B1(_01186_),
-    .B2(\u_m1_res_fifo.mem[1][23] ),
-    .X(_01208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04835_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
-    .B(_01148_),
-    .X(_01209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04836_ (.A(\u_spim_regs.cfg_m1_addr[23] ),
-    .Y(_01210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04837_ (.A(_01152_),
-    .X(_01211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04838_ (.A1_N(_01210_),
-    .A2_N(_01211_),
-    .B1(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
-    .B2(_01155_),
-    .X(_01212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04839_ (.A(_01194_),
-    .Y(_01213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04840_ (.A(_01213_),
-    .B(_01202_),
-    .X(_01214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04841_ (.A(_01214_),
-    .X(_01215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _04842_ (.A(_01157_),
-    .B(_01215_),
-    .Y(_01216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2111o_4 _04843_ (.A1(_01088_),
-    .A2(_01208_),
-    .B1(_01209_),
-    .C1(_01212_),
-    .D1(_01216_),
-    .X(_01217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04844_ (.A1_N(_01206_),
-    .A2_N(_01185_),
-    .B1(_01185_),
-    .B2(_01217_),
-    .X(_00899_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04845_ (.A(\u_spim_regs.spim_reg_rdata[22] ),
-    .Y(_01218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04846_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
-    .Y(_01219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04847_ (.A(_01219_),
-    .B(_01082_),
-    .X(_01220_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04848_ (.A(_01126_),
-    .X(_01221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04849_ (.A(_00956_),
-    .X(_01222_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _04850_ (.A1(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
-    .A2(_01221_),
-    .B1(\u_spim_regs.cfg_m1_addr[22] ),
-    .B2(_01222_),
-    .Y(_01223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04851_ (.A(_00927_),
-    .Y(_01224_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04852_ (.A(_00924_),
-    .B(_01224_),
-    .X(_01225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04853_ (.A(_00976_),
-    .B(_01225_),
-    .X(_01226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04854_ (.A(\u_m1_res_fifo.mem[1][22] ),
-    .Y(_01227_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04855_ (.A(\u_m1_res_fifo.mem[0][22] ),
-    .Y(_01228_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04856_ (.A(_01135_),
-    .B(_01228_),
-    .X(_01229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04857_ (.A1(_01133_),
-    .A2(_01227_),
-    .B1(_01229_),
-    .C1(_01115_),
-    .X(_01230_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _04858_ (.A(_01220_),
-    .B(_01223_),
-    .C(_01226_),
-    .D(_01230_),
-    .X(_01231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04859_ (.A1(_01218_),
-    .A2(_01098_),
-    .B1(_01120_),
-    .B2(_01231_),
-    .X(_01232_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04860_ (.A(_01232_),
-    .Y(_00898_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04861_ (.A(\u_spim_regs.spim_reg_rdata[21] ),
-    .Y(_01233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04862_ (.A(\u_spim_regs.cfg_m0_addr_cnt[1] ),
-    .Y(_01234_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04863_ (.A(_01234_),
-    .B(_01082_),
-    .X(_01235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _04864_ (.A1(\u_spim_regs.cfg_m1_addr_cnt[1] ),
-    .A2(_01221_),
-    .B1(\u_spim_regs.cfg_m1_addr[21] ),
-    .B2(_01222_),
-    .Y(_01236_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04865_ (.A(_00951_),
-    .X(_01237_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _04866_ (.A(_00928_),
-    .B(_01237_),
-    .X(_01238_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04867_ (.A(\u_m1_res_fifo.mem[1][21] ),
-    .Y(_01239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04868_ (.A(\u_m1_res_fifo.mem[0][21] ),
-    .Y(_01240_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04869_ (.A(_01135_),
-    .B(_01240_),
-    .X(_01241_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04870_ (.A1(_01133_),
-    .A2(_01239_),
-    .B1(_01241_),
-    .C1(_00973_),
-    .X(_01242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _04871_ (.A(_01235_),
-    .B(_01236_),
-    .C(_01238_),
-    .D(_01242_),
-    .X(_01243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04872_ (.A1(_01233_),
-    .A2(_01098_),
-    .B1(_01120_),
-    .B2(_01243_),
-    .X(_01244_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04873_ (.A(_01244_),
-    .Y(_00897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04874_ (.A(\u_spim_regs.spim_reg_rdata[20] ),
-    .Y(_01245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04875_ (.A(_01097_),
-    .X(_01246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04876_ (.A(\u_spim_regs.cfg_m1_addr[20] ),
-    .Y(_01247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04877_ (.A1(psn_net_64),
-    .A2(_01076_),
-    .B1(_01247_),
-    .B2(_01078_),
-    .X(_01248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _04878_ (.A1(\u_spim_regs.cfg_m0_addr_cnt[0] ),
-    .A2(_01148_),
-    .B1(\u_spim_regs.cfg_m1_addr_cnt[0] ),
-    .B2(_01127_),
-    .Y(_01249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04879_ (.A1(_01089_),
-    .A2(\u_m1_res_fifo.mem[0][20] ),
-    .B1(_01091_),
-    .B2(\u_m1_res_fifo.mem[1][20] ),
-    .X(_01250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04880_ (.A(_01088_),
-    .B(_01250_),
-    .Y(_01251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _04881_ (.A(_01248_),
-    .B(_01249_),
-    .C(_01251_),
-    .X(_01252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04882_ (.A1(_01245_),
-    .A2(_01246_),
-    .B1(_01120_),
-    .B2(_01252_),
-    .X(_01253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04883_ (.A(_01253_),
-    .Y(_00896_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04884_ (.A(\u_spim_regs.spim_reg_rdata[19] ),
-    .Y(_01254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04885_ (.A(_01074_),
-    .X(_01255_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04886_ (.A(spi_debug[19]),
-    .Y(_01256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04887_ (.A(_01256_),
-    .X(_01257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04888_ (.A(\u_spim_regs.cfg_m1_addr[19] ),
-    .Y(_01258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04889_ (.A1(_01257_),
-    .A2(_01237_),
-    .B1(_01258_),
-    .B2(_01078_),
-    .X(_01259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04890_ (.A(\u_spim_regs.cfg_m0_spi_seq[3] ),
-    .Y(_01260_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04891_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
-    .X(_01261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04892_ (.A(_01261_),
-    .Y(_01262_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04893_ (.A(_01262_),
-    .X(_01263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04894_ (.A1(_01260_),
-    .A2(_01082_),
-    .B1(_01263_),
-    .B2(_01086_),
-    .X(_01264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04895_ (.A(_00966_),
-    .X(_01265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04896_ (.A1(_01265_),
-    .A2(\u_m1_res_fifo.mem[0][19] ),
-    .B1(_01091_),
-    .B2(\u_m1_res_fifo.mem[1][19] ),
-    .X(_01266_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04897_ (.A(_01088_),
-    .B(_01266_),
-    .Y(_01267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _04898_ (.A(_01259_),
-    .B(_01264_),
-    .C(_01267_),
-    .X(_01268_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04899_ (.A1(_01254_),
-    .A2(_01246_),
-    .B1(_01255_),
-    .B2(_01268_),
-    .X(_01269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04900_ (.A(_01269_),
-    .Y(_00895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04901_ (.A(\u_spim_regs.spim_reg_rdata[18] ),
-    .Y(_01270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04902_ (.A(_00987_),
-    .Y(_01271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04903_ (.A(\u_spim_regs.cfg_m1_addr[18] ),
-    .Y(_01272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04904_ (.A1(_01271_),
-    .A2(_01237_),
-    .B1(_01272_),
-    .B2(_01078_),
-    .X(_01273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04905_ (.A(\u_spim_regs.cfg_m0_spi_seq[2] ),
-    .Y(_01274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04906_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
-    .Y(_01275_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04907_ (.A1(_01274_),
-    .A2(_00961_),
-    .B1(_01275_),
-    .B2(_00964_),
-    .X(_01276_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04908_ (.A(_00934_),
-    .X(_01277_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04909_ (.A1(_01265_),
-    .A2(\u_m1_res_fifo.mem[0][18] ),
-    .B1(_01091_),
-    .B2(\u_m1_res_fifo.mem[1][18] ),
-    .X(_01278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04910_ (.A(_01277_),
-    .B(_01278_),
+ sky130_fd_sc_hd__inv_2 _06373_ (.A(spi_debug[13]),
     .Y(_01279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _04911_ (.A(_01273_),
-    .B(_01276_),
-    .C(_01279_),
+ sky130_fd_sc_hd__or2_4 _06374_ (.A(spi_debug[14]),
+    .B(_01279_),
     .X(_01280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04912_ (.A1(_01270_),
-    .A2(_01246_),
-    .B1(_01255_),
-    .B2(_01280_),
-    .X(_01281_),
+ sky130_fd_sc_hd__inv_2 _06375_ (.A(\u_wb_if.spim_wb_req ),
+    .Y(_01281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04913_ (.A(_01281_),
-    .Y(_00894_),
+ sky130_fd_sc_hd__buf_2 _06376_ (.A(\u_wb_if.spim_wb_addr[28] ),
+    .X(_01282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04914_ (.A(\u_spim_regs.spim_reg_rdata[17] ),
-    .Y(_01282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04915_ (.A(spi_debug[17]),
+ sky130_fd_sc_hd__inv_2 _06377_ (.A(\u_m0_cmd_fifo.wr_ptr[1] ),
     .Y(_01283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04916_ (.A(_01283_),
-    .X(_01284_),
+ sky130_fd_sc_hd__inv_2 _06378_ (.A(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .Y(_01284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04917_ (.A(_01284_),
+ sky130_fd_sc_hd__o22a_4 _06379_ (.A1(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .A2(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .B1(_01283_),
+    .B2(_01284_),
     .X(_01285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04918_ (.A(\u_spim_regs.cfg_m1_addr[17] ),
+ sky130_fd_sc_hd__inv_2 _06380_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
     .Y(_01286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04919_ (.A1(_01285_),
-    .A2(_01237_),
+ sky130_fd_sc_hd__inv_2 _06381_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
+    .Y(_01287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06382_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .A2(\u_m0_cmd_fifo.rd_ptr[0] ),
     .B1(_01286_),
-    .B2(_01152_),
-    .X(_01287_),
+    .B2(_01287_),
+    .X(_01288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04920_ (.A(\u_spim_regs.cfg_m0_spi_seq[1] ),
-    .Y(_01288_),
+ sky130_fd_sc_hd__or2_4 _06383_ (.A(_01285_),
+    .B(_01288_),
+    .X(_01289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04921_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
-    .Y(_01289_),
+ sky130_fd_sc_hd__inv_2 _06384_ (.A(\u_wb_if.spim_wb_addr[0] ),
+    .Y(_01290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04922_ (.A1(_01288_),
-    .A2(_00961_),
-    .B1(_01289_),
-    .B2(_00964_),
-    .X(_01290_),
+ sky130_fd_sc_hd__inv_2 _06385_ (.A(\u_wb_if.spim_wb_addr[19] ),
+    .Y(_01291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04923_ (.A1(_01265_),
-    .A2(\u_m1_res_fifo.mem[0][17] ),
-    .B1(_01145_),
-    .B2(\u_m1_res_fifo.mem[1][17] ),
-    .X(_01291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04924_ (.A(_01277_),
-    .B(_01291_),
+ sky130_fd_sc_hd__a22oi_4 _06386_ (.A1(\u_wb_if.NextPreAddr[0] ),
+    .A2(_01290_),
+    .B1(\u_wb_if.NextPreAddr[19] ),
+    .B2(_01291_),
     .Y(_01292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _04925_ (.A(_01287_),
-    .B(_01290_),
-    .C(_01292_),
-    .X(_01293_),
+ sky130_fd_sc_hd__inv_2 _06387_ (.A(\u_spim_regs.spim_reg_addr[0] ),
+    .Y(_01293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04926_ (.A1(_01282_),
-    .A2(_01246_),
-    .B1(_01255_),
-    .B2(_01293_),
-    .X(_01294_),
+ sky130_fd_sc_hd__inv_2 _06388_ (.A(\u_wb_if.spim_wb_addr[6] ),
+    .Y(_01294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04927_ (.A(_01294_),
-    .Y(_00893_),
+ sky130_fd_sc_hd__o22a_4 _06389_ (.A1(\u_wb_if.NextPreAddr[2] ),
+    .A2(_01293_),
+    .B1(psn_net_54),
+    .B2(_01294_),
+    .X(_01295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04928_ (.A(\u_spim_regs.spim_reg_rdata[16] ),
-    .Y(_01295_),
+ sky130_fd_sc_hd__and2_4 _06390_ (.A(_01292_),
+    .B(_01295_),
+    .X(_01296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04929_ (.A(_00932_),
-    .Y(_01296_),
+ sky130_fd_sc_hd__inv_2 _06391_ (.A(\u_wb_if.NextPreAddr[21] ),
+    .Y(_01297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04930_ (.A(_01296_),
-    .X(_01297_),
+ sky130_fd_sc_hd__inv_2 _06392_ (.A(\u_wb_if.spim_wb_addr[10] ),
+    .Y(_01298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04931_ (.A(_01133_),
-    .X(_01298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04932_ (.A1(_01298_),
-    .A2(\u_m1_res_fifo.mem[0][16] ),
-    .B1(_01146_),
-    .B2(\u_m1_res_fifo.mem[1][16] ),
+ sky130_fd_sc_hd__o22a_4 _06393_ (.A1(_01297_),
+    .A2(\u_wb_if.spim_wb_addr[21] ),
+    .B1(\u_wb_if.NextPreAddr[10] ),
+    .B2(_01298_),
     .X(_01299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04933_ (.A(_01148_),
-    .X(_01300_),
+ sky130_fd_sc_hd__inv_2 _06394_ (.A(\u_wb_if.spim_wb_addr[31] ),
+    .Y(_01300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04934_ (.A(\u_spim_regs.cfg_m0_spi_seq[0] ),
-    .B(_01300_),
+ sky130_fd_sc_hd__o22a_4 _06395_ (.A1(\u_wb_if.NextPreAddr[19] ),
+    .A2(_01291_),
+    .B1(\u_wb_if.NextPreAddr[31] ),
+    .B2(_01300_),
     .X(_01301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04935_ (.A(\u_spim_regs.cfg_m1_spi_seq[0] ),
-    .X(_01302_),
+ sky130_fd_sc_hd__inv_2 _06396_ (.A(\u_wb_if.NextPreAddr[24] ),
+    .Y(_01302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04936_ (.A(_01302_),
+ sky130_fd_sc_hd__inv_2 _06397_ (.A(\u_wb_if.spim_wb_addr[16] ),
     .Y(_01303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04937_ (.A(_01303_),
+ sky130_fd_sc_hd__o22a_4 _06398_ (.A1(_01302_),
+    .A2(\u_wb_if.spim_wb_addr[24] ),
+    .B1(\u_wb_if.NextPreAddr[16] ),
+    .B2(_01303_),
     .X(_01304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04938_ (.A(_01085_),
-    .X(_01305_),
+ sky130_fd_sc_hd__inv_2 _06399_ (.A(\u_wb_if.spim_wb_addr[25] ),
+    .Y(_01305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04939_ (.A1_N(_01304_),
-    .A2_N(_01305_),
-    .B1(\u_spim_regs.cfg_m1_addr[16] ),
-    .B2(_00957_),
-    .X(_01306_),
+ sky130_fd_sc_hd__inv_2 _06400_ (.A(\u_wb_if.NextPreAddr[6] ),
+    .Y(_01306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04940_ (.A1(_01297_),
-    .A2(_01299_),
-    .B1(_01301_),
-    .C1(_01306_),
+ sky130_fd_sc_hd__o22a_4 _06401_ (.A1(\u_wb_if.NextPreAddr[25] ),
+    .A2(_01305_),
+    .B1(psn_net_51),
+    .B2(\u_wb_if.spim_wb_addr[6] ),
     .X(_01307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04941_ (.A1_N(_01295_),
-    .A2_N(_00938_),
-    .B1(_00938_),
-    .B2(_01307_),
-    .X(_00892_),
+ sky130_fd_sc_hd__and4_4 _06402_ (.A(_01299_),
+    .B(_01301_),
+    .C(_01304_),
+    .D(_01307_),
+    .X(_01308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04942_ (.A(\u_spim_regs.spim_reg_rdata[15] ),
-    .Y(_01308_),
+ sky130_fd_sc_hd__inv_2 _06403_ (.A(\u_wb_if.NextPreAddr[15] ),
+    .Y(_01309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04943_ (.A(_00937_),
-    .X(_01309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _04944_ (.A1(\u_spim_regs.cfg_m1_addr[15] ),
-    .A2(_01222_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[7] ),
-    .B2(_01127_),
+ sky130_fd_sc_hd__inv_2 _06404_ (.A(\u_wb_if.spim_wb_addr[13] ),
     .Y(_01310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04945_ (.A(\u_spictrl.spi_clk_div[7] ),
-    .Y(_01311_),
+ sky130_fd_sc_hd__o22a_4 _06405_ (.A1(_01309_),
+    .A2(\u_wb_if.spim_wb_addr[15] ),
+    .B1(\u_wb_if.NextPreAddr[13] ),
+    .B2(_01310_),
+    .X(_01311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _04946_ (.A(_00943_),
-    .B(_00946_),
-    .C(_00947_),
-    .D(_00949_),
-    .X(_01312_),
+ sky130_fd_sc_hd__inv_2 _06406_ (.A(\u_wb_if.spim_wb_addr[21] ),
+    .Y(_01312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04947_ (.A(_01312_),
-    .X(_01313_),
+ sky130_fd_sc_hd__inv_2 _06407_ (.A(\u_wb_if.spim_wb_addr[30] ),
+    .Y(_01313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04948_ (.A(\u_spim_regs.cfg_m0_mode_reg[7] ),
-    .Y(_01314_),
+ sky130_fd_sc_hd__o22a_4 _06408_ (.A1(\u_wb_if.NextPreAddr[21] ),
+    .A2(_01312_),
+    .B1(\u_wb_if.NextPreAddr[30] ),
+    .B2(_01313_),
+    .X(_01314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04949_ (.A1(_01311_),
-    .A2(_01313_),
-    .B1(_01314_),
-    .B2(_01122_),
-    .X(_01315_),
+ sky130_fd_sc_hd__inv_2 _06409_ (.A(\u_wb_if.spim_wb_addr[1] ),
+    .Y(_01315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04950_ (.A1(_01265_),
-    .A2(\u_m1_res_fifo.mem[0][15] ),
-    .B1(_01145_),
-    .B2(\u_m1_res_fifo.mem[1][15] ),
-    .X(_01316_),
+ sky130_fd_sc_hd__a22oi_4 _06410_ (.A1(\u_wb_if.NextPreAddr[1] ),
+    .A2(_01315_),
+    .B1(\u_wb_if.NextPreAddr[13] ),
+    .B2(_01310_),
+    .Y(_01316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04951_ (.A(_01277_),
-    .B(_01316_),
+ sky130_fd_sc_hd__inv_2 _06411_ (.A(\u_wb_if.NextPreAddr[26] ),
     .Y(_01317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _04952_ (.A(_01310_),
-    .B(_01315_),
-    .C(_01317_),
-    .X(_01318_),
+ sky130_fd_sc_hd__inv_2 _06412_ (.A(\u_wb_if.NextPreAddr[8] ),
+    .Y(_01318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04953_ (.A1(_01308_),
-    .A2(_01309_),
-    .B1(_01255_),
-    .B2(_01318_),
+ sky130_fd_sc_hd__o22a_4 _06413_ (.A1(_01317_),
+    .A2(\u_wb_if.spim_wb_addr[26] ),
+    .B1(psn_net_70),
+    .B2(\u_wb_if.spim_wb_addr[8] ),
     .X(_01319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04954_ (.A(_01319_),
-    .Y(_00891_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04955_ (.A(_00936_),
+ sky130_fd_sc_hd__and4_4 _06414_ (.A(_01311_),
+    .B(_01314_),
+    .C(_01316_),
+    .D(_01319_),
     .X(_01320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04956_ (.A(_01320_),
-    .X(_01321_),
+ sky130_fd_sc_hd__inv_2 _06415_ (.A(\u_wb_if.NextPreAddr[9] ),
+    .Y(_01321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04957_ (.A(_01128_),
-    .X(_01322_),
+ sky130_fd_sc_hd__inv_2 _06416_ (.A(\u_wb_if.NextPreAddr[11] ),
+    .Y(_01322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04958_ (.A(\u_spim_regs.cfg_m1_addr[14] ),
-    .B(_01322_),
-    .Y(_01323_),
+ sky130_fd_sc_hd__o22a_4 _06417_ (.A1(psn_net_31),
+    .A2(\u_wb_if.spim_wb_addr[9] ),
+    .B1(_01322_),
+    .B2(\u_wb_if.spim_wb_addr[11] ),
+    .X(_01323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04959_ (.A(\u_spim_regs.cfg_m1_mode_reg[6] ),
+ sky130_fd_sc_hd__inv_2 _06418_ (.A(\u_wb_if.NextPreAddr[17] ),
     .Y(_01324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04960_ (.A(spi_debug[14]),
-    .Y(_01325_),
+ sky130_fd_sc_hd__o22a_4 _06419_ (.A1(\u_wb_if.NextPreAddr[0] ),
+    .A2(_01290_),
+    .B1(_01324_),
+    .B2(\u_wb_if.spim_wb_addr[17] ),
+    .X(_01325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04961_ (.A(_00952_),
-    .X(_01326_),
+ sky130_fd_sc_hd__inv_2 _06420_ (.A(\u_wb_if.NextPreAddr[31] ),
+    .Y(_01326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04962_ (.A1(_01324_),
-    .A2(_01305_),
-    .B1(_01325_),
-    .B2(_01326_),
-    .X(_01327_),
+ sky130_fd_sc_hd__inv_2 _06421_ (.A(\u_wb_if.spim_wb_addr[15] ),
+    .Y(_01327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04963_ (.A(\u_spictrl.spi_clk_div[6] ),
-    .Y(_01328_),
+ sky130_fd_sc_hd__o22a_4 _06422_ (.A1(_01326_),
+    .A2(\u_wb_if.spim_wb_addr[31] ),
+    .B1(\u_wb_if.NextPreAddr[15] ),
+    .B2(_01327_),
+    .X(_01328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04964_ (.A(_01312_),
-    .X(_01329_),
+ sky130_fd_sc_hd__inv_2 _06423_ (.A(\u_wb_if.spim_wb_addr[28] ),
+    .Y(_01329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04965_ (.A(_01329_),
-    .X(_01330_),
+ sky130_fd_sc_hd__inv_2 _06424_ (.A(\u_wb_if.NextPreAddr[4] ),
+    .Y(_01330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04966_ (.A(\u_spim_regs.cfg_m0_mode_reg[6] ),
-    .Y(_01331_),
+ sky130_fd_sc_hd__o22a_4 _06425_ (.A1(\u_wb_if.NextPreAddr[28] ),
+    .A2(_01329_),
+    .B1(_01330_),
+    .B2(\u_spim_regs.spim_reg_addr[2] ),
+    .X(_01331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04967_ (.A(_00960_),
+ sky130_fd_sc_hd__and4_4 _06426_ (.A(_01323_),
+    .B(_01325_),
+    .C(_01328_),
+    .D(_01331_),
     .X(_01332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04968_ (.A(_01332_),
-    .X(_01333_),
+ sky130_fd_sc_hd__inv_2 _06427_ (.A(\u_spim_regs.spim_reg_addr[2] ),
+    .Y(_01333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04969_ (.A1(_01328_),
-    .A2(_01330_),
-    .B1(_01331_),
-    .B2(_01333_),
+ sky130_fd_sc_hd__buf_2 _06428_ (.A(_01333_),
     .X(_01334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04970_ (.A(_01089_),
+ sky130_fd_sc_hd__o22a_4 _06429_ (.A1(\u_wb_if.NextPreAddr[4] ),
+    .A2(_01334_),
+    .B1(\u_wb_if.NextPreAddr[1] ),
+    .B2(_01315_),
     .X(_01335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04971_ (.A(\u_m1_res_fifo.mem[1][14] ),
+ sky130_fd_sc_hd__inv_2 _06430_ (.A(\u_wb_if.NextPreAddr[10] ),
     .Y(_01336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04972_ (.A(_01090_),
-    .X(_01337_),
+ sky130_fd_sc_hd__inv_2 _06431_ (.A(\u_wb_if.spim_wb_addr[27] ),
+    .Y(_01337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04973_ (.A(\u_m1_res_fifo.mem[0][14] ),
-    .Y(_01338_),
+ sky130_fd_sc_hd__o22a_4 _06432_ (.A1(_01336_),
+    .A2(\u_wb_if.spim_wb_addr[10] ),
+    .B1(\u_wb_if.NextPreAddr[27] ),
+    .B2(_01337_),
+    .X(_01338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04974_ (.A(_01337_),
-    .B(_01338_),
-    .X(_01339_),
+ sky130_fd_sc_hd__inv_2 _06433_ (.A(\u_wb_if.NextPreAddr[5] ),
+    .Y(_01339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04975_ (.A(_00973_),
+ sky130_fd_sc_hd__buf_2 _06434_ (.A(\u_spim_regs.spim_reg_addr[3] ),
     .X(_01340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04976_ (.A1(_01335_),
-    .A2(_01336_),
-    .B1(_01339_),
-    .C1(_01340_),
-    .X(_01341_),
+ sky130_fd_sc_hd__inv_2 _06435_ (.A(\u_wb_if.spim_wb_addr[9] ),
+    .Y(_01341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _04977_ (.A(_01323_),
-    .B(_01327_),
-    .C(_01334_),
-    .D(_01341_),
+ sky130_fd_sc_hd__o22a_4 _06436_ (.A1(_01339_),
+    .A2(_01340_),
+    .B1(psn_net_32),
+    .B2(_01341_),
     .X(_01342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04978_ (.A1_N(_01321_),
-    .A2_N(_01342_),
-    .B1(\u_spim_regs.spim_reg_rdata[14] ),
-    .B2(_01321_),
-    .X(_00890_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04979_ (.A(\u_spim_regs.cfg_m1_addr[13] ),
-    .B(_01322_),
+ sky130_fd_sc_hd__inv_2 _06437_ (.A(\u_wb_if.spim_wb_addr[24] ),
     .Y(_01343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04980_ (.A(\u_spim_regs.cfg_m1_mode_reg[5] ),
+ sky130_fd_sc_hd__inv_2 _06438_ (.A(\u_wb_if.NextPreAddr[29] ),
     .Y(_01344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04981_ (.A(spi_debug[13]),
-    .Y(_01345_),
+ sky130_fd_sc_hd__o22a_4 _06439_ (.A1(\u_wb_if.NextPreAddr[24] ),
+    .A2(_01343_),
+    .B1(_01344_),
+    .B2(\u_wb_if.spim_wb_addr[29] ),
+    .X(_01345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04982_ (.A1(_01344_),
-    .A2(_01305_),
-    .B1(_01345_),
-    .B2(_01326_),
+ sky130_fd_sc_hd__and4_4 _06440_ (.A(_01335_),
+    .B(_01338_),
+    .C(_01342_),
+    .D(_01345_),
     .X(_01346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04983_ (.A(\u_spictrl.spi_clk_div[5] ),
+ sky130_fd_sc_hd__inv_2 _06441_ (.A(\u_wb_if.spim_wb_addr[11] ),
     .Y(_01347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04984_ (.A(\u_spim_regs.cfg_m0_mode_reg[5] ),
+ sky130_fd_sc_hd__inv_2 _06442_ (.A(\u_wb_if.spim_wb_addr[7] ),
     .Y(_01348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04985_ (.A1(_01347_),
-    .A2(_01330_),
-    .B1(_01348_),
-    .B2(_01333_),
+ sky130_fd_sc_hd__o22a_4 _06443_ (.A1(\u_wb_if.NextPreAddr[11] ),
+    .A2(_01347_),
+    .B1(\u_wb_if.NextPreAddr[7] ),
+    .B2(_01348_),
     .X(_01349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04986_ (.A(\u_m1_res_fifo.mem[1][13] ),
+ sky130_fd_sc_hd__inv_2 _06444_ (.A(\u_wb_if.NextPreAddr[7] ),
     .Y(_01350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _04987_ (.A(_01135_),
-    .X(_01351_),
+ sky130_fd_sc_hd__inv_2 _06445_ (.A(\u_wb_if.NextPreAddr[16] ),
+    .Y(_01351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04988_ (.A(\u_m1_res_fifo.mem[0][13] ),
-    .Y(_01352_),
+ sky130_fd_sc_hd__o22a_4 _06446_ (.A1(_01350_),
+    .A2(\u_wb_if.spim_wb_addr[7] ),
+    .B1(_01351_),
+    .B2(\u_wb_if.spim_wb_addr[16] ),
+    .X(_01352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _04989_ (.A(_01351_),
-    .B(_01352_),
-    .X(_01353_),
+ sky130_fd_sc_hd__inv_2 _06447_ (.A(\u_wb_if.spim_wb_addr[8] ),
+    .Y(_01353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _04990_ (.A1(_01335_),
-    .A2(_01350_),
-    .B1(_01353_),
-    .C1(_01340_),
-    .X(_01354_),
+ sky130_fd_sc_hd__inv_2 _06448_ (.A(\u_wb_if.NextPreAddr[20] ),
+    .Y(_01354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _04991_ (.A(_01343_),
-    .B(_01346_),
-    .C(_01349_),
-    .D(_01354_),
+ sky130_fd_sc_hd__o22a_4 _06449_ (.A1(psn_net_73),
+    .A2(_01353_),
+    .B1(_01354_),
+    .B2(\u_wb_if.spim_wb_addr[20] ),
     .X(_01355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _04992_ (.A1_N(_01321_),
-    .A2_N(_01355_),
-    .B1(\u_spim_regs.spim_reg_rdata[13] ),
-    .B2(_01321_),
-    .X(_00889_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04993_ (.A(\u_spim_regs.spim_reg_rdata[12] ),
+ sky130_fd_sc_hd__inv_2 _06450_ (.A(\u_wb_if.NextPreAddr[28] ),
     .Y(_01356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _04994_ (.A1(\u_spim_regs.cfg_m1_addr[12] ),
-    .A2(_01222_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[4] ),
-    .B2(_01127_),
+ sky130_fd_sc_hd__inv_2 _06451_ (.A(\u_wb_if.spim_wb_addr[17] ),
     .Y(_01357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04995_ (.A(\u_spictrl.spi_clk_div[4] ),
-    .Y(_01358_),
+ sky130_fd_sc_hd__o22a_4 _06452_ (.A1(_01356_),
+    .A2(\u_wb_if.spim_wb_addr[28] ),
+    .B1(\u_wb_if.NextPreAddr[17] ),
+    .B2(_01357_),
+    .X(_01358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _04996_ (.A(\u_spim_regs.cfg_m0_mode_reg[4] ),
-    .Y(_01359_),
+ sky130_fd_sc_hd__and4_4 _06453_ (.A(_01349_),
+    .B(_01352_),
+    .C(_01355_),
+    .D(_01358_),
+    .X(_01359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04997_ (.A1(_01358_),
-    .A2(_01329_),
-    .B1(_01359_),
-    .B2(_01122_),
+ sky130_fd_sc_hd__and4_4 _06454_ (.A(_01320_),
+    .B(_01332_),
+    .C(_01346_),
+    .D(_01359_),
     .X(_01360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _04998_ (.A1(_00967_),
-    .A2(\u_m1_res_fifo.mem[0][12] ),
-    .B1(_01145_),
-    .B2(\u_m1_res_fifo.mem[1][12] ),
+ sky130_fd_sc_hd__a2bb2o_4 _06455_ (.A1_N(\u_wb_if.NextPreAddr[3] ),
+    .A2_N(\u_spim_regs.spim_reg_addr[1] ),
+    .B1(\u_wb_if.NextPreAddr[3] ),
+    .B2(\u_spim_regs.spim_reg_addr[1] ),
     .X(_01361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _04999_ (.A(_01277_),
-    .B(_01361_),
-    .Y(_01362_),
+ sky130_fd_sc_hd__buf_2 _06456_ (.A(\u_wb_if.spim_wb_req ),
+    .X(_01362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05000_ (.A(_01357_),
-    .B(_01360_),
-    .C(_01362_),
+ sky130_fd_sc_hd__buf_2 _06457_ (.A(_01329_),
     .X(_01363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05001_ (.A1(_01356_),
-    .A2(_01309_),
-    .B1(_01320_),
-    .B2(_01363_),
-    .X(_01364_),
+ sky130_fd_sc_hd__inv_2 _06458_ (.A(\u_wb_if.NextPreAddr[18] ),
+    .Y(_01364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05002_ (.A(_01364_),
-    .Y(_00888_),
+ sky130_fd_sc_hd__inv_2 _06459_ (.A(\u_wb_if.spim_wb_addr[18] ),
+    .Y(_01365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05003_ (.A(_01320_),
-    .X(_01365_),
+ sky130_fd_sc_hd__o22a_4 _06460_ (.A1(_01364_),
+    .A2(\u_wb_if.spim_wb_addr[18] ),
+    .B1(\u_wb_if.NextPreAddr[18] ),
+    .B2(_01365_),
+    .X(_01366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05004_ (.A(\u_spim_regs.cfg_m1_addr[11] ),
-    .B(_01322_),
-    .Y(_01366_),
+ sky130_fd_sc_hd__and4_4 _06461_ (.A(_01362_),
+    .B(_01363_),
+    .C(\u_wb_if.NextPreDVal ),
+    .D(_01366_),
+    .X(_01367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05005_ (.A(\u_spim_regs.cfg_m1_mode_reg[3] ),
-    .Y(_01367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05006_ (.A(spi_debug[11]),
+ sky130_fd_sc_hd__inv_2 _06462_ (.A(\u_wb_if.spim_wb_addr[12] ),
     .Y(_01368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05007_ (.A(_01368_),
-    .X(_01369_),
+ sky130_fd_sc_hd__inv_2 _06463_ (.A(\u_wb_if.NextPreAddr[14] ),
+    .Y(_01369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05008_ (.A1(_01367_),
-    .A2(_01305_),
+ sky130_fd_sc_hd__o22a_4 _06464_ (.A1(\u_wb_if.NextPreAddr[12] ),
+    .A2(_01368_),
     .B1(_01369_),
-    .B2(_01326_),
+    .B2(\u_wb_if.spim_wb_addr[14] ),
     .X(_01370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05009_ (.A(\u_spictrl.spi_clk_div[3] ),
+ sky130_fd_sc_hd__inv_2 _06465_ (.A(\u_wb_if.spim_wb_addr[26] ),
     .Y(_01371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05010_ (.A(\u_spim_regs.cfg_m0_mode_reg[3] ),
+ sky130_fd_sc_hd__inv_2 _06466_ (.A(\u_wb_if.spim_wb_addr[22] ),
     .Y(_01372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05011_ (.A1(_01371_),
-    .A2(_01313_),
-    .B1(_01372_),
-    .B2(_01333_),
+ sky130_fd_sc_hd__o22a_4 _06467_ (.A1(\u_wb_if.NextPreAddr[26] ),
+    .A2(_01371_),
+    .B1(\u_wb_if.NextPreAddr[22] ),
+    .B2(_01372_),
     .X(_01373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05012_ (.A(\u_m1_res_fifo.mem[1][11] ),
+ sky130_fd_sc_hd__inv_2 _06468_ (.A(\u_wb_if.NextPreAddr[22] ),
     .Y(_01374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05013_ (.A(\u_m1_res_fifo.mem[0][11] ),
+ sky130_fd_sc_hd__inv_2 _06469_ (.A(\u_wb_if.NextPreAddr[12] ),
     .Y(_01375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05014_ (.A(_01351_),
-    .B(_01375_),
+ sky130_fd_sc_hd__o22a_4 _06470_ (.A1(_01374_),
+    .A2(\u_wb_if.spim_wb_addr[22] ),
+    .B1(_01375_),
+    .B2(\u_wb_if.spim_wb_addr[12] ),
     .X(_01376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05015_ (.A(_00973_),
-    .X(_01377_),
+ sky130_fd_sc_hd__inv_2 _06471_ (.A(\u_wb_if.NextPreAddr[2] ),
+    .Y(_01377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05016_ (.A1(_01335_),
-    .A2(_01374_),
-    .B1(_01376_),
-    .C1(_01377_),
+ sky130_fd_sc_hd__buf_2 _06472_ (.A(\u_spim_regs.spim_reg_addr[0] ),
     .X(_01378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05017_ (.A(_01366_),
-    .B(_01370_),
-    .C(_01373_),
-    .D(_01378_),
-    .X(_01379_),
+ sky130_fd_sc_hd__inv_2 _06473_ (.A(\u_wb_if.spim_wb_addr[29] ),
+    .Y(_01379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05018_ (.A1_N(_01365_),
-    .A2_N(_01379_),
-    .B1(\u_spim_regs.spim_reg_rdata[11] ),
-    .B2(_01365_),
-    .X(_00887_),
+ sky130_fd_sc_hd__o22a_4 _06474_ (.A1(_01377_),
+    .A2(_01378_),
+    .B1(\u_wb_if.NextPreAddr[29] ),
+    .B2(_01379_),
+    .X(_01380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05019_ (.A(\u_spim_regs.cfg_m1_addr[10] ),
-    .B(_01322_),
-    .Y(_01380_),
+ sky130_fd_sc_hd__and4_4 _06475_ (.A(_01370_),
+    .B(_01373_),
+    .C(_01376_),
+    .D(_01380_),
+    .X(_01381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05020_ (.A(\u_spim_regs.cfg_m1_mode_reg[2] ),
-    .Y(_01381_),
+ sky130_fd_sc_hd__inv_2 _06476_ (.A(\u_wb_if.NextPreAddr[25] ),
+    .Y(_01382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05021_ (.A(_00919_),
-    .X(_01382_),
+ sky130_fd_sc_hd__inv_2 _06477_ (.A(\u_wb_if.NextPreAddr[30] ),
+    .Y(_01383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05022_ (.A1(_01381_),
-    .A2(_01086_),
-    .B1(_01382_),
-    .B2(_01326_),
-    .X(_01383_),
+ sky130_fd_sc_hd__o22a_4 _06478_ (.A1(_01382_),
+    .A2(\u_wb_if.spim_wb_addr[25] ),
+    .B1(_01383_),
+    .B2(\u_wb_if.spim_wb_addr[30] ),
+    .X(_01384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05023_ (.A(\u_spictrl.spi_clk_div[2] ),
-    .Y(_01384_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05024_ (.A(\u_spim_regs.cfg_m0_mode_reg[2] ),
+ sky130_fd_sc_hd__inv_2 _06479_ (.A(\u_wb_if.NextPreAddr[27] ),
     .Y(_01385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05025_ (.A(_01332_),
-    .X(_01386_),
+ sky130_fd_sc_hd__inv_2 _06480_ (.A(\u_wb_if.NextPreAddr[23] ),
+    .Y(_01386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05026_ (.A1(_01384_),
-    .A2(_01313_),
-    .B1(_01385_),
-    .B2(_01386_),
+ sky130_fd_sc_hd__o22a_4 _06481_ (.A1(_01385_),
+    .A2(\u_wb_if.spim_wb_addr[27] ),
+    .B1(_01386_),
+    .B2(\u_wb_if.spim_wb_addr[23] ),
     .X(_01387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05027_ (.A(\u_m1_res_fifo.mem[1][10] ),
+ sky130_fd_sc_hd__inv_2 _06482_ (.A(\u_wb_if.spim_wb_addr[23] ),
     .Y(_01388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05028_ (.A(\u_m1_res_fifo.mem[0][10] ),
+ sky130_fd_sc_hd__inv_2 _06483_ (.A(\u_wb_if.spim_wb_addr[14] ),
     .Y(_01389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05029_ (.A(_01351_),
-    .B(_01389_),
+ sky130_fd_sc_hd__o22a_4 _06484_ (.A1(\u_wb_if.NextPreAddr[23] ),
+    .A2(_01388_),
+    .B1(\u_wb_if.NextPreAddr[14] ),
+    .B2(_01389_),
     .X(_01390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05030_ (.A1(_01335_),
-    .A2(_01388_),
-    .B1(_01390_),
-    .C1(_01377_),
-    .X(_01391_),
+ sky130_fd_sc_hd__inv_2 _06485_ (.A(_01340_),
+    .Y(_01391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05031_ (.A(_01380_),
-    .B(_01383_),
-    .C(_01387_),
-    .D(_01391_),
-    .X(_01392_),
+ sky130_fd_sc_hd__inv_2 _06486_ (.A(\u_wb_if.spim_wb_addr[20] ),
+    .Y(_01392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05032_ (.A1_N(_01365_),
-    .A2_N(_01392_),
-    .B1(\u_spim_regs.spim_reg_rdata[10] ),
-    .B2(_01365_),
-    .X(_00886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05033_ (.A(_01074_),
+ sky130_fd_sc_hd__o22a_4 _06487_ (.A1(\u_wb_if.NextPreAddr[5] ),
+    .A2(_01391_),
+    .B1(\u_wb_if.NextPreAddr[20] ),
+    .B2(_01392_),
     .X(_01393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05034_ (.A(_01393_),
+ sky130_fd_sc_hd__and4_4 _06488_ (.A(_01384_),
+    .B(_01387_),
+    .C(_01390_),
+    .D(_01393_),
     .X(_01394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05035_ (.A(\u_spim_regs.cfg_m1_wdata[9] ),
-    .Y(_01395_),
+ sky130_fd_sc_hd__and4_4 _06489_ (.A(_01361_),
+    .B(_01367_),
+    .C(_01381_),
+    .D(_01394_),
+    .X(_01395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05036_ (.A(\u_spim_regs.spim_reg_addr[3] ),
-    .B(_00916_),
-    .C(_00914_),
-    .D(_00945_),
+ sky130_fd_sc_hd__and4_4 _06490_ (.A(_01296_),
+    .B(_01308_),
+    .C(_01360_),
+    .D(_01395_),
     .X(_01396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05037_ (.A(\u_spim_regs.cfg_m1_addr[9] ),
-    .Y(_01397_),
+ sky130_fd_sc_hd__or3_4 _06491_ (.A(spi_debug[14]),
+    .B(spi_debug[13]),
+    .C(_01396_),
+    .X(_01397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05038_ (.A1(_01395_),
-    .A2(_01396_),
-    .B1(_01397_),
-    .B2(_01153_),
+ sky130_fd_sc_hd__or4_4 _06492_ (.A(_01281_),
+    .B(_01282_),
+    .C(_01289_),
+    .D(_01397_),
     .X(_01398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05039_ (.A(\u_spim_regs.cfg_m1_mode_reg[1] ),
-    .Y(_01399_),
+ sky130_fd_sc_hd__and2_4 _06493_ (.A(_01280_),
+    .B(_01398_),
+    .X(_01399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05040_ (.A(\u_spictrl.spi_clk_div[1] ),
-    .Y(_01400_),
+ sky130_fd_sc_hd__buf_2 _06494_ (.A(_01399_),
+    .X(_01400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05041_ (.A1(_01399_),
-    .A2(_01086_),
-    .B1(_01400_),
-    .B2(_01330_),
+ sky130_fd_sc_hd__buf_2 _06495_ (.A(_01400_),
     .X(_01401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05042_ (.A(_00920_),
+ sky130_fd_sc_hd__buf_2 _06496_ (.A(_01401_),
     .X(_01402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05043_ (.A(\u_spim_regs.cfg_m0_mode_reg[1] ),
+ sky130_fd_sc_hd__inv_2 _06497_ (.A(_01399_),
     .Y(_01403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05044_ (.A1(_01402_),
-    .A2(_01076_),
-    .B1(_01403_),
-    .B2(_01386_),
+ sky130_fd_sc_hd__buf_2 _06498_ (.A(_01403_),
     .X(_01404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05045_ (.A(_01089_),
+ sky130_fd_sc_hd__buf_2 _06499_ (.A(_01404_),
     .X(_01405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05046_ (.A(\u_m1_res_fifo.mem[1][9] ),
+ sky130_fd_sc_hd__inv_2 _06500_ (.A(spi_debug[14]),
     .Y(_01406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05047_ (.A(\u_m1_res_fifo.mem[0][9] ),
+ sky130_fd_sc_hd__inv_2 _06501_ (.A(\u_m0_res_fifo.wr_ptr[2] ),
     .Y(_01407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05048_ (.A(_01351_),
-    .B(_01407_),
+ sky130_fd_sc_hd__and2_4 _06502_ (.A(_01407_),
+    .B(\u_m0_res_fifo.rd_ptr[2] ),
     .X(_01408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05049_ (.A1(_01405_),
-    .A2(_01406_),
+ sky130_fd_sc_hd__inv_2 _06503_ (.A(\u_m0_res_fifo.wr_ptr[3] ),
+    .Y(_01409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06504_ (.A(\u_m0_res_fifo.rd_ptr[3] ),
+    .Y(_01410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06505_ (.A1(_01409_),
+    .A2(\u_m0_res_fifo.rd_ptr[3] ),
+    .B1(\u_m0_res_fifo.wr_ptr[3] ),
+    .B2(_01410_),
+    .X(_01411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _06506_ (.A1_N(_01408_),
+    .A2_N(_01411_),
     .B1(_01408_),
-    .C1(_01377_),
-    .X(_01409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05050_ (.A(_01398_),
-    .B(_01401_),
-    .C(_01404_),
-    .D(_01409_),
-    .X(_01410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05051_ (.A1_N(_01394_),
-    .A2_N(_01410_),
-    .B1(\u_spim_regs.spim_reg_rdata[9] ),
-    .B2(_01394_),
-    .X(_00885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05052_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
-    .Y(_01411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05053_ (.A(_01411_),
+    .B2(_01411_),
     .X(_01412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05054_ (.A(_01412_),
-    .X(_01413_),
+ sky130_fd_sc_hd__inv_2 _06507_ (.A(\u_m0_res_fifo.wr_ptr[0] ),
+    .Y(_01413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05055_ (.A(_00914_),
-    .B(_00915_),
-    .C(_00942_),
-    .D(_00950_),
+ sky130_fd_sc_hd__buf_2 _06508_ (.A(\u_m0_res_fifo.rd_ptr[0] ),
     .X(_01414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05056_ (.A(\u_spim_regs.cfg_m0_mode_reg[0] ),
-    .Y(_01415_),
+ sky130_fd_sc_hd__and2_4 _06509_ (.A(_01413_),
+    .B(_01414_),
+    .X(_01415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05057_ (.A(_01332_),
-    .X(_01416_),
+ sky130_fd_sc_hd__inv_2 _06510_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
+    .Y(_01416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05058_ (.A1(_01413_),
-    .A2(_01414_),
-    .B1(_01415_),
-    .B2(_01416_),
-    .X(_01417_),
+ sky130_fd_sc_hd__inv_2 _06511_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
+    .Y(_01417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05059_ (.A(\u_spim_regs.cfg_m0_fsm_reset ),
-    .Y(_01418_),
+ sky130_fd_sc_hd__a2bb2o_4 _06512_ (.A1_N(_01416_),
+    .A2_N(\u_m0_res_fifo.rd_ptr[1] ),
+    .B1(\u_m0_res_fifo.wr_ptr[2] ),
+    .B2(_01417_),
+    .X(_01418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05060_ (.A(_00947_),
-    .B(_00949_),
-    .C(_00944_),
-    .D(_00915_),
-    .X(_01419_),
+ sky130_fd_sc_hd__inv_2 _06513_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
+    .Y(_01419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05061_ (.A(_01419_),
-    .X(_01420_),
+ sky130_fd_sc_hd__inv_2 _06514_ (.A(\u_m0_res_fifo.rd_ptr[0] ),
+    .Y(_01420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05062_ (.A(\u_spictrl.spi_clk_div[0] ),
-    .Y(_01421_),
+ sky130_fd_sc_hd__a2bb2o_4 _06515_ (.A1_N(\u_m0_res_fifo.wr_ptr[1] ),
+    .A2_N(_01419_),
+    .B1(\u_m0_res_fifo.wr_ptr[0] ),
+    .B2(_01420_),
+    .X(_01421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05063_ (.A1(_01418_),
-    .A2(_01420_),
-    .B1(_01421_),
-    .B2(_01330_),
+ sky130_fd_sc_hd__or4_4 _06516_ (.A(_01408_),
+    .B(_01415_),
+    .C(_01418_),
+    .D(_01421_),
     .X(_01422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05064_ (.A(_01221_),
+ sky130_fd_sc_hd__or2_4 _06517_ (.A(_01412_),
+    .B(_01422_),
     .X(_01423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _05065_ (.A1(\u_spim_regs.cfg_m1_addr[8] ),
-    .A2(_00957_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[0] ),
-    .B2(_01423_),
-    .Y(_01424_),
+ sky130_fd_sc_hd__inv_2 _06518_ (.A(_01423_),
+    .Y(\u_m0_res_fifo.empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05066_ (.A(\u_m1_res_fifo.mem[1][8] ),
-    .Y(_01425_),
+ sky130_fd_sc_hd__or2_4 _06519_ (.A(_01279_),
+    .B(\u_m0_res_fifo.empty ),
+    .X(_01424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05067_ (.A(_01090_),
-    .X(_01426_),
+ sky130_fd_sc_hd__or2_4 _06520_ (.A(_01406_),
+    .B(_01424_),
+    .X(_01425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05068_ (.A(\u_m1_res_fifo.mem[0][8] ),
-    .Y(_01427_),
+ sky130_fd_sc_hd__inv_2 _06521_ (.A(_01425_),
+    .Y(_01426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05069_ (.A(_01426_),
-    .B(_01427_),
-    .X(_01428_),
+ sky130_fd_sc_hd__or2_4 _06522_ (.A(\u_wb_if.NextPreDCnt[6] ),
+    .B(\u_wb_if.NextPreDCnt[5] ),
+    .X(_01427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05070_ (.A1(_01405_),
-    .A2(_01425_),
-    .B1(_01428_),
-    .C1(_01377_),
+ sky130_fd_sc_hd__inv_2 _06523_ (.A(\u_wb_if.NextPreDCnt[2] ),
+    .Y(_01428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _06524_ (.A(_01428_),
+    .B(\u_wb_if.NextPreDCnt[1] ),
+    .C(\u_wb_if.NextPreDCnt[0] ),
+    .D(\u_wb_if.NextPreDCnt[7] ),
     .X(_01429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05071_ (.A(_01417_),
-    .B(_01422_),
-    .C(_01424_),
+ sky130_fd_sc_hd__or4_4 _06525_ (.A(\u_wb_if.NextPreDCnt[4] ),
+    .B(\u_wb_if.NextPreDCnt[3] ),
+    .C(_01427_),
     .D(_01429_),
     .X(_01430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05072_ (.A1_N(_01394_),
-    .A2_N(_01430_),
-    .B1(\u_spim_regs.spim_reg_rdata[8] ),
-    .B2(_01394_),
-    .X(_00884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05073_ (.A(_01393_),
+ sky130_fd_sc_hd__and2_4 _06526_ (.A(_01426_),
+    .B(_01430_),
     .X(_01431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05074_ (.A(\u_spim_regs.cfg_m0_cmd_reg[7] ),
+ sky130_fd_sc_hd__inv_2 _06527_ (.A(_01431_),
     .Y(_01432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05075_ (.A(_01432_),
-    .B(_01416_),
+ sky130_fd_sc_hd__buf_2 _06528_ (.A(_01432_),
     .X(_01433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05076_ (.A(_01414_),
-    .Y(_01434_),
+ sky130_fd_sc_hd__or2_4 _06529_ (.A(\u_wb_if.NextPreDCnt[2] ),
+    .B(_01433_),
+    .X(_01434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05077_ (.A(_01434_),
+ sky130_fd_sc_hd__or2_4 _06530_ (.A(\u_wb_if.NextPreDCnt[3] ),
+    .B(_01434_),
     .X(_01435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05078_ (.A(_01221_),
+ sky130_fd_sc_hd__or2_4 _06531_ (.A(\u_wb_if.NextPreDCnt[4] ),
+    .B(_01435_),
     .X(_01436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _05079_ (.A1(\u_spictrl.cfg_m1_spi_switch[1] ),
-    .A2(_01435_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
-    .B2(_01436_),
-    .Y(_01437_),
+ sky130_fd_sc_hd__or2_4 _06532_ (.A(\u_wb_if.NextPreDCnt[5] ),
+    .B(_01436_),
+    .X(_01437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05080_ (.A(\u_spim_regs.cfg_m1_addr[7] ),
+ sky130_fd_sc_hd__nor2_4 _06533_ (.A(\u_wb_if.NextPreDCnt[6] ),
+    .B(_01437_),
     .Y(_01438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05081_ (.A(\u_spictrl.cfg_m0_spi_switch[1] ),
+ sky130_fd_sc_hd__a21oi_4 _06534_ (.A1(\u_wb_if.NextPreDCnt[6] ),
+    .A2(_01437_),
+    .B1(_01438_),
     .Y(_01439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05082_ (.A(_01420_),
+ sky130_fd_sc_hd__o22a_4 _06535_ (.A1(_01278_),
+    .A2(_01402_),
+    .B1(_01405_),
+    .B2(_01439_),
     .X(_01440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05083_ (.A1(_01438_),
-    .A2(_01211_),
-    .B1(_01439_),
-    .B2(_01440_),
-    .X(_01441_),
+ sky130_fd_sc_hd__inv_2 _06536_ (.A(_01440_),
+    .Y(_01276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05084_ (.A1(_01207_),
-    .A2(\u_m1_res_fifo.mem[0][7] ),
-    .B1(_01186_),
-    .B2(\u_m1_res_fifo.mem[1][7] ),
+ sky130_fd_sc_hd__inv_2 _06537_ (.A(\u_spim_regs.cfg_m0_data_cnt[5] ),
+    .Y(_01441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06538_ (.A(_01403_),
     .X(_01442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05085_ (.A(_01297_),
-    .B(_01442_),
-    .Y(_01443_),
+ sky130_fd_sc_hd__buf_2 _06539_ (.A(_01442_),
+    .X(_01443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05086_ (.A(_01433_),
-    .B(_01437_),
-    .C(_01441_),
-    .D(_01443_),
-    .X(_01444_),
+ sky130_fd_sc_hd__a21boi_4 _06540_ (.A1(\u_wb_if.NextPreDCnt[5] ),
+    .A2(_01436_),
+    .B1_N(_01437_),
+    .Y(_01444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05087_ (.A1_N(_01431_),
-    .A2_N(_01444_),
-    .B1(\u_spim_regs.spim_reg_rdata[7] ),
-    .B2(_01431_),
-    .X(_00883_),
+ sky130_fd_sc_hd__o22a_4 _06541_ (.A1(_01441_),
+    .A2(_01402_),
+    .B1(_01443_),
+    .B2(_01444_),
+    .X(_01445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05088_ (.A(\u_spim_regs.cfg_m0_cmd_reg[6] ),
-    .Y(_01445_),
+ sky130_fd_sc_hd__inv_2 _06542_ (.A(_01445_),
+    .Y(_01275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05089_ (.A(_01445_),
-    .B(_01416_),
-    .X(_01446_),
+ sky130_fd_sc_hd__inv_2 _06543_ (.A(\u_spim_regs.cfg_m0_data_cnt[4] ),
+    .Y(_01446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _05090_ (.A1(\u_spictrl.cfg_m1_spi_switch[0] ),
+ sky130_fd_sc_hd__a21boi_4 _06544_ (.A1(\u_wb_if.NextPreDCnt[4] ),
     .A2(_01435_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
-    .B2(_01436_),
+    .B1_N(_01436_),
     .Y(_01447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05091_ (.A(\u_spim_regs.cfg_m1_addr[6] ),
-    .Y(_01448_),
+ sky130_fd_sc_hd__o22a_4 _06545_ (.A1(_01446_),
+    .A2(_01402_),
+    .B1(_01443_),
+    .B2(_01447_),
+    .X(_01448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05092_ (.A(\u_spictrl.cfg_m0_spi_switch[0] ),
+ sky130_fd_sc_hd__inv_2 _06546_ (.A(_01448_),
+    .Y(_01274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _06547_ (.A(\u_wb_if.NextPreDCnt[3] ),
+    .B(_01434_),
     .Y(_01449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05093_ (.A1(_01448_),
-    .A2(_01211_),
-    .B1(_01449_),
-    .B2(_01440_),
+ sky130_fd_sc_hd__buf_2 _06548_ (.A(_01400_),
     .X(_01450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05094_ (.A1(_01207_),
-    .A2(\u_m1_res_fifo.mem[0][6] ),
-    .B1(_01186_),
-    .B2(\u_m1_res_fifo.mem[1][6] ),
-    .X(_01451_),
+ sky130_fd_sc_hd__inv_2 _06549_ (.A(\u_spim_regs.cfg_m0_data_cnt[3] ),
+    .Y(_01451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05095_ (.A(_01297_),
-    .B(_01451_),
-    .Y(_01452_),
+ sky130_fd_sc_hd__a32o_4 _06550_ (.A1(_01435_),
+    .A2(_01449_),
+    .A3(_01450_),
+    .B1(_01451_),
+    .B2(_01443_),
+    .X(_01452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05096_ (.A(_01446_),
-    .B(_01447_),
-    .C(_01450_),
-    .D(_01452_),
+ sky130_fd_sc_hd__inv_2 _06551_ (.A(_01452_),
+    .Y(_01273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _06552_ (.A(_01403_),
+    .B(_01431_),
     .X(_01453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05097_ (.A1_N(_01431_),
-    .A2_N(_01453_),
-    .B1(\u_spim_regs.spim_reg_rdata[6] ),
-    .B2(_01431_),
-    .X(_00882_),
+ sky130_fd_sc_hd__inv_2 _06553_ (.A(_01453_),
+    .Y(_01454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05098_ (.A(_01393_),
-    .X(_01454_),
+ sky130_fd_sc_hd__buf_2 _06554_ (.A(_01454_),
+    .X(_01455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05099_ (.A(\u_spim_regs.cfg_m0_cmd_reg[5] ),
-    .Y(_01455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05100_ (.A(_01455_),
-    .B(_01416_),
+ sky130_fd_sc_hd__buf_2 _06555_ (.A(_01442_),
     .X(_01456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _05101_ (.A1(\u_spictrl.cfg_m1_spi_mode[1] ),
-    .A2(_01435_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
-    .B2(_01436_),
-    .Y(_01457_),
+ sky130_fd_sc_hd__and2_4 _06556_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .B(_01456_),
+    .X(_01457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05102_ (.A(\u_spim_regs.cfg_m1_addr[5] ),
+ sky130_fd_sc_hd__inv_2 _06557_ (.A(_01434_),
     .Y(_01458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05103_ (.A(\u_spictrl.cfg_m0_spi_mode[1] ),
-    .Y(_01459_),
+ sky130_fd_sc_hd__a211o_4 _06558_ (.A1(\u_wb_if.NextPreDCnt[2] ),
+    .A2(_01455_),
+    .B1(_01457_),
+    .C1(_01458_),
+    .X(_01272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05104_ (.A(_01420_),
+ sky130_fd_sc_hd__buf_2 _06559_ (.A(_01456_),
+    .X(_01459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _06560_ (.A1(_01425_),
+    .A2(_01430_),
+    .B1(\u_wb_if.NextPreDVal ),
     .X(_01460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05105_ (.A1(_01458_),
-    .A2(_01211_),
-    .B1(_01459_),
-    .B2(_01460_),
-    .X(_01461_),
+ sky130_fd_sc_hd__or2_4 _06561_ (.A(_01459_),
+    .B(_01460_),
+    .X(_01271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05106_ (.A1(_01207_),
-    .A2(\u_m1_res_fifo.mem[0][5] ),
-    .B1(_01337_),
-    .B2(\u_m1_res_fifo.mem[1][5] ),
+ sky130_fd_sc_hd__inv_2 _06562_ (.A(\u_spim_regs.spim_reg_rdata[31] ),
+    .Y(_01461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06563_ (.A(\u_spim_regs.spi_init_done ),
     .X(_01462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05107_ (.A(_01297_),
-    .B(_01462_),
+ sky130_fd_sc_hd__inv_2 _06564_ (.A(_01462_),
     .Y(_01463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05108_ (.A(_01456_),
-    .B(_01457_),
-    .C(_01461_),
-    .D(_01463_),
+ sky130_fd_sc_hd__buf_2 _06565_ (.A(_01281_),
     .X(_01464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05109_ (.A1_N(_01454_),
-    .A2_N(_01464_),
-    .B1(\u_spim_regs.spim_reg_rdata[5] ),
-    .B2(_01454_),
-    .X(_00881_),
+ sky130_fd_sc_hd__buf_2 _06566_ (.A(_01363_),
+    .X(_01465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05110_ (.A(\u_spim_regs.cfg_m0_cmd_reg[4] ),
-    .Y(_01465_),
+ sky130_fd_sc_hd__inv_2 _06567_ (.A(\u_spim_regs.spim_reg_addr[1] ),
+    .Y(_01466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05111_ (.A(_01465_),
-    .B(_01333_),
-    .X(_01466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05112_ (.A(_01434_),
+ sky130_fd_sc_hd__buf_2 _06568_ (.A(_01466_),
     .X(_01467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _05113_ (.A1(\u_spictrl.cfg_m1_spi_mode[0] ),
-    .A2(_01467_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
-    .B2(_01436_),
-    .Y(_01468_),
+ sky130_fd_sc_hd__or4_4 _06569_ (.A(\u_spim_regs.spim_reg_addr[3] ),
+    .B(_01333_),
+    .C(_01467_),
+    .D(_01293_),
+    .X(_01468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05114_ (.A(\u_spim_regs.cfg_m1_addr[4] ),
+ sky130_fd_sc_hd__inv_2 _06570_ (.A(_01468_),
     .Y(_01469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05115_ (.A(_01152_),
+ sky130_fd_sc_hd__or4_4 _06571_ (.A(_01464_),
+    .B(_01465_),
+    .C(\u_spim_regs.spim_reg_we ),
+    .D(_01469_),
     .X(_01470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05116_ (.A(\u_spictrl.cfg_m0_spi_mode[0] ),
-    .Y(_01471_),
+ sky130_fd_sc_hd__buf_2 _06572_ (.A(spi_debug[10]),
+    .X(_01471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05117_ (.A1(_01469_),
-    .A2(_01470_),
-    .B1(_01471_),
-    .B2(_01460_),
-    .X(_01472_),
+ sky130_fd_sc_hd__inv_2 _06573_ (.A(_01471_),
+    .Y(_01472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05118_ (.A1(_00968_),
-    .A2(\u_m1_res_fifo.mem[0][4] ),
-    .B1(_01337_),
-    .B2(\u_m1_res_fifo.mem[1][4] ),
+ sky130_fd_sc_hd__buf_2 _06574_ (.A(_01472_),
     .X(_01473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05119_ (.A(_01296_),
-    .B(_01473_),
-    .Y(_01474_),
+ sky130_fd_sc_hd__buf_2 _06575_ (.A(_01473_),
+    .X(_01474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05120_ (.A(_01466_),
-    .B(_01468_),
-    .C(_01472_),
-    .D(_01474_),
-    .X(_01475_),
+ sky130_fd_sc_hd__inv_2 _06576_ (.A(spi_debug[9]),
+    .Y(_01475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05121_ (.A1_N(_01454_),
-    .A2_N(_01475_),
-    .B1(\u_spim_regs.spim_reg_rdata[4] ),
-    .B2(_01454_),
-    .X(_00880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05122_ (.A(_01393_),
+ sky130_fd_sc_hd__buf_2 _06577_ (.A(_01475_),
     .X(_01476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05123_ (.A(\u_spim_regs.cfg_m0_cmd_reg[3] ),
-    .Y(_01477_),
+ sky130_fd_sc_hd__buf_2 _06578_ (.A(_01476_),
+    .X(_01477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05124_ (.A(\u_spictrl.cfg_cs_late[1] ),
-    .Y(_01478_),
+ sky130_fd_sc_hd__buf_2 _06579_ (.A(spi_debug[11]),
+    .X(_01478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05125_ (.A(_01329_),
+ sky130_fd_sc_hd__buf_2 _06580_ (.A(_01478_),
     .X(_01479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05126_ (.A1(_01477_),
-    .A2(_01386_),
-    .B1(_01478_),
-    .B2(_01479_),
+ sky130_fd_sc_hd__buf_2 _06581_ (.A(_01479_),
     .X(_01480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _05127_ (.A1(\u_spictrl.cfg_m1_cs_reg[3] ),
-    .A2(_01467_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[3] ),
-    .B2(_01423_),
+ sky130_fd_sc_hd__inv_2 _06582_ (.A(\u_m1_res_fifo.wr_ptr[2] ),
     .Y(_01481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05128_ (.A(\u_spim_regs.cfg_m1_addr[3] ),
-    .Y(_01482_),
+ sky130_fd_sc_hd__and2_4 _06583_ (.A(_01481_),
+    .B(\u_m1_res_fifo.rd_ptr[2] ),
+    .X(_01482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05129_ (.A(\u_spictrl.cfg_m0_cs_reg[3] ),
+ sky130_fd_sc_hd__inv_2 _06584_ (.A(\u_m1_res_fifo.wr_ptr[3] ),
     .Y(_01483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05130_ (.A1(_01482_),
-    .A2(_01470_),
-    .B1(_01483_),
-    .B2(_01460_),
-    .X(_01484_),
+ sky130_fd_sc_hd__inv_2 _06585_ (.A(\u_m1_res_fifo.rd_ptr[3] ),
+    .Y(_01484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05131_ (.A(\u_m1_res_fifo.mem[1][3] ),
-    .Y(_01485_),
+ sky130_fd_sc_hd__o22a_4 _06586_ (.A1(_01483_),
+    .A2(\u_m1_res_fifo.rd_ptr[3] ),
+    .B1(\u_m1_res_fifo.wr_ptr[3] ),
+    .B2(_01484_),
+    .X(_01485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05132_ (.A(\u_m1_res_fifo.mem[0][3] ),
-    .Y(_01486_),
+ sky130_fd_sc_hd__a2bb2o_4 _06587_ (.A1_N(_01482_),
+    .A2_N(_01485_),
+    .B1(_01482_),
+    .B2(_01485_),
+    .X(_01486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05133_ (.A(_01426_),
-    .B(_01486_),
-    .X(_01487_),
+ sky130_fd_sc_hd__inv_2 _06588_ (.A(\u_m1_res_fifo.wr_ptr[0] ),
+    .Y(_01487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05134_ (.A1(_01405_),
-    .A2(_01485_),
-    .B1(_01487_),
-    .C1(_00974_),
+ sky130_fd_sc_hd__buf_2 _06589_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
     .X(_01488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05135_ (.A(_01480_),
-    .B(_01481_),
-    .C(_01484_),
-    .D(_01488_),
+ sky130_fd_sc_hd__and2_4 _06590_ (.A(_01487_),
+    .B(_01488_),
     .X(_01489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05136_ (.A1_N(_01476_),
-    .A2_N(_01489_),
-    .B1(\u_spim_regs.spim_reg_rdata[3] ),
-    .B2(_01476_),
-    .X(_00879_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05137_ (.A(\u_spim_regs.cfg_m0_cmd_reg[2] ),
+ sky130_fd_sc_hd__inv_2 _06591_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
     .Y(_01490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05138_ (.A(\u_spictrl.cfg_cs_late[0] ),
+ sky130_fd_sc_hd__inv_2 _06592_ (.A(\u_m1_res_fifo.rd_ptr[2] ),
     .Y(_01491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05139_ (.A1(_01490_),
-    .A2(_01386_),
-    .B1(_01491_),
-    .B2(_01479_),
+ sky130_fd_sc_hd__a2bb2o_4 _06593_ (.A1_N(_01490_),
+    .A2_N(\u_m1_res_fifo.rd_ptr[1] ),
+    .B1(\u_m1_res_fifo.wr_ptr[2] ),
+    .B2(_01491_),
     .X(_01492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _05140_ (.A1(\u_spictrl.cfg_m1_cs_reg[2] ),
-    .A2(_01467_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[2] ),
-    .B2(_01423_),
+ sky130_fd_sc_hd__inv_2 _06594_ (.A(\u_m1_res_fifo.rd_ptr[1] ),
     .Y(_01493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05141_ (.A(\u_spim_regs.cfg_m1_addr[2] ),
+ sky130_fd_sc_hd__inv_2 _06595_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
     .Y(_01494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05142_ (.A(\u_spictrl.cfg_m0_cs_reg[2] ),
-    .Y(_01495_),
+ sky130_fd_sc_hd__a2bb2o_4 _06596_ (.A1_N(\u_m1_res_fifo.wr_ptr[1] ),
+    .A2_N(_01493_),
+    .B1(\u_m1_res_fifo.wr_ptr[0] ),
+    .B2(_01494_),
+    .X(_01495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05143_ (.A1(_01494_),
-    .A2(_01470_),
-    .B1(_01495_),
-    .B2(_01460_),
+ sky130_fd_sc_hd__or4_4 _06597_ (.A(_01482_),
+    .B(_01489_),
+    .C(_01492_),
+    .D(_01495_),
     .X(_01496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05144_ (.A(\u_m1_res_fifo.mem[1][2] ),
-    .Y(_01497_),
+ sky130_fd_sc_hd__or2_4 _06598_ (.A(_01486_),
+    .B(_01496_),
+    .X(_01497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05145_ (.A(\u_m1_res_fifo.mem[0][2] ),
-    .Y(_01498_),
+ sky130_fd_sc_hd__inv_2 _06599_ (.A(_01497_),
+    .Y(\u_m1_res_fifo.empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05146_ (.A(_01426_),
+ sky130_fd_sc_hd__or4_4 _06600_ (.A(_01281_),
+    .B(_01329_),
+    .C(\u_spim_regs.spim_reg_we ),
+    .D(_01468_),
+    .X(_01498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _06601_ (.A(\u_m1_res_fifo.empty ),
     .B(_01498_),
     .X(_01499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05147_ (.A1(_01405_),
-    .A2(_01497_),
-    .B1(_01499_),
-    .C1(_00974_),
+ sky130_fd_sc_hd__or4_4 _06602_ (.A(_01474_),
+    .B(_01477_),
+    .C(_01480_),
+    .D(_01499_),
     .X(_01500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05148_ (.A(_01492_),
-    .B(_01493_),
-    .C(_01496_),
-    .D(_01500_),
+ sky130_fd_sc_hd__and2_4 _06603_ (.A(_01470_),
+    .B(_01500_),
     .X(_01501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05149_ (.A1_N(_01476_),
-    .A2_N(_01501_),
-    .B1(\u_spim_regs.spim_reg_rdata[2] ),
-    .B2(_01476_),
-    .X(_00878_),
+ sky130_fd_sc_hd__or3_4 _06604_ (.A(\u_spim_regs.spim_reg_ack ),
+    .B(_01463_),
+    .C(_01501_),
+    .X(_01502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05150_ (.A(\u_spim_regs.cfg_m0_cmd_reg[1] ),
-    .Y(_01502_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05151_ (.A(\u_spictrl.cfg_cs_early[1] ),
+ sky130_fd_sc_hd__inv_2 _06605_ (.A(_01502_),
     .Y(_01503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05152_ (.A1(_01502_),
-    .A2(_01122_),
-    .B1(_01503_),
-    .B2(_01479_),
+ sky130_fd_sc_hd__buf_2 _06606_ (.A(_01503_),
     .X(_01504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _05153_ (.A1(\u_spictrl.cfg_m1_cs_reg[1] ),
-    .A2(_01467_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[1] ),
-    .B2(_01423_),
+ sky130_fd_sc_hd__inv_2 _06607_ (.A(\u_spim_regs.cfg_m0_data_cnt[7] ),
     .Y(_01505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05154_ (.A(\u_spim_regs.cfg_m1_addr[1] ),
-    .Y(_01506_),
+ sky130_fd_sc_hd__buf_2 _06608_ (.A(_01340_),
+    .X(_01506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05155_ (.A(\u_spictrl.cfg_m0_cs_reg[1] ),
-    .Y(_01507_),
+ sky130_fd_sc_hd__buf_2 _06609_ (.A(\u_spim_regs.spim_reg_addr[2] ),
+    .X(_01507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05156_ (.A1(_01506_),
-    .A2(_01470_),
-    .B1(_01507_),
-    .B2(_01420_),
+ sky130_fd_sc_hd__or4_4 _06610_ (.A(_01467_),
+    .B(_01378_),
+    .C(_01506_),
+    .D(_01507_),
     .X(_01508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05157_ (.A(\u_m1_res_fifo.mem[1][1] ),
-    .Y(_01509_),
+ sky130_fd_sc_hd__buf_2 _06611_ (.A(_01508_),
+    .X(_01509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05158_ (.A(\u_m1_res_fifo.mem[0][1] ),
-    .Y(_01510_),
+ sky130_fd_sc_hd__buf_2 _06612_ (.A(_01509_),
+    .X(_01510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05159_ (.A(_01426_),
+ sky130_fd_sc_hd__nor2_4 _06613_ (.A(_01505_),
     .B(_01510_),
-    .X(_01511_),
+    .Y(_01511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05160_ (.A1(_01144_),
-    .A2(_01509_),
-    .B1(_01511_),
-    .C1(_00974_),
-    .X(_01512_),
+ sky130_fd_sc_hd__inv_2 _06614_ (.A(\u_spim_regs.cfg_m1_addr[31] ),
+    .Y(_01512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05161_ (.A(_01504_),
-    .B(_01505_),
-    .C(_01508_),
-    .D(_01512_),
+ sky130_fd_sc_hd__buf_2 _06615_ (.A(\u_spim_regs.spim_reg_addr[1] ),
     .X(_01513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05162_ (.A1_N(_01075_),
-    .A2_N(_01513_),
-    .B1(\u_spim_regs.spim_reg_rdata[1] ),
-    .B2(_01075_),
-    .X(_00877_),
+ sky130_fd_sc_hd__or4_4 _06616_ (.A(_01340_),
+    .B(_01334_),
+    .C(_01513_),
+    .D(_01293_),
+    .X(_01514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05163_ (.A(\u_m1_res_fifo.mem[1][0] ),
-    .Y(_01514_),
+ sky130_fd_sc_hd__buf_2 _06617_ (.A(_01514_),
+    .X(_01515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05164_ (.A(\u_m1_res_fifo.mem[0][0] ),
-    .Y(_01515_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05165_ (.A(_01337_),
-    .B(_01515_),
+ sky130_fd_sc_hd__buf_2 _06618_ (.A(_01515_),
     .X(_01516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05166_ (.A1(_01298_),
-    .A2(_01514_),
-    .B1(_01516_),
-    .C1(_01340_),
+ sky130_fd_sc_hd__buf_2 _06619_ (.A(_01378_),
     .X(_01517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05167_ (.A(\u_spim_regs.cfg_m1_addr[0] ),
-    .B(_01128_),
-    .Y(_01518_),
+ sky130_fd_sc_hd__or4_4 _06620_ (.A(_01506_),
+    .B(_01334_),
+    .C(_01513_),
+    .D(_01517_),
+    .X(_01518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05168_ (.A(\u_spim_regs.cfg_m0_cmd_reg[0] ),
+ sky130_fd_sc_hd__inv_2 _06621_ (.A(_01518_),
     .Y(_01519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05169_ (.A(\u_spictrl.cfg_cs_early[0] ),
-    .Y(_01520_),
+ sky130_fd_sc_hd__buf_2 _06622_ (.A(_01519_),
+    .X(_01520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05170_ (.A1(_01519_),
-    .A2(_01081_),
-    .B1(_01520_),
-    .B2(_01329_),
+ sky130_fd_sc_hd__a2bb2o_4 _06623_ (.A1_N(_01512_),
+    .A2_N(_01516_),
+    .B1(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .B2(_01520_),
     .X(_01521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05171_ (.A(\u_spictrl.cfg_m0_cs_reg[0] ),
+ sky130_fd_sc_hd__inv_2 _06624_ (.A(\u_spictrl.gnt[2] ),
     .Y(_01522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05172_ (.A(spi_debug[19]),
-    .B(spi_debug[17]),
-    .C(psn_net_59),
-    .D(psn_net_67),
+ sky130_fd_sc_hd__buf_2 _06625_ (.A(_01522_),
     .X(_01523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05173_ (.A(_01523_),
+ sky130_fd_sc_hd__buf_2 _06626_ (.A(_01523_),
     .X(_01524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05174_ (.A1(_01522_),
-    .A2(_01419_),
-    .B1(_00952_),
-    .B2(_01524_),
+ sky130_fd_sc_hd__buf_2 _06627_ (.A(_01524_),
     .X(_01525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _05175_ (.A1(\u_spim_regs.cfg_m1_cmd_reg[0] ),
-    .A2(_01126_),
-    .B1(\u_spictrl.cfg_m1_cs_reg[0] ),
-    .B2(_01434_),
-    .Y(_01526_),
+ sky130_fd_sc_hd__buf_2 _06628_ (.A(_01525_),
+    .X(_01526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05176_ (.A(_01518_),
-    .B(_01521_),
-    .C(_01525_),
-    .D(_01526_),
+ sky130_fd_sc_hd__buf_2 _06629_ (.A(_01526_),
     .X(_01527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05177_ (.A(\u_spim_regs.spim_reg_rdata[0] ),
+ sky130_fd_sc_hd__inv_2 _06630_ (.A(spi_debug[18]),
     .Y(_01528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05178_ (.A1(_01517_),
-    .A2(_01527_),
-    .A3(_01309_),
-    .B1(_01528_),
-    .B2(_01320_),
+ sky130_fd_sc_hd__buf_2 _06631_ (.A(_01528_),
     .X(_01529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05179_ (.A(_01529_),
-    .Y(_00876_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05180_ (.A(\u_wb_if.spim_wb_req ),
-    .B(_00911_),
-    .C(\u_spim_regs.spim_reg_ack ),
+ sky130_fd_sc_hd__buf_4 _06632_ (.A(spi_debug[17]),
     .X(_01530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05181_ (.A(spi_debug[14]),
-    .B(_01345_),
+ sky130_fd_sc_hd__buf_4 _06633_ (.A(spi_debug[20]),
     .X(_01531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05182_ (.A(_01181_),
-    .B(_01531_),
-    .X(_01532_),
+ sky130_fd_sc_hd__inv_2 _06634_ (.A(_01531_),
+    .Y(_01532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05183_ (.A(\u_wb_if.spim_wb_req ),
-    .B(_00940_),
-    .C(_01532_),
-    .X(_01533_),
+ sky130_fd_sc_hd__inv_2 _06635_ (.A(spi_debug[19]),
+    .Y(_01533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05184_ (.A(_00913_),
-    .B(_01533_),
+ sky130_fd_sc_hd__buf_2 _06636_ (.A(_01533_),
     .X(_01534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05185_ (.A(\u_spim_regs.spi_init_done ),
+ sky130_fd_sc_hd__and4_4 _06637_ (.A(_01534_),
+    .B(psn_net_238),
+    .C(_01529_),
+    .D(_01530_),
     .X(_01535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05186_ (.A(_01535_),
+ sky130_fd_sc_hd__buf_2 _06638_ (.A(_01531_),
     .X(_01536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05187_ (.A1(_01530_),
-    .A2(_01534_),
-    .B1(_01536_),
+ sky130_fd_sc_hd__buf_2 _06639_ (.A(spi_debug[18]),
     .X(_01537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05188_ (.A(_01537_),
+ sky130_fd_sc_hd__buf_2 _06640_ (.A(_01530_),
     .X(_01538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05189_ (.A(_01538_),
+ sky130_fd_sc_hd__and4_4 _06641_ (.A(_01536_),
+    .B(_01534_),
+    .C(_01537_),
+    .D(_01538_),
     .X(_01539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05190_ (.A(_01537_),
-    .Y(_01540_),
+ sky130_fd_sc_hd__or2_4 _06642_ (.A(_01539_),
+    .B(_01535_),
+    .X(_01540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05191_ (.A(_01540_),
-    .X(_01541_),
+ sky130_fd_sc_hd__inv_2 _06643_ (.A(_01540_),
+    .Y(_01541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05192_ (.A(_01541_),
+ sky130_fd_sc_hd__buf_2 _06644_ (.A(_01541_),
     .X(_01542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05193_ (.A(_01162_),
+ sky130_fd_sc_hd__or2_4 _06645_ (.A(_01527_),
+    .B(psn_net_230),
     .X(_01543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05194_ (.A(_01543_),
+ sky130_fd_sc_hd__or4_4 _06646_ (.A(_01513_),
+    .B(_01517_),
+    .C(_01391_),
+    .D(_01507_),
     .X(_01544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05195_ (.A(_01544_),
+ sky130_fd_sc_hd__buf_2 _06647_ (.A(_01544_),
     .X(_01545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05196_ (.A(_01169_),
+ sky130_fd_sc_hd__buf_2 _06648_ (.A(_01545_),
     .X(_01546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05197_ (.A(_01546_),
-    .X(_01547_),
+ sky130_fd_sc_hd__nor2_4 _06649_ (.A(psn_net_228),
+    .B(_01546_),
+    .Y(_01547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05198_ (.A(_01163_),
+ sky130_fd_sc_hd__buf_2 _06650_ (.A(\u_m1_res_fifo.rd_ptr[2] ),
     .X(_01548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05199_ (.A(_01548_),
+ sky130_fd_sc_hd__buf_2 _06651_ (.A(_01548_),
     .X(_01549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05200_ (.A(_01549_),
+ sky130_fd_sc_hd__buf_2 _06652_ (.A(_01493_),
     .X(_01550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05201_ (.A(_01550_),
-    .B(\u_m0_res_fifo.mem[2][31] ),
+ sky130_fd_sc_hd__buf_2 _06653_ (.A(_01550_),
     .X(_01551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05202_ (.A1(_01545_),
-    .A2(\u_m0_res_fifo.mem[3][31] ),
-    .B1(_01547_),
-    .C1(_01551_),
+ sky130_fd_sc_hd__buf_2 _06654_ (.A(_01551_),
     .X(_01552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05203_ (.A(_01162_),
+ sky130_fd_sc_hd__buf_2 _06655_ (.A(_01488_),
     .X(_01553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05204_ (.A(_01553_),
+ sky130_fd_sc_hd__buf_2 _06656_ (.A(_01553_),
     .X(_01554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05205_ (.A(_01167_),
+ sky130_fd_sc_hd__buf_2 _06657_ (.A(_01554_),
     .X(_01555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05206_ (.A(_01555_),
+ sky130_fd_sc_hd__or2_4 _06658_ (.A(_01555_),
+    .B(\u_m1_res_fifo.mem[0][31] ),
     .X(_01556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05207_ (.A(_01548_),
+ sky130_fd_sc_hd__buf_2 _06659_ (.A(_01494_),
     .X(_01557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05208_ (.A(_01557_),
+ sky130_fd_sc_hd__buf_2 _06660_ (.A(_01557_),
     .X(_01558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05209_ (.A(_01558_),
-    .B(\u_m0_res_fifo.mem[0][31] ),
+ sky130_fd_sc_hd__buf_2 _06661_ (.A(_01558_),
     .X(_01559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05210_ (.A1(_01554_),
-    .A2(\u_m0_res_fifo.mem[1][31] ),
-    .B1(_01556_),
-    .C1(_01559_),
+ sky130_fd_sc_hd__or2_4 _06662_ (.A(_01559_),
+    .B(\u_m1_res_fifo.mem[1][31] ),
     .X(_01560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05211_ (.A(_01534_),
+ sky130_fd_sc_hd__and3_4 _06663_ (.A(_01552_),
+    .B(_01556_),
+    .C(_01560_),
     .X(_01561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05212_ (.A(_01561_),
+ sky130_fd_sc_hd__buf_2 _06664_ (.A(\u_m1_res_fifo.rd_ptr[1] ),
     .X(_01562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05213_ (.A(_01534_),
-    .Y(_01563_),
+ sky130_fd_sc_hd__buf_2 _06665_ (.A(_01562_),
+    .X(_01563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05214_ (.A(_01563_),
+ sky130_fd_sc_hd__buf_2 _06666_ (.A(_01563_),
     .X(_01564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05215_ (.A(_01564_),
+ sky130_fd_sc_hd__buf_2 _06667_ (.A(_01558_),
     .X(_01565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05216_ (.A1(_01552_),
-    .A2(_01560_),
-    .A3(_01562_),
-    .B1(\u_spim_regs.spim_reg_rdata[31] ),
-    .B2(_01565_),
+ sky130_fd_sc_hd__or2_4 _06668_ (.A(_01565_),
+    .B(\u_m1_res_fifo.mem[3][31] ),
     .X(_01566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05217_ (.A1(wbd_dat_o[31]),
-    .A2(_01539_),
-    .B1(_01542_),
-    .B2(_01566_),
-    .X(_00875_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05218_ (.A(_01550_),
-    .B(\u_m0_res_fifo.mem[2][30] ),
+ sky130_fd_sc_hd__buf_2 _06669_ (.A(_01553_),
     .X(_01567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05219_ (.A1(_01545_),
-    .A2(\u_m0_res_fifo.mem[3][30] ),
-    .B1(_01547_),
-    .C1(_01567_),
+ sky130_fd_sc_hd__buf_2 _06670_ (.A(_01567_),
     .X(_01568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05220_ (.A(_01558_),
-    .B(\u_m0_res_fifo.mem[0][30] ),
+ sky130_fd_sc_hd__or2_4 _06671_ (.A(_01568_),
+    .B(\u_m1_res_fifo.mem[2][31] ),
     .X(_01569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05221_ (.A1(_01554_),
-    .A2(\u_m0_res_fifo.mem[1][30] ),
-    .B1(_01556_),
-    .C1(_01569_),
+ sky130_fd_sc_hd__and3_4 _06672_ (.A(_01564_),
+    .B(_01566_),
+    .C(_01569_),
     .X(_01570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05222_ (.A1(_01568_),
-    .A2(_01570_),
-    .A3(_01562_),
-    .B1(\u_spim_regs.spim_reg_rdata[30] ),
-    .B2(_01565_),
+ sky130_fd_sc_hd__or3_4 _06673_ (.A(_01549_),
+    .B(_01561_),
+    .C(_01570_),
     .X(_01571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05223_ (.A1(wbd_dat_o[30]),
-    .A2(_01539_),
-    .B1(_01542_),
-    .B2(_01571_),
-    .X(_00874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05224_ (.A(_01550_),
-    .B(\u_m0_res_fifo.mem[2][29] ),
+ sky130_fd_sc_hd__buf_2 _06674_ (.A(_01491_),
     .X(_01572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05225_ (.A1(_01545_),
-    .A2(\u_m0_res_fifo.mem[3][29] ),
-    .B1(_01547_),
-    .C1(_01572_),
+ sky130_fd_sc_hd__buf_2 _06675_ (.A(_01572_),
     .X(_01573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05226_ (.A(_01558_),
-    .B(\u_m0_res_fifo.mem[0][29] ),
+ sky130_fd_sc_hd__buf_2 _06676_ (.A(_01573_),
     .X(_01574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05227_ (.A1(_01554_),
-    .A2(\u_m0_res_fifo.mem[1][29] ),
-    .B1(_01556_),
-    .C1(_01574_),
+ sky130_fd_sc_hd__buf_2 _06677_ (.A(_01550_),
     .X(_01575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05228_ (.A1(_01573_),
-    .A2(_01575_),
-    .A3(_01562_),
-    .B1(\u_spim_regs.spim_reg_rdata[29] ),
-    .B2(_01565_),
+ sky130_fd_sc_hd__buf_2 _06678_ (.A(_01575_),
     .X(_01576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05229_ (.A1(wbd_dat_o[29]),
-    .A2(_01539_),
-    .B1(_01542_),
-    .B2(_01576_),
-    .X(_00873_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05230_ (.A(_01544_),
+ sky130_fd_sc_hd__buf_2 _06679_ (.A(_01553_),
     .X(_01577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05231_ (.A(_01550_),
-    .B(\u_m0_res_fifo.mem[2][28] ),
+ sky130_fd_sc_hd__buf_2 _06680_ (.A(_01577_),
     .X(_01578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05232_ (.A1(_01577_),
-    .A2(\u_m0_res_fifo.mem[3][28] ),
-    .B1(_01547_),
-    .C1(_01578_),
+ sky130_fd_sc_hd__or2_4 _06681_ (.A(_01578_),
+    .B(\u_m1_res_fifo.mem[4][31] ),
     .X(_01579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05233_ (.A(_01553_),
+ sky130_fd_sc_hd__buf_2 _06682_ (.A(_01557_),
     .X(_01580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05234_ (.A(_01555_),
+ sky130_fd_sc_hd__buf_2 _06683_ (.A(_01580_),
     .X(_01581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05235_ (.A(_01558_),
-    .B(\u_m0_res_fifo.mem[0][28] ),
+ sky130_fd_sc_hd__or2_4 _06684_ (.A(_01581_),
+    .B(\u_m1_res_fifo.mem[5][31] ),
     .X(_01582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05236_ (.A1(_01580_),
-    .A2(\u_m0_res_fifo.mem[1][28] ),
-    .B1(_01581_),
-    .C1(_01582_),
+ sky130_fd_sc_hd__and3_4 _06685_ (.A(_01576_),
+    .B(_01579_),
+    .C(_01582_),
     .X(_01583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05237_ (.A1(_01579_),
-    .A2(_01583_),
-    .A3(_01562_),
-    .B1(\u_spim_regs.spim_reg_rdata[28] ),
-    .B2(_01565_),
+ sky130_fd_sc_hd__buf_2 _06686_ (.A(_01563_),
     .X(_01584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05238_ (.A1(wbd_dat_o[28]),
-    .A2(_01539_),
-    .B1(_01542_),
-    .B2(_01584_),
-    .X(_00872_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05239_ (.A(_01538_),
+ sky130_fd_sc_hd__buf_2 _06687_ (.A(_01557_),
     .X(_01585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05240_ (.A(_01541_),
+ sky130_fd_sc_hd__buf_2 _06688_ (.A(_01585_),
     .X(_01586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05241_ (.A(_01546_),
+ sky130_fd_sc_hd__or2_4 _06689_ (.A(_01586_),
+    .B(\u_m1_res_fifo.mem[7][31] ),
     .X(_01587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05242_ (.A(_01549_),
+ sky130_fd_sc_hd__buf_2 _06690_ (.A(_01567_),
     .X(_01588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05243_ (.A(_01588_),
-    .B(\u_m0_res_fifo.mem[2][27] ),
+ sky130_fd_sc_hd__or2_4 _06691_ (.A(_01588_),
+    .B(\u_m1_res_fifo.mem[6][31] ),
     .X(_01589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05244_ (.A1(_01577_),
-    .A2(\u_m0_res_fifo.mem[3][27] ),
-    .B1(_01587_),
-    .C1(_01589_),
+ sky130_fd_sc_hd__and3_4 _06692_ (.A(_01584_),
+    .B(_01587_),
+    .C(_01589_),
     .X(_01590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05245_ (.A(_01557_),
+ sky130_fd_sc_hd__or3_4 _06693_ (.A(_01574_),
+    .B(_01583_),
+    .C(_01590_),
     .X(_01591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05246_ (.A(_01591_),
-    .B(\u_m0_res_fifo.mem[0][27] ),
-    .X(_01592_),
+ sky130_fd_sc_hd__inv_2 _06694_ (.A(_01500_),
+    .Y(_01592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05247_ (.A1(_01580_),
-    .A2(\u_m0_res_fifo.mem[1][27] ),
-    .B1(_01581_),
-    .C1(_01592_),
+ sky130_fd_sc_hd__buf_2 _06695_ (.A(_01592_),
     .X(_01593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05248_ (.A(_01561_),
+ sky130_fd_sc_hd__buf_2 _06696_ (.A(_01593_),
     .X(_01594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05249_ (.A(_01564_),
+ sky130_fd_sc_hd__and3_4 _06697_ (.A(_01571_),
+    .B(_01591_),
+    .C(_01594_),
     .X(_01595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05250_ (.A1(_01590_),
-    .A2(_01593_),
-    .A3(_01594_),
-    .B1(\u_spim_regs.spim_reg_rdata[27] ),
-    .B2(_01595_),
+ sky130_fd_sc_hd__or4_4 _06698_ (.A(_01511_),
+    .B(_01521_),
+    .C(_01547_),
+    .D(_01595_),
     .X(_01596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05251_ (.A1(wbd_dat_o[27]),
-    .A2(_01585_),
-    .B1(_01586_),
+ sky130_fd_sc_hd__a2bb2o_4 _06699_ (.A1_N(_01461_),
+    .A2_N(_01504_),
+    .B1(_01504_),
     .B2(_01596_),
-    .X(_00871_),
+    .X(_01270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05252_ (.A(_01588_),
-    .B(\u_m0_res_fifo.mem[2][26] ),
-    .X(_01597_),
+ sky130_fd_sc_hd__inv_2 _06700_ (.A(\u_spim_regs.spim_reg_rdata[30] ),
+    .Y(_01597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05253_ (.A1(_01577_),
-    .A2(\u_m0_res_fifo.mem[3][26] ),
-    .B1(_01587_),
-    .C1(_01597_),
-    .X(_01598_),
+ sky130_fd_sc_hd__nor2_4 _06701_ (.A(_01278_),
+    .B(_01510_),
+    .Y(_01598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05254_ (.A(_01591_),
-    .B(\u_m0_res_fifo.mem[0][26] ),
-    .X(_01599_),
+ sky130_fd_sc_hd__inv_2 _06702_ (.A(\u_spim_regs.cfg_m1_addr[30] ),
+    .Y(_01599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05255_ (.A1(_01580_),
-    .A2(\u_m0_res_fifo.mem[1][26] ),
-    .B1(_01581_),
-    .C1(_01599_),
+ sky130_fd_sc_hd__buf_2 _06703_ (.A(\u_spim_regs.cfg_m1_data_cnt[6] ),
     .X(_01600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05256_ (.A1(_01598_),
-    .A2(_01600_),
-    .A3(_01594_),
-    .B1(\u_spim_regs.spim_reg_rdata[26] ),
-    .B2(_01595_),
+ sky130_fd_sc_hd__a2bb2o_4 _06704_ (.A1_N(_01599_),
+    .A2_N(_01516_),
+    .B1(_01600_),
+    .B2(_01520_),
     .X(_01601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05257_ (.A1(wbd_dat_o[26]),
-    .A2(_01585_),
-    .B1(_01586_),
-    .B2(_01601_),
-    .X(_00870_),
+ sky130_fd_sc_hd__inv_2 _06705_ (.A(\u_spictrl.gnt[1] ),
+    .Y(_01602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05258_ (.A(_01588_),
-    .B(\u_m0_res_fifo.mem[2][25] ),
-    .X(_01602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05259_ (.A1(_01577_),
-    .A2(\u_m0_res_fifo.mem[3][25] ),
-    .B1(_01587_),
-    .C1(_01602_),
+ sky130_fd_sc_hd__or2_4 _06706_ (.A(_01602_),
+    .B(_01542_),
     .X(_01603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05260_ (.A(_01591_),
-    .B(\u_m0_res_fifo.mem[0][25] ),
-    .X(_01604_),
+ sky130_fd_sc_hd__nor2_4 _06707_ (.A(_01546_),
+    .B(psn_net_241),
+    .Y(_01604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05261_ (.A1(_01580_),
-    .A2(\u_m0_res_fifo.mem[1][25] ),
-    .B1(_01581_),
-    .C1(_01604_),
+ sky130_fd_sc_hd__or2_4 _06708_ (.A(_01555_),
+    .B(\u_m1_res_fifo.mem[0][30] ),
     .X(_01605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05262_ (.A1(_01603_),
-    .A2(_01605_),
-    .A3(_01594_),
-    .B1(\u_spim_regs.spim_reg_rdata[25] ),
-    .B2(_01595_),
+ sky130_fd_sc_hd__or2_4 _06709_ (.A(_01559_),
+    .B(\u_m1_res_fifo.mem[1][30] ),
     .X(_01606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05263_ (.A1(wbd_dat_o[25]),
-    .A2(_01585_),
-    .B1(_01586_),
-    .B2(_01606_),
-    .X(_00869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05264_ (.A(_01544_),
+ sky130_fd_sc_hd__and3_4 _06710_ (.A(_01552_),
+    .B(_01605_),
+    .C(_01606_),
     .X(_01607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05265_ (.A(_01588_),
-    .B(\u_m0_res_fifo.mem[2][24] ),
+ sky130_fd_sc_hd__or2_4 _06711_ (.A(_01565_),
+    .B(\u_m1_res_fifo.mem[3][30] ),
     .X(_01608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05266_ (.A1(_01607_),
-    .A2(\u_m0_res_fifo.mem[3][24] ),
-    .B1(_01587_),
-    .C1(_01608_),
+ sky130_fd_sc_hd__or2_4 _06712_ (.A(_01568_),
+    .B(\u_m1_res_fifo.mem[2][30] ),
     .X(_01609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05267_ (.A(_01553_),
+ sky130_fd_sc_hd__and3_4 _06713_ (.A(_01564_),
+    .B(_01608_),
+    .C(_01609_),
     .X(_01610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05268_ (.A(_01555_),
+ sky130_fd_sc_hd__or3_4 _06714_ (.A(_01549_),
+    .B(_01607_),
+    .C(_01610_),
     .X(_01611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05269_ (.A(_01591_),
-    .B(\u_m0_res_fifo.mem[0][24] ),
+ sky130_fd_sc_hd__or2_4 _06715_ (.A(_01578_),
+    .B(\u_m1_res_fifo.mem[4][30] ),
     .X(_01612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05270_ (.A1(_01610_),
-    .A2(\u_m0_res_fifo.mem[1][24] ),
-    .B1(_01611_),
-    .C1(_01612_),
+ sky130_fd_sc_hd__or2_4 _06716_ (.A(_01581_),
+    .B(\u_m1_res_fifo.mem[5][30] ),
     .X(_01613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05271_ (.A1(_01609_),
-    .A2(_01613_),
-    .A3(_01594_),
-    .B1(\u_spim_regs.spim_reg_rdata[24] ),
-    .B2(_01595_),
+ sky130_fd_sc_hd__and3_4 _06717_ (.A(_01576_),
+    .B(_01612_),
+    .C(_01613_),
     .X(_01614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05272_ (.A1(wbd_dat_o[24]),
-    .A2(_01585_),
-    .B1(_01586_),
-    .B2(_01614_),
-    .X(_00868_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05273_ (.A(_01538_),
+ sky130_fd_sc_hd__or2_4 _06718_ (.A(_01586_),
+    .B(\u_m1_res_fifo.mem[7][30] ),
     .X(_01615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05274_ (.A(_01541_),
+ sky130_fd_sc_hd__or2_4 _06719_ (.A(_01588_),
+    .B(\u_m1_res_fifo.mem[6][30] ),
     .X(_01616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05275_ (.A(_01546_),
+ sky130_fd_sc_hd__and3_4 _06720_ (.A(_01584_),
+    .B(_01615_),
+    .C(_01616_),
     .X(_01617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05276_ (.A(_01549_),
+ sky130_fd_sc_hd__or3_4 _06721_ (.A(_01574_),
+    .B(_01614_),
+    .C(_01617_),
     .X(_01618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05277_ (.A(_01618_),
-    .B(\u_m0_res_fifo.mem[2][23] ),
+ sky130_fd_sc_hd__and3_4 _06722_ (.A(_01611_),
+    .B(_01618_),
+    .C(_01594_),
     .X(_01619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05278_ (.A1(_01607_),
-    .A2(\u_m0_res_fifo.mem[3][23] ),
-    .B1(_01617_),
-    .C1(_01619_),
+ sky130_fd_sc_hd__or4_4 _06723_ (.A(_01598_),
+    .B(_01601_),
+    .C(_01604_),
+    .D(_01619_),
     .X(_01620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05279_ (.A(_01557_),
+ sky130_fd_sc_hd__a2bb2o_4 _06724_ (.A1_N(_01597_),
+    .A2_N(_01504_),
+    .B1(_01504_),
+    .B2(_01620_),
+    .X(_01269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06725_ (.A(_01502_),
     .X(_01621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05280_ (.A(_01621_),
-    .B(\u_m0_res_fifo.mem[0][23] ),
+ sky130_fd_sc_hd__buf_2 _06726_ (.A(_01621_),
     .X(_01622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05281_ (.A1(_01610_),
-    .A2(\u_m0_res_fifo.mem[1][23] ),
-    .B1(_01611_),
-    .C1(_01622_),
-    .X(_01623_),
+ sky130_fd_sc_hd__inv_2 _06727_ (.A(\u_spim_regs.cfg_m1_addr[29] ),
+    .Y(_01623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05282_ (.A(_01561_),
+ sky130_fd_sc_hd__buf_2 _06728_ (.A(_01515_),
     .X(_01624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05283_ (.A(_01564_),
+ sky130_fd_sc_hd__buf_2 _06729_ (.A(_01463_),
     .X(_01625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05284_ (.A1(_01620_),
-    .A2(_01623_),
-    .A3(_01624_),
-    .B1(\u_spim_regs.spim_reg_rdata[23] ),
-    .B2(_01625_),
+ sky130_fd_sc_hd__buf_2 _06730_ (.A(_01625_),
     .X(_01626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05285_ (.A1(wbd_dat_o[23]),
-    .A2(_01615_),
-    .B1(_01616_),
-    .B2(_01626_),
-    .X(_00867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05286_ (.A(_01618_),
-    .B(\u_m0_res_fifo.mem[2][22] ),
+ sky130_fd_sc_hd__buf_2 _06731_ (.A(_01544_),
     .X(_01627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05287_ (.A1(_01607_),
-    .A2(\u_m0_res_fifo.mem[3][22] ),
-    .B1(_01617_),
-    .C1(_01627_),
+ sky130_fd_sc_hd__buf_2 _06732_ (.A(_01627_),
     .X(_01628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05288_ (.A(_01621_),
-    .B(\u_m0_res_fifo.mem[0][22] ),
+ sky130_fd_sc_hd__o22a_4 _06733_ (.A1(_01623_),
+    .A2(_01624_),
+    .B1(_01626_),
+    .B2(_01628_),
     .X(_01629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05289_ (.A1(_01610_),
-    .A2(\u_m0_res_fifo.mem[1][22] ),
-    .B1(_01611_),
-    .C1(_01629_),
-    .X(_01630_),
+ sky130_fd_sc_hd__inv_2 _06734_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .Y(_01630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05290_ (.A1(_01628_),
-    .A2(_01630_),
-    .A3(_01624_),
-    .B1(\u_spim_regs.spim_reg_rdata[22] ),
-    .B2(_01625_),
+ sky130_fd_sc_hd__buf_2 _06735_ (.A(_01518_),
     .X(_01631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05291_ (.A1(wbd_dat_o[22]),
-    .A2(_01615_),
-    .B1(_01616_),
-    .B2(_01631_),
-    .X(_00866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05292_ (.A(_01618_),
-    .B(\u_m0_res_fifo.mem[2][21] ),
+ sky130_fd_sc_hd__buf_2 _06736_ (.A(_01631_),
     .X(_01632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05293_ (.A1(_01607_),
-    .A2(\u_m0_res_fifo.mem[3][21] ),
-    .B1(_01617_),
-    .C1(_01632_),
+ sky130_fd_sc_hd__buf_2 _06737_ (.A(_01508_),
     .X(_01633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05294_ (.A(_01621_),
-    .B(\u_m0_res_fifo.mem[0][21] ),
+ sky130_fd_sc_hd__buf_2 _06738_ (.A(_01633_),
     .X(_01634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05295_ (.A1(_01610_),
-    .A2(\u_m0_res_fifo.mem[1][21] ),
-    .B1(_01611_),
-    .C1(_01634_),
+ sky130_fd_sc_hd__o22a_4 _06739_ (.A1(_01630_),
+    .A2(_01632_),
+    .B1(_01441_),
+    .B2(_01634_),
     .X(_01635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05296_ (.A1(_01633_),
-    .A2(_01635_),
-    .A3(_01624_),
-    .B1(\u_spim_regs.spim_reg_rdata[21] ),
-    .B2(_01625_),
+ sky130_fd_sc_hd__buf_2 _06740_ (.A(_01572_),
     .X(_01636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05297_ (.A1(wbd_dat_o[21]),
-    .A2(_01615_),
-    .B1(_01616_),
-    .B2(_01636_),
-    .X(_00865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05298_ (.A(_01543_),
+ sky130_fd_sc_hd__buf_2 _06741_ (.A(_01636_),
     .X(_01637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05299_ (.A(_01637_),
+ sky130_fd_sc_hd__buf_2 _06742_ (.A(_01575_),
     .X(_01638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05300_ (.A(_01618_),
-    .B(\u_m0_res_fifo.mem[2][20] ),
+ sky130_fd_sc_hd__buf_2 _06743_ (.A(_01577_),
     .X(_01639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05301_ (.A1(_01638_),
-    .A2(\u_m0_res_fifo.mem[3][20] ),
-    .B1(_01617_),
-    .C1(_01639_),
+ sky130_fd_sc_hd__or2_4 _06744_ (.A(_01639_),
+    .B(\u_m1_res_fifo.mem[4][29] ),
     .X(_01640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05302_ (.A(_01162_),
+ sky130_fd_sc_hd__buf_2 _06745_ (.A(_01580_),
     .X(_01641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05303_ (.A(_01641_),
+ sky130_fd_sc_hd__or2_4 _06746_ (.A(_01641_),
+    .B(\u_m1_res_fifo.mem[5][29] ),
     .X(_01642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05304_ (.A(_01167_),
+ sky130_fd_sc_hd__and3_4 _06747_ (.A(_01638_),
+    .B(_01640_),
+    .C(_01642_),
     .X(_01643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05305_ (.A(_01643_),
+ sky130_fd_sc_hd__buf_2 _06748_ (.A(_01562_),
     .X(_01644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05306_ (.A(_01621_),
-    .B(\u_m0_res_fifo.mem[0][20] ),
+ sky130_fd_sc_hd__buf_2 _06749_ (.A(_01644_),
     .X(_01645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05307_ (.A1(_01642_),
-    .A2(\u_m0_res_fifo.mem[1][20] ),
-    .B1(_01644_),
-    .C1(_01645_),
+ sky130_fd_sc_hd__buf_2 _06750_ (.A(_01585_),
     .X(_01646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05308_ (.A1(_01640_),
-    .A2(_01646_),
-    .A3(_01624_),
-    .B1(\u_spim_regs.spim_reg_rdata[20] ),
-    .B2(_01625_),
+ sky130_fd_sc_hd__or2_4 _06751_ (.A(_01646_),
+    .B(\u_m1_res_fifo.mem[7][29] ),
     .X(_01647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05309_ (.A1(wbd_dat_o[20]),
-    .A2(_01615_),
-    .B1(_01616_),
-    .B2(_01647_),
-    .X(_00864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05310_ (.A(_01538_),
+ sky130_fd_sc_hd__buf_2 _06752_ (.A(_01554_),
     .X(_01648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05311_ (.A(_01541_),
+ sky130_fd_sc_hd__or2_4 _06753_ (.A(_01648_),
+    .B(\u_m1_res_fifo.mem[6][29] ),
     .X(_01649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05312_ (.A(_01169_),
+ sky130_fd_sc_hd__and3_4 _06754_ (.A(_01645_),
+    .B(_01647_),
+    .C(_01649_),
     .X(_01650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05313_ (.A(_01650_),
+ sky130_fd_sc_hd__or3_4 _06755_ (.A(_01637_),
+    .B(_01643_),
+    .C(_01650_),
     .X(_01651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05314_ (.A(_01549_),
+ sky130_fd_sc_hd__buf_2 _06756_ (.A(_01548_),
     .X(_01652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05315_ (.A(_01652_),
-    .B(\u_m0_res_fifo.mem[2][19] ),
+ sky130_fd_sc_hd__buf_2 _06757_ (.A(_01575_),
     .X(_01653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05316_ (.A1(_01638_),
-    .A2(\u_m0_res_fifo.mem[3][19] ),
-    .B1(_01651_),
-    .C1(_01653_),
+ sky130_fd_sc_hd__buf_2 _06758_ (.A(_01488_),
     .X(_01654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05317_ (.A(_01557_),
+ sky130_fd_sc_hd__buf_2 _06759_ (.A(_01654_),
     .X(_01655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05318_ (.A(_01655_),
-    .B(\u_m0_res_fifo.mem[0][19] ),
+ sky130_fd_sc_hd__buf_2 _06760_ (.A(_01655_),
     .X(_01656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05319_ (.A1(_01642_),
-    .A2(\u_m0_res_fifo.mem[1][19] ),
-    .B1(_01644_),
-    .C1(_01656_),
+ sky130_fd_sc_hd__or2_4 _06761_ (.A(_01656_),
+    .B(\u_m1_res_fifo.mem[0][29] ),
     .X(_01657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05320_ (.A(_01561_),
+ sky130_fd_sc_hd__buf_2 _06762_ (.A(_01494_),
     .X(_01658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05321_ (.A(_01564_),
+ sky130_fd_sc_hd__buf_2 _06763_ (.A(_01658_),
     .X(_01659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05322_ (.A1(_01654_),
-    .A2(_01657_),
-    .A3(_01658_),
-    .B1(\u_spim_regs.spim_reg_rdata[19] ),
-    .B2(_01659_),
+ sky130_fd_sc_hd__buf_2 _06764_ (.A(_01659_),
     .X(_01660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05323_ (.A1(wbd_dat_o[19]),
-    .A2(_01648_),
-    .B1(_01649_),
-    .B2(_01660_),
-    .X(_00863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05324_ (.A(_01652_),
-    .B(\u_m0_res_fifo.mem[2][18] ),
+ sky130_fd_sc_hd__or2_4 _06765_ (.A(_01660_),
+    .B(\u_m1_res_fifo.mem[1][29] ),
     .X(_01661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05325_ (.A1(_01638_),
-    .A2(\u_m0_res_fifo.mem[3][18] ),
-    .B1(_01651_),
-    .C1(_01661_),
+ sky130_fd_sc_hd__and3_4 _06766_ (.A(_01653_),
+    .B(_01657_),
+    .C(_01661_),
     .X(_01662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05326_ (.A(_01655_),
-    .B(\u_m0_res_fifo.mem[0][18] ),
+ sky130_fd_sc_hd__buf_2 _06767_ (.A(_01644_),
     .X(_01663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05327_ (.A1(_01642_),
-    .A2(\u_m0_res_fifo.mem[1][18] ),
-    .B1(_01644_),
-    .C1(_01663_),
+ sky130_fd_sc_hd__buf_2 _06768_ (.A(_01580_),
     .X(_01664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05328_ (.A1(_01662_),
-    .A2(_01664_),
-    .A3(_01658_),
-    .B1(\u_spim_regs.spim_reg_rdata[18] ),
-    .B2(_01659_),
+ sky130_fd_sc_hd__or2_4 _06769_ (.A(_01664_),
+    .B(\u_m1_res_fifo.mem[3][29] ),
     .X(_01665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05329_ (.A1(wbd_dat_o[18]),
-    .A2(_01648_),
-    .B1(_01649_),
-    .B2(_01665_),
-    .X(_00862_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05330_ (.A(_01652_),
-    .B(\u_m0_res_fifo.mem[2][17] ),
+ sky130_fd_sc_hd__buf_2 _06770_ (.A(_01577_),
     .X(_01666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05331_ (.A1(_01638_),
-    .A2(\u_m0_res_fifo.mem[3][17] ),
-    .B1(_01651_),
-    .C1(_01666_),
+ sky130_fd_sc_hd__or2_4 _06771_ (.A(_01666_),
+    .B(\u_m1_res_fifo.mem[2][29] ),
     .X(_01667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05332_ (.A(_01655_),
-    .B(\u_m0_res_fifo.mem[0][17] ),
+ sky130_fd_sc_hd__and3_4 _06772_ (.A(_01663_),
+    .B(_01665_),
+    .C(_01667_),
     .X(_01668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05333_ (.A1(_01642_),
-    .A2(\u_m0_res_fifo.mem[1][17] ),
-    .B1(_01644_),
-    .C1(_01668_),
+ sky130_fd_sc_hd__or3_4 _06773_ (.A(_01652_),
+    .B(_01662_),
+    .C(_01668_),
     .X(_01669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05334_ (.A1(_01667_),
-    .A2(_01669_),
-    .A3(_01658_),
-    .B1(\u_spim_regs.spim_reg_rdata[17] ),
-    .B2(_01659_),
+ sky130_fd_sc_hd__buf_2 _06774_ (.A(_01593_),
     .X(_01670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05335_ (.A1(wbd_dat_o[17]),
-    .A2(_01648_),
-    .B1(_01649_),
-    .B2(_01670_),
-    .X(_00861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05336_ (.A(_01637_),
+ sky130_fd_sc_hd__and3_4 _06775_ (.A(_01651_),
+    .B(_01669_),
+    .C(_01670_),
     .X(_01671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05337_ (.A(_01652_),
-    .B(\u_m0_res_fifo.mem[2][16] ),
-    .X(_01672_),
+ sky130_fd_sc_hd__inv_2 _06776_ (.A(_01671_),
+    .Y(_01672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05338_ (.A1(_01671_),
-    .A2(\u_m0_res_fifo.mem[3][16] ),
-    .B1(_01651_),
-    .C1(_01672_),
+ sky130_fd_sc_hd__and3_4 _06777_ (.A(_01629_),
+    .B(_01635_),
+    .C(_01672_),
     .X(_01673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05339_ (.A(_01641_),
+ sky130_fd_sc_hd__a2bb2o_4 _06778_ (.A1_N(_01622_),
+    .A2_N(_01673_),
+    .B1(\u_spim_regs.spim_reg_rdata[29] ),
+    .B2(_01622_),
+    .X(_01268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06779_ (.A(_01633_),
     .X(_01674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05340_ (.A(_01643_),
-    .X(_01675_),
+ sky130_fd_sc_hd__nor2_4 _06780_ (.A(_01446_),
+    .B(_01674_),
+    .Y(_01675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05341_ (.A(_01655_),
-    .B(\u_m0_res_fifo.mem[0][16] ),
-    .X(_01676_),
+ sky130_fd_sc_hd__inv_2 _06781_ (.A(\u_spim_regs.cfg_m1_addr[28] ),
+    .Y(_01676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05342_ (.A1(_01674_),
-    .A2(\u_m0_res_fifo.mem[1][16] ),
-    .B1(_01675_),
-    .C1(_01676_),
+ sky130_fd_sc_hd__buf_2 _06782_ (.A(_01514_),
     .X(_01677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05343_ (.A1(_01673_),
-    .A2(_01677_),
-    .A3(_01658_),
-    .B1(\u_spim_regs.spim_reg_rdata[16] ),
-    .B2(_01659_),
+ sky130_fd_sc_hd__buf_2 _06783_ (.A(_01677_),
     .X(_01678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05344_ (.A1(wbd_dat_o[16]),
-    .A2(_01648_),
-    .B1(_01649_),
-    .B2(_01678_),
-    .X(_00860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05345_ (.A(_01537_),
+ sky130_fd_sc_hd__buf_2 _06784_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
     .X(_01679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05346_ (.A(_01679_),
+ sky130_fd_sc_hd__buf_2 _06785_ (.A(_01519_),
     .X(_01680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05347_ (.A(_01540_),
+ sky130_fd_sc_hd__a2bb2o_4 _06786_ (.A1_N(_01676_),
+    .A2_N(_01678_),
+    .B1(_01679_),
+    .B2(_01680_),
     .X(_01681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05348_ (.A(_01681_),
-    .X(_01682_),
+ sky130_fd_sc_hd__inv_2 _06787_ (.A(_01285_),
+    .Y(_01682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05349_ (.A(_01650_),
+ sky130_fd_sc_hd__or2_4 _06788_ (.A(_01682_),
+    .B(_01288_),
     .X(_01683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05350_ (.A(_01548_),
-    .X(_01684_),
+ sky130_fd_sc_hd__nor2_4 _06789_ (.A(_01545_),
+    .B(_01683_),
+    .Y(_01684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05351_ (.A(_01684_),
+ sky130_fd_sc_hd__buf_2 _06790_ (.A(_01572_),
     .X(_01685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05352_ (.A(_01685_),
-    .B(\u_m0_res_fifo.mem[2][15] ),
+ sky130_fd_sc_hd__buf_2 _06791_ (.A(_01493_),
     .X(_01686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05353_ (.A1(_01671_),
-    .A2(\u_m0_res_fifo.mem[3][15] ),
-    .B1(_01683_),
-    .C1(_01686_),
+ sky130_fd_sc_hd__buf_2 _06792_ (.A(_01686_),
     .X(_01687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05354_ (.A(_01548_),
+ sky130_fd_sc_hd__buf_2 _06793_ (.A(_01654_),
     .X(_01688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05355_ (.A(_01688_),
+ sky130_fd_sc_hd__buf_2 _06794_ (.A(_01688_),
     .X(_01689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05356_ (.A(_01689_),
-    .B(\u_m0_res_fifo.mem[0][15] ),
+ sky130_fd_sc_hd__or2_4 _06795_ (.A(_01689_),
+    .B(\u_m1_res_fifo.mem[4][28] ),
     .X(_01690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05357_ (.A1(_01674_),
-    .A2(\u_m0_res_fifo.mem[1][15] ),
-    .B1(_01675_),
-    .C1(_01690_),
+ sky130_fd_sc_hd__buf_2 _06796_ (.A(_01658_),
     .X(_01691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05358_ (.A(_01534_),
+ sky130_fd_sc_hd__buf_2 _06797_ (.A(_01691_),
     .X(_01692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05359_ (.A(_01692_),
+ sky130_fd_sc_hd__or2_4 _06798_ (.A(_01692_),
+    .B(\u_m1_res_fifo.mem[5][28] ),
     .X(_01693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05360_ (.A(_01563_),
+ sky130_fd_sc_hd__and3_4 _06799_ (.A(_01687_),
+    .B(_01690_),
+    .C(_01693_),
     .X(_01694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05361_ (.A(_01694_),
+ sky130_fd_sc_hd__buf_2 _06800_ (.A(_01562_),
     .X(_01695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05362_ (.A1(_01687_),
-    .A2(_01691_),
-    .A3(_01693_),
-    .B1(\u_spim_regs.spim_reg_rdata[15] ),
-    .B2(_01695_),
+ sky130_fd_sc_hd__buf_2 _06801_ (.A(_01695_),
     .X(_01696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05363_ (.A1(wbd_dat_o[15]),
-    .A2(_01680_),
-    .B1(_01682_),
-    .B2(_01696_),
-    .X(_00859_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05364_ (.A(_01685_),
-    .B(\u_m0_res_fifo.mem[2][14] ),
+ sky130_fd_sc_hd__buf_2 _06802_ (.A(_01658_),
     .X(_01697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05365_ (.A1(_01671_),
-    .A2(\u_m0_res_fifo.mem[3][14] ),
-    .B1(_01683_),
-    .C1(_01697_),
+ sky130_fd_sc_hd__buf_2 _06803_ (.A(_01697_),
     .X(_01698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05366_ (.A(_01689_),
-    .B(\u_m0_res_fifo.mem[0][14] ),
+ sky130_fd_sc_hd__or2_4 _06804_ (.A(_01698_),
+    .B(\u_m1_res_fifo.mem[7][28] ),
     .X(_01699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05367_ (.A1(_01674_),
-    .A2(\u_m0_res_fifo.mem[1][14] ),
-    .B1(_01675_),
-    .C1(_01699_),
+ sky130_fd_sc_hd__buf_2 _06805_ (.A(_01654_),
     .X(_01700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05368_ (.A1(_01698_),
-    .A2(_01700_),
-    .A3(_01693_),
-    .B1(\u_spim_regs.spim_reg_rdata[14] ),
-    .B2(_01695_),
+ sky130_fd_sc_hd__buf_2 _06806_ (.A(_01700_),
     .X(_01701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05369_ (.A1(wbd_dat_o[14]),
-    .A2(_01680_),
-    .B1(_01682_),
-    .B2(_01701_),
-    .X(_00858_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05370_ (.A(_01685_),
-    .B(\u_m0_res_fifo.mem[2][13] ),
+ sky130_fd_sc_hd__or2_4 _06807_ (.A(_01701_),
+    .B(\u_m1_res_fifo.mem[6][28] ),
     .X(_01702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05371_ (.A1(_01671_),
-    .A2(\u_m0_res_fifo.mem[3][13] ),
-    .B1(_01683_),
-    .C1(_01702_),
+ sky130_fd_sc_hd__and3_4 _06808_ (.A(_01696_),
+    .B(_01699_),
+    .C(_01702_),
     .X(_01703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05372_ (.A(_01689_),
-    .B(\u_m0_res_fifo.mem[0][13] ),
+ sky130_fd_sc_hd__or3_4 _06809_ (.A(_01685_),
+    .B(_01694_),
+    .C(_01703_),
     .X(_01704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05373_ (.A1(_01674_),
-    .A2(\u_m0_res_fifo.mem[1][13] ),
-    .B1(_01675_),
-    .C1(_01704_),
+ sky130_fd_sc_hd__buf_2 _06810_ (.A(\u_m1_res_fifo.rd_ptr[2] ),
     .X(_01705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05374_ (.A1(_01703_),
-    .A2(_01705_),
-    .A3(_01693_),
-    .B1(\u_spim_regs.spim_reg_rdata[13] ),
-    .B2(_01695_),
+ sky130_fd_sc_hd__buf_2 _06811_ (.A(_01705_),
     .X(_01706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05375_ (.A1(wbd_dat_o[13]),
-    .A2(_01680_),
-    .B1(_01682_),
-    .B2(_01706_),
-    .X(_00857_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05376_ (.A(_01637_),
+ sky130_fd_sc_hd__buf_2 _06812_ (.A(_01686_),
     .X(_01707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05377_ (.A(_01685_),
-    .B(\u_m0_res_fifo.mem[2][12] ),
+ sky130_fd_sc_hd__buf_2 _06813_ (.A(_01688_),
     .X(_01708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05378_ (.A1(_01707_),
-    .A2(\u_m0_res_fifo.mem[3][12] ),
-    .B1(_01683_),
-    .C1(_01708_),
+ sky130_fd_sc_hd__or2_4 _06814_ (.A(_01708_),
+    .B(\u_m1_res_fifo.mem[0][28] ),
     .X(_01709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05379_ (.A(_01641_),
+ sky130_fd_sc_hd__buf_2 _06815_ (.A(_01691_),
     .X(_01710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05380_ (.A(_01643_),
+ sky130_fd_sc_hd__or2_4 _06816_ (.A(_01710_),
+    .B(\u_m1_res_fifo.mem[1][28] ),
     .X(_01711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05381_ (.A(_01689_),
-    .B(\u_m0_res_fifo.mem[0][12] ),
+ sky130_fd_sc_hd__and3_4 _06817_ (.A(_01707_),
+    .B(_01709_),
+    .C(_01711_),
     .X(_01712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05382_ (.A1(_01710_),
-    .A2(\u_m0_res_fifo.mem[1][12] ),
-    .B1(_01711_),
-    .C1(_01712_),
+ sky130_fd_sc_hd__buf_2 _06818_ (.A(_01562_),
     .X(_01713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05383_ (.A1(_01709_),
-    .A2(_01713_),
-    .A3(_01693_),
-    .B1(\u_spim_regs.spim_reg_rdata[12] ),
-    .B2(_01695_),
+ sky130_fd_sc_hd__buf_2 _06819_ (.A(_01713_),
     .X(_01714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05384_ (.A1(wbd_dat_o[12]),
-    .A2(_01680_),
-    .B1(_01682_),
-    .B2(_01714_),
-    .X(_00856_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05385_ (.A(_01679_),
+ sky130_fd_sc_hd__buf_2 _06820_ (.A(_01691_),
     .X(_01715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05386_ (.A(_01681_),
+ sky130_fd_sc_hd__or2_4 _06821_ (.A(_01715_),
+    .B(\u_m1_res_fifo.mem[3][28] ),
     .X(_01716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05387_ (.A(_01650_),
+ sky130_fd_sc_hd__buf_2 _06822_ (.A(_01688_),
     .X(_01717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05388_ (.A(_01684_),
+ sky130_fd_sc_hd__or2_4 _06823_ (.A(_01717_),
+    .B(\u_m1_res_fifo.mem[2][28] ),
     .X(_01718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05389_ (.A(_01718_),
-    .B(\u_m0_res_fifo.mem[2][11] ),
+ sky130_fd_sc_hd__and3_4 _06824_ (.A(_01714_),
+    .B(_01716_),
+    .C(_01718_),
     .X(_01719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05390_ (.A1(_01707_),
-    .A2(\u_m0_res_fifo.mem[3][11] ),
-    .B1(_01717_),
-    .C1(_01719_),
+ sky130_fd_sc_hd__or3_4 _06825_ (.A(_01706_),
+    .B(_01712_),
+    .C(_01719_),
     .X(_01720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05391_ (.A(_01688_),
+ sky130_fd_sc_hd__buf_2 _06826_ (.A(_01592_),
     .X(_01721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05392_ (.A(_01721_),
-    .B(\u_m0_res_fifo.mem[0][11] ),
+ sky130_fd_sc_hd__and3_4 _06827_ (.A(_01704_),
+    .B(_01720_),
+    .C(_01721_),
     .X(_01722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05393_ (.A1(_01710_),
-    .A2(\u_m0_res_fifo.mem[1][11] ),
-    .B1(_01711_),
-    .C1(_01722_),
+ sky130_fd_sc_hd__or4_4 _06828_ (.A(_01675_),
+    .B(_01681_),
+    .C(_01684_),
+    .D(_01722_),
     .X(_01723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05394_ (.A(_01692_),
-    .X(_01724_),
+ sky130_fd_sc_hd__inv_2 _06829_ (.A(_01723_),
+    .Y(_01724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05395_ (.A(_01694_),
+ sky130_fd_sc_hd__a2bb2o_4 _06830_ (.A1_N(_01622_),
+    .A2_N(_01724_),
+    .B1(\u_spim_regs.spim_reg_rdata[28] ),
+    .B2(_01622_),
+    .X(_01267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06831_ (.A(_01621_),
     .X(_01725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05396_ (.A1(_01720_),
-    .A2(_01723_),
-    .A3(_01724_),
-    .B1(\u_spim_regs.spim_reg_rdata[11] ),
-    .B2(_01725_),
-    .X(_01726_),
+ sky130_fd_sc_hd__nor2_4 _06832_ (.A(_01451_),
+    .B(_01674_),
+    .Y(_01726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05397_ (.A1(wbd_dat_o[11]),
-    .A2(_01715_),
-    .B1(_01716_),
-    .B2(_01726_),
-    .X(_00855_),
+ sky130_fd_sc_hd__inv_2 _06833_ (.A(\u_spim_regs.cfg_m1_addr[27] ),
+    .Y(_01727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05398_ (.A(_01718_),
-    .B(\u_m0_res_fifo.mem[2][10] ),
-    .X(_01727_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05399_ (.A1(_01707_),
-    .A2(\u_m0_res_fifo.mem[3][10] ),
-    .B1(_01717_),
-    .C1(_01727_),
+ sky130_fd_sc_hd__buf_2 _06834_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
     .X(_01728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05400_ (.A(_01721_),
-    .B(\u_m0_res_fifo.mem[0][10] ),
+ sky130_fd_sc_hd__a2bb2o_4 _06835_ (.A1_N(_01727_),
+    .A2_N(_01678_),
+    .B1(_01728_),
+    .B2(_01680_),
     .X(_01729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05401_ (.A1(_01710_),
-    .A2(\u_m0_res_fifo.mem[1][10] ),
-    .B1(_01711_),
-    .C1(_01729_),
+ sky130_fd_sc_hd__buf_2 _06836_ (.A(_01289_),
     .X(_01730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05402_ (.A1(_01728_),
-    .A2(_01730_),
-    .A3(_01724_),
-    .B1(\u_spim_regs.spim_reg_rdata[10] ),
-    .B2(_01725_),
+ sky130_fd_sc_hd__buf_2 _06837_ (.A(_01545_),
     .X(_01731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05403_ (.A1(wbd_dat_o[10]),
-    .A2(_01715_),
-    .B1(_01716_),
-    .B2(_01731_),
-    .X(_00854_),
+ sky130_fd_sc_hd__nor2_4 _06838_ (.A(_01730_),
+    .B(_01731_),
+    .Y(_01732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05404_ (.A(_01718_),
-    .B(\u_m0_res_fifo.mem[2][9] ),
-    .X(_01732_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05405_ (.A1(_01707_),
-    .A2(\u_m0_res_fifo.mem[3][9] ),
-    .B1(_01717_),
-    .C1(_01732_),
+ sky130_fd_sc_hd__or2_4 _06839_ (.A(_01689_),
+    .B(\u_m1_res_fifo.mem[4][27] ),
     .X(_01733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05406_ (.A(_01721_),
-    .B(\u_m0_res_fifo.mem[0][9] ),
+ sky130_fd_sc_hd__buf_2 _06840_ (.A(_01691_),
     .X(_01734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05407_ (.A1(_01710_),
-    .A2(\u_m0_res_fifo.mem[1][9] ),
-    .B1(_01711_),
-    .C1(_01734_),
+ sky130_fd_sc_hd__or2_4 _06841_ (.A(_01734_),
+    .B(\u_m1_res_fifo.mem[5][27] ),
     .X(_01735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05408_ (.A1(_01733_),
-    .A2(_01735_),
-    .A3(_01724_),
-    .B1(\u_spim_regs.spim_reg_rdata[9] ),
-    .B2(_01725_),
+ sky130_fd_sc_hd__and3_4 _06842_ (.A(_01687_),
+    .B(_01733_),
+    .C(_01735_),
     .X(_01736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05409_ (.A1(wbd_dat_o[9]),
-    .A2(_01715_),
-    .B1(_01716_),
-    .B2(_01736_),
-    .X(_00853_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05410_ (.A(_01637_),
+ sky130_fd_sc_hd__or2_4 _06843_ (.A(_01698_),
+    .B(\u_m1_res_fifo.mem[7][27] ),
     .X(_01737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05411_ (.A(_01718_),
-    .B(\u_m0_res_fifo.mem[2][8] ),
+ sky130_fd_sc_hd__or2_4 _06844_ (.A(_01701_),
+    .B(\u_m1_res_fifo.mem[6][27] ),
     .X(_01738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05412_ (.A1(_01737_),
-    .A2(\u_m0_res_fifo.mem[3][8] ),
-    .B1(_01717_),
-    .C1(_01738_),
+ sky130_fd_sc_hd__and3_4 _06845_ (.A(_01696_),
+    .B(_01737_),
+    .C(_01738_),
     .X(_01739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05413_ (.A(_01641_),
+ sky130_fd_sc_hd__or3_4 _06846_ (.A(_01685_),
+    .B(_01736_),
+    .C(_01739_),
     .X(_01740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05414_ (.A(_01643_),
+ sky130_fd_sc_hd__buf_2 _06847_ (.A(_01488_),
     .X(_01741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05415_ (.A(_01721_),
-    .B(\u_m0_res_fifo.mem[0][8] ),
+ sky130_fd_sc_hd__buf_2 _06848_ (.A(_01741_),
     .X(_01742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05416_ (.A1(_01740_),
-    .A2(\u_m0_res_fifo.mem[1][8] ),
-    .B1(_01741_),
-    .C1(_01742_),
+ sky130_fd_sc_hd__or2_4 _06849_ (.A(_01742_),
+    .B(\u_m1_res_fifo.mem[0][27] ),
     .X(_01743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05417_ (.A1(_01739_),
-    .A2(_01743_),
-    .A3(_01724_),
-    .B1(\u_spim_regs.spim_reg_rdata[8] ),
-    .B2(_01725_),
+ sky130_fd_sc_hd__buf_2 _06850_ (.A(_01494_),
     .X(_01744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05418_ (.A1(wbd_dat_o[8]),
-    .A2(_01715_),
-    .B1(_01716_),
-    .B2(_01744_),
-    .X(_00852_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05419_ (.A(_01679_),
+ sky130_fd_sc_hd__buf_2 _06851_ (.A(_01744_),
     .X(_01745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05420_ (.A(_01681_),
+ sky130_fd_sc_hd__or2_4 _06852_ (.A(_01745_),
+    .B(\u_m1_res_fifo.mem[1][27] ),
     .X(_01746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05421_ (.A(_01650_),
+ sky130_fd_sc_hd__and3_4 _06853_ (.A(_01707_),
+    .B(_01743_),
+    .C(_01746_),
     .X(_01747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05422_ (.A(_01684_),
+ sky130_fd_sc_hd__or2_4 _06854_ (.A(_01715_),
+    .B(\u_m1_res_fifo.mem[3][27] ),
     .X(_01748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05423_ (.A(_01748_),
-    .B(\u_m0_res_fifo.mem[2][7] ),
+ sky130_fd_sc_hd__buf_2 _06855_ (.A(_01688_),
     .X(_01749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05424_ (.A1(_01737_),
-    .A2(\u_m0_res_fifo.mem[3][7] ),
-    .B1(_01747_),
-    .C1(_01749_),
+ sky130_fd_sc_hd__or2_4 _06856_ (.A(_01749_),
+    .B(\u_m1_res_fifo.mem[2][27] ),
     .X(_01750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05425_ (.A(_01688_),
+ sky130_fd_sc_hd__and3_4 _06857_ (.A(_01714_),
+    .B(_01748_),
+    .C(_01750_),
     .X(_01751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05426_ (.A(_01751_),
-    .B(\u_m0_res_fifo.mem[0][7] ),
+ sky130_fd_sc_hd__or3_4 _06858_ (.A(_01706_),
+    .B(_01747_),
+    .C(_01751_),
     .X(_01752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05427_ (.A1(_01740_),
-    .A2(\u_m0_res_fifo.mem[1][7] ),
-    .B1(_01741_),
-    .C1(_01752_),
+ sky130_fd_sc_hd__and3_4 _06859_ (.A(_01740_),
+    .B(_01752_),
+    .C(_01721_),
     .X(_01753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05428_ (.A(_01692_),
+ sky130_fd_sc_hd__or4_4 _06860_ (.A(_01726_),
+    .B(_01729_),
+    .C(_01732_),
+    .D(_01753_),
     .X(_01754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05429_ (.A(_01694_),
-    .X(_01755_),
+ sky130_fd_sc_hd__inv_2 _06861_ (.A(_01754_),
+    .Y(_01755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05430_ (.A1(_01750_),
-    .A2(_01753_),
-    .A3(_01754_),
-    .B1(\u_spim_regs.spim_reg_rdata[7] ),
-    .B2(_01755_),
-    .X(_01756_),
+ sky130_fd_sc_hd__a2bb2o_4 _06862_ (.A1_N(_01725_),
+    .A2_N(_01755_),
+    .B1(\u_spim_regs.spim_reg_rdata[27] ),
+    .B2(_01725_),
+    .X(_01266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05431_ (.A1(wbd_dat_o[7]),
-    .A2(_01745_),
-    .B1(_01746_),
-    .B2(_01756_),
-    .X(_00851_),
+ sky130_fd_sc_hd__inv_2 _06863_ (.A(\u_spim_regs.spim_reg_rdata[26] ),
+    .Y(_01756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05432_ (.A(_01748_),
-    .B(\u_m0_res_fifo.mem[2][6] ),
+ sky130_fd_sc_hd__buf_2 _06864_ (.A(_01503_),
     .X(_01757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05433_ (.A1(_01737_),
-    .A2(\u_m0_res_fifo.mem[3][6] ),
-    .B1(_01747_),
-    .C1(_01757_),
-    .X(_01758_),
+ sky130_fd_sc_hd__inv_2 _06865_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .Y(_01758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05434_ (.A(_01751_),
-    .B(\u_m0_res_fifo.mem[0][6] ),
-    .X(_01759_),
+ sky130_fd_sc_hd__nor2_4 _06866_ (.A(_01758_),
+    .B(_01510_),
+    .Y(_01759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05435_ (.A1(_01740_),
-    .A2(\u_m0_res_fifo.mem[1][6] ),
-    .B1(_01741_),
-    .C1(_01759_),
-    .X(_01760_),
+ sky130_fd_sc_hd__inv_2 _06867_ (.A(\u_spim_regs.cfg_m1_addr[26] ),
+    .Y(_01760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05436_ (.A1(_01758_),
-    .A2(_01760_),
-    .A3(_01754_),
-    .B1(\u_spim_regs.spim_reg_rdata[6] ),
-    .B2(_01755_),
+ sky130_fd_sc_hd__buf_2 _06868_ (.A(_01515_),
     .X(_01761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05437_ (.A1(wbd_dat_o[6]),
-    .A2(_01745_),
-    .B1(_01746_),
-    .B2(_01761_),
-    .X(_00850_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05438_ (.A(_01748_),
-    .B(\u_m0_res_fifo.mem[2][5] ),
+ sky130_fd_sc_hd__buf_2 _06869_ (.A(\u_spim_regs.cfg_m1_data_cnt[2] ),
     .X(_01762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05439_ (.A1(_01737_),
-    .A2(\u_m0_res_fifo.mem[3][5] ),
-    .B1(_01747_),
-    .C1(_01762_),
+ sky130_fd_sc_hd__buf_2 _06870_ (.A(_01519_),
     .X(_01763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05440_ (.A(_01751_),
-    .B(\u_m0_res_fifo.mem[0][5] ),
+ sky130_fd_sc_hd__a2bb2o_4 _06871_ (.A1_N(_01760_),
+    .A2_N(_01761_),
+    .B1(_01762_),
+    .B2(_01763_),
     .X(_01764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05441_ (.A1(_01740_),
-    .A2(\u_m0_res_fifo.mem[1][5] ),
-    .B1(_01741_),
-    .C1(_01764_),
+ sky130_fd_sc_hd__buf_2 _06872_ (.A(_01545_),
     .X(_01765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05442_ (.A1(_01763_),
-    .A2(_01765_),
-    .A3(_01754_),
-    .B1(\u_spim_regs.spim_reg_rdata[5] ),
-    .B2(_01755_),
-    .X(_01766_),
+ sky130_fd_sc_hd__inv_2 _06873_ (.A(_01412_),
+    .Y(_01766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05443_ (.A1(wbd_dat_o[5]),
-    .A2(_01745_),
-    .B1(_01746_),
-    .B2(_01766_),
-    .X(_00849_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05444_ (.A(_01553_),
+ sky130_fd_sc_hd__or2_4 _06874_ (.A(_01766_),
+    .B(_01422_),
     .X(_01767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05445_ (.A(_01748_),
-    .B(\u_m0_res_fifo.mem[2][4] ),
-    .X(_01768_),
+ sky130_fd_sc_hd__nor2_4 _06875_ (.A(_01765_),
+    .B(_01767_),
+    .Y(_01768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05446_ (.A1(_01767_),
-    .A2(\u_m0_res_fifo.mem[3][4] ),
-    .B1(_01747_),
-    .C1(_01768_),
+ sky130_fd_sc_hd__buf_2 _06876_ (.A(_01551_),
     .X(_01769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05447_ (.A(_01543_),
+ sky130_fd_sc_hd__or2_4 _06877_ (.A(_01555_),
+    .B(\u_m1_res_fifo.mem[0][26] ),
     .X(_01770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05448_ (.A(_01167_),
+ sky130_fd_sc_hd__or2_4 _06878_ (.A(_01559_),
+    .B(\u_m1_res_fifo.mem[1][26] ),
     .X(_01771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05449_ (.A(_01751_),
-    .B(\u_m0_res_fifo.mem[0][4] ),
+ sky130_fd_sc_hd__and3_4 _06879_ (.A(_01769_),
+    .B(_01770_),
+    .C(_01771_),
     .X(_01772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05450_ (.A1(_01770_),
-    .A2(\u_m0_res_fifo.mem[1][4] ),
-    .B1(_01771_),
-    .C1(_01772_),
+ sky130_fd_sc_hd__or2_4 _06880_ (.A(_01565_),
+    .B(\u_m1_res_fifo.mem[3][26] ),
     .X(_01773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05451_ (.A1(_01769_),
-    .A2(_01773_),
-    .A3(_01754_),
-    .B1(\u_spim_regs.spim_reg_rdata[4] ),
-    .B2(_01755_),
+ sky130_fd_sc_hd__or2_4 _06881_ (.A(_01568_),
+    .B(\u_m1_res_fifo.mem[2][26] ),
     .X(_01774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05452_ (.A1(wbd_dat_o[4]),
-    .A2(_01745_),
-    .B1(_01746_),
-    .B2(_01774_),
-    .X(_00848_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05453_ (.A(_01679_),
+ sky130_fd_sc_hd__and3_4 _06882_ (.A(_01564_),
+    .B(_01773_),
+    .C(_01774_),
     .X(_01775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05454_ (.A(_01681_),
+ sky130_fd_sc_hd__or3_4 _06883_ (.A(_01549_),
+    .B(_01772_),
+    .C(_01775_),
     .X(_01776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05455_ (.A(_01169_),
+ sky130_fd_sc_hd__buf_2 _06884_ (.A(_01636_),
     .X(_01777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05456_ (.A(_01684_),
+ sky130_fd_sc_hd__buf_2 _06885_ (.A(_01575_),
     .X(_01778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05457_ (.A(_01778_),
-    .B(\u_m0_res_fifo.mem[2][3] ),
+ sky130_fd_sc_hd__buf_2 _06886_ (.A(_01577_),
     .X(_01779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05458_ (.A1(_01767_),
-    .A2(\u_m0_res_fifo.mem[3][3] ),
-    .B1(_01777_),
-    .C1(_01779_),
+ sky130_fd_sc_hd__or2_4 _06887_ (.A(_01779_),
+    .B(\u_m1_res_fifo.mem[4][26] ),
     .X(_01780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05459_ (.A(_01688_),
+ sky130_fd_sc_hd__buf_2 _06888_ (.A(_01580_),
     .X(_01781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05460_ (.A(_01781_),
-    .B(\u_m0_res_fifo.mem[0][3] ),
+ sky130_fd_sc_hd__or2_4 _06889_ (.A(_01781_),
+    .B(\u_m1_res_fifo.mem[5][26] ),
     .X(_01782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05461_ (.A1(_01770_),
-    .A2(\u_m0_res_fifo.mem[1][3] ),
-    .B1(_01771_),
-    .C1(_01782_),
+ sky130_fd_sc_hd__and3_4 _06890_ (.A(_01778_),
+    .B(_01780_),
+    .C(_01782_),
     .X(_01783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05462_ (.A(_01692_),
+ sky130_fd_sc_hd__or2_4 _06891_ (.A(_01586_),
+    .B(\u_m1_res_fifo.mem[7][26] ),
     .X(_01784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05463_ (.A(_01694_),
+ sky130_fd_sc_hd__or2_4 _06892_ (.A(_01588_),
+    .B(\u_m1_res_fifo.mem[6][26] ),
     .X(_01785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05464_ (.A1(_01780_),
-    .A2(_01783_),
-    .A3(_01784_),
-    .B1(\u_spim_regs.spim_reg_rdata[3] ),
-    .B2(_01785_),
+ sky130_fd_sc_hd__and3_4 _06893_ (.A(_01584_),
+    .B(_01784_),
+    .C(_01785_),
     .X(_01786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05465_ (.A1(wbd_dat_o[3]),
-    .A2(_01775_),
-    .B1(_01776_),
-    .B2(_01786_),
-    .X(_00847_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05466_ (.A(_01778_),
-    .B(\u_m0_res_fifo.mem[2][2] ),
+ sky130_fd_sc_hd__or3_4 _06894_ (.A(_01777_),
+    .B(_01783_),
+    .C(_01786_),
     .X(_01787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05467_ (.A1(_01767_),
-    .A2(\u_m0_res_fifo.mem[3][2] ),
-    .B1(_01777_),
-    .C1(_01787_),
+ sky130_fd_sc_hd__and3_4 _06895_ (.A(_01776_),
+    .B(_01787_),
+    .C(_01594_),
     .X(_01788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05468_ (.A(_01781_),
-    .B(\u_m0_res_fifo.mem[0][2] ),
+ sky130_fd_sc_hd__or4_4 _06896_ (.A(_01759_),
+    .B(_01764_),
+    .C(_01768_),
+    .D(_01788_),
     .X(_01789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05469_ (.A1(_01770_),
-    .A2(\u_m0_res_fifo.mem[1][2] ),
-    .B1(_01771_),
-    .C1(_01789_),
-    .X(_01790_),
+ sky130_fd_sc_hd__a2bb2o_4 _06897_ (.A1_N(_01756_),
+    .A2_N(_01757_),
+    .B1(_01757_),
+    .B2(_01789_),
+    .X(_01265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05470_ (.A1(_01788_),
-    .A2(_01790_),
-    .A3(_01784_),
-    .B1(\u_spim_regs.spim_reg_rdata[2] ),
-    .B2(_01785_),
-    .X(_01791_),
+ sky130_fd_sc_hd__inv_2 _06898_ (.A(\u_spim_regs.spim_reg_rdata[25] ),
+    .Y(_01790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05471_ (.A1(wbd_dat_o[2]),
-    .A2(_01775_),
-    .B1(_01776_),
-    .B2(_01791_),
-    .X(_00846_),
+ sky130_fd_sc_hd__inv_2 _06899_ (.A(\u_spim_regs.cfg_m0_data_cnt[1] ),
+    .Y(_01791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05472_ (.A(_01778_),
-    .B(\u_m0_res_fifo.mem[2][1] ),
+ sky130_fd_sc_hd__buf_2 _06900_ (.A(_01509_),
     .X(_01792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05473_ (.A1(_01767_),
-    .A2(\u_m0_res_fifo.mem[3][1] ),
-    .B1(_01777_),
-    .C1(_01792_),
-    .X(_01793_),
+ sky130_fd_sc_hd__nor2_4 _06901_ (.A(_01791_),
+    .B(_01792_),
+    .Y(_01793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05474_ (.A(_01781_),
-    .B(\u_m0_res_fifo.mem[0][1] ),
-    .X(_01794_),
+ sky130_fd_sc_hd__inv_2 _06902_ (.A(\u_spim_regs.cfg_m1_addr[25] ),
+    .Y(_01794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05475_ (.A1(_01770_),
-    .A2(\u_m0_res_fifo.mem[1][1] ),
-    .B1(_01771_),
-    .C1(_01794_),
+ sky130_fd_sc_hd__buf_2 _06903_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
     .X(_01795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05476_ (.A1(_01793_),
-    .A2(_01795_),
-    .A3(_01784_),
-    .B1(\u_spim_regs.spim_reg_rdata[1] ),
-    .B2(_01785_),
+ sky130_fd_sc_hd__a2bb2o_4 _06904_ (.A1_N(_01794_),
+    .A2_N(_01761_),
+    .B1(_01795_),
+    .B2(_01763_),
     .X(_01796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05477_ (.A1(wbd_dat_o[1]),
-    .A2(_01775_),
-    .B1(_01776_),
-    .B2(_01796_),
-    .X(_00845_),
+ sky130_fd_sc_hd__nor2_4 _06905_ (.A(_01423_),
+    .B(_01546_),
+    .Y(_01797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05478_ (.A(_01778_),
-    .B(\u_m0_res_fifo.mem[2][0] ),
-    .X(_01797_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05479_ (.A1(_01554_),
-    .A2(\u_m0_res_fifo.mem[3][0] ),
-    .B1(_01777_),
-    .C1(_01797_),
+ sky130_fd_sc_hd__buf_2 _06906_ (.A(_01554_),
     .X(_01798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05480_ (.A(_01781_),
-    .B(\u_m0_res_fifo.mem[0][0] ),
+ sky130_fd_sc_hd__or2_4 _06907_ (.A(_01798_),
+    .B(\u_m1_res_fifo.mem[0][25] ),
     .X(_01799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05481_ (.A1(_01544_),
-    .A2(\u_m0_res_fifo.mem[1][0] ),
-    .B1(_01555_),
-    .C1(_01799_),
+ sky130_fd_sc_hd__buf_2 _06908_ (.A(_01585_),
     .X(_01800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05482_ (.A1(_01798_),
-    .A2(_01800_),
-    .A3(_01784_),
-    .B1(\u_spim_regs.spim_reg_rdata[0] ),
-    .B2(_01785_),
+ sky130_fd_sc_hd__or2_4 _06909_ (.A(_01800_),
+    .B(\u_m1_res_fifo.mem[1][25] ),
     .X(_01801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05483_ (.A1(wbd_dat_o[0]),
-    .A2(_01775_),
-    .B1(_01776_),
-    .B2(_01801_),
-    .X(_00844_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05484_ (.A(spi_debug[9]),
+ sky130_fd_sc_hd__and3_4 _06910_ (.A(_01769_),
+    .B(_01799_),
+    .C(_01801_),
     .X(_01802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05485_ (.A(spi_debug[11]),
+ sky130_fd_sc_hd__buf_2 _06911_ (.A(_01558_),
     .X(_01803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05486_ (.A(_01203_),
-    .Y(\u_m1_cmd_fifo.full ),
+ sky130_fd_sc_hd__or2_4 _06912_ (.A(_01803_),
+    .B(\u_m1_res_fifo.mem[3][25] ),
+    .X(_01804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05487_ (.A(\u_spim_regs.cfg_m1_req ),
-    .Y(_01804_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05488_ (.A(_00929_),
-    .B(_00930_),
-    .C(_00912_),
-    .D(_01396_),
+ sky130_fd_sc_hd__buf_2 _06913_ (.A(_01567_),
     .X(_01805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05489_ (.A(_01804_),
-    .B(_00931_),
-    .C(_01805_),
+ sky130_fd_sc_hd__or2_4 _06914_ (.A(_01805_),
+    .B(\u_m1_res_fifo.mem[2][25] ),
     .X(_01806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05490_ (.A(\u_m1_cmd_fifo.full ),
-    .B(_01806_),
+ sky130_fd_sc_hd__and3_4 _06915_ (.A(_01564_),
+    .B(_01804_),
+    .C(_01806_),
     .X(_01807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05491_ (.A(_00919_),
+ sky130_fd_sc_hd__or3_4 _06916_ (.A(_01549_),
     .B(_01802_),
-    .C(_01803_),
-    .D(_01807_),
+    .C(_01807_),
     .X(_01808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05492_ (.A(_01808_),
-    .Y(_01809_),
+ sky130_fd_sc_hd__or2_4 _06917_ (.A(_01779_),
+    .B(\u_m1_res_fifo.mem[4][25] ),
+    .X(_01809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05493_ (.A(_01809_),
+ sky130_fd_sc_hd__or2_4 _06918_ (.A(_01781_),
+    .B(\u_m1_res_fifo.mem[5][25] ),
     .X(_01810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05494_ (.A(_01810_),
+ sky130_fd_sc_hd__and3_4 _06919_ (.A(_01778_),
+    .B(_01809_),
+    .C(_01810_),
     .X(_01811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05495_ (.A(_01396_),
-    .B(_01811_),
-    .Y(_01812_),
+ sky130_fd_sc_hd__buf_2 _06920_ (.A(_01585_),
+    .X(_01812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05496_ (.A(_00939_),
-    .B(_00940_),
-    .C(_00913_),
-    .D(_01812_),
+ sky130_fd_sc_hd__or2_4 _06921_ (.A(_01812_),
+    .B(\u_m1_res_fifo.mem[7][25] ),
     .X(_01813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05497_ (.A(_00910_),
+ sky130_fd_sc_hd__buf_2 _06922_ (.A(_01554_),
     .X(_01814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05498_ (.A(_01814_),
+ sky130_fd_sc_hd__or2_4 _06923_ (.A(_01814_),
+    .B(\u_m1_res_fifo.mem[6][25] ),
     .X(_01815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05499_ (.A1(_00935_),
-    .A2(_01813_),
-    .B1(\u_spim_regs.spim_reg_ack ),
-    .C1(_01815_),
+ sky130_fd_sc_hd__and3_4 _06924_ (.A(_01584_),
+    .B(_01813_),
+    .C(_01815_),
     .X(_01816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05500_ (.A(_01816_),
-    .Y(_00843_),
+ sky130_fd_sc_hd__or3_4 _06925_ (.A(_01777_),
+    .B(_01811_),
+    .C(_01816_),
+    .X(_01817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05501_ (.A(\u_spim_regs.spi_delay_cnt[8] ),
-    .Y(_01817_),
+ sky130_fd_sc_hd__buf_2 _06926_ (.A(_01593_),
+    .X(_01818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05502_ (.A(\u_spim_regs.spi_delay_cnt[7] ),
-    .Y(_01818_),
+ sky130_fd_sc_hd__and3_4 _06927_ (.A(_01808_),
+    .B(_01817_),
+    .C(_01818_),
+    .X(_01819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05503_ (.A(\u_spim_regs.spi_delay_cnt[6] ),
-    .Y(_01819_),
+ sky130_fd_sc_hd__or4_4 _06928_ (.A(_01793_),
+    .B(_01796_),
+    .C(_01797_),
+    .D(_01819_),
+    .X(_01820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05504_ (.A(\u_spim_regs.spi_delay_cnt[5] ),
-    .Y(_01820_),
+ sky130_fd_sc_hd__a2bb2o_4 _06929_ (.A1_N(_01790_),
+    .A2_N(_01757_),
+    .B1(_01757_),
+    .B2(_01820_),
+    .X(_01264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05505_ (.A(\u_spim_regs.spi_delay_cnt[4] ),
+ sky130_fd_sc_hd__inv_2 _06930_ (.A(\u_spim_regs.spim_reg_rdata[24] ),
     .Y(_01821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05506_ (.A(\u_spim_regs.spi_delay_cnt[3] ),
-    .Y(_01822_),
+ sky130_fd_sc_hd__buf_2 _06931_ (.A(_01503_),
+    .X(_01822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05507_ (.A(\u_spim_regs.spi_init_state[3] ),
+ sky130_fd_sc_hd__inv_2 _06932_ (.A(\u_spim_regs.cfg_m0_data_cnt[0] ),
     .Y(_01823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05508_ (.A(\u_spim_regs.spi_init_state[0] ),
-    .B(\u_spim_regs.spi_init_state[7] ),
-    .X(_01824_),
+ sky130_fd_sc_hd__nor2_4 _06933_ (.A(_01823_),
+    .B(_01792_),
+    .Y(_01824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05509_ (.A(_01824_),
+ sky130_fd_sc_hd__inv_2 _06934_ (.A(\u_spim_regs.cfg_m1_addr[24] ),
     .Y(_01825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05510_ (.A(\u_spim_regs.spi_delay_cnt[9] ),
-    .Y(_01826_),
+ sky130_fd_sc_hd__buf_2 _06935_ (.A(\u_spim_regs.cfg_m1_data_cnt[0] ),
+    .X(_01826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05511_ (.A(_01817_),
-    .B(_01818_),
-    .C(_01826_),
-    .D(_01819_),
+ sky130_fd_sc_hd__a2bb2o_4 _06936_ (.A1_N(_01825_),
+    .A2_N(_01761_),
+    .B1(_01826_),
+    .B2(_01763_),
     .X(_01827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05512_ (.A(_01820_),
-    .B(\u_spim_regs.spi_delay_cnt[4] ),
-    .C(_01822_),
-    .D(\u_spim_regs.spi_delay_cnt[2] ),
-    .X(_01828_),
+ sky130_fd_sc_hd__inv_2 _06937_ (.A(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .Y(_01828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05513_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
-    .B(\u_spim_regs.spi_delay_cnt[0] ),
-    .C(_01827_),
-    .D(_01828_),
-    .X(_01829_),
+ sky130_fd_sc_hd__inv_2 _06938_ (.A(\u_m1_cmd_fifo.rd_ptr[2] ),
+    .Y(_01829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05514_ (.A(_01829_),
-    .Y(_01830_),
+ sky130_fd_sc_hd__o22a_4 _06939_ (.A1(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .A2(\u_m1_cmd_fifo.rd_ptr[2] ),
+    .B1(_01828_),
+    .B2(_01829_),
+    .X(_01830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05515_ (.A(spi_debug[10]),
-    .X(_01831_),
+ sky130_fd_sc_hd__inv_2 _06940_ (.A(_01830_),
+    .Y(_01831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05516_ (.A(_01831_),
-    .B(_00920_),
-    .C(spi_debug[11]),
+ sky130_fd_sc_hd__buf_2 _06941_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
     .X(_01832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05517_ (.A(_01823_),
-    .B(_01832_),
+ sky130_fd_sc_hd__inv_2 _06942_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
     .Y(_01833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05518_ (.A1(_01824_),
-    .A2(_01830_),
-    .B1(\u_spim_regs.spi_init_done ),
-    .C1(_01833_),
-    .X(_01834_),
+ sky130_fd_sc_hd__inv_2 _06943_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
+    .Y(_01834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _05519_ (.A1(_01823_),
-    .A2(_01825_),
-    .B1(_01834_),
+ sky130_fd_sc_hd__and2_4 _06944_ (.A(_01834_),
+    .B(\u_m1_cmd_fifo.rd_ptr[0] ),
     .X(_01835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05520_ (.A(_01835_),
+ sky130_fd_sc_hd__inv_2 _06945_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
     .Y(_01836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05521_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
-    .B(\u_spim_regs.spi_delay_cnt[0] ),
-    .C(\u_spim_regs.spi_delay_cnt[2] ),
-    .X(_01837_),
+ sky130_fd_sc_hd__inv_2 _06946_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
+    .Y(_01837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05522_ (.A(_01836_),
-    .B(_01837_),
-    .Y(_01838_),
+ sky130_fd_sc_hd__o22a_4 _06947_ (.A1(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .A2(\u_m1_cmd_fifo.rd_ptr[1] ),
+    .B1(_01836_),
+    .B2(_01837_),
+    .X(_01838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05523_ (.A(_01822_),
-    .B(_01838_),
+ sky130_fd_sc_hd__a211o_4 _06948_ (.A1(_01832_),
+    .A2(_01833_),
+    .B1(_01835_),
+    .C1(_01838_),
     .X(_01839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05524_ (.A(_01821_),
+ sky130_fd_sc_hd__or2_4 _06949_ (.A(_01831_),
     .B(_01839_),
     .X(_01840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05525_ (.A(_01820_),
+ sky130_fd_sc_hd__nor2_4 _06950_ (.A(_01765_),
     .B(_01840_),
-    .X(_01841_),
+    .Y(_01841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05526_ (.A(_01819_),
-    .B(_01841_),
+ sky130_fd_sc_hd__buf_2 _06951_ (.A(_01548_),
     .X(_01842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05527_ (.A(_01818_),
-    .B(_01842_),
+ sky130_fd_sc_hd__or2_4 _06952_ (.A(_01798_),
+    .B(\u_m1_res_fifo.mem[0][24] ),
     .X(_01843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05528_ (.A(_01817_),
-    .B(_01843_),
+ sky130_fd_sc_hd__or2_4 _06953_ (.A(_01800_),
+    .B(\u_m1_res_fifo.mem[1][24] ),
     .X(_01844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05529_ (.A(_01844_),
-    .Y(_01845_),
+ sky130_fd_sc_hd__and3_4 _06954_ (.A(_01769_),
+    .B(_01843_),
+    .C(_01844_),
+    .X(_01845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05530_ (.A(_01825_),
+ sky130_fd_sc_hd__buf_2 _06955_ (.A(_01563_),
     .X(_01846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05531_ (.A(_01846_),
-    .B(_01836_),
+ sky130_fd_sc_hd__or2_4 _06956_ (.A(_01803_),
+    .B(\u_m1_res_fifo.mem[3][24] ),
     .X(_01847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05532_ (.A(_01826_),
-    .B(_01844_),
+ sky130_fd_sc_hd__or2_4 _06957_ (.A(_01805_),
+    .B(\u_m1_res_fifo.mem[2][24] ),
     .X(_01848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05533_ (.A1(\u_spim_regs.spi_delay_cnt[9] ),
-    .A2(_01845_),
-    .B1(_01847_),
-    .C1(_01848_),
+ sky130_fd_sc_hd__and3_4 _06958_ (.A(_01846_),
+    .B(_01847_),
+    .C(_01848_),
     .X(_01849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05534_ (.A(_01849_),
-    .Y(_00842_),
+ sky130_fd_sc_hd__or3_4 _06959_ (.A(_01842_),
+    .B(_01845_),
+    .C(_01849_),
+    .X(_01850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05535_ (.A(_01843_),
-    .Y(_01850_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05536_ (.A1(_01817_),
-    .A2(_01818_),
-    .B1(_01824_),
+ sky130_fd_sc_hd__or2_4 _06960_ (.A(_01779_),
+    .B(\u_m1_res_fifo.mem[4][24] ),
     .X(_01851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05537_ (.A(\u_spim_regs.spi_delay_cnt[6] ),
-    .B(\u_spim_regs.spi_delay_cnt[5] ),
+ sky130_fd_sc_hd__or2_4 _06961_ (.A(_01781_),
+    .B(\u_m1_res_fifo.mem[5][24] ),
     .X(_01852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05538_ (.A(\u_spim_regs.spi_delay_cnt[4] ),
-    .B(\u_spim_regs.spi_delay_cnt[3] ),
+ sky130_fd_sc_hd__and3_4 _06962_ (.A(_01778_),
+    .B(_01851_),
+    .C(_01852_),
     .X(_01853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05539_ (.A1(_01825_),
-    .A2(_01837_),
-    .B1(_01836_),
+ sky130_fd_sc_hd__buf_2 _06963_ (.A(_01563_),
     .X(_01854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05540_ (.A1(_01846_),
-    .A2(_01853_),
-    .B1(_01854_),
+ sky130_fd_sc_hd__or2_4 _06964_ (.A(_01812_),
+    .B(\u_m1_res_fifo.mem[7][24] ),
     .X(_01855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _05541_ (.A1(_01846_),
-    .A2(_01852_),
-    .B1(_01855_),
-    .Y(_01856_),
+ sky130_fd_sc_hd__or2_4 _06965_ (.A(_01814_),
+    .B(\u_m1_res_fifo.mem[6][24] ),
+    .X(_01856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05542_ (.A1(\u_spim_regs.spi_delay_cnt[8] ),
-    .A2(_01850_),
-    .B1(_01851_),
-    .B2(_01856_),
-    .X(_00841_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05543_ (.A1(_01818_),
-    .A2(_01842_),
-    .B1(_01850_),
-    .C1(_01847_),
+ sky130_fd_sc_hd__and3_4 _06966_ (.A(_01854_),
+    .B(_01855_),
+    .C(_01856_),
     .X(_01857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05544_ (.A(_01857_),
-    .Y(_00840_),
+ sky130_fd_sc_hd__or3_4 _06967_ (.A(_01777_),
+    .B(_01853_),
+    .C(_01857_),
+    .X(_01858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05545_ (.A(_01841_),
-    .Y(_01858_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05546_ (.A1(\u_spim_regs.spi_delay_cnt[6] ),
-    .A2(_01858_),
-    .B1(_01856_),
-    .X(_00839_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05547_ (.A1(_01820_),
-    .A2(_01840_),
-    .B1(_01858_),
-    .C1(_01847_),
+ sky130_fd_sc_hd__and3_4 _06968_ (.A(_01850_),
+    .B(_01858_),
+    .C(_01818_),
     .X(_01859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05548_ (.A(_01859_),
-    .Y(_00838_),
+ sky130_fd_sc_hd__or4_4 _06969_ (.A(_01824_),
+    .B(_01827_),
+    .C(_01841_),
+    .D(_01859_),
+    .X(_01860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _05549_ (.A1(_01821_),
-    .A2(_01839_),
-    .B1(_01855_),
-    .Y(_00837_),
+ sky130_fd_sc_hd__a2bb2o_4 _06970_ (.A1_N(_01821_),
+    .A2_N(_01822_),
+    .B1(_01822_),
+    .B2(_01860_),
+    .X(_01263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05550_ (.A(_01839_),
-    .Y(_01860_),
+ sky130_fd_sc_hd__inv_2 _06971_ (.A(\u_spim_regs.spim_reg_rdata[23] ),
+    .Y(_01861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05551_ (.A1(_01822_),
-    .A2(_01838_),
-    .B1(_01860_),
-    .C1(_01847_),
-    .X(_01861_),
+ sky130_fd_sc_hd__inv_2 _06972_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
+    .Y(_01862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05552_ (.A(_01861_),
-    .Y(_00836_),
+ sky130_fd_sc_hd__nor2_4 _06973_ (.A(_01862_),
+    .B(_01792_),
+    .Y(_01863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05553_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
-    .X(_01862_),
+ sky130_fd_sc_hd__inv_2 _06974_ (.A(\u_spim_regs.cfg_m1_addr[23] ),
+    .Y(_01864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05554_ (.A(\u_spim_regs.spi_delay_cnt[0] ),
-    .X(_01863_),
+ sky130_fd_sc_hd__a2bb2o_4 _06975_ (.A1_N(_01864_),
+    .A2_N(_01761_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
+    .B2(_01763_),
+    .X(_01865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05555_ (.A(_01862_),
-    .B(_01863_),
-    .C(_01836_),
-    .X(_01864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05556_ (.A(_01854_),
-    .Y(_01865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05557_ (.A1(\u_spim_regs.spi_delay_cnt[2] ),
-    .A2(_01864_),
-    .B1(_01865_),
-    .X(_00835_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05558_ (.A(_01862_),
-    .B(\u_spim_regs.spi_delay_cnt[0] ),
+ sky130_fd_sc_hd__or2_4 _06976_ (.A(_01830_),
+    .B(_01839_),
     .X(_01866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05559_ (.A(_01862_),
-    .B(_01863_),
+ sky130_fd_sc_hd__nor2_4 _06977_ (.A(_01765_),
+    .B(_01866_),
     .Y(_01867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05560_ (.A(_01846_),
-    .B(_01834_),
-    .Y(_01868_),
+ sky130_fd_sc_hd__or2_4 _06978_ (.A(_01798_),
+    .B(\u_m1_res_fifo.mem[0][23] ),
+    .X(_01868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05561_ (.A1(_01866_),
-    .A2(_01867_),
-    .A3(_01868_),
-    .B1(_01862_),
-    .B2(_01835_),
-    .X(_00834_),
+ sky130_fd_sc_hd__or2_4 _06979_ (.A(_01800_),
+    .B(\u_m1_res_fifo.mem[1][23] ),
+    .X(_01869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05562_ (.A(_01863_),
-    .Y(_01869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05563_ (.A1(_01869_),
-    .A2(_01835_),
-    .B1(_01863_),
-    .B2(_01868_),
-    .X(_00833_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05564_ (.A(_01535_),
+ sky130_fd_sc_hd__and3_4 _06980_ (.A(_01769_),
+    .B(_01868_),
+    .C(_01869_),
     .X(_01870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05565_ (.A(_01870_),
+ sky130_fd_sc_hd__or2_4 _06981_ (.A(_01803_),
+    .B(\u_m1_res_fifo.mem[3][23] ),
     .X(_01871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05566_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
+ sky130_fd_sc_hd__or2_4 _06982_ (.A(_01805_),
+    .B(\u_m1_res_fifo.mem[2][23] ),
     .X(_01872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05567_ (.A(_01871_),
-    .B(_01872_),
+ sky130_fd_sc_hd__and3_4 _06983_ (.A(_01846_),
+    .B(_01871_),
+    .C(_01872_),
     .X(_01873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05568_ (.A(_01814_),
+ sky130_fd_sc_hd__or3_4 _06984_ (.A(_01842_),
+    .B(_01870_),
+    .C(_01873_),
     .X(_01874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05569_ (.A(\u_spim_regs.spi_init_state[5] ),
-    .Y(_01875_),
+ sky130_fd_sc_hd__or2_4 _06985_ (.A(_01779_),
+    .B(\u_m1_res_fifo.mem[4][23] ),
+    .X(_01875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05570_ (.A(\u_spim_regs.spi_init_state[6] ),
-    .Y(_01876_),
+ sky130_fd_sc_hd__or2_4 _06986_ (.A(_01781_),
+    .B(\u_m1_res_fifo.mem[5][23] ),
+    .X(_01876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05571_ (.A(_01874_),
+ sky130_fd_sc_hd__and3_4 _06987_ (.A(_01778_),
     .B(_01875_),
     .C(_01876_),
     .X(_01877_),
@@ -7586,29263 +4284,48667 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05572_ (.A(\u_spim_regs.spim_reg_be[0] ),
-    .Y(_01878_),
+ sky130_fd_sc_hd__or2_4 _06988_ (.A(_01812_),
+    .B(\u_m1_res_fifo.mem[7][23] ),
+    .X(_01878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05573_ (.A(_00939_),
-    .B(_00930_),
-    .C(_00913_),
-    .D(_00909_),
+ sky130_fd_sc_hd__or2_4 _06989_ (.A(_01814_),
+    .B(\u_m1_res_fifo.mem[6][23] ),
     .X(_01879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05574_ (.A(_01879_),
-    .Y(_01880_),
+ sky130_fd_sc_hd__and3_4 _06990_ (.A(_01854_),
+    .B(_01878_),
+    .C(_01879_),
+    .X(_01880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05575_ (.A(_01880_),
+ sky130_fd_sc_hd__or3_4 _06991_ (.A(_01777_),
+    .B(_01877_),
+    .C(_01880_),
     .X(_01881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05576_ (.A(_01878_),
+ sky130_fd_sc_hd__and3_4 _06992_ (.A(_01874_),
     .B(_01881_),
+    .C(_01818_),
     .X(_01882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05577_ (.A(\u_spim_regs.spi_init_state[4] ),
-    .Y(_01883_),
+ sky130_fd_sc_hd__or4_4 _06993_ (.A(_01863_),
+    .B(_01865_),
+    .C(_01867_),
+    .D(_01882_),
+    .X(_01883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05578_ (.A(_01875_),
-    .B(_01883_),
-    .C(_01876_),
-    .X(_01884_),
+ sky130_fd_sc_hd__a2bb2o_4 _06994_ (.A1_N(_01861_),
+    .A2_N(_01822_),
+    .B1(_01822_),
+    .B2(_01883_),
+    .X(_01262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05579_ (.A(_01535_),
-    .B(_01884_),
-    .Y(_01885_),
+ sky130_fd_sc_hd__inv_2 _06995_ (.A(\u_spim_regs.spim_reg_rdata[22] ),
+    .Y(_01884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05580_ (.A(_01880_),
-    .B(_01885_),
-    .X(_01886_),
+ sky130_fd_sc_hd__buf_2 _06996_ (.A(_01503_),
+    .X(_01885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05581_ (.A1(_00909_),
-    .A2(_01125_),
-    .B1(_01886_),
-    .X(_01887_),
+ sky130_fd_sc_hd__inv_2 _06997_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
+    .Y(_01886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05582_ (.A(_01887_),
+ sky130_fd_sc_hd__nor2_4 _06998_ (.A(_01886_),
+    .B(_01792_),
+    .Y(_01887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06999_ (.A(\u_spim_regs.cfg_m1_addr[22] ),
     .Y(_01888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05583_ (.A(_01882_),
-    .B(_01888_),
+ sky130_fd_sc_hd__buf_2 _07000_ (.A(_01677_),
     .X(_01889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05584_ (.A(_01889_),
+ sky130_fd_sc_hd__a2bb2o_4 _07001_ (.A1_N(_01888_),
+    .A2_N(_01889_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .B2(_01680_),
     .X(_01890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05585_ (.A(_01890_),
-    .X(_01891_),
+ sky130_fd_sc_hd__inv_2 _07002_ (.A(_01486_),
+    .Y(_01891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05586_ (.A(_01889_),
-    .Y(_01892_),
+ sky130_fd_sc_hd__or2_4 _07003_ (.A(_01891_),
+    .B(_01496_),
+    .X(_01892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05587_ (.A(_01892_),
-    .X(_01893_),
+ sky130_fd_sc_hd__nor2_4 _07004_ (.A(_01765_),
+    .B(_01892_),
+    .Y(_01893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _05588_ (.A1(_01873_),
-    .A2(_01877_),
-    .A3(_01891_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
-    .B2(_01893_),
-    .X(_00832_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05589_ (.A(_01536_),
+ sky130_fd_sc_hd__or2_4 _07005_ (.A(_01798_),
+    .B(\u_m1_res_fifo.mem[0][22] ),
     .X(_01894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05590_ (.A(_01894_),
+ sky130_fd_sc_hd__or2_4 _07006_ (.A(_01800_),
+    .B(\u_m1_res_fifo.mem[1][22] ),
     .X(_01895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05591_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
+ sky130_fd_sc_hd__and3_4 _07007_ (.A(_01576_),
+    .B(_01894_),
+    .C(_01895_),
     .X(_01896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05592_ (.A(_01895_),
-    .B(_01896_),
+ sky130_fd_sc_hd__or2_4 _07008_ (.A(_01803_),
+    .B(\u_m1_res_fifo.mem[3][22] ),
     .X(_01897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05593_ (.A(_01892_),
+ sky130_fd_sc_hd__or2_4 _07009_ (.A(_01805_),
+    .B(\u_m1_res_fifo.mem[2][22] ),
     .X(_01898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05594_ (.A1(_01891_),
-    .A2(_01897_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
-    .B2(_01898_),
-    .X(_00831_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05595_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
+ sky130_fd_sc_hd__and3_4 _07010_ (.A(_01846_),
+    .B(_01897_),
+    .C(_01898_),
     .X(_01899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05596_ (.A(_01871_),
-    .B(_01899_),
+ sky130_fd_sc_hd__or3_4 _07011_ (.A(_01842_),
+    .B(_01896_),
+    .C(_01899_),
     .X(_01900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _05597_ (.A1(_01877_),
-    .A2(_01900_),
-    .A3(_01890_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
-    .B2(_01893_),
-    .X(_00830_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05598_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
+ sky130_fd_sc_hd__or2_4 _07012_ (.A(_01666_),
+    .B(\u_m1_res_fifo.mem[4][22] ),
     .X(_01901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05599_ (.A(_01895_),
-    .B(_01901_),
+ sky130_fd_sc_hd__or2_4 _07013_ (.A(_01641_),
+    .B(\u_m1_res_fifo.mem[5][22] ),
     .X(_01902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05600_ (.A1(_01891_),
-    .A2(_01902_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
-    .B2(_01898_),
-    .X(_00829_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05601_ (.A(_01871_),
-    .B(\u_spim_regs.spim_reg_wdata[3] ),
+ sky130_fd_sc_hd__and3_4 _07014_ (.A(_01638_),
+    .B(_01901_),
+    .C(_01902_),
     .X(_01903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _05602_ (.A1(_01877_),
-    .A2(_01903_),
-    .A3(_01890_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[3] ),
-    .B2(_01893_),
-    .X(_00828_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05603_ (.A(_01870_),
+ sky130_fd_sc_hd__or2_4 _07015_ (.A(_01812_),
+    .B(\u_m1_res_fifo.mem[7][22] ),
     .X(_01904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05604_ (.A(_01904_),
-    .B(\u_spim_regs.spim_reg_wdata[2] ),
+ sky130_fd_sc_hd__or2_4 _07016_ (.A(_01814_),
+    .B(\u_m1_res_fifo.mem[6][22] ),
     .X(_01905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05605_ (.A(_01814_),
-    .B(\u_spim_regs.spi_init_state[6] ),
+ sky130_fd_sc_hd__and3_4 _07017_ (.A(_01854_),
+    .B(_01904_),
+    .C(_01905_),
     .X(_01906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _05606_ (.A1(_01905_),
-    .A2(_01906_),
-    .A3(_01890_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[2] ),
-    .B2(_01893_),
-    .X(_00827_),
+ sky130_fd_sc_hd__or3_4 _07018_ (.A(_01637_),
+    .B(_01903_),
+    .C(_01906_),
+    .X(_01907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05607_ (.A(\u_spim_regs.cfg_m1_cmd_reg[1] ),
-    .Y(_01907_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05608_ (.A(_01870_),
+ sky130_fd_sc_hd__and3_4 _07019_ (.A(_01900_),
+    .B(_01907_),
+    .C(_01818_),
     .X(_01908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05609_ (.A(_01908_),
-    .B(\u_spim_regs.spim_reg_wdata[1] ),
+ sky130_fd_sc_hd__or4_4 _07020_ (.A(_01887_),
+    .B(_01890_),
+    .C(_01893_),
+    .D(_01908_),
     .X(_01909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05610_ (.A1(_01815_),
-    .A2(_01875_),
-    .B1(_01906_),
-    .C1(_01909_),
-    .X(_01910_),
+ sky130_fd_sc_hd__a2bb2o_4 _07021_ (.A1_N(_01884_),
+    .A2_N(_01885_),
+    .B1(_01885_),
+    .B2(_01909_),
+    .X(_01261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05611_ (.A1_N(_01907_),
-    .A2_N(_01898_),
-    .B1(_01898_),
-    .B2(_01910_),
-    .X(_00826_),
+ sky130_fd_sc_hd__inv_2 _07022_ (.A(\u_spim_regs.spim_reg_rdata[21] ),
+    .Y(_01910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05612_ (.A(_01874_),
-    .B(\u_spim_regs.spim_reg_wdata[0] ),
-    .X(_01911_),
+ sky130_fd_sc_hd__inv_2 _07023_ (.A(\u_spim_regs.cfg_m0_addr_cnt[1] ),
+    .Y(_01911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _05613_ (.A(_01904_),
-    .B(\u_spim_regs.spi_init_state[5] ),
-    .C(_01876_),
-    .X(_01912_),
+ sky130_fd_sc_hd__nor2_4 _07024_ (.A(_01911_),
+    .B(_01634_),
+    .Y(_01912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05614_ (.A1(_01911_),
-    .A2(_01912_),
-    .A3(_01892_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[0] ),
-    .B2(_01891_),
-    .X(_00825_),
+ sky130_fd_sc_hd__inv_2 _07025_ (.A(\u_spim_regs.cfg_m1_addr[21] ),
+    .Y(_01913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05615_ (.A(_01894_),
-    .X(_01913_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05616_ (.A(\u_spim_regs.spim_reg_wdata[15] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07026_ (.A1_N(_01913_),
+    .A2_N(_01889_),
+    .B1(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .B2(_01680_),
     .X(_01914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05617_ (.A(_01913_),
-    .B(_01914_),
+ sky130_fd_sc_hd__buf_2 _07027_ (.A(_01497_),
     .X(_01915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05618_ (.A(\u_spim_regs.spim_reg_be[1] ),
+ sky130_fd_sc_hd__nor2_4 _07028_ (.A(_01915_),
+    .B(_01546_),
     .Y(_01916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05619_ (.A(_01916_),
-    .B(_01881_),
+ sky130_fd_sc_hd__or2_4 _07029_ (.A(_01648_),
+    .B(\u_m1_res_fifo.mem[0][21] ),
     .X(_01917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05620_ (.A(_01888_),
-    .B(_01917_),
+ sky130_fd_sc_hd__or2_4 _07030_ (.A(_01586_),
+    .B(\u_m1_res_fifo.mem[1][21] ),
     .X(_01918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05621_ (.A(_01918_),
+ sky130_fd_sc_hd__and3_4 _07031_ (.A(_01576_),
+    .B(_01917_),
+    .C(_01918_),
     .X(_01919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05622_ (.A(_01918_),
-    .Y(_01920_),
+ sky130_fd_sc_hd__or2_4 _07032_ (.A(_01559_),
+    .B(\u_m1_res_fifo.mem[3][21] ),
+    .X(_01920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05623_ (.A(_01920_),
+ sky130_fd_sc_hd__or2_4 _07033_ (.A(_01588_),
+    .B(\u_m1_res_fifo.mem[2][21] ),
     .X(_01921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05624_ (.A1(_01915_),
-    .A2(_01919_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[7] ),
-    .B2(_01921_),
-    .X(_00824_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05625_ (.A(_01870_),
+ sky130_fd_sc_hd__and3_4 _07034_ (.A(_01846_),
+    .B(_01920_),
+    .C(_01921_),
     .X(_01922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05626_ (.A(_01922_),
+ sky130_fd_sc_hd__or3_4 _07035_ (.A(_01842_),
+    .B(_01919_),
+    .C(_01922_),
     .X(_01923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05627_ (.A(\u_spim_regs.spim_reg_wdata[14] ),
+ sky130_fd_sc_hd__or2_4 _07036_ (.A(_01666_),
+    .B(\u_m1_res_fifo.mem[4][21] ),
     .X(_01924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05628_ (.A(_01923_),
-    .B(_01924_),
+ sky130_fd_sc_hd__or2_4 _07037_ (.A(_01641_),
+    .B(\u_m1_res_fifo.mem[5][21] ),
     .X(_01925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05629_ (.A1(_01919_),
-    .A2(_01925_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[6] ),
-    .B2(_01921_),
-    .X(_00823_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05630_ (.A(\u_spim_regs.spim_reg_wdata[13] ),
+ sky130_fd_sc_hd__and3_4 _07038_ (.A(_01638_),
+    .B(_01924_),
+    .C(_01925_),
     .X(_01926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05631_ (.A(_01923_),
-    .B(_01926_),
+ sky130_fd_sc_hd__or2_4 _07039_ (.A(_01646_),
+    .B(\u_m1_res_fifo.mem[7][21] ),
     .X(_01927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05632_ (.A1(_01919_),
-    .A2(_01927_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[5] ),
-    .B2(_01921_),
-    .X(_00822_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05633_ (.A(\u_spim_regs.spim_reg_wdata[12] ),
+ sky130_fd_sc_hd__or2_4 _07040_ (.A(_01555_),
+    .B(\u_m1_res_fifo.mem[6][21] ),
     .X(_01928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05634_ (.A(_01923_),
-    .B(_01928_),
+ sky130_fd_sc_hd__and3_4 _07041_ (.A(_01854_),
+    .B(_01927_),
+    .C(_01928_),
     .X(_01929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05635_ (.A1(_01919_),
-    .A2(_01929_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[4] ),
-    .B2(_01921_),
-    .X(_00821_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05636_ (.A(_01918_),
+ sky130_fd_sc_hd__or3_4 _07042_ (.A(_01637_),
+    .B(_01926_),
+    .C(_01929_),
     .X(_01930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05637_ (.A(\u_spim_regs.spim_reg_wdata[11] ),
+ sky130_fd_sc_hd__and3_4 _07043_ (.A(_01923_),
+    .B(_01930_),
+    .C(_01670_),
     .X(_01931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05638_ (.A(_01923_),
-    .B(_01931_),
+ sky130_fd_sc_hd__or4_4 _07044_ (.A(_01912_),
+    .B(_01914_),
+    .C(_01916_),
+    .D(_01931_),
     .X(_01932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05639_ (.A(_01920_),
-    .X(_01933_),
+ sky130_fd_sc_hd__a2bb2o_4 _07045_ (.A1_N(_01910_),
+    .A2_N(_01885_),
+    .B1(_01885_),
+    .B2(_01932_),
+    .X(_01260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05640_ (.A1(_01930_),
-    .A2(_01932_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[3] ),
-    .B2(_01933_),
-    .X(_00820_),
+ sky130_fd_sc_hd__inv_2 _07046_ (.A(\u_spim_regs.cfg_m1_addr[20] ),
+    .Y(_01933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05641_ (.A(_01922_),
+ sky130_fd_sc_hd__buf_2 _07047_ (.A(psn_net_238),
     .X(_01934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05642_ (.A(\u_spim_regs.spim_reg_wdata[10] ),
+ sky130_fd_sc_hd__buf_2 _07048_ (.A(_01934_),
     .X(_01935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05643_ (.A(_01934_),
-    .B(_01935_),
+ sky130_fd_sc_hd__o22a_4 _07049_ (.A1(_01933_),
+    .A2(_01624_),
+    .B1(_01935_),
+    .B2(_01628_),
     .X(_01936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05644_ (.A1(_01930_),
-    .A2(_01936_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[2] ),
-    .B2(_01933_),
-    .X(_00819_),
+ sky130_fd_sc_hd__inv_2 _07050_ (.A(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .Y(_01937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05645_ (.A(\u_spim_regs.spim_reg_wdata[9] ),
-    .X(_01937_),
+ sky130_fd_sc_hd__inv_2 _07051_ (.A(\u_spim_regs.cfg_m0_addr_cnt[0] ),
+    .Y(_01938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05646_ (.A(_01934_),
-    .B(_01937_),
-    .X(_01938_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05647_ (.A1(_01930_),
-    .A2(_01938_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[1] ),
-    .B2(_01933_),
-    .X(_00818_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05648_ (.A(_01934_),
-    .B(\u_spim_regs.spim_reg_wdata[8] ),
+ sky130_fd_sc_hd__o22a_4 _07052_ (.A1(_01937_),
+    .A2(_01632_),
+    .B1(_01938_),
+    .B2(_01634_),
     .X(_01939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05649_ (.A1(_01930_),
-    .A2(_01939_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[0] ),
-    .B2(_01933_),
-    .X(_00817_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05650_ (.A(\u_spim_regs.spim_reg_be[2] ),
-    .B(_01879_),
+ sky130_fd_sc_hd__buf_2 _07053_ (.A(_01655_),
     .X(_01940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05651_ (.A(_01940_),
-    .Y(_01941_),
+ sky130_fd_sc_hd__or2_4 _07054_ (.A(_01940_),
+    .B(\u_m1_res_fifo.mem[4][20] ),
+    .X(_01941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05652_ (.A(rst_n),
-    .Y(_01942_),
+ sky130_fd_sc_hd__or2_4 _07055_ (.A(_01641_),
+    .B(\u_m1_res_fifo.mem[5][20] ),
+    .X(_01942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05653_ (.A(_01886_),
-    .Y(_01943_),
+ sky130_fd_sc_hd__and3_4 _07056_ (.A(_01638_),
+    .B(_01941_),
+    .C(_01942_),
+    .X(_01943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05654_ (.A1(_01535_),
-    .A2(_00955_),
-    .B1(_01942_),
-    .C1(_01943_),
+ sky130_fd_sc_hd__or2_4 _07057_ (.A(_01646_),
+    .B(\u_m1_res_fifo.mem[7][20] ),
     .X(_01944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05655_ (.A(_01941_),
-    .B(_01944_),
+ sky130_fd_sc_hd__or2_4 _07058_ (.A(_01648_),
+    .B(\u_m1_res_fifo.mem[6][20] ),
     .X(_01945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05656_ (.A(_01945_),
+ sky130_fd_sc_hd__and3_4 _07059_ (.A(_01645_),
+    .B(_01944_),
+    .C(_01945_),
     .X(_01946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05657_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
-    .B(_01895_),
+ sky130_fd_sc_hd__or3_4 _07060_ (.A(_01637_),
+    .B(_01943_),
+    .C(_01946_),
     .X(_01947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05658_ (.A(_01945_),
-    .Y(_01948_),
+ sky130_fd_sc_hd__buf_2 _07061_ (.A(_01493_),
+    .X(_01948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05659_ (.A(_01948_),
+ sky130_fd_sc_hd__buf_2 _07062_ (.A(_01948_),
     .X(_01949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05660_ (.A1(_01946_),
-    .A2(_01947_),
-    .B1(\u_spim_regs.cfg_m1_addr[23] ),
-    .B2(_01949_),
-    .X(_00816_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05661_ (.A(_01934_),
-    .B(\u_spim_regs.spim_reg_wdata[22] ),
+ sky130_fd_sc_hd__or2_4 _07063_ (.A(_01656_),
+    .B(\u_m1_res_fifo.mem[0][20] ),
     .X(_01950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05662_ (.A1(_01946_),
-    .A2(_01950_),
-    .B1(\u_spim_regs.cfg_m1_addr[22] ),
-    .B2(_01949_),
-    .X(_00815_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05663_ (.A(_01922_),
+ sky130_fd_sc_hd__or2_4 _07064_ (.A(_01660_),
+    .B(\u_m1_res_fifo.mem[1][20] ),
     .X(_01951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05664_ (.A(_01951_),
-    .B(\u_spim_regs.spim_reg_wdata[21] ),
+ sky130_fd_sc_hd__and3_4 _07065_ (.A(_01949_),
+    .B(_01950_),
+    .C(_01951_),
     .X(_01952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05665_ (.A1(_01946_),
-    .A2(_01952_),
-    .B1(\u_spim_regs.cfg_m1_addr[21] ),
-    .B2(_01949_),
-    .X(_00814_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05666_ (.A(_01951_),
-    .B(\u_spim_regs.spim_reg_wdata[20] ),
+ sky130_fd_sc_hd__buf_2 _07066_ (.A(_01659_),
     .X(_01953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05667_ (.A1(_01946_),
-    .A2(_01953_),
-    .B1(\u_spim_regs.cfg_m1_addr[20] ),
-    .B2(_01949_),
-    .X(_00813_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05668_ (.A(_01945_),
+ sky130_fd_sc_hd__or2_4 _07067_ (.A(_01953_),
+    .B(\u_m1_res_fifo.mem[3][20] ),
     .X(_01954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05669_ (.A(_01951_),
-    .B(\u_spim_regs.spim_reg_wdata[19] ),
+ sky130_fd_sc_hd__or2_4 _07068_ (.A(_01666_),
+    .B(\u_m1_res_fifo.mem[2][20] ),
     .X(_01955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05670_ (.A(_01948_),
+ sky130_fd_sc_hd__and3_4 _07069_ (.A(_01663_),
+    .B(_01954_),
+    .C(_01955_),
     .X(_01956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05671_ (.A1(_01954_),
-    .A2(_01955_),
-    .B1(\u_spim_regs.cfg_m1_addr[19] ),
-    .B2(_01956_),
-    .X(_00812_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05672_ (.A(_01951_),
-    .B(\u_spim_regs.spim_reg_wdata[18] ),
+ sky130_fd_sc_hd__or3_4 _07070_ (.A(_01652_),
+    .B(_01952_),
+    .C(_01956_),
     .X(_01957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05673_ (.A1(_01954_),
-    .A2(_01957_),
-    .B1(\u_spim_regs.cfg_m1_addr[18] ),
-    .B2(_01956_),
-    .X(_00811_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05674_ (.A(_01922_),
+ sky130_fd_sc_hd__and3_4 _07071_ (.A(_01947_),
+    .B(_01957_),
+    .C(_01670_),
     .X(_01958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05675_ (.A(_01958_),
-    .B(\u_spim_regs.spim_reg_wdata[17] ),
-    .X(_01959_),
+ sky130_fd_sc_hd__inv_2 _07072_ (.A(_01958_),
+    .Y(_01959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05676_ (.A1(_01954_),
-    .A2(_01959_),
-    .B1(\u_spim_regs.cfg_m1_addr[17] ),
-    .B2(_01956_),
-    .X(_00810_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05677_ (.A(_01894_),
-    .B(\u_spim_regs.spim_reg_wdata[16] ),
+ sky130_fd_sc_hd__and3_4 _07073_ (.A(_01936_),
+    .B(_01939_),
+    .C(_01959_),
     .X(_01960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05678_ (.A1(_01954_),
-    .A2(_01960_),
-    .B1(\u_spim_regs.cfg_m1_addr[16] ),
-    .B2(_01956_),
-    .X(_00809_),
+ sky130_fd_sc_hd__a2bb2o_4 _07074_ (.A1_N(_01725_),
+    .A2_N(_01960_),
+    .B1(\u_spim_regs.spim_reg_rdata[20] ),
+    .B2(_01725_),
+    .X(_01259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05679_ (.A(_01882_),
-    .B(_01944_),
+ sky130_fd_sc_hd__buf_2 _07075_ (.A(_01621_),
     .X(_01961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05680_ (.A(_01961_),
-    .X(_01962_),
+ sky130_fd_sc_hd__inv_2 _07076_ (.A(\u_spim_regs.cfg_m1_addr[19] ),
+    .Y(_01962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05681_ (.A(_01961_),
-    .Y(_01963_),
+ sky130_fd_sc_hd__buf_2 _07077_ (.A(_01534_),
+    .X(_01963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05682_ (.A(_01963_),
+ sky130_fd_sc_hd__o22a_4 _07078_ (.A1(_01962_),
+    .A2(_01624_),
+    .B1(_01963_),
+    .B2(_01628_),
     .X(_01964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05683_ (.A1(_01873_),
-    .A2(_01962_),
-    .B1(\u_spim_regs.cfg_m1_addr[7] ),
-    .B2(_01964_),
-    .X(_00808_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05684_ (.A1(_01897_),
-    .A2(_01962_),
-    .B1(\u_spim_regs.cfg_m1_addr[6] ),
-    .B2(_01964_),
-    .X(_00807_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05685_ (.A1(_01900_),
-    .A2(_01962_),
-    .B1(\u_spim_regs.cfg_m1_addr[5] ),
-    .B2(_01964_),
-    .X(_00806_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05686_ (.A1(_01902_),
-    .A2(_01962_),
-    .B1(\u_spim_regs.cfg_m1_addr[4] ),
-    .B2(_01964_),
-    .X(_00805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05687_ (.A(_01961_),
+ sky130_fd_sc_hd__buf_2 _07079_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
     .X(_01965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05688_ (.A(_01963_),
-    .X(_01966_),
+ sky130_fd_sc_hd__inv_2 _07080_ (.A(_01965_),
+    .Y(_01966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05689_ (.A1(_01903_),
-    .A2(_01965_),
-    .B1(\u_spim_regs.cfg_m1_addr[3] ),
-    .B2(_01966_),
-    .X(_00804_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05690_ (.A1(_01905_),
-    .A2(_01965_),
-    .B1(\u_spim_regs.cfg_m1_addr[2] ),
-    .B2(_01966_),
-    .X(_00803_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05691_ (.A1(_01909_),
-    .A2(_01965_),
-    .B1(\u_spim_regs.cfg_m1_addr[1] ),
-    .B2(_01966_),
-    .X(_00802_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05692_ (.A(_01871_),
+ sky130_fd_sc_hd__buf_2 _07081_ (.A(_01966_),
     .X(_01967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05693_ (.A1(_01967_),
-    .A2(\u_spim_regs.spim_reg_wdata[0] ),
-    .A3(_01966_),
-    .B1(\u_spim_regs.cfg_m1_addr[0] ),
-    .B2(_01965_),
-    .X(_00801_),
+ sky130_fd_sc_hd__inv_2 _07082_ (.A(\u_spim_regs.cfg_m0_spi_seq[3] ),
+    .Y(_01968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05694_ (.A(_01536_),
-    .B(_01875_),
-    .X(_01968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _05695_ (.A1(_01395_),
-    .A2(_01885_),
+ sky130_fd_sc_hd__o22a_4 _07083_ (.A1(_01967_),
+    .A2(_01632_),
     .B1(_01968_),
-    .Y(_00800_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05696_ (.A(_01804_),
+    .B2(_01634_),
     .X(_01969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05697_ (.A(_01969_),
+ sky130_fd_sc_hd__buf_2 _07084_ (.A(_01573_),
     .X(_01970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05698_ (.A(_01874_),
-    .B(_01832_),
+ sky130_fd_sc_hd__or2_4 _07085_ (.A(_01940_),
+    .B(\u_m1_res_fifo.mem[4][19] ),
     .X(_01971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05699_ (.A(\u_spim_regs.cfg_m1_req ),
-    .B(_01885_),
-    .Y(_01972_),
+ sky130_fd_sc_hd__or2_4 _07086_ (.A(_01664_),
+    .B(\u_m1_res_fifo.mem[5][19] ),
+    .X(_01972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05700_ (.A(\u_spim_regs.spi_init_state[2] ),
-    .B(\u_spim_regs.spi_init_state[1] ),
-    .C(\u_spim_regs.spi_init_state[3] ),
-    .D(_01972_),
+ sky130_fd_sc_hd__and3_4 _07087_ (.A(_01653_),
+    .B(_01971_),
+    .C(_01972_),
     .X(_01973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _05701_ (.A1(_01970_),
-    .A2(_01971_),
-    .B1(_01973_),
-    .Y(_00799_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _05702_ (.A(_01803_),
-    .B(_01831_),
-    .C(_01402_),
+ sky130_fd_sc_hd__or2_4 _07088_ (.A(_01646_),
+    .B(\u_m1_res_fifo.mem[7][19] ),
     .X(_01974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05703_ (.A(_01974_),
+ sky130_fd_sc_hd__or2_4 _07089_ (.A(_01648_),
+    .B(\u_m1_res_fifo.mem[6][19] ),
     .X(_01975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05704_ (.A(\u_m1_cmd_fifo.full ),
-    .B(_01975_),
+ sky130_fd_sc_hd__and3_4 _07090_ (.A(_01645_),
+    .B(_01974_),
+    .C(_01975_),
     .X(_01976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05705_ (.A(_00919_),
-    .B(_01402_),
+ sky130_fd_sc_hd__or3_4 _07091_ (.A(_01970_),
+    .B(_01973_),
+    .C(_01976_),
     .X(_01977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05706_ (.A(_01369_),
-    .B(_01977_),
+ sky130_fd_sc_hd__buf_2 _07092_ (.A(_01654_),
     .X(_01978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05707_ (.A(_01978_),
-    .Y(_01979_),
+ sky130_fd_sc_hd__buf_2 _07093_ (.A(_01978_),
+    .X(_01979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05708_ (.A(_01215_),
-    .B(_01806_),
+ sky130_fd_sc_hd__or2_4 _07094_ (.A(_01979_),
+    .B(\u_m1_res_fifo.mem[0][19] ),
     .X(_01980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05709_ (.A(_01979_),
-    .B(_01980_),
+ sky130_fd_sc_hd__buf_2 _07095_ (.A(_01658_),
     .X(_01981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05710_ (.A(_01808_),
-    .B(_01976_),
-    .C(_01981_),
+ sky130_fd_sc_hd__buf_2 _07096_ (.A(_01981_),
     .X(_01982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05711_ (.A(_01197_),
-    .B(_01982_),
+ sky130_fd_sc_hd__or2_4 _07097_ (.A(_01982_),
+    .B(\u_m1_res_fifo.mem[1][19] ),
     .X(_01983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05712_ (.A(_01199_),
-    .B(_01983_),
+ sky130_fd_sc_hd__and3_4 _07098_ (.A(_01949_),
+    .B(_01980_),
+    .C(_01983_),
     .X(_01984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05713_ (.A(_01984_),
+ sky130_fd_sc_hd__or2_4 _07099_ (.A(_01953_),
+    .B(\u_m1_res_fifo.mem[3][19] ),
     .X(_01985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05714_ (.A(_01985_),
-    .Y(_01986_),
+ sky130_fd_sc_hd__or2_4 _07100_ (.A(_01639_),
+    .B(\u_m1_res_fifo.mem[2][19] ),
+    .X(_01986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05715_ (.A1(\u_m1_cmd_fifo.wr_ptr[2] ),
-    .A2(_01986_),
-    .B1(_01192_),
-    .B2(_01985_),
-    .X(_00798_),
+ sky130_fd_sc_hd__and3_4 _07101_ (.A(_01663_),
+    .B(_01985_),
+    .C(_01986_),
+    .X(_01987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _05716_ (.A1(_01199_),
-    .A2(_01983_),
-    .B1(_01986_),
-    .Y(_00797_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05717_ (.A(_01982_),
-    .Y(_01987_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05718_ (.A1(_01195_),
-    .A2(_01987_),
-    .B1(_01983_),
-    .X(_00796_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05719_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__or3_4 _07102_ (.A(_01652_),
+    .B(_01984_),
+    .C(_01987_),
     .X(_01988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05720_ (.A(_01988_),
+ sky130_fd_sc_hd__and3_4 _07103_ (.A(_01977_),
+    .B(_01988_),
+    .C(_01670_),
     .X(_01989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05721_ (.A(_01989_),
-    .X(_01990_),
+ sky130_fd_sc_hd__inv_2 _07104_ (.A(_01989_),
+    .Y(_01990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05722_ (.A(_01196_),
+ sky130_fd_sc_hd__and3_4 _07105_ (.A(_01964_),
+    .B(_01969_),
+    .C(_01990_),
     .X(_01991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05723_ (.A(_01991_),
-    .X(_01992_),
+ sky130_fd_sc_hd__a2bb2o_4 _07106_ (.A1_N(_01961_),
+    .A2_N(_01991_),
+    .B1(\u_spim_regs.spim_reg_rdata[19] ),
+    .B2(_01961_),
+    .X(_01258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05724_ (.A(_01992_),
+ sky130_fd_sc_hd__inv_2 _07107_ (.A(\u_spim_regs.cfg_m1_addr[18] ),
+    .Y(_01992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07108_ (.A(_01529_),
     .X(_01993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05725_ (.A(_01993_),
+ sky130_fd_sc_hd__o22a_4 _07109_ (.A1(_01992_),
+    .A2(_01516_),
+    .B1(_01993_),
+    .B2(_01628_),
     .X(_01994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05726_ (.A(_00981_),
-    .B(_01256_),
-    .C(spi_debug[18]),
-    .X(_01995_),
+ sky130_fd_sc_hd__inv_2 _07110_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
+    .Y(_01995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05727_ (.A(_01995_),
+ sky130_fd_sc_hd__buf_2 _07111_ (.A(_01995_),
     .X(_01996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05728_ (.A(psn_net_40),
-    .B(_00981_),
+ sky130_fd_sc_hd__buf_2 _07112_ (.A(_01631_),
     .X(_01997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05729_ (.A(_01997_),
-    .B(_00986_),
-    .X(_01998_),
+ sky130_fd_sc_hd__inv_2 _07113_ (.A(\u_spim_regs.cfg_m0_spi_seq[2] ),
+    .Y(_01998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05730_ (.A(psn_net_37),
-    .Y(_01999_),
+ sky130_fd_sc_hd__buf_2 _07114_ (.A(_01508_),
+    .X(_01999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05731_ (.A(_00978_),
-    .B(_01999_),
+ sky130_fd_sc_hd__buf_2 _07115_ (.A(_01999_),
     .X(_02000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05732_ (.A(_02000_),
-    .Y(_02001_),
+ sky130_fd_sc_hd__o22a_4 _07116_ (.A1(_01996_),
+    .A2(_01997_),
+    .B1(_01998_),
+    .B2(_02000_),
+    .X(_02001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05733_ (.A(_02001_),
+ sky130_fd_sc_hd__or2_4 _07117_ (.A(_01940_),
+    .B(\u_m1_res_fifo.mem[4][18] ),
     .X(_02002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05734_ (.A(_01998_),
+ sky130_fd_sc_hd__or2_4 _07118_ (.A(_01664_),
+    .B(\u_m1_res_fifo.mem[5][18] ),
     .X(_02003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05735_ (.A(_01214_),
-    .Y(\u_m1_cmd_fifo.empty ),
+ sky130_fd_sc_hd__and3_4 _07119_ (.A(_01653_),
+    .B(_02002_),
+    .C(_02003_),
+    .X(_02004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05736_ (.A(\u_spictrl.gnt[2] ),
-    .Y(_02004_),
+ sky130_fd_sc_hd__or2_4 _07120_ (.A(_01581_),
+    .B(\u_m1_res_fifo.mem[7][18] ),
+    .X(_02005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05737_ (.A(_01130_),
-    .Y(_02005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05738_ (.A1(\u_spictrl.gnt[2] ),
-    .A2(\u_m1_cmd_fifo.empty ),
-    .B1(_02004_),
-    .B2(_02005_),
+ sky130_fd_sc_hd__or2_4 _07121_ (.A(_01578_),
+    .B(\u_m1_res_fifo.mem[6][18] ),
     .X(_02006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05739_ (.A(_02006_),
-    .Y(_02007_),
+ sky130_fd_sc_hd__and3_4 _07122_ (.A(_01645_),
+    .B(_02005_),
+    .C(_02006_),
+    .X(_02007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05740_ (.A(_00979_),
-    .B(psn_net_34),
+ sky130_fd_sc_hd__or3_4 _07123_ (.A(_01970_),
+    .B(_02004_),
     .C(_02007_),
-    .D(psn_net_4),
     .X(_02008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _05741_ (.A(_01996_),
-    .B(_02002_),
-    .C(_02008_),
+ sky130_fd_sc_hd__or2_4 _07124_ (.A(_01979_),
+    .B(\u_m1_res_fifo.mem[0][18] ),
     .X(_02009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05742_ (.A(\u_spictrl.tx_data_ready ),
-    .B(_02009_),
-    .Y(_02010_),
+ sky130_fd_sc_hd__or2_4 _07125_ (.A(_01982_),
+    .B(\u_m1_res_fifo.mem[1][18] ),
+    .X(_02010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05743_ (.A(_00977_),
-    .B(_02010_),
+ sky130_fd_sc_hd__and3_4 _07126_ (.A(_01949_),
+    .B(_02009_),
+    .C(_02010_),
     .X(_02011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05744_ (.A(_01994_),
-    .B(_02011_),
+ sky130_fd_sc_hd__buf_2 _07127_ (.A(_01644_),
     .X(_02012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05745_ (.A(_02012_),
-    .Y(_02013_),
+ sky130_fd_sc_hd__or2_4 _07128_ (.A(_01953_),
+    .B(\u_m1_res_fifo.mem[3][18] ),
+    .X(_02013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05746_ (.A(_01200_),
+ sky130_fd_sc_hd__or2_4 _07129_ (.A(_01639_),
+    .B(\u_m1_res_fifo.mem[2][18] ),
     .X(_02014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05747_ (.A(_02014_),
+ sky130_fd_sc_hd__and3_4 _07130_ (.A(_02012_),
+    .B(_02013_),
+    .C(_02014_),
     .X(_02015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05748_ (.A(_02015_),
-    .B(_02012_),
+ sky130_fd_sc_hd__or3_4 _07131_ (.A(_01652_),
+    .B(_02011_),
+    .C(_02015_),
     .X(_02016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _05749_ (.A1(_01990_),
-    .A2(_02013_),
-    .A3(_01193_),
-    .B1(\u_m1_cmd_fifo.rd_ptr[2] ),
-    .B2(_02016_),
-    .X(_00795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05750_ (.A1(_01990_),
-    .A2(_02013_),
-    .B1(_02016_),
-    .X(_00794_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _05751_ (.A1(_01994_),
-    .A2(_02011_),
-    .B1(_02013_),
-    .Y(_00793_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05752_ (.A(_01105_),
-    .B(_01108_),
-    .C(_00929_),
-    .D(_00911_),
+ sky130_fd_sc_hd__buf_2 _07132_ (.A(_01593_),
     .X(_02017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05753_ (.A(_01345_),
-    .B(_02017_),
+ sky130_fd_sc_hd__and3_4 _07133_ (.A(_02008_),
+    .B(_02016_),
+    .C(_02017_),
     .X(_02018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05754_ (.A(spi_debug[14]),
-    .B(_02018_),
-    .X(_02019_),
+ sky130_fd_sc_hd__inv_2 _07134_ (.A(_02018_),
+    .Y(_02019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05755_ (.A(_01103_),
-    .B(_02019_),
+ sky130_fd_sc_hd__and3_4 _07135_ (.A(_01994_),
+    .B(_02001_),
+    .C(_02019_),
     .X(_02020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05756_ (.A(_02020_),
-    .Y(_02021_),
+ sky130_fd_sc_hd__a2bb2o_4 _07136_ (.A1_N(_01961_),
+    .A2_N(_02020_),
+    .B1(\u_spim_regs.spim_reg_rdata[18] ),
+    .B2(_01961_),
+    .X(_01257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05757_ (.A(_02021_),
-    .X(_02022_),
+ sky130_fd_sc_hd__buf_2 _07137_ (.A(_01621_),
+    .X(_02021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05758_ (.A(_02022_),
-    .X(_02023_),
+ sky130_fd_sc_hd__inv_2 _07138_ (.A(\u_spim_regs.cfg_m1_addr[17] ),
+    .Y(_02022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05759_ (.A1(\u_m0_cmd_fifo.wr_ptr[1] ),
-    .A2(_02023_),
-    .B1(_01106_),
-    .B2(_02020_),
-    .X(_00792_),
+ sky130_fd_sc_hd__inv_2 _07139_ (.A(spi_debug[17]),
+    .Y(_02023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05760_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
-    .B(_02019_),
+ sky130_fd_sc_hd__buf_2 _07140_ (.A(_02023_),
     .X(_02024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05761_ (.A(_02024_),
-    .Y(_02025_),
+ sky130_fd_sc_hd__buf_2 _07141_ (.A(_02024_),
+    .X(_02025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05762_ (.A(_02025_),
+ sky130_fd_sc_hd__o22a_4 _07142_ (.A1(_02022_),
+    .A2(_01516_),
+    .B1(_02025_),
+    .B2(_01731_),
     .X(_02026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05763_ (.A(_02026_),
-    .X(_02027_),
+ sky130_fd_sc_hd__inv_2 _07143_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
+    .Y(_02027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _05764_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
-    .A2(_02019_),
-    .B1(_02027_),
-    .X(_00791_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05765_ (.A(_01104_),
+ sky130_fd_sc_hd__buf_2 _07144_ (.A(_02027_),
     .X(_02028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05766_ (.A(_02028_),
-    .X(_02029_),
+ sky130_fd_sc_hd__inv_2 _07145_ (.A(\u_spim_regs.cfg_m0_spi_seq[1] ),
+    .Y(_02029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05767_ (.A(_02029_),
+ sky130_fd_sc_hd__o22a_4 _07146_ (.A1(_02028_),
+    .A2(_01997_),
+    .B1(_02029_),
+    .B2(_02000_),
     .X(_02030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05768_ (.A(_02004_),
+ sky130_fd_sc_hd__or2_4 _07147_ (.A(_01940_),
+    .B(\u_m1_res_fifo.mem[4][17] ),
     .X(_02031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05769_ (.A(_02031_),
+ sky130_fd_sc_hd__or2_4 _07148_ (.A(_01664_),
+    .B(\u_m1_res_fifo.mem[5][17] ),
     .X(_02032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05770_ (.A(_02032_),
+ sky130_fd_sc_hd__and3_4 _07149_ (.A(_01653_),
+    .B(_02031_),
+    .C(_02032_),
     .X(_02033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05771_ (.A(_02033_),
+ sky130_fd_sc_hd__or2_4 _07150_ (.A(_01581_),
+    .B(\u_m1_res_fifo.mem[7][17] ),
     .X(_02034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05772_ (.A(_02034_),
-    .B(_02010_),
+ sky130_fd_sc_hd__or2_4 _07151_ (.A(_01578_),
+    .B(\u_m1_res_fifo.mem[6][17] ),
     .X(_02035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05773_ (.A(_02030_),
-    .B(_02035_),
+ sky130_fd_sc_hd__and3_4 _07152_ (.A(_01663_),
+    .B(_02034_),
+    .C(_02035_),
     .X(_02036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05774_ (.A(_02036_),
-    .Y(_02037_),
+ sky130_fd_sc_hd__or3_4 _07153_ (.A(_01970_),
+    .B(_02033_),
+    .C(_02036_),
+    .X(_02037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05775_ (.A1(\u_m0_cmd_fifo.rd_ptr[1] ),
-    .A2(_02037_),
-    .B1(_01107_),
-    .B2(_02036_),
-    .X(_00790_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _05776_ (.A1(_02030_),
-    .A2(_02035_),
-    .B1(_02037_),
-    .Y(_00789_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05777_ (.A(_01325_),
-    .B(_01345_),
+ sky130_fd_sc_hd__buf_2 _07154_ (.A(_01548_),
     .X(_02038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05778_ (.A(_02038_),
+ sky130_fd_sc_hd__or2_4 _07155_ (.A(_01979_),
+    .B(\u_m1_res_fifo.mem[0][17] ),
     .X(_02039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05779_ (.A(_02039_),
+ sky130_fd_sc_hd__or2_4 _07156_ (.A(_01982_),
+    .B(\u_m1_res_fifo.mem[1][17] ),
     .X(_02040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05780_ (.A(_01532_),
+ sky130_fd_sc_hd__and3_4 _07157_ (.A(_01949_),
+    .B(_02039_),
+    .C(_02040_),
     .X(_02041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _05781_ (.A(\u_spim_regs.cfg_m0_fsm_reset ),
-    .B(_02040_),
-    .C(_02041_),
+ sky130_fd_sc_hd__or2_4 _07158_ (.A(_01953_),
+    .B(\u_m1_res_fifo.mem[3][17] ),
     .X(_02042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05782_ (.A(_02042_),
-    .Y(_00788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05783_ (.A(_01325_),
-    .B(spi_debug[13]),
+ sky130_fd_sc_hd__or2_4 _07159_ (.A(_01639_),
+    .B(\u_m1_res_fifo.mem[2][17] ),
     .X(_02043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05784_ (.A(_02043_),
+ sky130_fd_sc_hd__and3_4 _07160_ (.A(_02012_),
+    .B(_02042_),
+    .C(_02043_),
     .X(_02044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05785_ (.A(_02044_),
+ sky130_fd_sc_hd__or3_4 _07161_ (.A(_02038_),
+    .B(_02041_),
+    .C(_02044_),
     .X(_02045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05786_ (.A(\u_spim_regs.cfg_m0_fsm_reset ),
-    .B(_01531_),
-    .C(_02045_),
-    .D(_02018_),
+ sky130_fd_sc_hd__and3_4 _07162_ (.A(_02037_),
+    .B(_02045_),
+    .C(_02017_),
     .X(_02046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05787_ (.A(_02046_),
-    .Y(_00787_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05788_ (.A(\u_spim_regs.cur_cnt[4] ),
+ sky130_fd_sc_hd__inv_2 _07163_ (.A(_02046_),
     .Y(_02047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05789_ (.A(\u_spim_regs.cur_cnt[3] ),
-    .Y(_02048_),
+ sky130_fd_sc_hd__and3_4 _07164_ (.A(_02026_),
+    .B(_02030_),
+    .C(_02047_),
+    .X(_02048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05790_ (.A(\u_spim_regs.cur_cnt[2] ),
-    .Y(_02049_),
+ sky130_fd_sc_hd__a2bb2o_4 _07165_ (.A1_N(_02021_),
+    .A2_N(_02048_),
+    .B1(\u_spim_regs.spim_reg_rdata[17] ),
+    .B2(_02021_),
+    .X(_01256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05791_ (.A(\u_spim_regs.cur_cnt[1] ),
-    .Y(_02050_),
+ sky130_fd_sc_hd__buf_2 _07166_ (.A(\u_spim_regs.cfg_m1_spi_seq[0] ),
+    .X(_02049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05792_ (.A(\u_spim_regs.cur_cnt[0] ),
+ sky130_fd_sc_hd__buf_2 _07167_ (.A(_02049_),
+    .X(_02050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07168_ (.A(\u_spim_regs.cfg_m0_spi_seq[0] ),
     .Y(_02051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05793_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
-    .B(\u_spim_regs.cfg_m1_spi_seq[0] ),
+ sky130_fd_sc_hd__buf_2 _07169_ (.A(_01999_),
     .X(_02052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05794_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
-    .B(_02052_),
+ sky130_fd_sc_hd__inv_2 _07170_ (.A(_01677_),
     .Y(_02053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05795_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
-    .B(_02053_),
+ sky130_fd_sc_hd__buf_2 _07171_ (.A(_02053_),
     .X(_02054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05796_ (.A(_02054_),
-    .Y(_02055_),
+ sky130_fd_sc_hd__a2bb2o_4 _07172_ (.A1_N(_02051_),
+    .A2_N(_02052_),
+    .B1(\u_spim_regs.cfg_m1_addr[16] ),
+    .B2(_02054_),
+    .X(_02055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05797_ (.A(_01261_),
-    .B(_01275_),
-    .C(_01289_),
-    .D(_01303_),
-    .X(_02056_),
+ sky130_fd_sc_hd__inv_2 _07173_ (.A(_01498_),
+    .Y(_02056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05798_ (.A(_02055_),
-    .B(_02056_),
+ sky130_fd_sc_hd__buf_2 _07174_ (.A(_02056_),
     .X(_02057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05799_ (.A(_01974_),
-    .B(_02057_),
+ sky130_fd_sc_hd__buf_2 _07175_ (.A(_01573_),
     .X(_02058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05800_ (.A(_02058_),
-    .Y(_02059_),
+ sky130_fd_sc_hd__buf_2 _07176_ (.A(_01948_),
+    .X(_02059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05801_ (.A(_01831_),
-    .B(_01402_),
-    .C(_01368_),
+ sky130_fd_sc_hd__buf_2 _07177_ (.A(_01700_),
     .X(_02060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05802_ (.A(_02060_),
-    .B(_01807_),
+ sky130_fd_sc_hd__or2_4 _07178_ (.A(_02060_),
+    .B(\u_m1_res_fifo.mem[4][16] ),
     .X(_02061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05803_ (.A(_00921_),
-    .Y(_02062_),
+ sky130_fd_sc_hd__buf_2 _07179_ (.A(_01697_),
+    .X(_02062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05804_ (.A1_N(_01203_),
-    .A2_N(_01974_),
-    .B1(_02062_),
-    .B2(_00932_),
+ sky130_fd_sc_hd__or2_4 _07180_ (.A(_02062_),
+    .B(\u_m1_res_fifo.mem[5][16] ),
     .X(_02063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05805_ (.A1(_02061_),
-    .A2(_02063_),
-    .B1(_01412_),
+ sky130_fd_sc_hd__and3_4 _07181_ (.A(_02059_),
+    .B(_02061_),
+    .C(_02063_),
     .X(_02064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05806_ (.A(_02062_),
-    .B(_02060_),
+ sky130_fd_sc_hd__buf_2 _07182_ (.A(_01695_),
     .X(_02065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _05807_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
-    .B(\u_spim_regs.cfg_m1_data_cnt[0] ),
-    .C(\u_spim_regs.cfg_m1_data_cnt[2] ),
+ sky130_fd_sc_hd__or2_4 _07183_ (.A(_01660_),
+    .B(\u_m1_res_fifo.mem[7][16] ),
     .X(_02066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05808_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
-    .B(_02066_),
+ sky130_fd_sc_hd__or2_4 _07184_ (.A(_01656_),
+    .B(\u_m1_res_fifo.mem[6][16] ),
     .X(_02067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05809_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
-    .B(_02067_),
+ sky130_fd_sc_hd__and3_4 _07185_ (.A(_02065_),
+    .B(_02066_),
+    .C(_02067_),
     .X(_02068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05810_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
-    .B(_02068_),
+ sky130_fd_sc_hd__or3_4 _07186_ (.A(_02058_),
+    .B(_02064_),
+    .C(_02068_),
     .X(_02069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05811_ (.A(_02067_),
-    .Y(_02070_),
+ sky130_fd_sc_hd__buf_2 _07187_ (.A(_01700_),
+    .X(_02070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _05812_ (.A(_01101_),
-    .B(_02070_),
-    .C(_01084_),
-    .D(_00962_),
+ sky130_fd_sc_hd__or2_4 _07188_ (.A(_02070_),
+    .B(\u_m1_res_fifo.mem[0][16] ),
     .X(_02071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _05813_ (.A1(\u_spim_regs.cfg_m1_data_cnt[6] ),
-    .A2(_02069_),
-    .B1(_02071_),
+ sky130_fd_sc_hd__buf_2 _07189_ (.A(_01697_),
     .X(_02072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05814_ (.A(_02047_),
-    .B(_02072_),
-    .Y(_02073_),
+ sky130_fd_sc_hd__or2_4 _07190_ (.A(_02072_),
+    .B(\u_m1_res_fifo.mem[1][16] ),
+    .X(_02073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05815_ (.A(_02047_),
-    .B(_02072_),
+ sky130_fd_sc_hd__and3_4 _07191_ (.A(_02059_),
+    .B(_02071_),
+    .C(_02073_),
     .X(_02074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05816_ (.A(_01154_),
-    .Y(_02075_),
+ sky130_fd_sc_hd__or2_4 _07192_ (.A(_01660_),
+    .B(\u_m1_res_fifo.mem[3][16] ),
+    .X(_02075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05817_ (.A(_01179_),
-    .B(_01190_),
-    .Y(_02076_),
+ sky130_fd_sc_hd__or2_4 _07193_ (.A(_01656_),
+    .B(\u_m1_res_fifo.mem[2][16] ),
+    .X(_02076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05818_ (.A1(_02075_),
-    .A2(_02076_),
-    .B1(_02066_),
+ sky130_fd_sc_hd__and3_4 _07194_ (.A(_02065_),
+    .B(_02075_),
+    .C(_02076_),
     .X(_02077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05819_ (.A(_02077_),
-    .Y(_02078_),
+ sky130_fd_sc_hd__or3_4 _07195_ (.A(_02038_),
+    .B(_02074_),
+    .C(_02077_),
+    .X(_02078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05820_ (.A1(_02051_),
-    .A2(_02077_),
-    .B1(\u_spim_regs.cur_cnt[0] ),
-    .B2(_02078_),
+ sky130_fd_sc_hd__and4_4 _07196_ (.A(_01915_),
+    .B(_02057_),
+    .C(_02069_),
+    .D(_02078_),
     .X(_02079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _05821_ (.A1(_01124_),
-    .A2(_02066_),
-    .B1(_02070_),
+ sky130_fd_sc_hd__a211o_4 _07197_ (.A1(_02050_),
+    .A2(_01520_),
+    .B1(_02055_),
+    .C1(_02079_),
     .X(_02080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05822_ (.A(_02080_),
+ sky130_fd_sc_hd__inv_2 _07198_ (.A(_02080_),
     .Y(_02081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05823_ (.A1(_02050_),
-    .A2(_02081_),
-    .B1(\u_spim_regs.cur_cnt[1] ),
-    .B2(_02080_),
+ sky130_fd_sc_hd__a2bb2o_4 _07199_ (.A1_N(_02021_),
+    .A2_N(_02081_),
+    .B1(\u_spim_regs.spim_reg_rdata[16] ),
+    .B2(_02021_),
+    .X(_01255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07200_ (.A(_01502_),
     .X(_02082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05824_ (.A1(_01101_),
-    .A2(_02070_),
-    .B1(_02068_),
+ sky130_fd_sc_hd__buf_2 _07201_ (.A(_02082_),
     .X(_02083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05825_ (.A(_02083_),
+ sky130_fd_sc_hd__inv_2 _07202_ (.A(\u_spictrl.spi_clk_div[7] ),
     .Y(_02084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05826_ (.A1(_02049_),
-    .A2(_02083_),
-    .B1(\u_spim_regs.cur_cnt[2] ),
-    .B2(_02084_),
+ sky130_fd_sc_hd__buf_2 _07203_ (.A(_01513_),
     .X(_02085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _05827_ (.A1(_01083_),
-    .A2(_02068_),
-    .B1_N(_02069_),
+ sky130_fd_sc_hd__buf_2 _07204_ (.A(_01506_),
     .X(_02086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05828_ (.A1_N(_02048_),
-    .A2_N(_02086_),
-    .B1(_02048_),
-    .B2(_02086_),
+ sky130_fd_sc_hd__buf_2 _07205_ (.A(_01507_),
     .X(_02087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05829_ (.A(_02079_),
-    .B(_02082_),
-    .C(_02085_),
+ sky130_fd_sc_hd__or4_4 _07206_ (.A(_02085_),
+    .B(_01517_),
+    .C(_02086_),
     .D(_02087_),
     .X(_02088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05830_ (.A(\u_spim_regs.cfg_m1_data_cnt[7] ),
-    .Y(_02089_),
+ sky130_fd_sc_hd__buf_2 _07207_ (.A(_02088_),
+    .X(_02089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05831_ (.A(\u_spim_regs.cur_cnt[5] ),
-    .Y(_02090_),
+ sky130_fd_sc_hd__buf_2 _07208_ (.A(_02089_),
+    .X(_02090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05832_ (.A1_N(_02090_),
-    .A2_N(_02071_),
-    .B1(_02090_),
-    .B2(_02071_),
+ sky130_fd_sc_hd__or2_4 _07209_ (.A(_02084_),
+    .B(_02090_),
     .X(_02091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05833_ (.A1_N(_02089_),
-    .A2_N(_02091_),
-    .B1(_02089_),
-    .B2(_02091_),
+ sky130_fd_sc_hd__buf_2 _07210_ (.A(_02054_),
     .X(_02092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _05834_ (.A(_02073_),
-    .B(_02074_),
-    .C(_02088_),
-    .D(_02092_),
-    .X(_02093_),
+ sky130_fd_sc_hd__nand2_4 _07211_ (.A(\u_spim_regs.cfg_m1_addr[15] ),
+    .B(_02092_),
+    .Y(_02093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05835_ (.A(_02065_),
-    .B(_02093_),
+ sky130_fd_sc_hd__inv_2 _07212_ (.A(\u_spim_regs.cfg_m1_mode_reg[7] ),
     .Y(_02094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05836_ (.A(_01411_),
-    .B(_01975_),
-    .C(_02094_),
-    .X(_02095_),
+ sky130_fd_sc_hd__inv_2 _07213_ (.A(\u_spim_regs.cfg_m0_mode_reg[7] ),
+    .Y(_02095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05837_ (.A1(_01412_),
-    .A2(_02059_),
-    .B1(_02064_),
-    .C1(_02095_),
+ sky130_fd_sc_hd__o22a_4 _07214_ (.A1(_02094_),
+    .A2(_01997_),
+    .B1(_02095_),
+    .B2(_02000_),
     .X(_02096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05838_ (.A(_02051_),
-    .B(_02096_),
+ sky130_fd_sc_hd__buf_2 _07215_ (.A(_01948_),
     .X(_02097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05839_ (.A(_02050_),
-    .B(_02097_),
+ sky130_fd_sc_hd__buf_2 _07216_ (.A(_01978_),
     .X(_02098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05840_ (.A(_02049_),
-    .B(_02098_),
+ sky130_fd_sc_hd__or2_4 _07217_ (.A(_02098_),
+    .B(\u_m1_res_fifo.mem[4][15] ),
     .X(_02099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05841_ (.A(_02048_),
-    .B(_02099_),
+ sky130_fd_sc_hd__or2_4 _07218_ (.A(_01982_),
+    .B(\u_m1_res_fifo.mem[5][15] ),
     .X(_02100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05842_ (.A(_02047_),
-    .B(_02100_),
+ sky130_fd_sc_hd__and3_4 _07219_ (.A(_02097_),
+    .B(_02099_),
+    .C(_02100_),
     .X(_02101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05843_ (.A(_02101_),
-    .Y(_02102_),
+ sky130_fd_sc_hd__buf_2 _07220_ (.A(_01659_),
+    .X(_02102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05844_ (.A(\u_spim_regs.cur_cnt[5] ),
-    .B(_02102_),
+ sky130_fd_sc_hd__or2_4 _07221_ (.A(_02102_),
+    .B(\u_m1_res_fifo.mem[7][15] ),
     .X(_02103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _05845_ (.A1(_01413_),
-    .A2(_02065_),
-    .B1(_02096_),
+ sky130_fd_sc_hd__buf_2 _07222_ (.A(_01655_),
     .X(_02104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05846_ (.A(_02090_),
-    .B(_02101_),
+ sky130_fd_sc_hd__or2_4 _07223_ (.A(_02104_),
+    .B(\u_m1_res_fifo.mem[6][15] ),
     .X(_02105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05847_ (.A(_02103_),
-    .B(_02104_),
+ sky130_fd_sc_hd__and3_4 _07224_ (.A(_02012_),
+    .B(_02103_),
     .C(_02105_),
-    .X(_00786_),
+    .X(_02106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05848_ (.A(_02104_),
-    .Y(_02106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05849_ (.A1(_02047_),
-    .A2(_02100_),
-    .B1(_02102_),
-    .C1(_02106_),
+ sky130_fd_sc_hd__or3_4 _07225_ (.A(_01970_),
+    .B(_02101_),
+    .C(_02106_),
     .X(_02107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05850_ (.A(_02107_),
-    .Y(_00785_),
+ sky130_fd_sc_hd__or2_4 _07226_ (.A(_02070_),
+    .B(\u_m1_res_fifo.mem[0][15] ),
+    .X(_02108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _05851_ (.A(_02048_),
-    .B(_02099_),
-    .Y(_02108_),
+ sky130_fd_sc_hd__or2_4 _07227_ (.A(_02072_),
+    .B(\u_m1_res_fifo.mem[1][15] ),
+    .X(_02109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05852_ (.A(_02100_),
-    .B(_02104_),
-    .C(_02108_),
-    .X(_00784_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05853_ (.A(_02098_),
-    .Y(_02109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05854_ (.A(\u_spim_regs.cur_cnt[2] ),
-    .B(_02109_),
+ sky130_fd_sc_hd__and3_4 _07228_ (.A(_02059_),
+    .B(_02108_),
+    .C(_02109_),
     .X(_02110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05855_ (.A(_02099_),
-    .B(_02104_),
-    .C(_02110_),
-    .X(_00783_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05856_ (.A1(_02050_),
-    .A2(_02097_),
-    .B1(_02109_),
-    .C1(_02106_),
+ sky130_fd_sc_hd__buf_2 _07229_ (.A(_01695_),
     .X(_02111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05857_ (.A(_02111_),
-    .Y(_00782_),
+ sky130_fd_sc_hd__buf_2 _07230_ (.A(_01981_),
+    .X(_02112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05858_ (.A(_02096_),
-    .Y(_02112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05859_ (.A(_01413_),
-    .B(_01975_),
-    .C(_02112_),
+ sky130_fd_sc_hd__or2_4 _07231_ (.A(_02112_),
+    .B(\u_m1_res_fifo.mem[3][15] ),
     .X(_02113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05860_ (.A1(\u_spim_regs.cur_cnt[0] ),
-    .A2(_02113_),
-    .B1(_02097_),
-    .X(_00781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05861_ (.A(_01895_),
-    .B(\u_spim_regs.spim_reg_wdata[31] ),
+ sky130_fd_sc_hd__or2_4 _07232_ (.A(_01979_),
+    .B(\u_m1_res_fifo.mem[2][15] ),
     .X(_02114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05862_ (.A(_01879_),
+ sky130_fd_sc_hd__and3_4 _07233_ (.A(_02111_),
+    .B(_02113_),
+    .C(_02114_),
     .X(_02115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05863_ (.A(\u_spim_regs.spim_reg_be[3] ),
-    .B(_02115_),
-    .Y(_02116_),
+ sky130_fd_sc_hd__or3_4 _07234_ (.A(_02038_),
+    .B(_02110_),
+    .C(_02115_),
+    .X(_02116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05864_ (.A(_01888_),
+ sky130_fd_sc_hd__and3_4 _07235_ (.A(_02107_),
     .B(_02116_),
+    .C(_02017_),
     .X(_02117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05865_ (.A(_02117_),
-    .X(_02118_),
+ sky130_fd_sc_hd__inv_2 _07236_ (.A(_02117_),
+    .Y(_02118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05866_ (.A(_02117_),
-    .Y(_02119_),
+ sky130_fd_sc_hd__and4_4 _07237_ (.A(_02091_),
+    .B(_02093_),
+    .C(_02096_),
+    .D(_02118_),
+    .X(_02119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05867_ (.A(_02119_),
-    .X(_02120_),
+ sky130_fd_sc_hd__a2bb2o_4 _07238_ (.A1_N(_02083_),
+    .A2_N(_02119_),
+    .B1(\u_spim_regs.spim_reg_rdata[15] ),
+    .B2(_02083_),
+    .X(_01254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05868_ (.A1(_02114_),
-    .A2(_02118_),
-    .B1(\u_spim_regs.cfg_m1_data_cnt[7] ),
-    .B2(_02120_),
-    .X(_00780_),
+ sky130_fd_sc_hd__inv_2 _07239_ (.A(\u_spim_regs.cfg_m0_mode_reg[6] ),
+    .Y(_02120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05869_ (.A(_01958_),
-    .B(\u_spim_regs.spim_reg_wdata[30] ),
-    .X(_02121_),
+ sky130_fd_sc_hd__nor2_4 _07240_ (.A(_02120_),
+    .B(_01674_),
+    .Y(_02121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05870_ (.A1(_02118_),
-    .A2(_02121_),
-    .B1(\u_spim_regs.cfg_m1_data_cnt[6] ),
-    .B2(_02120_),
-    .X(_00779_),
+ sky130_fd_sc_hd__inv_2 _07241_ (.A(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .Y(_02122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05871_ (.A(_01958_),
-    .B(\u_spim_regs.spim_reg_wdata[29] ),
-    .X(_02122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05872_ (.A1(_02118_),
-    .A2(_02122_),
-    .B1(_01083_),
-    .B2(_02120_),
-    .X(_00778_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05873_ (.A(_01958_),
-    .B(\u_spim_regs.spim_reg_wdata[28] ),
+ sky130_fd_sc_hd__buf_2 _07242_ (.A(_01631_),
     .X(_02123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05874_ (.A1(_02118_),
-    .A2(_02123_),
-    .B1(\u_spim_regs.cfg_m1_data_cnt[4] ),
-    .B2(_02120_),
-    .X(_00777_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05875_ (.A(_02117_),
+ sky130_fd_sc_hd__buf_2 _07243_ (.A(_02053_),
     .X(_02124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05876_ (.A(_01913_),
-    .B(\u_spim_regs.spim_reg_wdata[27] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07244_ (.A1_N(_02122_),
+    .A2_N(_02123_),
+    .B1(\u_spim_regs.cfg_m1_addr[14] ),
+    .B2(_02124_),
     .X(_02125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05877_ (.A(_02119_),
-    .X(_02126_),
+ sky130_fd_sc_hd__inv_2 _07245_ (.A(\u_spictrl.spi_clk_div[6] ),
+    .Y(_02126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05878_ (.A1(_02124_),
-    .A2(_02125_),
-    .B1(_01124_),
-    .B2(_02126_),
-    .X(_00776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05879_ (.A(_01913_),
-    .B(\u_spim_regs.spim_reg_wdata[26] ),
+ sky130_fd_sc_hd__buf_2 _07246_ (.A(_02088_),
     .X(_02127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05880_ (.A1(_02124_),
+ sky130_fd_sc_hd__o22a_4 _07247_ (.A1(_02126_),
     .A2(_02127_),
-    .B1(_01154_),
-    .B2(_02126_),
-    .X(_00775_),
+    .B1(_01406_),
+    .B2(_01627_),
+    .X(_02128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05881_ (.A(_01179_),
-    .Y(_02128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05882_ (.A(_01968_),
+ sky130_fd_sc_hd__inv_2 _07248_ (.A(_02128_),
     .Y(_02129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05883_ (.A(_01894_),
-    .B(\u_spim_regs.spim_reg_wdata[25] ),
+ sky130_fd_sc_hd__buf_2 _07249_ (.A(_01686_),
     .X(_02130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05884_ (.A(_02129_),
-    .B(_02130_),
-    .Y(_02131_),
+ sky130_fd_sc_hd__or2_4 _07250_ (.A(_01689_),
+    .B(\u_m1_res_fifo.mem[4][14] ),
+    .X(_02131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05885_ (.A1(_02128_),
-    .A2(_02126_),
-    .B1(_02124_),
-    .B2(_02131_),
+ sky130_fd_sc_hd__or2_4 _07251_ (.A(_01734_),
+    .B(\u_m1_res_fifo.mem[5][14] ),
     .X(_02132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05886_ (.A(_02132_),
-    .Y(_00774_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _05887_ (.A(_01913_),
-    .B(\u_spim_regs.spim_reg_wdata[24] ),
+ sky130_fd_sc_hd__and3_4 _07252_ (.A(_02130_),
+    .B(_02131_),
+    .C(_02132_),
     .X(_02133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05888_ (.A1(_02124_),
-    .A2(_02133_),
-    .B1(_01190_),
-    .B2(_02126_),
-    .X(_00773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _05889_ (.A1(_01085_),
-    .A2(_01940_),
-    .B1(_01887_),
+ sky130_fd_sc_hd__or2_4 _07253_ (.A(_01698_),
+    .B(\u_m1_res_fifo.mem[7][14] ),
     .X(_02134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05890_ (.A(_02134_),
-    .Y(_02135_),
+ sky130_fd_sc_hd__or2_4 _07254_ (.A(_01701_),
+    .B(\u_m1_res_fifo.mem[6][14] ),
+    .X(_02135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05891_ (.A(_02135_),
+ sky130_fd_sc_hd__and3_4 _07255_ (.A(_01696_),
+    .B(_02134_),
+    .C(_02135_),
     .X(_02136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05892_ (.A(_02134_),
+ sky130_fd_sc_hd__or3_4 _07256_ (.A(_01685_),
+    .B(_02133_),
+    .C(_02136_),
     .X(_02137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05893_ (.A1(_01947_),
-    .A2(_02136_),
-    .B1(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
-    .B2(_02137_),
-    .X(_00772_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05894_ (.A1(_01950_),
-    .A2(_02136_),
-    .B1(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
-    .B2(_02137_),
-    .X(_00771_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05895_ (.A1(_01952_),
-    .A2(_02136_),
-    .B1(\u_spim_regs.cfg_m1_addr_cnt[1] ),
-    .B2(_02137_),
-    .X(_00770_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05896_ (.A1(_01953_),
-    .A2(_02136_),
-    .B1(\u_spim_regs.cfg_m1_addr_cnt[0] ),
-    .B2(_02137_),
-    .X(_00769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05897_ (.A(_02135_),
+ sky130_fd_sc_hd__buf_2 _07257_ (.A(_01705_),
     .X(_02138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05898_ (.A(_02134_),
+ sky130_fd_sc_hd__or2_4 _07258_ (.A(_01742_),
+    .B(\u_m1_res_fifo.mem[0][14] ),
     .X(_02139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05899_ (.A1(_01955_),
-    .A2(_02138_),
-    .B1(_01261_),
-    .B2(_02139_),
-    .X(_00768_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05900_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
+ sky130_fd_sc_hd__or2_4 _07259_ (.A(_01745_),
+    .B(\u_m1_res_fifo.mem[1][14] ),
     .X(_02140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05901_ (.A1(_01957_),
-    .A2(_02138_),
-    .B1(_02140_),
-    .B2(_02139_),
-    .X(_00767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05902_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
+ sky130_fd_sc_hd__and3_4 _07260_ (.A(_01707_),
+    .B(_02139_),
+    .C(_02140_),
     .X(_02141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05903_ (.A1(_01959_),
-    .A2(_02138_),
-    .B1(_02141_),
-    .B2(_02139_),
-    .X(_00766_),
+ sky130_fd_sc_hd__buf_2 _07261_ (.A(_01713_),
+    .X(_02142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05904_ (.A(_01960_),
-    .B(_02129_),
-    .Y(_02142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05905_ (.A1(_01304_),
-    .A2(_02139_),
-    .B1(_02138_),
-    .B2(_02142_),
+ sky130_fd_sc_hd__or2_4 _07262_ (.A(_01715_),
+    .B(\u_m1_res_fifo.mem[3][14] ),
     .X(_02143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05906_ (.A(_02143_),
-    .Y(_00765_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05907_ (.A(_01881_),
+ sky130_fd_sc_hd__or2_4 _07263_ (.A(_01749_),
+    .B(\u_m1_res_fifo.mem[2][14] ),
     .X(_02144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05908_ (.A(\u_spim_regs.spim_reg_be[0] ),
-    .B(_02144_),
-    .C(_01435_),
+ sky130_fd_sc_hd__and3_4 _07264_ (.A(_02142_),
+    .B(_02143_),
+    .C(_02144_),
     .X(_02145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05909_ (.A(\u_spim_regs.spim_reg_wdata[8] ),
+ sky130_fd_sc_hd__or3_4 _07265_ (.A(_02138_),
+    .B(_02141_),
+    .C(_02145_),
     .X(_02146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05910_ (.A1_N(_01413_),
-    .A2_N(_02145_),
-    .B1(_02146_),
-    .B2(_02145_),
-    .X(_00764_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _05911_ (.A(_01878_),
-    .B(_02115_),
+ sky130_fd_sc_hd__buf_2 _07266_ (.A(_01592_),
     .X(_02147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05912_ (.A(_01479_),
-    .B(_02147_),
-    .Y(_02148_),
+ sky130_fd_sc_hd__and3_4 _07267_ (.A(_02137_),
+    .B(_02146_),
+    .C(_02147_),
+    .X(_02148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05913_ (.A(_02148_),
+ sky130_fd_sc_hd__or4_4 _07268_ (.A(_02121_),
+    .B(_02125_),
+    .C(_02129_),
+    .D(_02148_),
     .X(_02149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05914_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
-    .X(_02150_),
+ sky130_fd_sc_hd__inv_2 _07269_ (.A(_02149_),
+    .Y(_02150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05915_ (.A1_N(_01478_),
-    .A2_N(_02149_),
-    .B1(_02150_),
-    .B2(_02149_),
-    .X(_00763_),
+ sky130_fd_sc_hd__a2bb2o_4 _07270_ (.A1_N(_02083_),
+    .A2_N(_02150_),
+    .B1(\u_spim_regs.spim_reg_rdata[14] ),
+    .B2(_02083_),
+    .X(_01253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05916_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
+ sky130_fd_sc_hd__buf_2 _07271_ (.A(_02082_),
     .X(_02151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05917_ (.A1_N(_01491_),
-    .A2_N(_02149_),
-    .B1(_02151_),
-    .B2(_02149_),
-    .X(_00762_),
+ sky130_fd_sc_hd__inv_2 _07272_ (.A(\u_spim_regs.cfg_m0_mode_reg[5] ),
+    .Y(_02152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05918_ (.A(_02148_),
-    .X(_02152_),
+ sky130_fd_sc_hd__nor2_4 _07273_ (.A(_02152_),
+    .B(_02052_),
+    .Y(_02153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05919_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
-    .X(_02153_),
+ sky130_fd_sc_hd__inv_2 _07274_ (.A(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .Y(_02154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05920_ (.A1_N(_01503_),
-    .A2_N(_02152_),
-    .B1(_02153_),
-    .B2(_02152_),
-    .X(_00761_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05921_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
-    .X(_02154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05922_ (.A1_N(_01520_),
-    .A2_N(_02152_),
-    .B1(_02154_),
-    .B2(_02152_),
-    .X(_00760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _05923_ (.A1(_01536_),
-    .A2(_01414_),
-    .B1(_01882_),
-    .C1(_01943_),
+ sky130_fd_sc_hd__a2bb2o_4 _07275_ (.A1_N(_02154_),
+    .A2_N(_02123_),
+    .B1(\u_spim_regs.cfg_m1_addr[13] ),
+    .B2(_02124_),
     .X(_02155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05924_ (.A(_02155_),
-    .X(_02156_),
+ sky130_fd_sc_hd__inv_2 _07276_ (.A(\u_spictrl.spi_clk_div[5] ),
+    .Y(_02156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05925_ (.A(_02156_),
+ sky130_fd_sc_hd__o22a_4 _07277_ (.A1(_02156_),
+    .A2(_02127_),
+    .B1(_01279_),
+    .B2(_01627_),
     .X(_02157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05926_ (.A(_02155_),
+ sky130_fd_sc_hd__inv_2 _07278_ (.A(_02157_),
     .Y(_02158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05927_ (.A(_02158_),
+ sky130_fd_sc_hd__or2_4 _07279_ (.A(_01708_),
+    .B(\u_m1_res_fifo.mem[4][13] ),
     .X(_02159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05928_ (.A1(_01873_),
-    .A2(_02157_),
-    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
-    .B2(_02159_),
-    .X(_00759_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05929_ (.A1(_01897_),
-    .A2(_02157_),
-    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
-    .B2(_02159_),
-    .X(_00758_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05930_ (.A(_02158_),
+ sky130_fd_sc_hd__or2_4 _07280_ (.A(_01734_),
+    .B(\u_m1_res_fifo.mem[5][13] ),
     .X(_02160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05931_ (.A1(_01900_),
-    .A2(_02157_),
-    .B1(\u_spictrl.cfg_m1_spi_mode[1] ),
-    .B2(_02160_),
-    .X(_00757_),
+ sky130_fd_sc_hd__and3_4 _07281_ (.A(_02130_),
+    .B(_02159_),
+    .C(_02160_),
+    .X(_02161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05932_ (.A1(_01902_),
-    .A2(_02157_),
-    .B1(\u_spictrl.cfg_m1_spi_mode[0] ),
-    .B2(_02160_),
-    .X(_00756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05933_ (.A1(_01903_),
-    .A2(_02156_),
-    .B1(\u_spictrl.cfg_m1_cs_reg[3] ),
-    .B2(_02160_),
-    .X(_00755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05934_ (.A1(_01905_),
-    .A2(_02156_),
-    .B1(\u_spictrl.cfg_m1_cs_reg[2] ),
-    .B2(_02160_),
-    .X(_00754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _05935_ (.A1(_01909_),
-    .A2(_02156_),
-    .B1(\u_spictrl.cfg_m1_cs_reg[1] ),
-    .B2(_02158_),
-    .X(_00753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05936_ (.A(\u_spictrl.cfg_m1_cs_reg[0] ),
-    .Y(_02161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05937_ (.A1_N(_02161_),
-    .A2_N(_02159_),
-    .B1(_01911_),
-    .B2(_02159_),
-    .X(_00752_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05938_ (.A(\u_spim_regs.spim_reg_be[1] ),
-    .B(_02144_),
-    .C(_01300_),
+ sky130_fd_sc_hd__or2_4 _07282_ (.A(_01692_),
+    .B(\u_m1_res_fifo.mem[7][13] ),
     .X(_02162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05939_ (.A(_02162_),
+ sky130_fd_sc_hd__or2_4 _07283_ (.A(_01717_),
+    .B(\u_m1_res_fifo.mem[6][13] ),
     .X(_02163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05940_ (.A1_N(_01314_),
-    .A2_N(_02163_),
-    .B1(_01914_),
-    .B2(_02163_),
-    .X(_00751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05941_ (.A1_N(_01331_),
-    .A2_N(_02163_),
-    .B1(_01924_),
-    .B2(_02163_),
-    .X(_00750_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05942_ (.A(_02162_),
+ sky130_fd_sc_hd__and3_4 _07284_ (.A(_01696_),
+    .B(_02162_),
+    .C(_02163_),
     .X(_02164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05943_ (.A1_N(_01348_),
-    .A2_N(_02164_),
-    .B1(_01926_),
-    .B2(_02164_),
-    .X(_00749_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05944_ (.A1_N(_01359_),
-    .A2_N(_02164_),
-    .B1(_01928_),
-    .B2(_02164_),
-    .X(_00748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05945_ (.A(_02162_),
+ sky130_fd_sc_hd__or3_4 _07285_ (.A(_01685_),
+    .B(_02161_),
+    .C(_02164_),
     .X(_02165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05946_ (.A1_N(_01372_),
-    .A2_N(_02165_),
-    .B1(_01931_),
-    .B2(_02165_),
-    .X(_00747_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05947_ (.A1_N(_01385_),
-    .A2_N(_02165_),
-    .B1(_01935_),
-    .B2(_02165_),
-    .X(_00746_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05948_ (.A(_02162_),
+ sky130_fd_sc_hd__or2_4 _07286_ (.A(_01742_),
+    .B(\u_m1_res_fifo.mem[0][13] ),
     .X(_02166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05949_ (.A1_N(_01403_),
-    .A2_N(_02166_),
-    .B1(_01937_),
-    .B2(_02166_),
-    .X(_00745_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05950_ (.A1_N(_01415_),
-    .A2_N(_02166_),
-    .B1(_02146_),
-    .B2(_02166_),
-    .X(_00744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05951_ (.A(\u_spim_regs.spim_reg_be[0] ),
-    .B(_01881_),
-    .C(_01300_),
+ sky130_fd_sc_hd__or2_4 _07287_ (.A(_01745_),
+    .B(\u_m1_res_fifo.mem[1][13] ),
     .X(_02167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05952_ (.A(_02167_),
+ sky130_fd_sc_hd__and3_4 _07288_ (.A(_01707_),
+    .B(_02166_),
+    .C(_02167_),
     .X(_02168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05953_ (.A1_N(_01432_),
-    .A2_N(_02168_),
-    .B1(_01872_),
-    .B2(_02168_),
-    .X(_00743_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05954_ (.A1_N(_01445_),
-    .A2_N(_02168_),
-    .B1(_01896_),
-    .B2(_02168_),
-    .X(_00742_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05955_ (.A(_02167_),
+ sky130_fd_sc_hd__or2_4 _07289_ (.A(_01710_),
+    .B(\u_m1_res_fifo.mem[3][13] ),
     .X(_02169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05956_ (.A1_N(_01455_),
-    .A2_N(_02169_),
-    .B1(_01899_),
-    .B2(_02169_),
-    .X(_00741_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05957_ (.A1_N(_01465_),
-    .A2_N(_02169_),
-    .B1(_01901_),
-    .B2(_02169_),
-    .X(_00740_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05958_ (.A(_02167_),
+ sky130_fd_sc_hd__or2_4 _07290_ (.A(_01749_),
+    .B(\u_m1_res_fifo.mem[2][13] ),
     .X(_02170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05959_ (.A1_N(_01477_),
-    .A2_N(_02170_),
-    .B1(_02150_),
-    .B2(_02170_),
-    .X(_00739_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05960_ (.A1_N(_01490_),
-    .A2_N(_02170_),
-    .B1(_02151_),
-    .B2(_02170_),
-    .X(_00738_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05961_ (.A(_02167_),
+ sky130_fd_sc_hd__and3_4 _07291_ (.A(_02142_),
+    .B(_02169_),
+    .C(_02170_),
     .X(_02171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05962_ (.A1_N(_01502_),
-    .A2_N(_02171_),
-    .B1(_02153_),
-    .B2(_02171_),
-    .X(_00737_),
+ sky130_fd_sc_hd__or3_4 _07292_ (.A(_02138_),
+    .B(_02168_),
+    .C(_02171_),
+    .X(_02172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05963_ (.A1_N(_01519_),
-    .A2_N(_02171_),
-    .B1(_02154_),
-    .B2(_02171_),
-    .X(_00736_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05964_ (.A(\u_spim_regs.cfg_m0_data_cnt[7] ),
-    .Y(_02172_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05965_ (.A(\u_spim_regs.spim_reg_be[3] ),
-    .B(_01300_),
-    .C(_02144_),
+ sky130_fd_sc_hd__and3_4 _07293_ (.A(_02165_),
+    .B(_02172_),
+    .C(_02147_),
     .X(_02173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05966_ (.A(_02173_),
+ sky130_fd_sc_hd__or4_4 _07294_ (.A(_02153_),
+    .B(_02155_),
+    .C(_02158_),
+    .D(_02173_),
     .X(_02174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05967_ (.A1_N(_02172_),
-    .A2_N(_02174_),
-    .B1(\u_spim_regs.spim_reg_wdata[31] ),
-    .B2(_02174_),
-    .X(_00735_),
+ sky130_fd_sc_hd__inv_2 _07295_ (.A(_02174_),
+    .Y(_02175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05968_ (.A1_N(_00959_),
-    .A2_N(_02174_),
-    .B1(\u_spim_regs.spim_reg_wdata[30] ),
-    .B2(_02174_),
-    .X(_00734_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05969_ (.A(_02173_),
-    .X(_02175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05970_ (.A1_N(_01080_),
+ sky130_fd_sc_hd__a2bb2o_4 _07296_ (.A1_N(_02151_),
     .A2_N(_02175_),
-    .B1(\u_spim_regs.spim_reg_wdata[29] ),
-    .B2(_02175_),
-    .X(_00733_),
+    .B1(\u_spim_regs.spim_reg_rdata[13] ),
+    .B2(_02151_),
+    .X(_01252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05971_ (.A1_N(_01100_),
-    .A2_N(_02175_),
-    .B1(\u_spim_regs.spim_reg_wdata[28] ),
-    .B2(_02175_),
-    .X(_00732_),
+ sky130_fd_sc_hd__inv_2 _07297_ (.A(\u_spictrl.spi_clk_div[4] ),
+    .Y(_02176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05972_ (.A(_02173_),
-    .X(_02176_),
+ sky130_fd_sc_hd__or2_4 _07298_ (.A(_02176_),
+    .B(_02090_),
+    .X(_02177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05973_ (.A1_N(_01121_),
-    .A2_N(_02176_),
-    .B1(\u_spim_regs.spim_reg_wdata[27] ),
-    .B2(_02176_),
-    .X(_00731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05974_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
-    .Y(_02177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05975_ (.A1_N(_02177_),
-    .A2_N(_02176_),
-    .B1(\u_spim_regs.spim_reg_wdata[26] ),
-    .B2(_02176_),
-    .X(_00730_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05976_ (.A(\u_spim_regs.cfg_m0_data_cnt[1] ),
+ sky130_fd_sc_hd__nand2_4 _07299_ (.A(\u_spim_regs.cfg_m1_addr[12] ),
+    .B(_02092_),
     .Y(_02178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05977_ (.A(_02173_),
-    .X(_02179_),
+ sky130_fd_sc_hd__inv_2 _07300_ (.A(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .Y(_02179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05978_ (.A1_N(_02178_),
-    .A2_N(_02179_),
-    .B1(\u_spim_regs.spim_reg_wdata[25] ),
-    .B2(_02179_),
-    .X(_00729_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05979_ (.A(\u_spim_regs.cfg_m0_data_cnt[0] ),
+ sky130_fd_sc_hd__inv_2 _07301_ (.A(\u_spim_regs.cfg_m0_mode_reg[4] ),
     .Y(_02180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05980_ (.A1_N(_02180_),
-    .A2_N(_02179_),
-    .B1(\u_spim_regs.spim_reg_wdata[24] ),
-    .B2(_02179_),
-    .X(_00728_),
+ sky130_fd_sc_hd__buf_2 _07302_ (.A(_01999_),
+    .X(_02181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05981_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
-    .Y(_02181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _05982_ (.A(\u_spim_regs.spim_reg_be[2] ),
-    .B(_01149_),
-    .C(_02144_),
+ sky130_fd_sc_hd__o22a_4 _07303_ (.A1(_02179_),
+    .A2(_01997_),
+    .B1(_02180_),
+    .B2(_02181_),
     .X(_02182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05983_ (.A(_02182_),
+ sky130_fd_sc_hd__buf_2 _07304_ (.A(_01573_),
     .X(_02183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05984_ (.A1_N(_02181_),
-    .A2_N(_02183_),
-    .B1(\u_spim_regs.spim_reg_wdata[23] ),
-    .B2(_02183_),
-    .X(_00727_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05985_ (.A1_N(_01219_),
-    .A2_N(_02183_),
-    .B1(\u_spim_regs.spim_reg_wdata[22] ),
-    .B2(_02183_),
-    .X(_00726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05986_ (.A(_02182_),
+ sky130_fd_sc_hd__buf_2 _07305_ (.A(_01978_),
     .X(_02184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05987_ (.A1_N(_01234_),
-    .A2_N(_02184_),
-    .B1(\u_spim_regs.spim_reg_wdata[21] ),
-    .B2(_02184_),
-    .X(_00725_),
+ sky130_fd_sc_hd__or2_4 _07306_ (.A(_02184_),
+    .B(\u_m1_res_fifo.mem[4][12] ),
+    .X(_02185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05988_ (.A(\u_spim_regs.cfg_m0_addr_cnt[0] ),
-    .Y(_02185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05989_ (.A1_N(_02185_),
-    .A2_N(_02184_),
-    .B1(\u_spim_regs.spim_reg_wdata[20] ),
-    .B2(_02184_),
-    .X(_00724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05990_ (.A(_02182_),
+ sky130_fd_sc_hd__buf_2 _07307_ (.A(_01981_),
     .X(_02186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05991_ (.A1_N(_01260_),
-    .A2_N(_02186_),
-    .B1(\u_spim_regs.spim_reg_wdata[19] ),
-    .B2(_02186_),
-    .X(_00723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05992_ (.A1_N(_01274_),
-    .A2_N(_02186_),
-    .B1(\u_spim_regs.spim_reg_wdata[18] ),
-    .B2(_02186_),
-    .X(_00722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05993_ (.A(_02182_),
+ sky130_fd_sc_hd__or2_4 _07308_ (.A(_02186_),
+    .B(\u_m1_res_fifo.mem[5][12] ),
     .X(_02187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05994_ (.A1_N(_01288_),
-    .A2_N(_02187_),
-    .B1(\u_spim_regs.spim_reg_wdata[17] ),
-    .B2(_02187_),
-    .X(_00721_),
+ sky130_fd_sc_hd__and3_4 _07309_ (.A(_02097_),
+    .B(_02185_),
+    .C(_02187_),
+    .X(_02188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _05995_ (.A(\u_spim_regs.cfg_m0_spi_seq[0] ),
-    .Y(_02188_),
+ sky130_fd_sc_hd__or2_4 _07310_ (.A(_02102_),
+    .B(\u_m1_res_fifo.mem[7][12] ),
+    .X(_02189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05996_ (.A1_N(_02188_),
-    .A2_N(_02187_),
-    .B1(\u_spim_regs.spim_reg_wdata[16] ),
-    .B2(_02187_),
-    .X(_00720_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _05997_ (.A(_01440_),
-    .B(_02147_),
-    .Y(_02189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _05998_ (.A(_02189_),
+ sky130_fd_sc_hd__or2_4 _07311_ (.A(_02104_),
+    .B(\u_m1_res_fifo.mem[6][12] ),
     .X(_02190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _05999_ (.A1_N(_01439_),
-    .A2_N(_02190_),
-    .B1(_01872_),
-    .B2(_02190_),
-    .X(_00719_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06000_ (.A1_N(_01449_),
-    .A2_N(_02190_),
-    .B1(_01896_),
-    .B2(_02190_),
-    .X(_00718_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06001_ (.A(_02189_),
+ sky130_fd_sc_hd__and3_4 _07312_ (.A(_02012_),
+    .B(_02189_),
+    .C(_02190_),
     .X(_02191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06002_ (.A1_N(_01459_),
-    .A2_N(_02191_),
-    .B1(_01899_),
-    .B2(_02191_),
-    .X(_00717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06003_ (.A1_N(_01471_),
-    .A2_N(_02191_),
-    .B1(_01901_),
-    .B2(_02191_),
-    .X(_00716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06004_ (.A(_02189_),
+ sky130_fd_sc_hd__or3_4 _07313_ (.A(_02183_),
+    .B(_02188_),
+    .C(_02191_),
     .X(_02192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06005_ (.A1_N(_01483_),
-    .A2_N(_02192_),
-    .B1(_02150_),
-    .B2(_02192_),
-    .X(_00715_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06006_ (.A1_N(_01495_),
-    .A2_N(_02192_),
-    .B1(_02151_),
-    .B2(_02192_),
-    .X(_00714_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06007_ (.A(_02189_),
+ sky130_fd_sc_hd__or2_4 _07314_ (.A(_02070_),
+    .B(\u_m1_res_fifo.mem[0][12] ),
     .X(_02193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06008_ (.A1_N(_01507_),
-    .A2_N(_02193_),
-    .B1(_02153_),
-    .B2(_02193_),
-    .X(_00713_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06009_ (.A1_N(_01522_),
-    .A2_N(_02193_),
-    .B1(_02154_),
-    .B2(_02193_),
-    .X(_00712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06010_ (.A(_01916_),
-    .B(_02115_),
-    .C(_01440_),
+ sky130_fd_sc_hd__or2_4 _07315_ (.A(_02072_),
+    .B(\u_m1_res_fifo.mem[1][12] ),
     .X(_02194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06011_ (.A(_02194_),
-    .Y(_02195_),
+ sky130_fd_sc_hd__and3_4 _07316_ (.A(_02059_),
+    .B(_02193_),
+    .C(_02194_),
+    .X(_02195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06012_ (.A1_N(_01418_),
-    .A2_N(_02195_),
-    .B1(_02146_),
-    .B2(_02195_),
-    .X(_00711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06013_ (.A(_01916_),
-    .B(_02115_),
-    .C(_01313_),
+ sky130_fd_sc_hd__buf_2 _07317_ (.A(_01981_),
     .X(_02196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06014_ (.A(_02196_),
-    .Y(_02197_),
+ sky130_fd_sc_hd__or2_4 _07318_ (.A(_02196_),
+    .B(\u_m1_res_fifo.mem[3][12] ),
+    .X(_02197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06015_ (.A(_02197_),
+ sky130_fd_sc_hd__buf_2 _07319_ (.A(_01978_),
     .X(_02198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06016_ (.A1_N(_01311_),
-    .A2_N(_02198_),
-    .B1(_01914_),
-    .B2(_02198_),
-    .X(_00710_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06017_ (.A1_N(_01328_),
-    .A2_N(_02198_),
-    .B1(_01924_),
-    .B2(_02198_),
-    .X(_00709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06018_ (.A(_02197_),
+ sky130_fd_sc_hd__or2_4 _07320_ (.A(_02198_),
+    .B(\u_m1_res_fifo.mem[2][12] ),
     .X(_02199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06019_ (.A1_N(_01347_),
-    .A2_N(_02199_),
-    .B1(_01926_),
-    .B2(_02199_),
-    .X(_00708_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06020_ (.A1_N(_01358_),
-    .A2_N(_02199_),
-    .B1(_01928_),
-    .B2(_02199_),
-    .X(_00707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06021_ (.A(_02197_),
+ sky130_fd_sc_hd__and3_4 _07321_ (.A(_02111_),
+    .B(_02197_),
+    .C(_02199_),
     .X(_02200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06022_ (.A1_N(_01371_),
-    .A2_N(_02200_),
-    .B1(_01931_),
-    .B2(_02200_),
-    .X(_00706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06023_ (.A1_N(_01384_),
-    .A2_N(_02200_),
-    .B1(_01935_),
-    .B2(_02200_),
-    .X(_00705_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06024_ (.A(_02197_),
+ sky130_fd_sc_hd__or3_4 _07322_ (.A(_02038_),
+    .B(_02195_),
+    .C(_02200_),
     .X(_02201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06025_ (.A1_N(_01400_),
-    .A2_N(_02201_),
-    .B1(_01937_),
-    .B2(_02201_),
-    .X(_00704_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06026_ (.A1_N(_01421_),
-    .A2_N(_02201_),
-    .B1(_02146_),
-    .B2(_02201_),
-    .X(_00703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06027_ (.A(_01944_),
-    .B(_02116_),
+ sky130_fd_sc_hd__and3_4 _07323_ (.A(_02192_),
+    .B(_02201_),
+    .C(_02017_),
     .X(_02202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06028_ (.A(_02202_),
-    .X(_02203_),
+ sky130_fd_sc_hd__inv_2 _07324_ (.A(_02202_),
+    .Y(_02203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06029_ (.A(_02202_),
-    .Y(_02204_),
+ sky130_fd_sc_hd__and4_4 _07325_ (.A(_02177_),
+    .B(_02178_),
+    .C(_02182_),
+    .D(_02203_),
+    .X(_02204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06030_ (.A(_02204_),
+ sky130_fd_sc_hd__a2bb2o_4 _07326_ (.A1_N(_02151_),
+    .A2_N(_02204_),
+    .B1(\u_spim_regs.spim_reg_rdata[12] ),
+    .B2(_02151_),
+    .X(_01251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07327_ (.A(_02082_),
     .X(_02205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06031_ (.A1(_02114_),
-    .A2(_02203_),
-    .B1(\u_spim_regs.cfg_m1_addr[31] ),
-    .B2(_02205_),
-    .X(_00702_),
+ sky130_fd_sc_hd__inv_2 _07328_ (.A(\u_spim_regs.cfg_m0_mode_reg[3] ),
+    .Y(_02206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06032_ (.A1(_02121_),
-    .A2(_02203_),
-    .B1(\u_spim_regs.cfg_m1_addr[30] ),
-    .B2(_02205_),
-    .X(_00701_),
+ sky130_fd_sc_hd__nor2_4 _07329_ (.A(_02206_),
+    .B(_02052_),
+    .Y(_02207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06033_ (.A1(_02122_),
-    .A2(_02203_),
-    .B1(\u_spim_regs.cfg_m1_addr[29] ),
-    .B2(_02205_),
-    .X(_00700_),
+ sky130_fd_sc_hd__inv_2 _07330_ (.A(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .Y(_02208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06034_ (.A1(_02123_),
-    .A2(_02203_),
-    .B1(\u_spim_regs.cfg_m1_addr[28] ),
-    .B2(_02205_),
-    .X(_00699_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06035_ (.A(_02202_),
-    .X(_02206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06036_ (.A(_02204_),
-    .X(_02207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06037_ (.A1(_02125_),
-    .A2(_02206_),
-    .B1(\u_spim_regs.cfg_m1_addr[27] ),
-    .B2(_02207_),
-    .X(_00698_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06038_ (.A1(_02127_),
-    .A2(_02206_),
-    .B1(\u_spim_regs.cfg_m1_addr[26] ),
-    .B2(_02207_),
-    .X(_00697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06039_ (.A1(_02130_),
-    .A2(_02206_),
-    .B1(\u_spim_regs.cfg_m1_addr[25] ),
-    .B2(_02207_),
-    .X(_00696_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06040_ (.A1(_02133_),
-    .A2(_02206_),
-    .B1(\u_spim_regs.cfg_m1_addr[24] ),
-    .B2(_02207_),
-    .X(_00695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06041_ (.A(_01978_),
-    .X(_02208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06042_ (.A(_01831_),
-    .B(_01802_),
-    .C(_01803_),
+ sky130_fd_sc_hd__a2bb2o_4 _07331_ (.A1_N(_02208_),
+    .A2_N(_02123_),
+    .B1(\u_spim_regs.cfg_m1_addr[11] ),
+    .B2(_02124_),
     .X(_02209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06043_ (.A(_02208_),
-    .B(_02209_),
-    .X(_02210_),
+ sky130_fd_sc_hd__inv_2 _07332_ (.A(\u_spictrl.spi_clk_div[3] ),
+    .Y(_02210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06044_ (.A(_02210_),
+ sky130_fd_sc_hd__inv_2 _07333_ (.A(_01478_),
     .Y(_02211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _06045_ (.A1(_01289_),
-    .A2(_01302_),
-    .A3(_01263_),
-    .B1(_02141_),
-    .B2(_01304_),
+ sky130_fd_sc_hd__buf_2 _07334_ (.A(_02211_),
     .X(_02212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06046_ (.A(_02140_),
-    .B(_02211_),
-    .C(_02212_),
+ sky130_fd_sc_hd__buf_2 _07335_ (.A(_02212_),
     .X(_02213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06047_ (.A(_01978_),
+ sky130_fd_sc_hd__o22a_4 _07336_ (.A1(_02210_),
+    .A2(_02089_),
+    .B1(_02213_),
+    .B2(_01627_),
     .X(_02214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06048_ (.A(_02214_),
-    .X(_02215_),
+ sky130_fd_sc_hd__inv_2 _07337_ (.A(_02214_),
+    .Y(_02215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06049_ (.A(_02215_),
-    .B(_02209_),
-    .C(_02065_),
-    .D(_02059_),
+ sky130_fd_sc_hd__buf_2 _07338_ (.A(_01572_),
     .X(_02216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06050_ (.A(_02141_),
-    .B(_01304_),
-    .C(_01262_),
-    .D(_01275_),
+ sky130_fd_sc_hd__or2_4 _07339_ (.A(_01708_),
+    .B(\u_m1_res_fifo.mem[4][11] ),
     .X(_02217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06051_ (.A(_02057_),
+ sky130_fd_sc_hd__or2_4 _07340_ (.A(_01734_),
+    .B(\u_m1_res_fifo.mem[5][11] ),
+    .X(_02218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07341_ (.A(_02130_),
     .B(_02217_),
-    .Y(_02218_),
+    .C(_02218_),
+    .X(_02219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06052_ (.A(_02064_),
-    .Y(_02219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06053_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
-    .B(_02058_),
-    .C(\u_m1_cmd_fifo.full ),
-    .D(_02217_),
+ sky130_fd_sc_hd__or2_4 _07342_ (.A(_01692_),
+    .B(\u_m1_res_fifo.mem[7][11] ),
     .X(_02220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06054_ (.A(_01382_),
-    .B(_01805_),
-    .Y(_02221_),
+ sky130_fd_sc_hd__or2_4 _07343_ (.A(_01717_),
+    .B(\u_m1_res_fifo.mem[6][11] ),
+    .X(_02221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06055_ (.A(_00931_),
-    .Y(_02222_),
+ sky130_fd_sc_hd__and3_4 _07344_ (.A(_01714_),
+    .B(_02220_),
+    .C(_02221_),
+    .X(_02222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _06056_ (.A1(_01802_),
-    .A2(_02221_),
-    .B1(_02222_),
-    .B2(_01977_),
-    .Y(_02223_),
+ sky130_fd_sc_hd__or3_4 _07345_ (.A(_02216_),
+    .B(_02219_),
+    .C(_02222_),
+    .X(_02223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06057_ (.A(_01980_),
-    .Y(_02224_),
+ sky130_fd_sc_hd__buf_2 _07346_ (.A(_01550_),
+    .X(_02224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06058_ (.A(_01262_),
-    .B(_02053_),
+ sky130_fd_sc_hd__or2_4 _07347_ (.A(_01742_),
+    .B(\u_m1_res_fifo.mem[0][11] ),
     .X(_02225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06059_ (.A(_02224_),
-    .B(_02225_),
+ sky130_fd_sc_hd__or2_4 _07348_ (.A(_01745_),
+    .B(\u_m1_res_fifo.mem[1][11] ),
     .X(_02226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06060_ (.A1(_01369_),
-    .A2(_02223_),
-    .B1(_01979_),
-    .B2(_02226_),
+ sky130_fd_sc_hd__and3_4 _07349_ (.A(_02224_),
+    .B(_02225_),
+    .C(_02226_),
     .X(_02227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06061_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
-    .B(_02227_),
+ sky130_fd_sc_hd__or2_4 _07350_ (.A(_01710_),
+    .B(\u_m1_res_fifo.mem[3][11] ),
     .X(_02228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06062_ (.A(_02219_),
-    .B(_02220_),
-    .C(_02228_),
+ sky130_fd_sc_hd__or2_4 _07351_ (.A(_01749_),
+    .B(\u_m1_res_fifo.mem[2][11] ),
     .X(_02229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06063_ (.A(_01412_),
-    .B(_02229_),
+ sky130_fd_sc_hd__and3_4 _07352_ (.A(_02142_),
+    .B(_02228_),
+    .C(_02229_),
     .X(_02230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06064_ (.A1(_02211_),
-    .A2(_02218_),
-    .B1(_02230_),
+ sky130_fd_sc_hd__or3_4 _07353_ (.A(_02138_),
+    .B(_02227_),
+    .C(_02230_),
     .X(_02231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06065_ (.A(_02229_),
-    .Y(_02232_),
+ sky130_fd_sc_hd__and3_4 _07354_ (.A(_02223_),
+    .B(_02231_),
+    .C(_02147_),
+    .X(_02232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06066_ (.A1(_02213_),
-    .A2(_02216_),
-    .A3(_02231_),
-    .B1(_01803_),
-    .B2(_02232_),
-    .X(_00694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06067_ (.A(_02093_),
-    .Y(_02233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06068_ (.A1(_01369_),
-    .A2(_02233_),
-    .B1(_01382_),
-    .C1(_02210_),
-    .X(_02234_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06069_ (.A1_N(_01382_),
-    .A2_N(_02229_),
-    .B1(_02231_),
-    .B2(_02234_),
-    .X(_00693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06070_ (.A(_01261_),
-    .B(_01275_),
-    .C(_01289_),
-    .D(_01302_),
-    .X(_02235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06071_ (.A(_02057_),
-    .B(_02217_),
-    .C(_02211_),
-    .D(_02235_),
-    .X(_02236_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06072_ (.A1(_02140_),
-    .A2(_02052_),
-    .B1(_01975_),
-    .C1(_02054_),
-    .X(_02237_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06073_ (.A(_02237_),
-    .Y(_02238_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06074_ (.A(_02060_),
-    .B(_02093_),
-    .X(_02239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06075_ (.A(_01977_),
+ sky130_fd_sc_hd__or4_4 _07355_ (.A(_02207_),
     .B(_02209_),
-    .C(_02238_),
-    .D(_02239_),
+    .C(_02215_),
+    .D(_02232_),
+    .X(_02233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07356_ (.A(_02233_),
+    .Y(_02234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _07357_ (.A1_N(_02205_),
+    .A2_N(_02234_),
+    .B1(\u_spim_regs.spim_reg_rdata[11] ),
+    .B2(_02205_),
+    .X(_01250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07358_ (.A(\u_spim_regs.cfg_m0_mode_reg[2] ),
+    .Y(_02235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _07359_ (.A(_02235_),
+    .B(_02052_),
+    .Y(_02236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07360_ (.A(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .Y(_02237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _07361_ (.A1_N(_02237_),
+    .A2_N(_02123_),
+    .B1(\u_spim_regs.cfg_m1_addr[10] ),
+    .B2(_02124_),
+    .X(_02238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07362_ (.A(\u_spictrl.spi_clk_div[2] ),
+    .Y(_02239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07363_ (.A1(_02239_),
+    .A2(_02089_),
+    .B1(_01474_),
+    .B2(_01544_),
     .X(_02240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06076_ (.A1(_02230_),
-    .A2(_02236_),
-    .A3(_02240_),
-    .B1(_01802_),
-    .B2(_02232_),
-    .X(_00692_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06077_ (.A(_01523_),
+ sky130_fd_sc_hd__inv_2 _07364_ (.A(_02240_),
     .Y(_02241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06078_ (.A(_02241_),
+ sky130_fd_sc_hd__or2_4 _07365_ (.A(_01708_),
+    .B(\u_m1_res_fifo.mem[4][10] ),
     .X(_02242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06079_ (.A(_02242_),
+ sky130_fd_sc_hd__or2_4 _07366_ (.A(_01715_),
+    .B(\u_m1_res_fifo.mem[5][10] ),
     .X(_02243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06080_ (.A(_02243_),
+ sky130_fd_sc_hd__and3_4 _07367_ (.A(_02130_),
+    .B(_02242_),
+    .C(_02243_),
     .X(_02244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06081_ (.A(_02244_),
+ sky130_fd_sc_hd__or2_4 _07368_ (.A(_01692_),
+    .B(\u_m1_res_fifo.mem[7][10] ),
     .X(_02245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06082_ (.A(_02245_),
+ sky130_fd_sc_hd__or2_4 _07369_ (.A(_01717_),
+    .B(\u_m1_res_fifo.mem[6][10] ),
     .X(_02246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06083_ (.A(psn_net_7),
-    .Y(_02247_),
+ sky130_fd_sc_hd__and3_4 _07370_ (.A(_01714_),
+    .B(_02245_),
+    .C(_02246_),
+    .X(_02247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06084_ (.A(\u_spictrl.u_txreg.en_quad ),
-    .Y(_02248_),
+ sky130_fd_sc_hd__or3_4 _07371_ (.A(_02216_),
+    .B(_02244_),
+    .C(_02247_),
+    .X(_02248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06085_ (.A1(\u_spictrl.u_txreg.en_quad ),
-    .A2(psn_net_24),
-    .B1(_02248_),
-    .B2(psn_net_11),
+ sky130_fd_sc_hd__buf_2 _07372_ (.A(_01741_),
     .X(_02249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06086_ (.A(_02247_),
-    .B(_02249_),
+ sky130_fd_sc_hd__or2_4 _07373_ (.A(_02249_),
+    .B(\u_m1_res_fifo.mem[0][10] ),
     .X(_02250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06087_ (.A(_00988_),
-    .B(_02250_),
+ sky130_fd_sc_hd__buf_2 _07374_ (.A(_01744_),
     .X(_02251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06088_ (.A(_02251_),
-    .Y(_02252_),
+ sky130_fd_sc_hd__or2_4 _07375_ (.A(_02251_),
+    .B(\u_m1_res_fifo.mem[1][10] ),
+    .X(_02252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06089_ (.A(spi_debug[20]),
+ sky130_fd_sc_hd__and3_4 _07376_ (.A(_02224_),
+    .B(_02250_),
+    .C(_02252_),
     .X(_02253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06090_ (.A(_02253_),
-    .B(_01257_),
-    .C(psn_net_58),
-    .D(_01283_),
+ sky130_fd_sc_hd__or2_4 _07377_ (.A(_01710_),
+    .B(\u_m1_res_fifo.mem[3][10] ),
     .X(_02254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06091_ (.A(_02254_),
-    .Y(_02255_),
+ sky130_fd_sc_hd__or2_4 _07378_ (.A(_01689_),
+    .B(\u_m1_res_fifo.mem[2][10] ),
+    .X(_02255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06092_ (.A(_01284_),
-    .B(_01995_),
+ sky130_fd_sc_hd__and3_4 _07379_ (.A(_02142_),
+    .B(_02254_),
+    .C(_02255_),
     .X(_02256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06093_ (.A(_02255_),
-    .B(_02256_),
+ sky130_fd_sc_hd__or3_4 _07380_ (.A(_02138_),
+    .B(_02253_),
+    .C(_02256_),
     .X(_02257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06094_ (.A(\u_spictrl.tx_data_ready ),
-    .Y(_02258_),
+ sky130_fd_sc_hd__and3_4 _07381_ (.A(_02248_),
+    .B(_02257_),
+    .C(_02147_),
+    .X(_02258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06095_ (.A(_00978_),
-    .B(_01996_),
+ sky130_fd_sc_hd__or4_4 _07382_ (.A(_02236_),
+    .B(_02238_),
+    .C(_02241_),
+    .D(_02258_),
     .X(_02259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06096_ (.A(_02258_),
-    .B(_02259_),
-    .X(_02260_),
+ sky130_fd_sc_hd__inv_2 _07383_ (.A(_02259_),
+    .Y(_02260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06097_ (.A(_00986_),
-    .B(_00978_),
-    .C(_02253_),
-    .D(_01257_),
+ sky130_fd_sc_hd__a2bb2o_4 _07384_ (.A1_N(_02205_),
+    .A2_N(_02260_),
+    .B1(\u_spim_regs.spim_reg_rdata[10] ),
+    .B2(_02205_),
+    .X(_01249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07385_ (.A(_02082_),
     .X(_02261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06098_ (.A(_02261_),
+ sky130_fd_sc_hd__inv_2 _07386_ (.A(\u_spictrl.spi_clk_div[1] ),
     .Y(_02262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06099_ (.A(_02007_),
-    .B(psn_net_37),
+ sky130_fd_sc_hd__buf_2 _07387_ (.A(_02089_),
     .X(_02263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06100_ (.A(_02257_),
-    .B(_02260_),
-    .C(_02262_),
-    .D(_02263_),
+ sky130_fd_sc_hd__o22a_4 _07388_ (.A1(_02262_),
+    .A2(_02263_),
+    .B1(_01477_),
+    .B2(_01731_),
     .X(_02264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06101_ (.A(_02252_),
-    .B(_02264_),
+ sky130_fd_sc_hd__or4_4 _07389_ (.A(\u_spim_regs.spim_reg_addr[3] ),
+    .B(_01333_),
+    .C(_01466_),
+    .D(_01378_),
     .X(_02265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06102_ (.A(\u_spictrl.spi_fall ),
-    .B(_02265_),
-    .X(_02266_),
+ sky130_fd_sc_hd__inv_2 _07390_ (.A(_02265_),
+    .Y(_02266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06103_ (.A(_02266_),
+ sky130_fd_sc_hd__a22oi_4 _07391_ (.A1(\u_spim_regs.cfg_m1_addr[9] ),
+    .A2(_02054_),
+    .B1(\u_spim_regs.cfg_m1_wdata[9] ),
+    .B2(_02266_),
     .Y(_02267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06104_ (.A(\u_spictrl.u_txreg.tx_CS ),
-    .X(_02268_),
+ sky130_fd_sc_hd__inv_2 _07392_ (.A(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .Y(_02268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06105_ (.A(\u_spictrl.spi_fall ),
-    .B(psn_net_53),
+ sky130_fd_sc_hd__buf_2 _07393_ (.A(_01631_),
     .X(_02269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06106_ (.A(_02269_),
+ sky130_fd_sc_hd__inv_2 _07394_ (.A(\u_spim_regs.cfg_m0_mode_reg[1] ),
     .Y(_02270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06107_ (.A1_N(_02246_),
-    .A2_N(_02267_),
-    .B1(_02268_),
-    .B2(_02270_),
-    .X(_00691_),
+ sky130_fd_sc_hd__o22a_4 _07395_ (.A1(_02268_),
+    .A2(_02269_),
+    .B1(_02270_),
+    .B2(_02181_),
+    .X(_02271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06108_ (.A(_02264_),
-    .Y(_02271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06109_ (.A(_02252_),
-    .B(_02271_),
+ sky130_fd_sc_hd__or2_4 _07396_ (.A(_02184_),
+    .B(\u_m1_res_fifo.mem[4][9] ),
     .X(_02272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06110_ (.A(_02272_),
-    .Y(_00059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06111_ (.A(psn_net_51),
-    .B(_00059_),
+ sky130_fd_sc_hd__or2_4 _07397_ (.A(_02186_),
+    .B(\u_m1_res_fifo.mem[5][9] ),
     .X(_02273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06112_ (.A(_02269_),
-    .B(_02273_),
+ sky130_fd_sc_hd__and3_4 _07398_ (.A(_02097_),
+    .B(_02272_),
+    .C(_02273_),
     .X(_02274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06113_ (.A(_02274_),
+ sky130_fd_sc_hd__buf_2 _07399_ (.A(_01644_),
     .X(_02275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06114_ (.A(\u_spictrl.spi_fall ),
-    .Y(_02276_),
+ sky130_fd_sc_hd__or2_4 _07400_ (.A(_02102_),
+    .B(\u_m1_res_fifo.mem[7][9] ),
+    .X(_02276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06115_ (.A(_02276_),
-    .B(psn_net_47),
+ sky130_fd_sc_hd__or2_4 _07401_ (.A(_02104_),
+    .B(\u_m1_res_fifo.mem[6][9] ),
     .X(_02277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06116_ (.A(_02277_),
+ sky130_fd_sc_hd__and3_4 _07402_ (.A(_02275_),
+    .B(_02276_),
+    .C(_02277_),
     .X(_02278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06117_ (.A(\u_spictrl.s_spi_mode[1] ),
-    .B(\u_spictrl.s_spi_mode[0] ),
+ sky130_fd_sc_hd__or3_4 _07403_ (.A(_02183_),
+    .B(_02274_),
+    .C(_02278_),
     .X(_02279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06118_ (.A(_02279_),
-    .Y(_02280_),
+ sky130_fd_sc_hd__buf_2 _07404_ (.A(_01705_),
+    .X(_02280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06119_ (.A(_02280_),
+ sky130_fd_sc_hd__buf_2 _07405_ (.A(_01686_),
     .X(_02281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06120_ (.A(_02272_),
-    .B(_02281_),
+ sky130_fd_sc_hd__or2_4 _07406_ (.A(_02070_),
+    .B(\u_m1_res_fifo.mem[0][9] ),
     .X(_02282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06121_ (.A1(_02248_),
-    .A2(_02275_),
-    .B1(_02278_),
-    .B2(_02282_),
+ sky130_fd_sc_hd__or2_4 _07407_ (.A(_02072_),
+    .B(\u_m1_res_fifo.mem[1][9] ),
     .X(_02283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06122_ (.A(_02283_),
-    .Y(_00690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06123_ (.A(_02275_),
+ sky130_fd_sc_hd__and3_4 _07408_ (.A(_02281_),
+    .B(_02282_),
+    .C(_02283_),
     .X(_02284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06124_ (.A(\u_spictrl.cfg_data_cnt[7] ),
-    .Y(_02285_),
+ sky130_fd_sc_hd__or2_4 _07409_ (.A(_02196_),
+    .B(\u_m1_res_fifo.mem[3][9] ),
+    .X(_02285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06125_ (.A(_02274_),
-    .Y(_02286_),
+ sky130_fd_sc_hd__or2_4 _07410_ (.A(_02198_),
+    .B(\u_m1_res_fifo.mem[2][9] ),
+    .X(_02286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06126_ (.A(_02279_),
+ sky130_fd_sc_hd__and3_4 _07411_ (.A(_02111_),
+    .B(_02285_),
+    .C(_02286_),
     .X(_02287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06127_ (.A(_02287_),
+ sky130_fd_sc_hd__or3_4 _07412_ (.A(_02280_),
+    .B(_02284_),
+    .C(_02287_),
     .X(_02288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06128_ (.A(_02288_),
+ sky130_fd_sc_hd__buf_2 _07413_ (.A(_01592_),
     .X(_02289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06129_ (.A(_02289_),
+ sky130_fd_sc_hd__and3_4 _07414_ (.A(_02279_),
+    .B(_02288_),
+    .C(_02289_),
     .X(_02290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06130_ (.A(_02290_),
-    .X(_02291_),
+ sky130_fd_sc_hd__inv_2 _07415_ (.A(_02290_),
+    .Y(_02291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06131_ (.A(_02285_),
-    .B(_02000_),
-    .C(_02286_),
+ sky130_fd_sc_hd__and4_4 _07416_ (.A(_02264_),
+    .B(_02267_),
+    .C(_02271_),
     .D(_02291_),
     .X(_02292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _06132_ (.A1(_01002_),
-    .A2(_02284_),
-    .B1(_02292_),
-    .Y(_00689_),
+ sky130_fd_sc_hd__a2bb2o_4 _07417_ (.A1_N(_02261_),
+    .A2_N(_02292_),
+    .B1(\u_spim_regs.spim_reg_rdata[9] ),
+    .B2(_02261_),
+    .X(_01248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06133_ (.A(\u_spictrl.cfg_data_cnt[6] ),
+ sky130_fd_sc_hd__inv_2 _07418_ (.A(\u_spictrl.spi_clk_div[0] ),
     .Y(_02293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06134_ (.A(_02286_),
-    .B(_02291_),
-    .C(_02293_),
-    .D(_02000_),
-    .X(_02294_),
+ sky130_fd_sc_hd__inv_2 _07419_ (.A(\u_spim_regs.cfg_m1_addr[8] ),
+    .Y(_02294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _06135_ (.A1(_01012_),
-    .A2(_02284_),
+ sky130_fd_sc_hd__o22a_4 _07420_ (.A1(_02293_),
+    .A2(_02263_),
     .B1(_02294_),
-    .Y(_00688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06136_ (.A(_02269_),
+    .B2(_01624_),
     .X(_02295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06137_ (.A(_02295_),
-    .X(_02296_),
+ sky130_fd_sc_hd__inv_2 _07421_ (.A(\u_spim_regs.cfg_m0_fsm_reset ),
+    .Y(_02296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06138_ (.A(_02002_),
+ sky130_fd_sc_hd__buf_2 _07422_ (.A(_01293_),
     .X(_02297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06139_ (.A(_02297_),
+ sky130_fd_sc_hd__or4_4 _07423_ (.A(_02085_),
+    .B(_02297_),
+    .C(_02086_),
+    .D(_02087_),
     .X(_02298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06140_ (.A(_02280_),
+ sky130_fd_sc_hd__buf_2 _07424_ (.A(_02298_),
     .X(_02299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06141_ (.A(_02299_),
-    .X(_02300_),
+ sky130_fd_sc_hd__inv_2 _07425_ (.A(\u_spim_regs.cfg_m0_mode_reg[0] ),
+    .Y(_02300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06142_ (.A(_02300_),
+ sky130_fd_sc_hd__o22a_4 _07426_ (.A1(_02296_),
+    .A2(_02299_),
+    .B1(_02300_),
+    .B2(_02000_),
     .X(_02301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06143_ (.A(\u_spictrl.cfg_data_cnt[5] ),
-    .B(_02297_),
+ sky130_fd_sc_hd__buf_2 _07427_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
     .X(_02302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06144_ (.A1(\u_spictrl.cfg_data_cnt[7] ),
-    .A2(_02298_),
-    .A3(_02290_),
-    .B1(_02301_),
-    .B2(_02302_),
+ sky130_fd_sc_hd__or4_4 _07428_ (.A(_01467_),
+    .B(_02297_),
+    .C(_01506_),
+    .D(_01507_),
     .X(_02303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06145_ (.A(_02286_),
-    .X(_02304_),
+ sky130_fd_sc_hd__inv_2 _07429_ (.A(_02303_),
+    .Y(_02304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06146_ (.A1(_02296_),
-    .A2(_02273_),
-    .A3(_02303_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .B2(_02304_),
-    .X(_00687_),
+ sky130_fd_sc_hd__buf_2 _07430_ (.A(_02304_),
+    .X(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06147_ (.A(\u_spictrl.cfg_data_cnt[4] ),
-    .Y(_02305_),
+ sky130_fd_sc_hd__a22oi_4 _07431_ (.A1(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .A2(_01520_),
+    .B1(_02302_),
+    .B2(_02305_),
+    .Y(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06148_ (.A(_02289_),
-    .X(_02306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06149_ (.A1_N(_02305_),
-    .A2_N(_02306_),
-    .B1(\u_spictrl.cfg_data_cnt[6] ),
-    .B2(_02306_),
+ sky130_fd_sc_hd__or2_4 _07432_ (.A(_02184_),
+    .B(\u_m1_res_fifo.mem[4][8] ),
     .X(_02307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06150_ (.A(_02275_),
+ sky130_fd_sc_hd__or2_4 _07433_ (.A(_02186_),
+    .B(\u_m1_res_fifo.mem[5][8] ),
     .X(_02308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06151_ (.A1(_02298_),
-    .A2(_02307_),
-    .A3(_02308_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .B2(_02304_),
-    .X(_00686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06152_ (.A(_02300_),
+ sky130_fd_sc_hd__and3_4 _07434_ (.A(_02097_),
+    .B(_02307_),
+    .C(_02308_),
     .X(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06153_ (.A1(\u_spictrl.cfg_data_cnt[3] ),
-    .A2(_02297_),
-    .A3(_02309_),
-    .B1(_02290_),
-    .B2(_02302_),
+ sky130_fd_sc_hd__or2_4 _07435_ (.A(_02102_),
+    .B(\u_m1_res_fifo.mem[7][8] ),
     .X(_02310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06154_ (.A1(_02296_),
-    .A2(_02273_),
-    .A3(_02310_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .B2(_02304_),
-    .X(_00685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06155_ (.A1_N(_02305_),
-    .A2_N(_02301_),
-    .B1(\u_spictrl.cfg_data_cnt[2] ),
-    .B2(_02301_),
+ sky130_fd_sc_hd__or2_4 _07436_ (.A(_02104_),
+    .B(\u_m1_res_fifo.mem[6][8] ),
     .X(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06156_ (.A(_02286_),
+ sky130_fd_sc_hd__and3_4 _07437_ (.A(_02275_),
+    .B(_02310_),
+    .C(_02311_),
     .X(_02312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06157_ (.A1(_02298_),
-    .A2(_02311_),
-    .A3(_02308_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .B2(_02312_),
-    .X(_00684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06158_ (.A(_02002_),
+ sky130_fd_sc_hd__or3_4 _07438_ (.A(_02183_),
+    .B(_02309_),
+    .C(_02312_),
     .X(_02313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06159_ (.A(_02262_),
+ sky130_fd_sc_hd__buf_2 _07439_ (.A(_01700_),
     .X(_02314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06160_ (.A1(\u_spictrl.cfg_dummy_cnt[1] ),
-    .A2(\u_spictrl.cfg_dummy_cnt[0] ),
-    .B1(_02314_),
+ sky130_fd_sc_hd__or2_4 _07440_ (.A(_02314_),
+    .B(\u_m1_res_fifo.mem[0][8] ),
     .X(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06161_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
+ sky130_fd_sc_hd__buf_2 _07441_ (.A(_01697_),
     .X(_02316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06162_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
+ sky130_fd_sc_hd__or2_4 _07442_ (.A(_02316_),
+    .B(\u_m1_res_fifo.mem[1][8] ),
     .X(_02317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06163_ (.A(_02317_),
+ sky130_fd_sc_hd__and3_4 _07443_ (.A(_02281_),
+    .B(_02315_),
+    .C(_02317_),
     .X(_02318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06164_ (.A(_02318_),
+ sky130_fd_sc_hd__or2_4 _07444_ (.A(_02196_),
+    .B(\u_m1_res_fifo.mem[3][8] ),
     .X(_02319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06165_ (.A(_02259_),
+ sky130_fd_sc_hd__or2_4 _07445_ (.A(_02198_),
+    .B(\u_m1_res_fifo.mem[2][8] ),
     .X(_02320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06166_ (.A1(_02316_),
-    .A2(_02319_),
-    .B1(_02320_),
+ sky130_fd_sc_hd__and3_4 _07446_ (.A(_02111_),
+    .B(_02319_),
+    .C(_02320_),
     .X(_02321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06167_ (.A(_02321_),
+ sky130_fd_sc_hd__or3_4 _07447_ (.A(_02280_),
+    .B(_02318_),
+    .C(_02321_),
     .X(_02322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06168_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
-    .A2(_02313_),
-    .B1(_02315_),
-    .C1(_02322_),
+ sky130_fd_sc_hd__and3_4 _07448_ (.A(_02313_),
+    .B(_02322_),
+    .C(_02289_),
     .X(_02323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06169_ (.A1(\u_spictrl.cfg_data_cnt[3] ),
-    .A2(_02297_),
-    .A3(_02290_),
-    .B1(_02309_),
-    .B2(_02323_),
-    .X(_02324_),
+ sky130_fd_sc_hd__inv_2 _07449_ (.A(_02323_),
+    .Y(_02324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06170_ (.A1(_02296_),
-    .A2(_02273_),
-    .A3(_02324_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .B2(_02312_),
-    .X(_00683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06171_ (.A(_02309_),
+ sky130_fd_sc_hd__and4_4 _07450_ (.A(_02295_),
+    .B(_02301_),
+    .C(_02306_),
+    .D(_02324_),
     .X(_02325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06172_ (.A(_02317_),
-    .Y(_02326_),
+ sky130_fd_sc_hd__a2bb2o_4 _07451_ (.A1_N(_02261_),
+    .A2_N(_02325_),
+    .B1(\u_spim_regs.spim_reg_rdata[8] ),
+    .B2(_02261_),
+    .X(_01247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06173_ (.A(_02326_),
+ sky130_fd_sc_hd__buf_2 _07452_ (.A(_01502_),
+    .X(_02326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07453_ (.A(_02326_),
     .X(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06174_ (.A(_02327_),
-    .X(_02328_),
+ sky130_fd_sc_hd__inv_2 _07454_ (.A(\u_spictrl.cfg_m0_spi_switch[1] ),
+    .Y(_02328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06175_ (.A(_02320_),
+ sky130_fd_sc_hd__buf_2 _07455_ (.A(_02299_),
     .X(_02329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06176_ (.A(_02329_),
-    .X(_02330_),
+ sky130_fd_sc_hd__nor2_4 _07456_ (.A(_02328_),
+    .B(_02329_),
+    .Y(_02330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06177_ (.A(_02261_),
-    .X(_02331_),
+ sky130_fd_sc_hd__inv_2 _07457_ (.A(\u_spim_regs.cfg_m0_cmd_reg[7] ),
+    .Y(_02331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06178_ (.A1_N(\u_spictrl.cfg_dummy_cnt[0] ),
-    .A2_N(_02331_),
-    .B1(\u_spictrl.cfg_data_cnt[0] ),
-    .B2(_02313_),
+ sky130_fd_sc_hd__buf_2 _07458_ (.A(_01519_),
     .X(_02332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06179_ (.A1(_02328_),
-    .A2(_02330_),
-    .B1(_02257_),
-    .C1(_02332_),
+ sky130_fd_sc_hd__a2bb2o_4 _07459_ (.A1_N(_02331_),
+    .A2_N(_01509_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .B2(_02332_),
     .X(_02333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06180_ (.A1(\u_spictrl.cfg_data_cnt[2] ),
-    .A2(_02298_),
-    .A3(_02306_),
-    .B1(_02325_),
-    .B2(_02333_),
-    .X(_02334_),
+ sky130_fd_sc_hd__inv_2 _07460_ (.A(\u_spim_regs.cfg_m1_addr[7] ),
+    .Y(_02334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06181_ (.A1_N(_01036_),
-    .A2_N(_02284_),
-    .B1(_02284_),
-    .B2(_02334_),
-    .X(_00682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06182_ (.A(_02317_),
+ sky130_fd_sc_hd__buf_2 _07461_ (.A(_02304_),
     .X(_02335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06183_ (.A(_02335_),
+ sky130_fd_sc_hd__a2bb2o_4 _07462_ (.A1_N(_02334_),
+    .A2_N(_01889_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .B2(_02335_),
     .X(_02336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06184_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
-    .Y(_02337_),
+ sky130_fd_sc_hd__buf_2 _07463_ (.A(_01741_),
+    .X(_02337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06185_ (.A(_02337_),
+ sky130_fd_sc_hd__or2_4 _07464_ (.A(_02337_),
+    .B(\u_m1_res_fifo.mem[4][7] ),
     .X(_02338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06186_ (.A(_02259_),
-    .Y(_02339_),
+ sky130_fd_sc_hd__buf_2 _07465_ (.A(_01744_),
+    .X(_02339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06187_ (.A(_02338_),
-    .B(_02339_),
+ sky130_fd_sc_hd__or2_4 _07466_ (.A(_02339_),
+    .B(\u_m1_res_fifo.mem[5][7] ),
     .X(_02340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06188_ (.A(_02340_),
-    .Y(_02341_),
+ sky130_fd_sc_hd__and3_4 _07467_ (.A(_02224_),
+    .B(_02338_),
+    .C(_02340_),
+    .X(_02341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06189_ (.A(_02341_),
+ sky130_fd_sc_hd__buf_2 _07468_ (.A(_01713_),
     .X(_02342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06190_ (.A1(\u_spictrl.cfg_dummy_cnt[1] ),
-    .A2(\u_spictrl.cfg_dummy_cnt[0] ),
-    .A3(_02314_),
-    .B1(_02336_),
-    .B2(_02342_),
+ sky130_fd_sc_hd__or2_4 _07469_ (.A(_02251_),
+    .B(\u_m1_res_fifo.mem[7][7] ),
     .X(_02343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06191_ (.A1(_02301_),
-    .A2(_02323_),
-    .B1(_02291_),
-    .B2(_02343_),
+ sky130_fd_sc_hd__or2_4 _07470_ (.A(_02249_),
+    .B(\u_m1_res_fifo.mem[6][7] ),
     .X(_02344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06192_ (.A1(_02304_),
-    .A2(_02344_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[2] ),
-    .B2(_02308_),
-    .X(_00681_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06193_ (.A(_02306_),
+ sky130_fd_sc_hd__and3_4 _07471_ (.A(_02342_),
+    .B(_02343_),
+    .C(_02344_),
     .X(_02345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06194_ (.A1(_02345_),
-    .A2(_02333_),
-    .A3(_02308_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[1] ),
-    .B2(_02312_),
-    .X(_00680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06195_ (.A1(_02345_),
-    .A2(_02343_),
-    .A3(_02275_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .B2(_02312_),
-    .X(_00679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06196_ (.A(_02268_),
-    .B(_01524_),
+ sky130_fd_sc_hd__or3_4 _07472_ (.A(_02216_),
+    .B(_02341_),
+    .C(_02345_),
     .X(_02346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06197_ (.A(_02346_),
+ sky130_fd_sc_hd__buf_2 _07473_ (.A(_01705_),
     .X(_02347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06198_ (.A(_02268_),
-    .B(psn_net_56),
-    .C(_02249_),
-    .D(psn_net_8),
+ sky130_fd_sc_hd__buf_2 _07474_ (.A(_01550_),
     .X(_02348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06199_ (.A(_02270_),
-    .B(_02348_),
+ sky130_fd_sc_hd__buf_2 _07475_ (.A(_01553_),
     .X(_02349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06200_ (.A(_02349_),
-    .Y(_02350_),
+ sky130_fd_sc_hd__or2_4 _07476_ (.A(_02349_),
+    .B(\u_m1_res_fifo.mem[0][7] ),
+    .X(_02350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06201_ (.A(_02350_),
+ sky130_fd_sc_hd__buf_2 _07477_ (.A(_01557_),
     .X(_02351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06202_ (.A(_02349_),
+ sky130_fd_sc_hd__or2_4 _07478_ (.A(_02351_),
+    .B(\u_m1_res_fifo.mem[1][7] ),
     .X(_02352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06203_ (.A(_02352_),
+ sky130_fd_sc_hd__and3_4 _07479_ (.A(_02348_),
+    .B(_02350_),
+    .C(_02352_),
     .X(_02353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06204_ (.A1(_01011_),
-    .A2(_02347_),
-    .A3(_02351_),
-    .B1(\u_spictrl.u_txreg.counter[15] ),
-    .B2(_02353_),
-    .X(_00678_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06205_ (.A1(_01015_),
-    .A2(_02347_),
-    .A3(_02351_),
-    .B1(\u_spictrl.u_txreg.counter[14] ),
-    .B2(_02353_),
-    .X(_00677_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06206_ (.A1(_01063_),
-    .A2(_02347_),
-    .A3(_02351_),
-    .B1(\u_spictrl.u_txreg.counter[13] ),
-    .B2(_02353_),
-    .X(_00676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06207_ (.A1(_01054_),
-    .A2(_02347_),
-    .A3(_02351_),
-    .B1(\u_spictrl.u_txreg.counter[12] ),
-    .B2(_02353_),
-    .X(_00675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06208_ (.A(_02346_),
+ sky130_fd_sc_hd__buf_2 _07480_ (.A(_01713_),
     .X(_02354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06209_ (.A(_02352_),
+ sky130_fd_sc_hd__buf_2 _07481_ (.A(_01744_),
     .X(_02355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06210_ (.A1(_01064_),
-    .A2(_02354_),
-    .A3(_02350_),
-    .B1(\u_spictrl.u_txreg.counter[11] ),
-    .B2(_02355_),
-    .X(_00674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06211_ (.A(psn_net_6),
-    .B(_02350_),
+ sky130_fd_sc_hd__or2_4 _07482_ (.A(_02355_),
+    .B(\u_m1_res_fifo.mem[3][7] ),
     .X(_02356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06212_ (.A(_02356_),
+ sky130_fd_sc_hd__buf_2 _07483_ (.A(_01741_),
     .X(_02357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06213_ (.A(_02357_),
+ sky130_fd_sc_hd__or2_4 _07484_ (.A(_02357_),
+    .B(\u_m1_res_fifo.mem[2][7] ),
     .X(_02358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06214_ (.A1(_01001_),
-    .A2(_02354_),
-    .A3(_02358_),
-    .B1(\u_spictrl.u_txreg.counter[10] ),
-    .B2(_02355_),
-    .X(_00673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06215_ (.A1(_01014_),
-    .A2(_02354_),
-    .A3(_02358_),
-    .B1(\u_spictrl.u_txreg.counter[9] ),
-    .B2(_02355_),
-    .X(_00672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06216_ (.A1(_01058_),
-    .A2(_02354_),
-    .A3(_02358_),
-    .B1(\u_spictrl.u_txreg.counter[8] ),
-    .B2(_02355_),
-    .X(_00671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06217_ (.A(_02346_),
+ sky130_fd_sc_hd__and3_4 _07485_ (.A(_02354_),
+    .B(_02356_),
+    .C(_02358_),
     .X(_02359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06218_ (.A(_02352_),
+ sky130_fd_sc_hd__or3_4 _07486_ (.A(_02347_),
+    .B(_02353_),
+    .C(_02359_),
     .X(_02360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06219_ (.A1(_01049_),
-    .A2(_02359_),
-    .A3(_02358_),
-    .B1(\u_spictrl.u_txreg.counter[7] ),
-    .B2(_02360_),
-    .X(_00670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06220_ (.A(_02356_),
+ sky130_fd_sc_hd__and4_4 _07487_ (.A(_01915_),
+    .B(_02057_),
+    .C(_02346_),
+    .D(_02360_),
     .X(_02361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06221_ (.A1(_01041_),
-    .A2(_02359_),
-    .A3(_02361_),
-    .B1(\u_spictrl.u_txreg.counter[6] ),
-    .B2(_02360_),
-    .X(_00669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06222_ (.A1(_01020_),
-    .A2(_02359_),
-    .A3(_02361_),
-    .B1(\u_spictrl.u_txreg.counter[5] ),
-    .B2(_02360_),
-    .X(_00668_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06223_ (.A1(psn_net_22),
-    .A2(_02359_),
-    .A3(_02361_),
-    .B1(\u_spictrl.u_txreg.counter[4] ),
-    .B2(_02360_),
-    .X(_00667_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06224_ (.A(_02346_),
+ sky130_fd_sc_hd__or4_4 _07488_ (.A(_02330_),
+    .B(_02333_),
+    .C(_02336_),
+    .D(_02361_),
     .X(_02362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06225_ (.A(_02352_),
-    .X(_02363_),
+ sky130_fd_sc_hd__inv_2 _07489_ (.A(_02362_),
+    .Y(_02363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06226_ (.A1(_01038_),
-    .A2(_02362_),
-    .A3(_02361_),
-    .B1(\u_spictrl.u_txreg.counter[3] ),
-    .B2(_02363_),
-    .X(_00666_),
+ sky130_fd_sc_hd__a2bb2o_4 _07490_ (.A1_N(_02327_),
+    .A2_N(_02363_),
+    .B1(\u_spim_regs.spim_reg_rdata[7] ),
+    .B2(_02327_),
+    .X(_01246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06227_ (.A1(psn_net_9),
-    .A2(_02362_),
-    .A3(_02357_),
-    .B1(\u_spictrl.u_txreg.counter[2] ),
-    .B2(_02363_),
-    .X(_00665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06228_ (.A1(_01028_),
-    .A2(_02362_),
-    .A3(_02357_),
-    .B1(psn_net_15),
-    .B2(_02363_),
-    .X(_00664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06229_ (.A1(_01025_),
-    .A2(_02362_),
-    .A3(_02357_),
-    .B1(_01024_),
-    .B2(_02363_),
-    .X(_00663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06230_ (.A(\u_spictrl.u_txreg.data_int[31] ),
+ sky130_fd_sc_hd__inv_2 _07491_ (.A(\u_spictrl.cfg_m0_spi_switch[0] ),
     .Y(_02364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06231_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
-    .B(_02326_),
-    .X(_02365_),
+ sky130_fd_sc_hd__nor2_4 _07492_ (.A(_02364_),
+    .B(_02329_),
+    .Y(_02365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06232_ (.A(_02365_),
-    .X(_02366_),
+ sky130_fd_sc_hd__inv_2 _07493_ (.A(\u_spim_regs.cfg_m0_cmd_reg[6] ),
+    .Y(_02366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06233_ (.A(_02366_),
+ sky130_fd_sc_hd__buf_2 _07494_ (.A(_01999_),
     .X(_02367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06234_ (.A(_02326_),
+ sky130_fd_sc_hd__a2bb2o_4 _07495_ (.A1_N(_02366_),
+    .A2_N(_02367_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .B2(_02332_),
     .X(_02368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06235_ (.A(_02004_),
-    .X(_02369_),
+ sky130_fd_sc_hd__inv_2 _07496_ (.A(\u_spim_regs.cfg_m1_addr[6] ),
+    .Y(_02369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06236_ (.A(_02369_),
+ sky130_fd_sc_hd__a2bb2o_4 _07497_ (.A1_N(_02369_),
+    .A2_N(_01889_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .B2(_02335_),
     .X(_02370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06237_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__or2_4 _07498_ (.A(_02337_),
+    .B(\u_m1_res_fifo.mem[4][6] ),
     .X(_02371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06238_ (.A(_02371_),
+ sky130_fd_sc_hd__or2_4 _07499_ (.A(_02339_),
+    .B(\u_m1_res_fifo.mem[5][6] ),
     .X(_02372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06239_ (.A(\u_m0_cmd_fifo.mem[0][23] ),
-    .Y(_02373_),
+ sky130_fd_sc_hd__and3_4 _07500_ (.A(_02224_),
+    .B(_02371_),
+    .C(_02372_),
+    .X(_02373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06240_ (.A(\u_m0_cmd_fifo.mem[1][23] ),
-    .Y(_02374_),
+ sky130_fd_sc_hd__or2_4 _07501_ (.A(_02251_),
+    .B(\u_m1_res_fifo.mem[7][6] ),
+    .X(_02374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06241_ (.A1(_02372_),
-    .A2(_02373_),
-    .B1(_02029_),
-    .B2(_02374_),
+ sky130_fd_sc_hd__or2_4 _07502_ (.A(_02249_),
+    .B(\u_m1_res_fifo.mem[6][6] ),
     .X(_02375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06242_ (.A(\u_spictrl.gnt[2] ),
+ sky130_fd_sc_hd__and3_4 _07503_ (.A(_02342_),
+    .B(_02374_),
+    .C(_02375_),
     .X(_02376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06243_ (.A(_02376_),
+ sky130_fd_sc_hd__or3_4 _07504_ (.A(_02216_),
+    .B(_02373_),
+    .C(_02376_),
     .X(_02377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06244_ (.A(_01991_),
+ sky130_fd_sc_hd__or2_4 _07505_ (.A(_02349_),
+    .B(\u_m1_res_fifo.mem[0][6] ),
     .X(_02378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06245_ (.A(_02378_),
+ sky130_fd_sc_hd__or2_4 _07506_ (.A(_02351_),
+    .B(\u_m1_res_fifo.mem[1][6] ),
     .X(_02379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06246_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__and3_4 _07507_ (.A(_02348_),
+    .B(_02378_),
+    .C(_02379_),
     .X(_02380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06247_ (.A(_02380_),
+ sky130_fd_sc_hd__or2_4 _07508_ (.A(_02355_),
+    .B(\u_m1_res_fifo.mem[3][6] ),
     .X(_02381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06248_ (.A(_02381_),
+ sky130_fd_sc_hd__or2_4 _07509_ (.A(_02357_),
+    .B(\u_m1_res_fifo.mem[2][6] ),
     .X(_02382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06249_ (.A(_02382_),
-    .B(\u_m1_cmd_fifo.mem[3][23] ),
+ sky130_fd_sc_hd__and3_4 _07510_ (.A(_02354_),
+    .B(_02381_),
+    .C(_02382_),
     .X(_02383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06250_ (.A1(_02379_),
-    .A2(\u_m1_cmd_fifo.mem[2][23] ),
-    .B1(_02014_),
-    .C1(_02383_),
+ sky130_fd_sc_hd__or3_4 _07511_ (.A(_02347_),
+    .B(_02380_),
+    .C(_02383_),
     .X(_02384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06251_ (.A(_02380_),
+ sky130_fd_sc_hd__and4_4 _07512_ (.A(_01915_),
+    .B(_02057_),
+    .C(_02377_),
+    .D(_02384_),
     .X(_02385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06252_ (.A(_02385_),
+ sky130_fd_sc_hd__or4_4 _07513_ (.A(_02365_),
+    .B(_02368_),
+    .C(_02370_),
+    .D(_02385_),
     .X(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06253_ (.A(_02378_),
-    .B(\u_m1_cmd_fifo.mem[0][23] ),
-    .X(_02387_),
+ sky130_fd_sc_hd__inv_2 _07514_ (.A(_02386_),
+    .Y(_02387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06254_ (.A1(_02386_),
-    .A2(\u_m1_cmd_fifo.mem[1][23] ),
-    .B1(_01989_),
-    .C1(_02387_),
+ sky130_fd_sc_hd__a2bb2o_4 _07515_ (.A1_N(_02327_),
+    .A2_N(_02387_),
+    .B1(\u_spim_regs.spim_reg_rdata[6] ),
+    .B2(_02327_),
+    .X(_01245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07516_ (.A(_02326_),
     .X(_02388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06255_ (.A(_02384_),
-    .B(_02388_),
+ sky130_fd_sc_hd__inv_2 _07517_ (.A(\u_spictrl.cfg_m0_spi_mode[1] ),
     .Y(_02389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06256_ (.A1(_02370_),
-    .A2(_02375_),
-    .B1(_02377_),
-    .B2(_02389_),
-    .X(_02390_),
+ sky130_fd_sc_hd__nor2_4 _07518_ (.A(_02389_),
+    .B(_02329_),
+    .Y(_02390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06257_ (.A(_02337_),
-    .B(\u_spictrl.cfg_addr_cnt[0] ),
-    .X(_02391_),
+ sky130_fd_sc_hd__inv_2 _07519_ (.A(\u_spim_regs.cfg_m0_cmd_reg[5] ),
+    .Y(_02391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06258_ (.A(_02391_),
+ sky130_fd_sc_hd__a2bb2o_4 _07520_ (.A1_N(_02391_),
+    .A2_N(_02367_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .B2(_02332_),
     .X(_02392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06259_ (.A(_02392_),
-    .X(_02393_),
+ sky130_fd_sc_hd__inv_2 _07521_ (.A(\u_spim_regs.cfg_m1_addr[5] ),
+    .Y(_02393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06260_ (.A(_02369_),
+ sky130_fd_sc_hd__a2bb2o_4 _07522_ (.A1_N(_02393_),
+    .A2_N(_01678_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .B2(_02335_),
     .X(_02394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06261_ (.A(_02394_),
+ sky130_fd_sc_hd__or2_4 _07523_ (.A(_02337_),
+    .B(\u_m1_res_fifo.mem[4][5] ),
     .X(_02395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06262_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__or2_4 _07524_ (.A(_02339_),
+    .B(\u_m1_res_fifo.mem[5][5] ),
     .X(_02396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06263_ (.A(_02396_),
+ sky130_fd_sc_hd__and3_4 _07525_ (.A(_02348_),
+    .B(_02395_),
+    .C(_02396_),
     .X(_02397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06264_ (.A(\u_m0_cmd_fifo.mem[0][31] ),
-    .Y(_02398_),
+ sky130_fd_sc_hd__or2_4 _07526_ (.A(_02251_),
+    .B(\u_m1_res_fifo.mem[7][5] ),
+    .X(_02398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06265_ (.A(_02028_),
+ sky130_fd_sc_hd__or2_4 _07527_ (.A(_02249_),
+    .B(\u_m1_res_fifo.mem[6][5] ),
     .X(_02399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06266_ (.A(_02399_),
+ sky130_fd_sc_hd__and3_4 _07528_ (.A(_02342_),
+    .B(_02398_),
+    .C(_02399_),
     .X(_02400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06267_ (.A(\u_m0_cmd_fifo.mem[1][31] ),
-    .Y(_02401_),
+ sky130_fd_sc_hd__or3_4 _07529_ (.A(_01636_),
+    .B(_02397_),
+    .C(_02400_),
+    .X(_02401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06268_ (.A1(_02397_),
-    .A2(_02398_),
-    .B1(_02400_),
-    .B2(_02401_),
+ sky130_fd_sc_hd__or2_4 _07530_ (.A(_02349_),
+    .B(\u_m1_res_fifo.mem[0][5] ),
     .X(_02402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06269_ (.A(_01991_),
+ sky130_fd_sc_hd__or2_4 _07531_ (.A(_02351_),
+    .B(\u_m1_res_fifo.mem[1][5] ),
     .X(_02403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06270_ (.A(_02403_),
+ sky130_fd_sc_hd__and3_4 _07532_ (.A(_01551_),
+    .B(_02402_),
+    .C(_02403_),
     .X(_02404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06271_ (.A(_01200_),
+ sky130_fd_sc_hd__or2_4 _07533_ (.A(_02355_),
+    .B(\u_m1_res_fifo.mem[3][5] ),
     .X(_02405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06272_ (.A(_02405_),
+ sky130_fd_sc_hd__or2_4 _07534_ (.A(_02357_),
+    .B(\u_m1_res_fifo.mem[2][5] ),
     .X(_02406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06273_ (.A(_02381_),
+ sky130_fd_sc_hd__and3_4 _07535_ (.A(_02354_),
+    .B(_02405_),
+    .C(_02406_),
     .X(_02407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06274_ (.A(_02407_),
-    .B(\u_m1_cmd_fifo.mem[3][31] ),
+ sky130_fd_sc_hd__or3_4 _07536_ (.A(_02347_),
+    .B(_02404_),
+    .C(_02407_),
     .X(_02408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06275_ (.A1(_02404_),
-    .A2(\u_m1_cmd_fifo.mem[2][31] ),
-    .B1(_02406_),
-    .C1(_02408_),
+ sky130_fd_sc_hd__and4_4 _07537_ (.A(_01497_),
+    .B(_02057_),
+    .C(_02401_),
+    .D(_02408_),
     .X(_02409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06276_ (.A(_02380_),
+ sky130_fd_sc_hd__or4_4 _07538_ (.A(_02390_),
+    .B(_02392_),
+    .C(_02394_),
+    .D(_02409_),
     .X(_02410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06277_ (.A(_02410_),
-    .X(_02411_),
+ sky130_fd_sc_hd__inv_2 _07539_ (.A(_02410_),
+    .Y(_02411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06278_ (.A(_01988_),
-    .X(_02412_),
+ sky130_fd_sc_hd__a2bb2o_4 _07540_ (.A1_N(_02388_),
+    .A2_N(_02411_),
+    .B1(\u_spim_regs.spim_reg_rdata[5] ),
+    .B2(_02388_),
+    .X(_01244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06279_ (.A(_02412_),
-    .X(_02413_),
+ sky130_fd_sc_hd__inv_2 _07541_ (.A(\u_spictrl.cfg_m0_spi_mode[0] ),
+    .Y(_02412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06280_ (.A(_01196_),
-    .X(_02414_),
+ sky130_fd_sc_hd__nor2_4 _07542_ (.A(_02412_),
+    .B(_02299_),
+    .Y(_02413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06281_ (.A(_02414_),
+ sky130_fd_sc_hd__inv_2 _07543_ (.A(\u_spim_regs.cfg_m0_cmd_reg[4] ),
+    .Y(_02414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _07544_ (.A1_N(_02414_),
+    .A2_N(_02367_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .B2(_02332_),
     .X(_02415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06282_ (.A(_02415_),
-    .B(\u_m1_cmd_fifo.mem[0][31] ),
-    .X(_02416_),
+ sky130_fd_sc_hd__inv_2 _07545_ (.A(\u_spim_regs.cfg_m1_addr[4] ),
+    .Y(_02416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06283_ (.A1(_02411_),
-    .A2(\u_m1_cmd_fifo.mem[1][31] ),
-    .B1(_02413_),
-    .C1(_02416_),
+ sky130_fd_sc_hd__a2bb2o_4 _07546_ (.A1_N(_02416_),
+    .A2_N(_01678_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .B2(_02335_),
     .X(_02417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06284_ (.A(_02409_),
-    .B(_02417_),
-    .Y(_02418_),
+ sky130_fd_sc_hd__or2_4 _07547_ (.A(_02349_),
+    .B(\u_m1_res_fifo.mem[4][4] ),
+    .X(_02418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06285_ (.A1(_02395_),
-    .A2(_02402_),
-    .B1(_02377_),
-    .B2(_02418_),
+ sky130_fd_sc_hd__or2_4 _07548_ (.A(_02351_),
+    .B(\u_m1_res_fifo.mem[5][4] ),
     .X(_02419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06286_ (.A1(_02316_),
-    .A2(_02368_),
-    .A3(_02390_),
-    .B1(_02393_),
-    .B2(_02419_),
+ sky130_fd_sc_hd__and3_4 _07549_ (.A(_02348_),
+    .B(_02418_),
+    .C(_02419_),
     .X(_02420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06287_ (.A(_02321_),
-    .Y(_02421_),
+ sky130_fd_sc_hd__or2_4 _07550_ (.A(_02355_),
+    .B(\u_m1_res_fifo.mem[7][4] ),
+    .X(_02421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06288_ (.A(_02369_),
+ sky130_fd_sc_hd__or2_4 _07551_ (.A(_02357_),
+    .B(\u_m1_res_fifo.mem[6][4] ),
     .X(_02422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06289_ (.A(_02422_),
+ sky130_fd_sc_hd__and3_4 _07552_ (.A(_02342_),
+    .B(_02421_),
+    .C(_02422_),
     .X(_02423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06290_ (.A(_02396_),
+ sky130_fd_sc_hd__or3_4 _07553_ (.A(_01636_),
+    .B(_02420_),
+    .C(_02423_),
     .X(_02424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06291_ (.A(\u_m0_cmd_fifo.mem[0][15] ),
-    .Y(_02425_),
+ sky130_fd_sc_hd__or2_4 _07554_ (.A(_01567_),
+    .B(\u_m1_res_fifo.mem[0][4] ),
+    .X(_02425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06292_ (.A(\u_m0_cmd_fifo.mem[1][15] ),
-    .Y(_02426_),
+ sky130_fd_sc_hd__or2_4 _07555_ (.A(_01558_),
+    .B(\u_m1_res_fifo.mem[1][4] ),
+    .X(_02426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06293_ (.A1(_02424_),
-    .A2(_02425_),
-    .B1(_02400_),
-    .B2(_02426_),
+ sky130_fd_sc_hd__and3_4 _07556_ (.A(_01551_),
+    .B(_02425_),
+    .C(_02426_),
     .X(_02427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06294_ (.A(_02405_),
+ sky130_fd_sc_hd__or2_4 _07557_ (.A(_02339_),
+    .B(\u_m1_res_fifo.mem[3][4] ),
     .X(_02428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06295_ (.A(_02385_),
+ sky130_fd_sc_hd__or2_4 _07558_ (.A(_02337_),
+    .B(\u_m1_res_fifo.mem[2][4] ),
     .X(_02429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06296_ (.A(_02429_),
-    .B(\u_m1_cmd_fifo.mem[0][15] ),
+ sky130_fd_sc_hd__and3_4 _07559_ (.A(_02354_),
+    .B(_02428_),
+    .C(_02429_),
     .X(_02430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06297_ (.A(_01196_),
+ sky130_fd_sc_hd__or3_4 _07560_ (.A(_02347_),
+    .B(_02427_),
+    .C(_02430_),
     .X(_02431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06298_ (.A(_02431_),
+ sky130_fd_sc_hd__and4_4 _07561_ (.A(_01497_),
+    .B(_02056_),
+    .C(_02424_),
+    .D(_02431_),
     .X(_02432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06299_ (.A(_02432_),
-    .B(\u_m1_cmd_fifo.mem[1][15] ),
+ sky130_fd_sc_hd__or4_4 _07562_ (.A(_02413_),
+    .B(_02415_),
+    .C(_02417_),
+    .D(_02432_),
     .X(_02433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06300_ (.A(_01992_),
-    .X(_02434_),
+ sky130_fd_sc_hd__inv_2 _07563_ (.A(_02433_),
+    .Y(_02434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06301_ (.A(_02434_),
-    .B(\u_m1_cmd_fifo.mem[3][15] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07564_ (.A1_N(_02388_),
+    .A2_N(_02434_),
+    .B1(\u_spim_regs.spim_reg_rdata[4] ),
+    .B2(_02388_),
+    .X(_01243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07565_ (.A(_02326_),
     .X(_02435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06302_ (.A(_02410_),
-    .X(_02436_),
+ sky130_fd_sc_hd__inv_2 _07566_ (.A(\u_spictrl.cfg_cs_late[1] ),
+    .Y(_02436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06303_ (.A(_01988_),
-    .X(_02437_),
+ sky130_fd_sc_hd__inv_2 _07567_ (.A(\u_spictrl.cfg_m0_cs_reg[3] ),
+    .Y(_02437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06304_ (.A(_02437_),
+ sky130_fd_sc_hd__buf_2 _07568_ (.A(_02298_),
     .X(_02438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06305_ (.A1(_02436_),
-    .A2(\u_m1_cmd_fifo.mem[2][15] ),
-    .B1(_02438_),
+ sky130_fd_sc_hd__o22a_4 _07569_ (.A1(_02436_),
+    .A2(_02263_),
+    .B1(_02437_),
+    .B2(_02438_),
     .X(_02439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06306_ (.A1(_02428_),
-    .A2(_02430_),
-    .A3(_02433_),
-    .B1(_02435_),
-    .B2(_02439_),
-    .X(_02440_),
+ sky130_fd_sc_hd__a22oi_4 _07570_ (.A1(\u_spictrl.cfg_m1_cs_reg[3] ),
+    .A2(_02305_),
+    .B1(\u_spim_regs.cfg_m1_addr[3] ),
+    .B2(_02092_),
+    .Y(_02440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06307_ (.A1_N(_02423_),
-    .A2_N(_02427_),
-    .B1(_02423_),
-    .B2(_02440_),
-    .X(_02441_),
+ sky130_fd_sc_hd__inv_2 _07571_ (.A(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .Y(_02441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06308_ (.A(_02366_),
-    .B(_02441_),
+ sky130_fd_sc_hd__inv_2 _07572_ (.A(\u_spim_regs.cfg_m0_cmd_reg[3] ),
     .Y(_02442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06309_ (.A1(_02367_),
-    .A2(_02420_),
-    .B1(_02421_),
-    .C1(_02442_),
+ sky130_fd_sc_hd__o22a_4 _07573_ (.A1(_02441_),
+    .A2(_02269_),
+    .B1(_02442_),
+    .B2(_02181_),
     .X(_02443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06310_ (.A(\u_spictrl.spi_mode_cmd[7] ),
-    .Y(_02444_),
+ sky130_fd_sc_hd__buf_2 _07574_ (.A(_01948_),
+    .X(_02444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06311_ (.A(_02380_),
+ sky130_fd_sc_hd__or2_4 _07575_ (.A(_02184_),
+    .B(\u_m1_res_fifo.mem[4][3] ),
     .X(_02445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06312_ (.A(_02445_),
+ sky130_fd_sc_hd__or2_4 _07576_ (.A(_02186_),
+    .B(\u_m1_res_fifo.mem[5][3] ),
     .X(_02446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06313_ (.A(_02446_),
+ sky130_fd_sc_hd__and3_4 _07577_ (.A(_02444_),
+    .B(_02445_),
+    .C(_02446_),
     .X(_02447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06314_ (.A(_02447_),
-    .B(\u_m1_cmd_fifo.mem[3][7] ),
+ sky130_fd_sc_hd__buf_2 _07578_ (.A(_01659_),
     .X(_02448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06315_ (.A1(_01994_),
-    .A2(\u_m1_cmd_fifo.mem[2][7] ),
-    .B1(_02015_),
-    .C1(_02448_),
+ sky130_fd_sc_hd__or2_4 _07579_ (.A(_02448_),
+    .B(\u_m1_res_fifo.mem[7][3] ),
     .X(_02449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06316_ (.A(_02386_),
+ sky130_fd_sc_hd__buf_2 _07580_ (.A(_01655_),
     .X(_02450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06317_ (.A(_02415_),
+ sky130_fd_sc_hd__or2_4 _07581_ (.A(_02450_),
+    .B(\u_m1_res_fifo.mem[6][3] ),
     .X(_02451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06318_ (.A(_02451_),
-    .B(\u_m1_cmd_fifo.mem[0][7] ),
+ sky130_fd_sc_hd__and3_4 _07582_ (.A(_02275_),
+    .B(_02449_),
+    .C(_02451_),
     .X(_02452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06319_ (.A1(_02450_),
-    .A2(\u_m1_cmd_fifo.mem[1][7] ),
-    .B1(_01990_),
-    .C1(_02452_),
+ sky130_fd_sc_hd__or3_4 _07583_ (.A(_02183_),
+    .B(_02447_),
+    .C(_02452_),
     .X(_02453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06320_ (.A(_02030_),
-    .B(\u_m0_cmd_fifo.mem[1][7] ),
+ sky130_fd_sc_hd__or2_4 _07584_ (.A(_02314_),
+    .B(\u_m1_res_fifo.mem[0][3] ),
     .X(_02454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06321_ (.A(_02372_),
+ sky130_fd_sc_hd__or2_4 _07585_ (.A(_02316_),
+    .B(\u_m1_res_fifo.mem[1][3] ),
     .X(_02455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06322_ (.A1(_02455_),
-    .A2(\u_m0_cmd_fifo.mem[0][7] ),
-    .B1(_02377_),
+ sky130_fd_sc_hd__and3_4 _07586_ (.A(_02281_),
+    .B(_02454_),
+    .C(_02455_),
     .X(_02456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06323_ (.A1(_02449_),
-    .A2(_02453_),
-    .A3(_02033_),
-    .B1(_02454_),
-    .B2(_02456_),
+ sky130_fd_sc_hd__buf_2 _07587_ (.A(_01695_),
     .X(_02457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06324_ (.A(_02457_),
-    .Y(_02458_),
+ sky130_fd_sc_hd__or2_4 _07588_ (.A(_02196_),
+    .B(\u_m1_res_fifo.mem[3][3] ),
+    .X(_02458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06325_ (.A(_02256_),
+ sky130_fd_sc_hd__or2_4 _07589_ (.A(_02198_),
+    .B(\u_m1_res_fifo.mem[2][3] ),
     .X(_02459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06326_ (.A(_02459_),
-    .B(_02003_),
+ sky130_fd_sc_hd__and3_4 _07590_ (.A(_02457_),
+    .B(_02458_),
+    .C(_02459_),
     .X(_02460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06327_ (.A(_02460_),
+ sky130_fd_sc_hd__or3_4 _07591_ (.A(_02280_),
+    .B(_02456_),
+    .C(_02460_),
     .X(_02461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06328_ (.A(_00979_),
-    .B(_01996_),
-    .C(_02338_),
-    .D(_02327_),
+ sky130_fd_sc_hd__and3_4 _07592_ (.A(_02453_),
+    .B(_02461_),
+    .C(_02289_),
     .X(_02462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06329_ (.A(psn_net_32),
-    .B(_02462_),
+ sky130_fd_sc_hd__inv_2 _07593_ (.A(_02462_),
     .Y(_02463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06330_ (.A1(_02444_),
-    .A2(_02254_),
-    .B1(_02458_),
-    .B2(_02463_),
+ sky130_fd_sc_hd__and4_4 _07594_ (.A(_02439_),
+    .B(_02440_),
+    .C(_02443_),
+    .D(_02463_),
     .X(_02464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06331_ (.A(_02250_),
-    .X(_02465_),
+ sky130_fd_sc_hd__a2bb2o_4 _07595_ (.A1_N(_02435_),
+    .A2_N(_02464_),
+    .B1(\u_spim_regs.spim_reg_rdata[3] ),
+    .B2(_02435_),
+    .X(_01242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06332_ (.A(_02465_),
+ sky130_fd_sc_hd__inv_2 _07596_ (.A(\u_spictrl.cfg_cs_late[0] ),
+    .Y(_02465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07597_ (.A(\u_spictrl.cfg_m0_cs_reg[2] ),
     .Y(_02466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06333_ (.A1(_02466_),
-    .A2(_02271_),
-    .B1(_02268_),
+ sky130_fd_sc_hd__o22a_4 _07598_ (.A1(_02465_),
+    .A2(_02263_),
+    .B1(_02466_),
+    .B2(_02438_),
     .X(_02467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06334_ (.A(_02467_),
-    .X(_02468_),
+ sky130_fd_sc_hd__a22oi_4 _07599_ (.A1(\u_spictrl.cfg_m1_cs_reg[2] ),
+    .A2(_02305_),
+    .B1(\u_spim_regs.cfg_m1_addr[2] ),
+    .B2(_02092_),
+    .Y(_02468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06335_ (.A(_02468_),
+ sky130_fd_sc_hd__inv_2 _07600_ (.A(\u_spim_regs.cfg_m1_cmd_reg[2] ),
     .Y(_02469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06336_ (.A(\u_spictrl.u_txreg.en_quad ),
-    .X(_02470_),
+ sky130_fd_sc_hd__inv_2 _07601_ (.A(\u_spim_regs.cfg_m0_cmd_reg[2] ),
+    .Y(_02470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06337_ (.A1(_02248_),
-    .A2(\u_spictrl.u_txreg.data_int[27] ),
+ sky130_fd_sc_hd__o22a_4 _07602_ (.A1(_02469_),
+    .A2(_02269_),
     .B1(_02470_),
-    .B2(\u_spictrl.u_txreg.data_int[30] ),
+    .B2(_02181_),
     .X(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06338_ (.A1_N(_02465_),
-    .A2_N(_02471_),
-    .B1(_02364_),
-    .B2(_02465_),
+ sky130_fd_sc_hd__or2_4 _07603_ (.A(_02060_),
+    .B(\u_m1_res_fifo.mem[4][2] ),
     .X(_02472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06339_ (.A1(_02443_),
-    .A2(_02464_),
-    .A3(_02469_),
-    .B1(_02468_),
-    .B2(_02472_),
+ sky130_fd_sc_hd__or2_4 _07604_ (.A(_02112_),
+    .B(\u_m1_res_fifo.mem[5][2] ),
     .X(_02473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06340_ (.A1(_02364_),
-    .A2(_02296_),
-    .B1(_02278_),
-    .B2(_02473_),
+ sky130_fd_sc_hd__and3_4 _07605_ (.A(_02444_),
+    .B(_02472_),
+    .C(_02473_),
     .X(_02474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06341_ (.A(_02474_),
-    .Y(_00662_),
+ sky130_fd_sc_hd__or2_4 _07606_ (.A(_02448_),
+    .B(\u_m1_res_fifo.mem[7][2] ),
+    .X(_02475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06342_ (.A(\u_spictrl.u_txreg.data_int[30] ),
-    .Y(_02475_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06343_ (.A(_02295_),
+ sky130_fd_sc_hd__or2_4 _07607_ (.A(_02450_),
+    .B(\u_m1_res_fifo.mem[6][2] ),
     .X(_02476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06344_ (.A(_00988_),
+ sky130_fd_sc_hd__and3_4 _07608_ (.A(_02275_),
+    .B(_02475_),
+    .C(_02476_),
     .X(_02477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06345_ (.A(_02466_),
-    .B(_02264_),
+ sky130_fd_sc_hd__or3_4 _07609_ (.A(_02058_),
+    .B(_02474_),
+    .C(_02477_),
     .X(_02478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06346_ (.A(_02478_),
+ sky130_fd_sc_hd__or2_4 _07610_ (.A(_02314_),
+    .B(\u_m1_res_fifo.mem[0][2] ),
     .X(_02479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06347_ (.A(_02465_),
+ sky130_fd_sc_hd__or2_4 _07611_ (.A(_02316_),
+    .B(\u_m1_res_fifo.mem[1][2] ),
     .X(_02480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06348_ (.A(_02470_),
+ sky130_fd_sc_hd__and3_4 _07612_ (.A(_02281_),
+    .B(_02479_),
+    .C(_02480_),
     .X(_02481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06349_ (.A(_02470_),
+ sky130_fd_sc_hd__or2_4 _07613_ (.A(_02062_),
+    .B(\u_m1_res_fifo.mem[3][2] ),
     .X(_02482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06350_ (.A(\u_spictrl.u_txreg.data_int[26] ),
-    .Y(_02483_),
+ sky130_fd_sc_hd__or2_4 _07614_ (.A(_02098_),
+    .B(\u_m1_res_fifo.mem[2][2] ),
+    .X(_02483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06351_ (.A1_N(_02481_),
-    .A2_N(\u_spictrl.u_txreg.data_int[29] ),
-    .B1(_02482_),
-    .B2(_02483_),
+ sky130_fd_sc_hd__and3_4 _07615_ (.A(_02457_),
+    .B(_02482_),
+    .C(_02483_),
     .X(_02484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06352_ (.A1(_02475_),
-    .A2(_02479_),
-    .B1(_02480_),
-    .B2(_02484_),
+ sky130_fd_sc_hd__or3_4 _07616_ (.A(_02280_),
+    .B(_02481_),
+    .C(_02484_),
     .X(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06353_ (.A(_02467_),
+ sky130_fd_sc_hd__and3_4 _07617_ (.A(_02478_),
+    .B(_02485_),
+    .C(_02289_),
     .X(_02486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06354_ (.A(_02316_),
-    .X(_02487_),
+ sky130_fd_sc_hd__inv_2 _07618_ (.A(_02486_),
+    .Y(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06355_ (.A(_02031_),
+ sky130_fd_sc_hd__and4_4 _07619_ (.A(_02467_),
+    .B(_02468_),
+    .C(_02471_),
+    .D(_02487_),
     .X(_02488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06356_ (.A(_02371_),
+ sky130_fd_sc_hd__a2bb2o_4 _07620_ (.A1_N(_02435_),
+    .A2_N(_02488_),
+    .B1(\u_spim_regs.spim_reg_rdata[2] ),
+    .B2(_02435_),
+    .X(_01241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07621_ (.A(_02326_),
     .X(_02489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06357_ (.A(\u_m0_cmd_fifo.mem[0][22] ),
+ sky130_fd_sc_hd__inv_2 _07622_ (.A(\u_spictrl.cfg_cs_early[1] ),
     .Y(_02490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06358_ (.A(_02028_),
-    .X(_02491_),
+ sky130_fd_sc_hd__inv_2 _07623_ (.A(\u_spictrl.cfg_m0_cs_reg[1] ),
+    .Y(_02491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06359_ (.A(\u_m0_cmd_fifo.mem[1][22] ),
-    .Y(_02492_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06360_ (.A1(_02489_),
-    .A2(_02490_),
+ sky130_fd_sc_hd__o22a_4 _07624_ (.A1(_02490_),
+    .A2(_02127_),
     .B1(_02491_),
-    .B2(_02492_),
-    .X(_02493_),
+    .B2(_02329_),
+    .X(_02492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06361_ (.A(_02381_),
-    .X(_02494_),
+ sky130_fd_sc_hd__a22oi_4 _07625_ (.A1(\u_spictrl.cfg_m1_cs_reg[1] ),
+    .A2(_02305_),
+    .B1(\u_spim_regs.cfg_m1_addr[1] ),
+    .B2(_02054_),
+    .Y(_02493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06362_ (.A(_02494_),
-    .B(\u_m1_cmd_fifo.mem[0][22] ),
-    .X(_02495_),
+ sky130_fd_sc_hd__inv_2 _07626_ (.A(\u_spim_regs.cfg_m1_cmd_reg[1] ),
+    .Y(_02494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06363_ (.A(_01991_),
+ sky130_fd_sc_hd__inv_2 _07627_ (.A(\u_spim_regs.cfg_m0_cmd_reg[1] ),
+    .Y(_02495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07628_ (.A1(_02494_),
+    .A2(_02269_),
+    .B1(_02495_),
+    .B2(_01674_),
     .X(_02496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06364_ (.A(_02496_),
-    .B(\u_m1_cmd_fifo.mem[1][22] ),
+ sky130_fd_sc_hd__or2_4 _07629_ (.A(_02060_),
+    .B(\u_m1_res_fifo.mem[4][1] ),
     .X(_02497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06365_ (.A(_02496_),
-    .B(\u_m1_cmd_fifo.mem[3][22] ),
+ sky130_fd_sc_hd__or2_4 _07630_ (.A(_02112_),
+    .B(\u_m1_res_fifo.mem[5][1] ),
     .X(_02498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06366_ (.A1(_02407_),
-    .A2(\u_m1_cmd_fifo.mem[2][22] ),
-    .B1(_02412_),
+ sky130_fd_sc_hd__and3_4 _07631_ (.A(_02444_),
+    .B(_02497_),
+    .C(_02498_),
     .X(_02499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06367_ (.A1(_02406_),
-    .A2(_02495_),
-    .A3(_02497_),
-    .B1(_02498_),
-    .B2(_02499_),
+ sky130_fd_sc_hd__or2_4 _07632_ (.A(_02448_),
+    .B(\u_m1_res_fifo.mem[7][1] ),
     .X(_02500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06368_ (.A1_N(_02488_),
-    .A2_N(_02493_),
-    .B1(_02032_),
-    .B2(_02500_),
+ sky130_fd_sc_hd__or2_4 _07633_ (.A(_02450_),
+    .B(\u_m1_res_fifo.mem[6][1] ),
     .X(_02501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06369_ (.A(_02335_),
-    .B(_02501_),
+ sky130_fd_sc_hd__and3_4 _07634_ (.A(_02065_),
+    .B(_02500_),
+    .C(_02501_),
     .X(_02502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06370_ (.A(_02335_),
+ sky130_fd_sc_hd__or3_4 _07635_ (.A(_02058_),
+    .B(_02499_),
+    .C(_02502_),
     .X(_02503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06371_ (.A(_02422_),
+ sky130_fd_sc_hd__or2_4 _07636_ (.A(_02314_),
+    .B(\u_m1_res_fifo.mem[0][1] ),
     .X(_02504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06372_ (.A(_02372_),
+ sky130_fd_sc_hd__or2_4 _07637_ (.A(_02316_),
+    .B(\u_m1_res_fifo.mem[1][1] ),
     .X(_02505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06373_ (.A(\u_m0_cmd_fifo.mem[0][30] ),
-    .Y(_02506_),
+ sky130_fd_sc_hd__and3_4 _07638_ (.A(_01687_),
+    .B(_02504_),
+    .C(_02505_),
+    .X(_02506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06374_ (.A(_02399_),
+ sky130_fd_sc_hd__or2_4 _07639_ (.A(_02062_),
+    .B(\u_m1_res_fifo.mem[3][1] ),
     .X(_02507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06375_ (.A(\u_m0_cmd_fifo.mem[1][30] ),
-    .Y(_02508_),
+ sky130_fd_sc_hd__or2_4 _07640_ (.A(_02098_),
+    .B(\u_m1_res_fifo.mem[2][1] ),
+    .X(_02508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06376_ (.A1(_02505_),
-    .A2(_02506_),
-    .B1(_02507_),
-    .B2(_02508_),
+ sky130_fd_sc_hd__and3_4 _07641_ (.A(_02457_),
+    .B(_02507_),
+    .C(_02508_),
     .X(_02509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06377_ (.A(_02376_),
+ sky130_fd_sc_hd__or3_4 _07642_ (.A(_01706_),
+    .B(_02506_),
+    .C(_02509_),
     .X(_02510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06378_ (.A(_02510_),
+ sky130_fd_sc_hd__and3_4 _07643_ (.A(_02503_),
+    .B(_02510_),
+    .C(_01721_),
     .X(_02511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06379_ (.A(_01200_),
-    .X(_02512_),
+ sky130_fd_sc_hd__inv_2 _07644_ (.A(_02511_),
+    .Y(_02512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06380_ (.A(_02512_),
+ sky130_fd_sc_hd__and4_4 _07645_ (.A(_02492_),
+    .B(_02493_),
+    .C(_02496_),
+    .D(_02512_),
     .X(_02513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06381_ (.A(_02436_),
-    .B(\u_m1_cmd_fifo.mem[3][30] ),
-    .X(_02514_),
+ sky130_fd_sc_hd__a2bb2o_4 _07646_ (.A1_N(_02489_),
+    .A2_N(_02513_),
+    .B1(\u_spim_regs.spim_reg_rdata[1] ),
+    .B2(_02489_),
+    .X(_01240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06382_ (.A1(_02451_),
-    .A2(\u_m1_cmd_fifo.mem[2][30] ),
-    .B1(_02513_),
-    .C1(_02514_),
-    .X(_02515_),
+ sky130_fd_sc_hd__inv_2 _07647_ (.A(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .Y(_02514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06383_ (.A(_02412_),
+ sky130_fd_sc_hd__inv_2 _07648_ (.A(\u_spictrl.cfg_cs_early[0] ),
+    .Y(_02515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07649_ (.A1(_02514_),
+    .A2(_01632_),
+    .B1(_02515_),
+    .B2(_02090_),
     .X(_02516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06384_ (.A(_01992_),
-    .X(_02517_),
+ sky130_fd_sc_hd__inv_2 _07650_ (.A(\u_spictrl.cfg_m0_cs_reg[0] ),
+    .Y(_02517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06385_ (.A(_02517_),
-    .B(\u_m1_cmd_fifo.mem[0][30] ),
-    .X(_02518_),
+ sky130_fd_sc_hd__inv_2 _07651_ (.A(\u_spictrl.cfg_m1_cs_reg[0] ),
+    .Y(_02518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06386_ (.A1(_02447_),
-    .A2(\u_m1_cmd_fifo.mem[1][30] ),
-    .B1(_02516_),
-    .C1(_02518_),
+ sky130_fd_sc_hd__o22a_4 _07652_ (.A1(_02517_),
+    .A2(_02299_),
+    .B1(_02518_),
+    .B2(_02303_),
     .X(_02519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06387_ (.A(_02515_),
-    .B(_02519_),
-    .Y(_02520_),
+ sky130_fd_sc_hd__and3_4 _07653_ (.A(_01993_),
+    .B(_02024_),
+    .C(_01534_),
+    .X(_02520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06388_ (.A1(_02504_),
-    .A2(_02509_),
-    .B1(_02511_),
-    .B2(_02520_),
+ sky130_fd_sc_hd__and2_4 _07654_ (.A(_01934_),
+    .B(_02520_),
     .X(_02521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06389_ (.A(_02503_),
-    .B(_02521_),
-    .Y(_02522_),
+ sky130_fd_sc_hd__buf_2 _07655_ (.A(_02521_),
+    .X(_02522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06390_ (.A(_02317_),
-    .X(_02523_),
+ sky130_fd_sc_hd__inv_2 _07656_ (.A(_02522_),
+    .Y(_02523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06391_ (.A(_02381_),
-    .X(_02524_),
+ sky130_fd_sc_hd__inv_2 _07657_ (.A(\u_spim_regs.cfg_m0_cmd_reg[0] ),
+    .Y(_02524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06392_ (.A(_02524_),
-    .B(\u_m1_cmd_fifo.mem[3][6] ),
-    .X(_02525_),
+ sky130_fd_sc_hd__inv_2 _07658_ (.A(\u_spim_regs.cfg_m1_addr[0] ),
+    .Y(_02525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06393_ (.A1(_02379_),
-    .A2(\u_m1_cmd_fifo.mem[2][6] ),
-    .B1(_02406_),
-    .C1(_02525_),
+ sky130_fd_sc_hd__o22a_4 _07659_ (.A1(_02524_),
+    .A2(_01633_),
+    .B1(_02525_),
+    .B2(_01515_),
     .X(_02526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06394_ (.A(_02496_),
-    .B(\u_m1_cmd_fifo.mem[0][6] ),
+ sky130_fd_sc_hd__o21a_4 _07660_ (.A1(_01731_),
+    .A2(_02523_),
+    .B1(_02526_),
     .X(_02527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06395_ (.A1(_02411_),
-    .A2(\u_m1_cmd_fifo.mem[1][6] ),
-    .B1(_02413_),
-    .C1(_02527_),
+ sky130_fd_sc_hd__or2_4 _07661_ (.A(_02060_),
+    .B(\u_m1_res_fifo.mem[4][0] ),
     .X(_02528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06396_ (.A(_02369_),
+ sky130_fd_sc_hd__or2_4 _07662_ (.A(_02112_),
+    .B(\u_m1_res_fifo.mem[5][0] ),
     .X(_02529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06397_ (.A(_02029_),
-    .B(\u_m0_cmd_fifo.mem[1][6] ),
+ sky130_fd_sc_hd__and3_4 _07663_ (.A(_02444_),
+    .B(_02528_),
+    .C(_02529_),
     .X(_02530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06398_ (.A(_02376_),
+ sky130_fd_sc_hd__or2_4 _07664_ (.A(_02448_),
+    .B(\u_m1_res_fifo.mem[7][0] ),
     .X(_02531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06399_ (.A1(_02489_),
-    .A2(\u_m0_cmd_fifo.mem[0][6] ),
-    .B1(_02531_),
+ sky130_fd_sc_hd__or2_4 _07665_ (.A(_02450_),
+    .B(\u_m1_res_fifo.mem[6][0] ),
     .X(_02532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06400_ (.A1(_02526_),
-    .A2(_02528_),
-    .A3(_02529_),
-    .B1(_02530_),
-    .B2(_02532_),
+ sky130_fd_sc_hd__and3_4 _07666_ (.A(_02065_),
+    .B(_02531_),
+    .C(_02532_),
     .X(_02533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06401_ (.A(_02523_),
-    .B(_02533_),
+ sky130_fd_sc_hd__or3_4 _07667_ (.A(_02058_),
+    .B(_02530_),
+    .C(_02533_),
     .X(_02534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06402_ (.A(\u_m0_cmd_fifo.mem[0][14] ),
-    .Y(_02535_),
+ sky130_fd_sc_hd__or2_4 _07668_ (.A(_01701_),
+    .B(\u_m1_res_fifo.mem[0][0] ),
+    .X(_02535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06403_ (.A(_02028_),
+ sky130_fd_sc_hd__or2_4 _07669_ (.A(_01698_),
+    .B(\u_m1_res_fifo.mem[1][0] ),
     .X(_02536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06404_ (.A(_02536_),
+ sky130_fd_sc_hd__and3_4 _07670_ (.A(_01687_),
+    .B(_02535_),
+    .C(_02536_),
     .X(_02537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06405_ (.A(\u_m0_cmd_fifo.mem[1][14] ),
-    .Y(_02538_),
+ sky130_fd_sc_hd__or2_4 _07671_ (.A(_02062_),
+    .B(\u_m1_res_fifo.mem[3][0] ),
+    .X(_02538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06406_ (.A1(_02397_),
-    .A2(_02535_),
-    .B1(_02537_),
-    .B2(_02538_),
+ sky130_fd_sc_hd__or2_4 _07672_ (.A(_02098_),
+    .B(\u_m1_res_fifo.mem[2][0] ),
     .X(_02539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06407_ (.A(_02394_),
+ sky130_fd_sc_hd__and3_4 _07673_ (.A(_02457_),
+    .B(_02538_),
+    .C(_02539_),
     .X(_02540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06408_ (.A(_02407_),
-    .B(\u_m1_cmd_fifo.mem[0][14] ),
+ sky130_fd_sc_hd__or3_4 _07674_ (.A(_01706_),
+    .B(_02537_),
+    .C(_02540_),
     .X(_02541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06409_ (.A(_02414_),
+ sky130_fd_sc_hd__and3_4 _07675_ (.A(_02534_),
+    .B(_02541_),
+    .C(_01721_),
     .X(_02542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06410_ (.A(_02542_),
-    .B(\u_m1_cmd_fifo.mem[1][14] ),
-    .X(_02543_),
+ sky130_fd_sc_hd__inv_2 _07676_ (.A(_02542_),
+    .Y(_02543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06411_ (.A(_02432_),
-    .B(\u_m1_cmd_fifo.mem[3][14] ),
+ sky130_fd_sc_hd__and4_4 _07677_ (.A(_02516_),
+    .B(_02519_),
+    .C(_02527_),
+    .D(_02543_),
     .X(_02544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06412_ (.A1(_02386_),
-    .A2(\u_m1_cmd_fifo.mem[2][14] ),
-    .B1(_02438_),
-    .X(_02545_),
+ sky130_fd_sc_hd__a2bb2o_4 _07678_ (.A1_N(_02489_),
+    .A2_N(_02544_),
+    .B1(\u_spim_regs.spim_reg_rdata[0] ),
+    .B2(_02489_),
+    .X(_01239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06413_ (.A1(_02428_),
-    .A2(_02541_),
-    .A3(_02543_),
-    .B1(_02544_),
-    .B2(_02545_),
+ sky130_fd_sc_hd__inv_2 _07679_ (.A(\u_spim_regs.spim_reg_we ),
+    .Y(_02545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07680_ (.A(spi_debug[9]),
     .X(_02546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06414_ (.A1_N(_02395_),
-    .A2_N(_02539_),
-    .B1(_02540_),
-    .B2(_02546_),
-    .X(_02547_),
+ sky130_fd_sc_hd__inv_2 _07681_ (.A(_01840_),
+    .Y(_02547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06415_ (.A1(_02327_),
-    .A2(_02547_),
-    .B1(_02338_),
-    .X(_02548_),
+ sky130_fd_sc_hd__inv_2 _07682_ (.A(\u_spim_regs.cfg_m1_req ),
+    .Y(_02548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06416_ (.A1(_02487_),
-    .A2(_02502_),
-    .A3(_02522_),
-    .B1(_02534_),
-    .B2(_02548_),
+ sky130_fd_sc_hd__or4_4 _07683_ (.A(_01281_),
+    .B(_01329_),
+    .C(_02545_),
+    .D(_02265_),
     .X(_02549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06417_ (.A(_02255_),
+ sky130_fd_sc_hd__and3_4 _07684_ (.A(_02548_),
+    .B(_01498_),
+    .C(_02549_),
     .X(_02550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06418_ (.A(_02550_),
+ sky130_fd_sc_hd__or2_4 _07685_ (.A(_02547_),
+    .B(_02550_),
     .X(_02551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06419_ (.A(\u_spictrl.spi_mode_cmd[6] ),
-    .B(_02551_),
+ sky130_fd_sc_hd__or4_4 _07686_ (.A(_01473_),
+    .B(_02546_),
+    .C(_01479_),
+    .D(_02551_),
     .X(_02552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06420_ (.A(psn_net_31),
-    .B(_02533_),
+ sky130_fd_sc_hd__buf_2 _07687_ (.A(_01965_),
     .X(_02553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06421_ (.A1(_02329_),
-    .A2(_02549_),
-    .B1(_02552_),
-    .C1(_02553_),
+ sky130_fd_sc_hd__buf_2 _07688_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
     .X(_02554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06422_ (.A(_02554_),
-    .Y(_02555_),
+ sky130_fd_sc_hd__or2_4 _07689_ (.A(_02553_),
+    .B(_02554_),
+    .X(_02555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06423_ (.A1(_02477_),
-    .A2(_02485_),
-    .B1(_02486_),
-    .B2(_02555_),
+ sky130_fd_sc_hd__buf_2 _07690_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
     .X(_02556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06424_ (.A1(_02475_),
-    .A2(_02476_),
-    .B1(_02278_),
-    .B2(_02556_),
+ sky130_fd_sc_hd__buf_2 _07691_ (.A(_01471_),
     .X(_02557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06425_ (.A(_02557_),
-    .Y(_00661_),
+ sky130_fd_sc_hd__buf_2 _07692_ (.A(_02547_),
+    .X(\u_m1_cmd_fifo.full ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06426_ (.A(_02277_),
+ sky130_fd_sc_hd__or4_4 _07693_ (.A(_01480_),
+    .B(_02557_),
+    .C(_01476_),
+    .D(\u_m1_cmd_fifo.full ),
     .X(_02558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06427_ (.A(_02477_),
+ sky130_fd_sc_hd__and2_4 _07694_ (.A(_02556_),
+    .B(_02558_),
     .X(_02559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06428_ (.A(\u_spictrl.u_txreg.data_int[29] ),
-    .Y(_02560_),
+ sky130_fd_sc_hd__buf_2 _07695_ (.A(_02546_),
+    .X(_02560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06429_ (.A(_02478_),
+ sky130_fd_sc_hd__or2_4 _07696_ (.A(_01866_),
+    .B(_02550_),
     .X(_02561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06430_ (.A(_02480_),
+ sky130_fd_sc_hd__or4_4 _07697_ (.A(_02557_),
+    .B(_02560_),
+    .C(_01479_),
+    .D(_02561_),
     .X(_02562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06431_ (.A(\u_spictrl.u_txreg.data_int[25] ),
-    .Y(_02563_),
+ sky130_fd_sc_hd__and2_4 _07698_ (.A(_02028_),
+    .B(_02562_),
+    .X(_02563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06432_ (.A1_N(_02481_),
-    .A2_N(\u_spictrl.u_txreg.data_int[28] ),
-    .B1(_02481_),
-    .B2(_02563_),
+ sky130_fd_sc_hd__or4_4 _07699_ (.A(_02049_),
+    .B(_02555_),
+    .C(_02559_),
+    .D(_02563_),
     .X(_02564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06433_ (.A1(_02560_),
-    .A2(_02561_),
-    .B1(_02562_),
-    .B2(_02564_),
+ sky130_fd_sc_hd__and3_4 _07700_ (.A(_02266_),
+    .B(_02552_),
+    .C(_02564_),
     .X(_02565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06434_ (.A(_02320_),
+ sky130_fd_sc_hd__or4_4 _07701_ (.A(_01464_),
+    .B(_01465_),
+    .C(_02545_),
+    .D(_02565_),
     .X(_02566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06435_ (.A(\u_m0_cmd_fifo.mem[0][21] ),
-    .Y(_02567_),
+ sky130_fd_sc_hd__buf_2 _07702_ (.A(_01626_),
+    .X(_02567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06436_ (.A(\u_m0_cmd_fifo.mem[1][21] ),
-    .Y(_02568_),
+ sky130_fd_sc_hd__a211o_4 _07703_ (.A1(_01501_),
+    .A2(_02566_),
+    .B1(\u_spim_regs.spim_reg_ack ),
+    .C1(_02567_),
+    .X(_02568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06437_ (.A1(_02489_),
-    .A2(_02567_),
-    .B1(_02491_),
-    .B2(_02568_),
-    .X(_02569_),
+ sky130_fd_sc_hd__inv_2 _07704_ (.A(_02568_),
+    .Y(_01238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06438_ (.A(_02494_),
-    .B(\u_m1_cmd_fifo.mem[0][21] ),
+ sky130_fd_sc_hd__and3_4 _07705_ (.A(_02296_),
+    .B(_01425_),
+    .C(_01397_),
+    .X(_01237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07706_ (.A(_01289_),
+    .Y(_02569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07707_ (.A(_02569_),
     .X(_02570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06439_ (.A(_02403_),
-    .B(\u_m1_cmd_fifo.mem[1][21] ),
+ sky130_fd_sc_hd__or2_4 _07708_ (.A(spi_debug[13]),
+    .B(_02570_),
     .X(_02571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06440_ (.A(_02414_),
+ sky130_fd_sc_hd__a32o_4 _07709_ (.A1(_01362_),
+    .A2(_01465_),
+    .A3(_02569_),
+    .B1(_01406_),
+    .B2(_01396_),
     .X(_02572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06441_ (.A(_02572_),
-    .B(\u_m1_cmd_fifo.mem[3][21] ),
+ sky130_fd_sc_hd__a32o_4 _07710_ (.A1(spi_debug[14]),
+    .A2(_02571_),
+    .A3(_01424_),
+    .B1(_01279_),
+    .B2(_02572_),
     .X(_02573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06442_ (.A(_02385_),
-    .X(_02574_),
+ sky130_fd_sc_hd__and2_4 _07711_ (.A(_02296_),
+    .B(_02573_),
+    .X(_01236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06443_ (.A(_01988_),
-    .X(_02575_),
+ sky130_fd_sc_hd__inv_2 _07712_ (.A(\u_spim_regs.cfg_m1_wdata[9] ),
+    .Y(_02574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06444_ (.A1(_02574_),
-    .A2(\u_m1_cmd_fifo.mem[2][21] ),
-    .B1(_02575_),
-    .X(_02576_),
+ sky130_fd_sc_hd__inv_2 _07713_ (.A(\u_spim_regs.spi_init_state[5] ),
+    .Y(_02575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06445_ (.A1(_02406_),
-    .A2(_02570_),
-    .A3(_02571_),
-    .B1(_02573_),
-    .B2(_02576_),
-    .X(_02577_),
+ sky130_fd_sc_hd__inv_2 _07714_ (.A(\u_spim_regs.spi_init_state[4] ),
+    .Y(_02576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06446_ (.A1_N(_02488_),
-    .A2_N(_02569_),
-    .B1(_02488_),
-    .B2(_02577_),
+ sky130_fd_sc_hd__inv_2 _07715_ (.A(\u_spim_regs.spi_init_state[6] ),
+    .Y(_02577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07716_ (.A(_02575_),
+    .B(_02576_),
+    .C(_02577_),
     .X(_02578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06447_ (.A(_02523_),
+ sky130_fd_sc_hd__nor2_4 _07717_ (.A(_01462_),
     .B(_02578_),
-    .X(_02579_),
+    .Y(_02579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06448_ (.A(\u_m0_cmd_fifo.mem[0][29] ),
-    .Y(_02580_),
+ sky130_fd_sc_hd__buf_2 _07718_ (.A(_02575_),
+    .X(_02580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06449_ (.A(_02399_),
+ sky130_fd_sc_hd__buf_2 _07719_ (.A(_01462_),
     .X(_02581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06450_ (.A(\u_m0_cmd_fifo.mem[1][29] ),
-    .Y(_02582_),
+ sky130_fd_sc_hd__buf_2 _07720_ (.A(_02581_),
+    .X(_02582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06451_ (.A1(_02505_),
-    .A2(_02580_),
-    .B1(_02581_),
-    .B2(_02582_),
+ sky130_fd_sc_hd__or2_4 _07721_ (.A(_02580_),
+    .B(_02582_),
     .X(_02583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06452_ (.A(_01993_),
+ sky130_fd_sc_hd__o21ai_4 _07722_ (.A1(_02574_),
+    .A2(_02579_),
+    .B1(_02583_),
+    .Y(_01235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07723_ (.A(_02582_),
     .X(_02584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06453_ (.A(_02382_),
+ sky130_fd_sc_hd__buf_2 _07724_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
     .X(_02585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06454_ (.A(_02585_),
-    .B(\u_m1_cmd_fifo.mem[3][29] ),
+ sky130_fd_sc_hd__and2_4 _07725_ (.A(_02584_),
+    .B(_02585_),
     .X(_02586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06455_ (.A1(_02584_),
-    .A2(\u_m1_cmd_fifo.mem[2][29] ),
-    .B1(_02513_),
-    .C1(_02586_),
+ sky130_fd_sc_hd__buf_2 _07726_ (.A(_01625_),
     .X(_02587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06456_ (.A(_02575_),
+ sky130_fd_sc_hd__and3_4 _07727_ (.A(_02580_),
+    .B(_02587_),
+    .C(_02577_),
     .X(_02588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06457_ (.A(_02378_),
-    .X(_02589_),
+ sky130_fd_sc_hd__inv_2 _07728_ (.A(\u_spim_regs.spim_reg_be[0] ),
+    .Y(_02589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06458_ (.A(_02589_),
-    .B(\u_m1_cmd_fifo.mem[0][29] ),
+ sky130_fd_sc_hd__or4_4 _07729_ (.A(_01464_),
+    .B(_01363_),
+    .C(_02545_),
+    .D(_01463_),
     .X(_02590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06459_ (.A1(_02447_),
-    .A2(\u_m1_cmd_fifo.mem[1][29] ),
-    .B1(_02588_),
-    .C1(_02590_),
-    .X(_02591_),
+ sky130_fd_sc_hd__inv_2 _07730_ (.A(_02590_),
+    .Y(_02591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06460_ (.A(_02587_),
-    .B(_02591_),
-    .Y(_02592_),
+ sky130_fd_sc_hd__buf_2 _07731_ (.A(_02591_),
+    .X(_02592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06461_ (.A1(_02504_),
-    .A2(_02583_),
-    .B1(_02511_),
-    .B2(_02592_),
+ sky130_fd_sc_hd__and2_4 _07732_ (.A(_02589_),
+    .B(_02592_),
     .X(_02593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06462_ (.A(_02503_),
-    .B(_02593_),
+ sky130_fd_sc_hd__nor2_4 _07733_ (.A(_02579_),
+    .B(_02591_),
     .Y(_02594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06463_ (.A(_02318_),
+ sky130_fd_sc_hd__a21o_4 _07734_ (.A1(_01462_),
+    .A2(_01518_),
+    .B1(_02594_),
     .X(_02595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06464_ (.A(_02405_),
+ sky130_fd_sc_hd__or2_4 _07735_ (.A(_02593_),
+    .B(_02595_),
     .X(_02596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06465_ (.A(_02407_),
-    .B(\u_m1_cmd_fifo.mem[3][5] ),
+ sky130_fd_sc_hd__buf_2 _07736_ (.A(_02596_),
     .X(_02597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06466_ (.A1(_02404_),
-    .A2(\u_m1_cmd_fifo.mem[2][5] ),
-    .B1(_02596_),
-    .C1(_02597_),
+ sky130_fd_sc_hd__buf_2 _07737_ (.A(_02597_),
     .X(_02598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06467_ (.A(_02542_),
-    .B(\u_m1_cmd_fifo.mem[0][5] ),
-    .X(_02599_),
+ sky130_fd_sc_hd__inv_2 _07738_ (.A(_02596_),
+    .Y(_02599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06468_ (.A1(_02411_),
-    .A2(\u_m1_cmd_fifo.mem[1][5] ),
-    .B1(_02413_),
-    .C1(_02599_),
+ sky130_fd_sc_hd__buf_2 _07739_ (.A(_02599_),
     .X(_02600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06469_ (.A(_02536_),
+ sky130_fd_sc_hd__o32a_4 _07740_ (.A1(_02586_),
+    .A2(_02588_),
+    .A3(_02598_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .B2(_02600_),
+    .X(_01234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07741_ (.A(_02582_),
     .X(_02601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06470_ (.A(_02601_),
-    .B(\u_m0_cmd_fifo.mem[1][5] ),
+ sky130_fd_sc_hd__buf_2 _07742_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
     .X(_02602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06471_ (.A(_02371_),
+ sky130_fd_sc_hd__and2_4 _07743_ (.A(_02601_),
+    .B(_02602_),
     .X(_02603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06472_ (.A1(_02603_),
-    .A2(\u_m0_cmd_fifo.mem[0][5] ),
-    .B1(_02531_),
+ sky130_fd_sc_hd__buf_2 _07744_ (.A(_02599_),
     .X(_02604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06473_ (.A1(_02598_),
-    .A2(_02600_),
-    .A3(_02529_),
-    .B1(_02602_),
+ sky130_fd_sc_hd__o22a_4 _07745_ (.A1(_02598_),
+    .A2(_02603_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
     .B2(_02604_),
+    .X(_01233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07746_ (.A(_02582_),
     .X(_02605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06474_ (.A(_02595_),
-    .B(_02605_),
+ sky130_fd_sc_hd__buf_2 _07747_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
     .X(_02606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06475_ (.A(\u_m0_cmd_fifo.mem[0][13] ),
-    .Y(_02607_),
+ sky130_fd_sc_hd__and2_4 _07748_ (.A(_02605_),
+    .B(_02606_),
+    .X(_02607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06476_ (.A(\u_m0_cmd_fifo.mem[1][13] ),
-    .Y(_02608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06477_ (.A1(_02397_),
+ sky130_fd_sc_hd__o32a_4 _07749_ (.A1(_02588_),
     .A2(_02607_),
-    .B1(_02400_),
-    .B2(_02608_),
+    .A3(_02597_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .B2(_02600_),
+    .X(_01232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07750_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
+    .X(_02608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07751_ (.A(_02605_),
+    .B(_02608_),
     .X(_02609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06478_ (.A(_02429_),
-    .B(\u_m1_cmd_fifo.mem[0][13] ),
+ sky130_fd_sc_hd__o22a_4 _07752_ (.A1(_02598_),
+    .A2(_02609_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .B2(_02604_),
+    .X(_01231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07753_ (.A(_02605_),
+    .B(\u_spim_regs.spim_reg_wdata[3] ),
     .X(_02610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06479_ (.A(_02432_),
-    .B(\u_m1_cmd_fifo.mem[1][13] ),
+ sky130_fd_sc_hd__o32a_4 _07754_ (.A1(_02588_),
+    .A2(_02610_),
+    .A3(_02597_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .B2(_02600_),
+    .X(_01230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07755_ (.A(_02584_),
+    .B(\u_spim_regs.spim_reg_wdata[2] ),
     .X(_02611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06480_ (.A(_02434_),
-    .B(\u_m1_cmd_fifo.mem[3][13] ),
+ sky130_fd_sc_hd__and2_4 _07756_ (.A(_01626_),
+    .B(\u_spim_regs.spi_init_state[6] ),
     .X(_02612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06481_ (.A1(_02436_),
-    .A2(\u_m1_cmd_fifo.mem[2][13] ),
-    .B1(_02438_),
+ sky130_fd_sc_hd__o32a_4 _07757_ (.A1(_02611_),
+    .A2(_02612_),
+    .A3(_02597_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .B2(_02600_),
+    .X(_01229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07758_ (.A(_02581_),
     .X(_02613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06482_ (.A1(_02428_),
-    .A2(_02610_),
-    .A3(_02611_),
-    .B1(_02612_),
-    .B2(_02613_),
+ sky130_fd_sc_hd__buf_2 _07759_ (.A(_02613_),
     .X(_02614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06483_ (.A1_N(_02395_),
-    .A2_N(_02609_),
-    .B1(_02395_),
-    .B2(_02614_),
+ sky130_fd_sc_hd__and2_4 _07760_ (.A(_02614_),
+    .B(\u_spim_regs.spim_reg_wdata[1] ),
     .X(_02615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06484_ (.A1(_02368_),
-    .A2(_02615_),
-    .B1(_02338_),
+ sky130_fd_sc_hd__a211o_4 _07761_ (.A1(_02580_),
+    .A2(_02587_),
+    .B1(_02612_),
+    .C1(_02615_),
     .X(_02616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06485_ (.A1(_02487_),
-    .A2(_02579_),
-    .A3(_02594_),
-    .B1(_02606_),
+ sky130_fd_sc_hd__a2bb2o_4 _07762_ (.A1_N(_02494_),
+    .A2_N(_02604_),
+    .B1(_02604_),
     .B2(_02616_),
+    .X(_01228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07763_ (.A(_02587_),
+    .B(\u_spim_regs.spim_reg_wdata[0] ),
     .X(_02617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06486_ (.A(\u_spictrl.spi_mode_cmd[5] ),
-    .B(_02551_),
+ sky130_fd_sc_hd__buf_2 _07764_ (.A(_02613_),
     .X(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06487_ (.A(psn_net_30),
-    .B(_02605_),
+ sky130_fd_sc_hd__or3_4 _07765_ (.A(\u_spim_regs.spi_init_state[5] ),
+    .B(_02618_),
+    .C(_02577_),
     .X(_02619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06488_ (.A1(_02566_),
-    .A2(_02617_),
-    .B1(_02618_),
-    .C1(_02619_),
+ sky130_fd_sc_hd__a32o_4 _07766_ (.A1(_02617_),
+    .A2(_02619_),
+    .A3(_02599_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .B2(_02598_),
+    .X(_01227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07767_ (.A(\u_spim_regs.spim_reg_wdata[15] ),
     .X(_02620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06489_ (.A(_02620_),
-    .Y(_02621_),
+ sky130_fd_sc_hd__and2_4 _07768_ (.A(_02601_),
+    .B(_02620_),
+    .X(_02621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06490_ (.A1(_02559_),
-    .A2(_02565_),
-    .B1(_02486_),
-    .B2(_02621_),
-    .X(_02622_),
+ sky130_fd_sc_hd__inv_2 _07769_ (.A(\u_spim_regs.spim_reg_be[1] ),
+    .Y(_02622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06491_ (.A(_02558_),
-    .B(_02622_),
-    .Y(_02623_),
+ sky130_fd_sc_hd__and2_4 _07770_ (.A(_02622_),
+    .B(_02592_),
+    .X(_02623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _06492_ (.A1(\u_spictrl.u_txreg.data_int[29] ),
-    .A2(_02270_),
-    .B1(_02623_),
-    .X(_00660_),
+ sky130_fd_sc_hd__or2_4 _07771_ (.A(_02595_),
+    .B(_02623_),
+    .X(_02624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06493_ (.A(\u_spictrl.u_txreg.data_int[28] ),
-    .Y(_02624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06494_ (.A(_02470_),
+ sky130_fd_sc_hd__buf_2 _07772_ (.A(_02624_),
     .X(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06495_ (.A(\u_spictrl.u_txreg.data_int[24] ),
+ sky130_fd_sc_hd__inv_2 _07773_ (.A(_02624_),
     .Y(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06496_ (.A1_N(_02625_),
-    .A2_N(\u_spictrl.u_txreg.data_int[27] ),
-    .B1(_02625_),
-    .B2(_02626_),
+ sky130_fd_sc_hd__buf_2 _07774_ (.A(_02626_),
     .X(_02627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06497_ (.A1(_02624_),
-    .A2(_02479_),
-    .B1(_02480_),
+ sky130_fd_sc_hd__o22a_4 _07775_ (.A1(_02621_),
+    .A2(_02625_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[7] ),
     .B2(_02627_),
+    .X(_01226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07776_ (.A(_02613_),
     .X(_02628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06498_ (.A(_02467_),
+ sky130_fd_sc_hd__buf_2 _07777_ (.A(_02628_),
     .X(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06499_ (.A(\u_m0_cmd_fifo.mem[0][20] ),
-    .Y(_02630_),
+ sky130_fd_sc_hd__buf_2 _07778_ (.A(\u_spim_regs.spim_reg_wdata[14] ),
+    .X(_02630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06500_ (.A(\u_m0_cmd_fifo.mem[1][20] ),
-    .Y(_02631_),
+ sky130_fd_sc_hd__and2_4 _07779_ (.A(_02629_),
+    .B(_02630_),
+    .X(_02631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06501_ (.A1(_02396_),
-    .A2(_02630_),
-    .B1(_02536_),
-    .B2(_02631_),
+ sky130_fd_sc_hd__o22a_4 _07780_ (.A1(_02625_),
+    .A2(_02631_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .B2(_02627_),
+    .X(_01225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07781_ (.A(\u_spim_regs.spim_reg_wdata[13] ),
     .X(_02632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06502_ (.A(_02385_),
-    .B(\u_m1_cmd_fifo.mem[0][20] ),
+ sky130_fd_sc_hd__and2_4 _07782_ (.A(_02629_),
+    .B(_02632_),
     .X(_02633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06503_ (.A(_02431_),
-    .B(\u_m1_cmd_fifo.mem[1][20] ),
+ sky130_fd_sc_hd__o22a_4 _07783_ (.A1(_02625_),
+    .A2(_02633_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .B2(_02627_),
+    .X(_01224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07784_ (.A(_02614_),
     .X(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06504_ (.A(_02431_),
-    .B(\u_m1_cmd_fifo.mem[3][20] ),
+ sky130_fd_sc_hd__buf_2 _07785_ (.A(\u_spim_regs.spim_reg_wdata[12] ),
     .X(_02635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06505_ (.A1(_02410_),
-    .A2(\u_m1_cmd_fifo.mem[2][20] ),
-    .B1(_02437_),
+ sky130_fd_sc_hd__and2_4 _07786_ (.A(_02634_),
+    .B(_02635_),
     .X(_02636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06506_ (.A1(_02512_),
-    .A2(_02633_),
-    .A3(_02634_),
-    .B1(_02635_),
-    .B2(_02636_),
+ sky130_fd_sc_hd__o22a_4 _07787_ (.A1(_02625_),
+    .A2(_02636_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .B2(_02627_),
+    .X(_01223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07788_ (.A(_02624_),
     .X(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06507_ (.A1_N(_02394_),
-    .A2_N(_02632_),
-    .B1(_02394_),
-    .B2(_02637_),
+ sky130_fd_sc_hd__buf_2 _07789_ (.A(\u_spim_regs.spim_reg_wdata[11] ),
     .X(_02638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06508_ (.A(_02318_),
+ sky130_fd_sc_hd__and2_4 _07790_ (.A(_02634_),
     .B(_02638_),
     .X(_02639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06509_ (.A(\u_m0_cmd_fifo.mem[0][28] ),
-    .Y(_02640_),
+ sky130_fd_sc_hd__buf_2 _07791_ (.A(_02626_),
+    .X(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06510_ (.A(\u_m0_cmd_fifo.mem[1][28] ),
-    .Y(_02641_),
+ sky130_fd_sc_hd__o22a_4 _07792_ (.A1(_02637_),
+    .A2(_02639_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .B2(_02640_),
+    .X(_01222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06511_ (.A1(_02489_),
-    .A2(_02640_),
-    .B1(_02029_),
-    .B2(_02641_),
+ sky130_fd_sc_hd__buf_2 _07793_ (.A(\u_spim_regs.spim_reg_wdata[10] ),
+    .X(_02641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07794_ (.A(_02634_),
+    .B(_02641_),
     .X(_02642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06512_ (.A(_02382_),
-    .B(\u_m1_cmd_fifo.mem[3][28] ),
+ sky130_fd_sc_hd__o22a_4 _07795_ (.A1(_02637_),
+    .A2(_02642_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .B2(_02640_),
+    .X(_01221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07796_ (.A(\u_spim_regs.spim_reg_wdata[9] ),
     .X(_02643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06513_ (.A1(_02379_),
-    .A2(\u_m1_cmd_fifo.mem[2][28] ),
-    .B1(_02014_),
-    .C1(_02643_),
+ sky130_fd_sc_hd__and2_4 _07797_ (.A(_02634_),
+    .B(_02643_),
     .X(_02644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06514_ (.A(_02410_),
+ sky130_fd_sc_hd__o22a_4 _07798_ (.A1(_02637_),
+    .A2(_02644_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .B2(_02640_),
+    .X(_01220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07799_ (.A(_02628_),
     .X(_02645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06515_ (.A(_02496_),
-    .B(\u_m1_cmd_fifo.mem[0][28] ),
+ sky130_fd_sc_hd__and2_4 _07800_ (.A(_02645_),
+    .B(\u_spim_regs.spim_reg_wdata[8] ),
     .X(_02646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06516_ (.A1(_02645_),
-    .A2(\u_m1_cmd_fifo.mem[1][28] ),
-    .B1(_02438_),
-    .C1(_02646_),
-    .X(_02647_),
+ sky130_fd_sc_hd__o22a_4 _07801_ (.A1(_02637_),
+    .A2(_02646_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .B2(_02640_),
+    .X(_01219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06517_ (.A(_02644_),
-    .B(_02647_),
-    .Y(_02648_),
+ sky130_fd_sc_hd__inv_2 _07802_ (.A(\u_spim_regs.spim_reg_be[2] ),
+    .Y(_02647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06518_ (.A1(_02370_),
-    .A2(_02642_),
-    .B1(_02377_),
-    .B2(_02648_),
-    .X(_02649_),
+ sky130_fd_sc_hd__and2_4 _07803_ (.A(_02647_),
+    .B(_02592_),
+    .X(_02648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06519_ (.A(_02335_),
-    .B(_02649_),
-    .Y(_02650_),
+ sky130_fd_sc_hd__inv_2 _07804_ (.A(rst_n),
+    .Y(_02649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06520_ (.A(_02414_),
+ sky130_fd_sc_hd__a211o_4 _07805_ (.A1(_02581_),
+    .A2(_01677_),
+    .B1(_02649_),
+    .C1(_02594_),
+    .X(_02650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07806_ (.A(_02648_),
+    .B(_02650_),
     .X(_02651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06521_ (.A(_02445_),
-    .B(\u_m1_cmd_fifo.mem[3][4] ),
+ sky130_fd_sc_hd__buf_2 _07807_ (.A(_02651_),
     .X(_02652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06522_ (.A1(_02651_),
-    .A2(\u_m1_cmd_fifo.mem[2][4] ),
-    .B1(_02512_),
-    .C1(_02652_),
+ sky130_fd_sc_hd__and2_4 _07808_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
+    .B(_02605_),
     .X(_02653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06523_ (.A(_02431_),
-    .B(\u_m1_cmd_fifo.mem[0][4] ),
-    .X(_02654_),
+ sky130_fd_sc_hd__inv_2 _07809_ (.A(_02651_),
+    .Y(_02654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06524_ (.A1(_02429_),
-    .A2(\u_m1_cmd_fifo.mem[1][4] ),
-    .B1(_02412_),
-    .C1(_02654_),
+ sky130_fd_sc_hd__buf_2 _07810_ (.A(_02654_),
     .X(_02655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06525_ (.A(_02536_),
-    .B(\u_m0_cmd_fifo.mem[1][4] ),
+ sky130_fd_sc_hd__o22a_4 _07811_ (.A1(_02652_),
+    .A2(_02653_),
+    .B1(\u_spim_regs.cfg_m1_addr[23] ),
+    .B2(_02655_),
+    .X(_01218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07812_ (.A(_02645_),
+    .B(\u_spim_regs.spim_reg_wdata[22] ),
     .X(_02656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06526_ (.A1(_02396_),
-    .A2(\u_m0_cmd_fifo.mem[0][4] ),
-    .B1(_02376_),
+ sky130_fd_sc_hd__o22a_4 _07813_ (.A1(_02652_),
+    .A2(_02656_),
+    .B1(\u_spim_regs.cfg_m1_addr[22] ),
+    .B2(_02655_),
+    .X(_01217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07814_ (.A(_02645_),
+    .B(\u_spim_regs.spim_reg_wdata[21] ),
     .X(_02657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06527_ (.A1(_02653_),
-    .A2(_02655_),
-    .A3(_02031_),
-    .B1(_02656_),
-    .B2(_02657_),
+ sky130_fd_sc_hd__o22a_4 _07815_ (.A1(_02652_),
+    .A2(_02657_),
+    .B1(\u_spim_regs.cfg_m1_addr[21] ),
+    .B2(_02655_),
+    .X(_01216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07816_ (.A(_02645_),
+    .B(\u_spim_regs.spim_reg_wdata[20] ),
     .X(_02658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06528_ (.A(_02318_),
-    .B(_02658_),
+ sky130_fd_sc_hd__o22a_4 _07817_ (.A1(_02652_),
+    .A2(_02658_),
+    .B1(\u_spim_regs.cfg_m1_addr[20] ),
+    .B2(_02655_),
+    .X(_01215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07818_ (.A(_02651_),
     .X(_02659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06529_ (.A(\u_m0_cmd_fifo.mem[0][12] ),
-    .Y(_02660_),
+ sky130_fd_sc_hd__buf_2 _07819_ (.A(_02628_),
+    .X(_02660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06530_ (.A(\u_m0_cmd_fifo.mem[1][12] ),
-    .Y(_02661_),
+ sky130_fd_sc_hd__and2_4 _07820_ (.A(_02660_),
+    .B(\u_spim_regs.spim_reg_wdata[19] ),
+    .X(_02661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06531_ (.A1(_02372_),
-    .A2(_02660_),
-    .B1(_02399_),
-    .B2(_02661_),
+ sky130_fd_sc_hd__buf_2 _07821_ (.A(_02654_),
     .X(_02662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06532_ (.A(_02445_),
-    .B(\u_m1_cmd_fifo.mem[0][12] ),
+ sky130_fd_sc_hd__o22a_4 _07822_ (.A1(_02659_),
+    .A2(_02661_),
+    .B1(\u_spim_regs.cfg_m1_addr[19] ),
+    .B2(_02662_),
+    .X(_01214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07823_ (.A(_02660_),
+    .B(\u_spim_regs.spim_reg_wdata[18] ),
     .X(_02663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06533_ (.A(_01992_),
-    .B(\u_m1_cmd_fifo.mem[1][12] ),
+ sky130_fd_sc_hd__o22a_4 _07824_ (.A1(_02659_),
+    .A2(_02663_),
+    .B1(\u_spim_regs.cfg_m1_addr[18] ),
+    .B2(_02662_),
+    .X(_01213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07825_ (.A(_02660_),
+    .B(\u_spim_regs.spim_reg_wdata[17] ),
     .X(_02664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06534_ (.A(_02378_),
-    .B(\u_m1_cmd_fifo.mem[3][12] ),
+ sky130_fd_sc_hd__o22a_4 _07826_ (.A1(_02659_),
+    .A2(_02664_),
+    .B1(\u_spim_regs.cfg_m1_addr[17] ),
+    .B2(_02662_),
+    .X(_01212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07827_ (.A(_02584_),
+    .B(\u_spim_regs.spim_reg_wdata[16] ),
     .X(_02665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06535_ (.A1(_02382_),
-    .A2(\u_m1_cmd_fifo.mem[2][12] ),
-    .B1(_02437_),
+ sky130_fd_sc_hd__o22a_4 _07828_ (.A1(_02659_),
+    .A2(_02665_),
+    .B1(\u_spim_regs.cfg_m1_addr[16] ),
+    .B2(_02662_),
+    .X(_01211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07829_ (.A(_02593_),
+    .B(_02650_),
     .X(_02666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06536_ (.A1(_02014_),
-    .A2(_02663_),
-    .A3(_02664_),
-    .B1(_02665_),
-    .B2(_02666_),
+ sky130_fd_sc_hd__buf_2 _07830_ (.A(_02666_),
     .X(_02667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06537_ (.A1_N(_02370_),
-    .A2_N(_02662_),
-    .B1(_02422_),
-    .B2(_02667_),
-    .X(_02668_),
+ sky130_fd_sc_hd__inv_2 _07831_ (.A(_02666_),
+    .Y(_02668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06538_ (.A1(_02327_),
-    .A2(_02668_),
-    .B1(_02337_),
+ sky130_fd_sc_hd__buf_2 _07832_ (.A(_02668_),
     .X(_02669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06539_ (.A1(_02487_),
-    .A2(_02639_),
-    .A3(_02650_),
-    .B1(_02659_),
+ sky130_fd_sc_hd__o22a_4 _07833_ (.A1(_02586_),
+    .A2(_02667_),
+    .B1(\u_spim_regs.cfg_m1_addr[7] ),
     .B2(_02669_),
+    .X(_01210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07834_ (.A1(_02603_),
+    .A2(_02667_),
+    .B1(\u_spim_regs.cfg_m1_addr[6] ),
+    .B2(_02669_),
+    .X(_01209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07835_ (.A1(_02607_),
+    .A2(_02667_),
+    .B1(\u_spim_regs.cfg_m1_addr[5] ),
+    .B2(_02669_),
+    .X(_01208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07836_ (.A1(_02609_),
+    .A2(_02667_),
+    .B1(\u_spim_regs.cfg_m1_addr[4] ),
+    .B2(_02669_),
+    .X(_01207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07837_ (.A(_02666_),
     .X(_02670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06540_ (.A(\u_spictrl.spi_mode_cmd[4] ),
-    .B(_02550_),
+ sky130_fd_sc_hd__buf_2 _07838_ (.A(_02668_),
     .X(_02671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06541_ (.A(psn_net_33),
-    .B(_02658_),
+ sky130_fd_sc_hd__o22a_4 _07839_ (.A1(_02610_),
+    .A2(_02670_),
+    .B1(\u_spim_regs.cfg_m1_addr[3] ),
+    .B2(_02671_),
+    .X(_01206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07840_ (.A1(_02611_),
+    .A2(_02670_),
+    .B1(\u_spim_regs.cfg_m1_addr[2] ),
+    .B2(_02671_),
+    .X(_01205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07841_ (.A1(_02615_),
+    .A2(_02670_),
+    .B1(\u_spim_regs.cfg_m1_addr[1] ),
+    .B2(_02671_),
+    .X(_01204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07842_ (.A(_02618_),
     .X(_02672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06542_ (.A1(_02329_),
-    .A2(_02670_),
-    .B1(_02671_),
-    .C1(_02672_),
+ sky130_fd_sc_hd__a32o_4 _07843_ (.A1(_02672_),
+    .A2(\u_spim_regs.spim_reg_wdata[0] ),
+    .A3(_02671_),
+    .B1(\u_spim_regs.cfg_m1_addr[0] ),
+    .B2(_02670_),
+    .X(_01203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07844_ (.A(_02548_),
     .X(_02673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06543_ (.A(_02673_),
-    .Y(_02674_),
+ sky130_fd_sc_hd__buf_2 _07845_ (.A(_02673_),
+    .X(_02674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06544_ (.A1(_02477_),
-    .A2(_02628_),
-    .B1(_02629_),
-    .B2(_02674_),
+ sky130_fd_sc_hd__and2_4 _07846_ (.A(spi_debug[10]),
+    .B(_01475_),
     .X(_02675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06545_ (.A1(_02624_),
-    .A2(_02476_),
-    .B1(_02278_),
-    .B2(_02675_),
+ sky130_fd_sc_hd__and2_4 _07847_ (.A(spi_debug[11]),
+    .B(_02675_),
     .X(_02676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06546_ (.A(_02676_),
-    .Y(_00659_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06547_ (.A(_02245_),
-    .X(\u_spictrl.fsm_flush ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06548_ (.A(_02276_),
+ sky130_fd_sc_hd__and2_4 _07848_ (.A(_01625_),
+    .B(_02676_),
     .X(_02677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06549_ (.A(_02677_),
+ sky130_fd_sc_hd__buf_2 _07849_ (.A(_02677_),
     .X(_02678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06550_ (.A(_02629_),
-    .X(_02679_),
+ sky130_fd_sc_hd__nor2_4 _07850_ (.A(\u_spim_regs.cfg_m1_req ),
+    .B(_02579_),
+    .Y(_02679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06551_ (.A(_02316_),
+ sky130_fd_sc_hd__or4_4 _07851_ (.A(\u_spim_regs.spi_init_state[2] ),
+    .B(\u_spim_regs.spi_init_state[1] ),
+    .C(\u_spim_regs.spi_init_state[3] ),
+    .D(_02679_),
     .X(_02680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06552_ (.A(_02371_),
-    .X(_02681_),
+ sky130_fd_sc_hd__o21ai_4 _07852_ (.A1(_02674_),
+    .A2(_02678_),
+    .B1(_02680_),
+    .Y(_01202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06553_ (.A(\u_m0_cmd_fifo.mem[0][19] ),
+ sky130_fd_sc_hd__inv_2 _07853_ (.A(\u_spim_regs.spi_delay_cnt[8] ),
+    .Y(_02681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07854_ (.A(\u_spim_regs.spi_delay_cnt[7] ),
     .Y(_02682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06554_ (.A(\u_m0_cmd_fifo.mem[1][19] ),
+ sky130_fd_sc_hd__inv_2 _07855_ (.A(\u_spim_regs.spi_delay_cnt[6] ),
     .Y(_02683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06555_ (.A1(_02681_),
-    .A2(_02682_),
-    .B1(_02537_),
-    .B2(_02683_),
-    .X(_02684_),
+ sky130_fd_sc_hd__inv_2 _07856_ (.A(\u_spim_regs.spi_delay_cnt[5] ),
+    .Y(_02684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06556_ (.A(_02405_),
-    .X(_02685_),
+ sky130_fd_sc_hd__inv_2 _07857_ (.A(\u_spim_regs.spi_delay_cnt[4] ),
+    .Y(_02685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06557_ (.A(_02524_),
-    .B(\u_m1_cmd_fifo.mem[0][19] ),
-    .X(_02686_),
+ sky130_fd_sc_hd__inv_2 _07858_ (.A(\u_spim_regs.spi_delay_cnt[3] ),
+    .Y(_02686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06558_ (.A(_02415_),
-    .B(\u_m1_cmd_fifo.mem[1][19] ),
-    .X(_02687_),
+ sky130_fd_sc_hd__inv_2 _07859_ (.A(\u_spim_regs.spi_init_state[3] ),
+    .Y(_02687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06559_ (.A(_02542_),
-    .B(\u_m1_cmd_fifo.mem[3][19] ),
+ sky130_fd_sc_hd__or2_4 _07860_ (.A(\u_spim_regs.spi_init_state[0] ),
+    .B(\u_spim_regs.spi_init_state[7] ),
     .X(_02688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06560_ (.A1(_02386_),
-    .A2(\u_m1_cmd_fifo.mem[2][19] ),
-    .B1(_01989_),
-    .X(_02689_),
+ sky130_fd_sc_hd__inv_2 _07861_ (.A(_02688_),
+    .Y(_02689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06561_ (.A1(_02685_),
-    .A2(_02686_),
-    .A3(_02687_),
-    .B1(_02688_),
-    .B2(_02689_),
-    .X(_02690_),
+ sky130_fd_sc_hd__inv_2 _07862_ (.A(\u_spim_regs.spi_delay_cnt[9] ),
+    .Y(_02690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06562_ (.A1_N(_02540_),
-    .A2_N(_02684_),
-    .B1(_02540_),
-    .B2(_02690_),
+ sky130_fd_sc_hd__or4_4 _07863_ (.A(_02681_),
+    .B(_02682_),
+    .C(_02690_),
+    .D(_02683_),
     .X(_02691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06563_ (.A(_02595_),
-    .B(_02691_),
+ sky130_fd_sc_hd__or4_4 _07864_ (.A(_02684_),
+    .B(\u_spim_regs.spi_delay_cnt[4] ),
+    .C(_02686_),
+    .D(\u_spim_regs.spi_delay_cnt[2] ),
     .X(_02692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06564_ (.A(_02370_),
+ sky130_fd_sc_hd__or4_4 _07865_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
+    .B(\u_spim_regs.spi_delay_cnt[0] ),
+    .C(_02691_),
+    .D(_02692_),
     .X(_02693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06565_ (.A(\u_m0_cmd_fifo.mem[0][27] ),
+ sky130_fd_sc_hd__inv_2 _07866_ (.A(_02693_),
     .Y(_02694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06566_ (.A(\u_m0_cmd_fifo.mem[1][27] ),
+ sky130_fd_sc_hd__nor2_4 _07867_ (.A(_02687_),
+    .B(_02676_),
     .Y(_02695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06567_ (.A1(_02455_),
+ sky130_fd_sc_hd__a211o_4 _07868_ (.A1(_02688_),
     .A2(_02694_),
-    .B1(_02030_),
-    .B2(_02695_),
+    .B1(\u_spim_regs.spi_init_done ),
+    .C1(_02695_),
     .X(_02696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06568_ (.A(_02510_),
+ sky130_fd_sc_hd__a21o_4 _07869_ (.A1(_02687_),
+    .A2(_02689_),
+    .B1(_02696_),
     .X(_02697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06569_ (.A(_02411_),
-    .B(\u_m1_cmd_fifo.mem[3][27] ),
-    .X(_02698_),
+ sky130_fd_sc_hd__inv_2 _07870_ (.A(_02697_),
+    .Y(_02698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06570_ (.A1(_01994_),
-    .A2(\u_m1_cmd_fifo.mem[2][27] ),
-    .B1(_02015_),
-    .C1(_02698_),
+ sky130_fd_sc_hd__and3_4 _07871_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
+    .B(\u_spim_regs.spi_delay_cnt[0] ),
+    .C(\u_spim_regs.spi_delay_cnt[2] ),
     .X(_02699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06571_ (.A(_02379_),
-    .B(\u_m1_cmd_fifo.mem[0][27] ),
-    .X(_02700_),
+ sky130_fd_sc_hd__nand2_4 _07872_ (.A(_02698_),
+    .B(_02699_),
+    .Y(_02700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06572_ (.A1(_02450_),
-    .A2(\u_m1_cmd_fifo.mem[1][27] ),
-    .B1(_01990_),
-    .C1(_02700_),
+ sky130_fd_sc_hd__or2_4 _07873_ (.A(_02686_),
+    .B(_02700_),
     .X(_02701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06573_ (.A(_02699_),
+ sky130_fd_sc_hd__or2_4 _07874_ (.A(_02685_),
     .B(_02701_),
-    .Y(_02702_),
+    .X(_02702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06574_ (.A1(_02693_),
-    .A2(_02696_),
-    .B1(_02697_),
-    .B2(_02702_),
+ sky130_fd_sc_hd__or2_4 _07875_ (.A(_02684_),
+    .B(_02702_),
     .X(_02703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06575_ (.A(_02336_),
+ sky130_fd_sc_hd__or2_4 _07876_ (.A(_02683_),
     .B(_02703_),
-    .Y(_02704_),
+    .X(_02704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06576_ (.A(_02429_),
-    .B(\u_m1_cmd_fifo.mem[3][3] ),
+ sky130_fd_sc_hd__or2_4 _07877_ (.A(_02682_),
+    .B(_02704_),
     .X(_02705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06577_ (.A1(_02451_),
-    .A2(\u_m1_cmd_fifo.mem[2][3] ),
-    .B1(_02428_),
-    .C1(_02705_),
+ sky130_fd_sc_hd__or2_4 _07878_ (.A(_02681_),
+    .B(_02705_),
     .X(_02706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06578_ (.A(_02524_),
-    .X(_02707_),
+ sky130_fd_sc_hd__inv_2 _07879_ (.A(_02706_),
+    .Y(_02707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06579_ (.A(_02651_),
-    .B(\u_m1_cmd_fifo.mem[0][3] ),
+ sky130_fd_sc_hd__buf_2 _07880_ (.A(_02689_),
     .X(_02708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06580_ (.A1(_02707_),
-    .A2(\u_m1_cmd_fifo.mem[1][3] ),
-    .B1(_02516_),
-    .C1(_02708_),
+ sky130_fd_sc_hd__and2_4 _07881_ (.A(_02708_),
+    .B(_02698_),
     .X(_02709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06581_ (.A(_02491_),
-    .B(\u_m0_cmd_fifo.mem[1][3] ),
+ sky130_fd_sc_hd__and2_4 _07882_ (.A(_02690_),
+    .B(_02706_),
     .X(_02710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06582_ (.A1(_02397_),
-    .A2(\u_m0_cmd_fifo.mem[0][3] ),
-    .B1(_02510_),
+ sky130_fd_sc_hd__a211o_4 _07883_ (.A1(\u_spim_regs.spi_delay_cnt[9] ),
+    .A2(_02707_),
+    .B1(_02709_),
+    .C1(_02710_),
     .X(_02711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06583_ (.A1(_02706_),
-    .A2(_02709_),
-    .A3(_02032_),
-    .B1(_02710_),
-    .B2(_02711_),
-    .X(_02712_),
+ sky130_fd_sc_hd__inv_2 _07884_ (.A(_02711_),
+    .Y(_01201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06584_ (.A(_02319_),
-    .B(_02712_),
+ sky130_fd_sc_hd__inv_2 _07885_ (.A(_02705_),
+    .Y(_02712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07886_ (.A1(_02681_),
+    .A2(_02682_),
+    .B1(_02688_),
     .X(_02713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06585_ (.A(\u_m0_cmd_fifo.mem[0][11] ),
-    .Y(_02714_),
+ sky130_fd_sc_hd__and2_4 _07887_ (.A(\u_spim_regs.spi_delay_cnt[6] ),
+    .B(\u_spim_regs.spi_delay_cnt[5] ),
+    .X(_02714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06586_ (.A(\u_m0_cmd_fifo.mem[1][11] ),
-    .Y(_02715_),
+ sky130_fd_sc_hd__and2_4 _07888_ (.A(\u_spim_regs.spi_delay_cnt[4] ),
+    .B(\u_spim_regs.spi_delay_cnt[3] ),
+    .X(_02715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06587_ (.A1(_02505_),
-    .A2(_02714_),
-    .B1(_02507_),
-    .B2(_02715_),
+ sky130_fd_sc_hd__o21a_4 _07889_ (.A1(_02689_),
+    .A2(_02699_),
+    .B1(_02698_),
     .X(_02716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06588_ (.A(_02512_),
+ sky130_fd_sc_hd__o21a_4 _07890_ (.A1(_02708_),
+    .A2(_02715_),
+    .B1(_02716_),
     .X(_02717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06589_ (.A(_02445_),
-    .X(_02718_),
+ sky130_fd_sc_hd__o21ai_4 _07891_ (.A1(_02708_),
+    .A2(_02714_),
+    .B1(_02717_),
+    .Y(_02718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06590_ (.A(_02718_),
-    .B(\u_m1_cmd_fifo.mem[0][11] ),
+ sky130_fd_sc_hd__o22a_4 _07892_ (.A1(\u_spim_regs.spi_delay_cnt[8] ),
+    .A2(_02712_),
+    .B1(_02713_),
+    .B2(_02718_),
+    .X(_01200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07893_ (.A1(_02682_),
+    .A2(_02704_),
+    .B1(_02712_),
+    .C1(_02709_),
     .X(_02719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06591_ (.A(_02517_),
-    .B(\u_m1_cmd_fifo.mem[1][11] ),
-    .X(_02720_),
+ sky130_fd_sc_hd__inv_2 _07894_ (.A(_02719_),
+    .Y(_01199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06592_ (.A(_01993_),
-    .B(\u_m1_cmd_fifo.mem[3][11] ),
+ sky130_fd_sc_hd__inv_2 _07895_ (.A(_02703_),
+    .Y(_02720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07896_ (.A1(\u_spim_regs.spi_delay_cnt[6] ),
+    .A2(_02720_),
+    .B1(_02718_),
+    .X(_01198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07897_ (.A1(_02684_),
+    .A2(_02702_),
+    .B1(_02720_),
+    .C1(_02709_),
     .X(_02721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06593_ (.A(_02437_),
-    .X(_02722_),
+ sky130_fd_sc_hd__inv_2 _07898_ (.A(_02721_),
+    .Y(_01197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06594_ (.A1(_02645_),
-    .A2(\u_m1_cmd_fifo.mem[2][11] ),
+ sky130_fd_sc_hd__a21oi_4 _07899_ (.A1(_02685_),
+    .A2(_02701_),
+    .B1(_02717_),
+    .Y(_01196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07900_ (.A(_02701_),
+    .Y(_02722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07901_ (.A1(_02686_),
+    .A2(_02700_),
     .B1(_02722_),
+    .C1(_02709_),
     .X(_02723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06595_ (.A1(_02717_),
-    .A2(_02719_),
-    .A3(_02720_),
-    .B1(_02721_),
-    .B2(_02723_),
+ sky130_fd_sc_hd__inv_2 _07902_ (.A(_02723_),
+    .Y(_01195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07903_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
     .X(_02724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06596_ (.A1_N(_02504_),
-    .A2_N(_02716_),
-    .B1(_02504_),
-    .B2(_02724_),
+ sky130_fd_sc_hd__buf_2 _07904_ (.A(\u_spim_regs.spi_delay_cnt[0] ),
     .X(_02725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06597_ (.A(_02337_),
+ sky130_fd_sc_hd__and3_4 _07905_ (.A(_02724_),
+    .B(_02725_),
+    .C(_02698_),
     .X(_02726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06598_ (.A1(_02328_),
-    .A2(_02725_),
-    .B1(_02726_),
-    .X(_02727_),
+ sky130_fd_sc_hd__inv_2 _07906_ (.A(_02716_),
+    .Y(_02727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06599_ (.A1(_02680_),
-    .A2(_02692_),
-    .A3(_02704_),
-    .B1(_02713_),
-    .B2(_02727_),
+ sky130_fd_sc_hd__o21a_4 _07907_ (.A1(\u_spim_regs.spi_delay_cnt[2] ),
+    .A2(_02726_),
+    .B1(_02727_),
+    .X(_01194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07908_ (.A(_02724_),
+    .B(\u_spim_regs.spi_delay_cnt[0] ),
     .X(_02728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06600_ (.A(_02550_),
-    .X(_02729_),
+ sky130_fd_sc_hd__nand2_4 _07909_ (.A(_02724_),
+    .B(_02725_),
+    .Y(_02729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06601_ (.A(\u_spictrl.spi_mode_cmd[3] ),
-    .B(_02729_),
-    .X(_02730_),
+ sky130_fd_sc_hd__nor2_4 _07910_ (.A(_02708_),
+    .B(_02696_),
+    .Y(_02730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06602_ (.A(psn_net_33),
-    .X(_02731_),
+ sky130_fd_sc_hd__a32o_4 _07911_ (.A1(_02728_),
+    .A2(_02729_),
+    .A3(_02730_),
+    .B1(_02724_),
+    .B2(_02697_),
+    .X(_01193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06603_ (.A(_02731_),
-    .B(_02712_),
+ sky130_fd_sc_hd__inv_2 _07912_ (.A(_02725_),
+    .Y(_02731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07913_ (.A1(_02731_),
+    .A2(_02697_),
+    .B1(_02725_),
+    .B2(_02730_),
+    .X(_01192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07914_ (.A(_01362_),
+    .B(_01282_),
+    .C(\u_spim_regs.spim_reg_ack ),
     .X(_02732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06604_ (.A1(_02330_),
-    .A2(_02728_),
-    .B1(_02730_),
-    .C1(_02732_),
+ sky130_fd_sc_hd__and3_4 _07915_ (.A(_01362_),
+    .B(_01363_),
+    .C(_01426_),
     .X(_02733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06605_ (.A(_02733_),
-    .Y(_02734_),
+ sky130_fd_sc_hd__and2_4 _07916_ (.A(_02545_),
+    .B(_02733_),
+    .X(_02734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06606_ (.A(_02251_),
+ sky130_fd_sc_hd__o21a_4 _07917_ (.A1(_02732_),
+    .A2(_02734_),
+    .B1(_02613_),
     .X(_02735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06607_ (.A(_02735_),
+ sky130_fd_sc_hd__buf_2 _07918_ (.A(_02735_),
     .X(_02736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06608_ (.A(_02625_),
+ sky130_fd_sc_hd__buf_2 _07919_ (.A(_02736_),
     .X(_02737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06609_ (.A(_02737_),
-    .X(_02738_),
+ sky130_fd_sc_hd__inv_2 _07920_ (.A(_02735_),
+    .Y(_02738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06610_ (.A(\u_spictrl.u_txreg.data_int[23] ),
-    .Y(_02739_),
+ sky130_fd_sc_hd__buf_2 _07921_ (.A(_02738_),
+    .X(_02739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06611_ (.A1_N(_02738_),
-    .A2_N(\u_spictrl.u_txreg.data_int[26] ),
-    .B1(_02738_),
-    .B2(_02739_),
+ sky130_fd_sc_hd__buf_2 _07922_ (.A(_02739_),
     .X(_02740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06612_ (.A1(_02679_),
-    .A2(_02734_),
-    .B1(_02736_),
-    .B2(_02740_),
+ sky130_fd_sc_hd__buf_2 _07923_ (.A(_01417_),
     .X(_02741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06613_ (.A(\u_spictrl.u_txreg.data_int[27] ),
-    .Y(_02742_),
+ sky130_fd_sc_hd__buf_2 _07924_ (.A(_02741_),
+    .X(_02742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06614_ (.A1(_00988_),
-    .A2(_02479_),
-    .B1(\u_spictrl.spi_fall ),
+ sky130_fd_sc_hd__buf_2 _07925_ (.A(_01414_),
     .X(_02743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06615_ (.A(_02743_),
+ sky130_fd_sc_hd__buf_2 _07926_ (.A(_02743_),
     .X(_02744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06616_ (.A(_02744_),
+ sky130_fd_sc_hd__buf_2 _07927_ (.A(_02744_),
     .X(_02745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06617_ (.A1(_02678_),
-    .A2(_02741_),
-    .B1(_02742_),
-    .B2(_02745_),
+ sky130_fd_sc_hd__buf_2 _07928_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
     .X(_02746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06618_ (.A(psn_net_42),
-    .B(_02746_),
-    .Y(_00658_),
+ sky130_fd_sc_hd__buf_2 _07929_ (.A(_02746_),
+    .X(_02747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06619_ (.A(\u_m0_cmd_fifo.mem[0][18] ),
-    .Y(_02747_),
+ sky130_fd_sc_hd__buf_2 _07930_ (.A(_02747_),
+    .X(_02748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06620_ (.A(\u_m0_cmd_fifo.mem[1][18] ),
-    .Y(_02748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06621_ (.A1(_02681_),
-    .A2(_02747_),
-    .B1(_02537_),
-    .B2(_02748_),
+ sky130_fd_sc_hd__buf_2 _07931_ (.A(_01420_),
     .X(_02749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06622_ (.A(_02031_),
+ sky130_fd_sc_hd__buf_2 _07932_ (.A(_02749_),
     .X(_02750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06623_ (.A(_02524_),
-    .B(\u_m1_cmd_fifo.mem[0][18] ),
+ sky130_fd_sc_hd__buf_2 _07933_ (.A(_02750_),
     .X(_02751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06624_ (.A(_02415_),
-    .B(\u_m1_cmd_fifo.mem[1][18] ),
+ sky130_fd_sc_hd__and2_4 _07934_ (.A(_02751_),
+    .B(\u_m0_res_fifo.mem[0][31] ),
     .X(_02752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06625_ (.A(_02572_),
-    .B(\u_m1_cmd_fifo.mem[3][18] ),
+ sky130_fd_sc_hd__a211o_4 _07935_ (.A1(_02745_),
+    .A2(\u_m0_res_fifo.mem[1][31] ),
+    .B1(_02748_),
+    .C1(_02752_),
     .X(_02753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06626_ (.A1(_02574_),
-    .A2(\u_m1_cmd_fifo.mem[2][18] ),
-    .B1(_01989_),
+ sky130_fd_sc_hd__buf_2 _07936_ (.A(_02743_),
     .X(_02754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06627_ (.A1(_02596_),
-    .A2(_02751_),
-    .A3(_02752_),
-    .B1(_02753_),
-    .B2(_02754_),
+ sky130_fd_sc_hd__buf_2 _07937_ (.A(_02754_),
     .X(_02755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06628_ (.A1_N(_02540_),
-    .A2_N(_02749_),
-    .B1(_02750_),
-    .B2(_02755_),
+ sky130_fd_sc_hd__buf_2 _07938_ (.A(_01419_),
     .X(_02756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06629_ (.A(_02595_),
-    .B(_02756_),
+ sky130_fd_sc_hd__buf_2 _07939_ (.A(_02756_),
     .X(_02757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06630_ (.A(\u_m0_cmd_fifo.mem[0][26] ),
-    .Y(_02758_),
+ sky130_fd_sc_hd__buf_2 _07940_ (.A(_02757_),
+    .X(_02758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06631_ (.A(\u_m0_cmd_fifo.mem[1][26] ),
-    .Y(_02759_),
+ sky130_fd_sc_hd__buf_2 _07941_ (.A(_02749_),
+    .X(_02759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06632_ (.A1(_02455_),
-    .A2(_02758_),
-    .B1(_02581_),
-    .B2(_02759_),
+ sky130_fd_sc_hd__buf_2 _07942_ (.A(_02759_),
     .X(_02760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06633_ (.A(_02585_),
-    .B(\u_m1_cmd_fifo.mem[3][26] ),
+ sky130_fd_sc_hd__and2_4 _07943_ (.A(_02760_),
+    .B(\u_m0_res_fifo.mem[2][31] ),
     .X(_02761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06634_ (.A1(_02584_),
-    .A2(\u_m1_cmd_fifo.mem[2][26] ),
-    .B1(_02015_),
+ sky130_fd_sc_hd__a211o_4 _07944_ (.A1(_02755_),
+    .A2(\u_m0_res_fifo.mem[3][31] ),
+    .B1(_02758_),
     .C1(_02761_),
     .X(_02762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06635_ (.A(_02589_),
-    .B(\u_m1_cmd_fifo.mem[0][26] ),
+ sky130_fd_sc_hd__and3_4 _07945_ (.A(_02742_),
+    .B(_02753_),
+    .C(_02762_),
     .X(_02763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06636_ (.A1(_02450_),
-    .A2(\u_m1_cmd_fifo.mem[1][26] ),
-    .B1(_02588_),
-    .C1(_02763_),
+ sky130_fd_sc_hd__buf_2 _07946_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
     .X(_02764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06637_ (.A(_02762_),
-    .B(_02764_),
-    .Y(_02765_),
+ sky130_fd_sc_hd__buf_2 _07947_ (.A(_02764_),
+    .X(_02765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06638_ (.A1(_02693_),
-    .A2(_02760_),
-    .B1(_02697_),
-    .B2(_02765_),
+ sky130_fd_sc_hd__buf_2 _07948_ (.A(_01414_),
     .X(_02766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06639_ (.A(_02336_),
-    .B(_02766_),
-    .Y(_02767_),
+ sky130_fd_sc_hd__buf_2 _07949_ (.A(_02766_),
+    .X(_02767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06640_ (.A(_02446_),
-    .B(\u_m1_cmd_fifo.mem[3][2] ),
+ sky130_fd_sc_hd__buf_2 _07950_ (.A(_02767_),
     .X(_02768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06641_ (.A1(_02451_),
-    .A2(\u_m1_cmd_fifo.mem[2][2] ),
-    .B1(_02685_),
-    .C1(_02768_),
+ sky130_fd_sc_hd__buf_2 _07951_ (.A(_02746_),
     .X(_02769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06642_ (.A(_02651_),
-    .B(\u_m1_cmd_fifo.mem[0][2] ),
+ sky130_fd_sc_hd__buf_2 _07952_ (.A(_02769_),
     .X(_02770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06643_ (.A1(_02707_),
-    .A2(\u_m1_cmd_fifo.mem[1][2] ),
-    .B1(_02516_),
-    .C1(_02770_),
+ sky130_fd_sc_hd__buf_2 _07953_ (.A(_01420_),
     .X(_02771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06644_ (.A(_02601_),
-    .B(\u_m0_cmd_fifo.mem[1][2] ),
+ sky130_fd_sc_hd__buf_2 _07954_ (.A(_02771_),
     .X(_02772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06645_ (.A1(_02603_),
-    .A2(\u_m0_cmd_fifo.mem[0][2] ),
-    .B1(_02510_),
+ sky130_fd_sc_hd__buf_2 _07955_ (.A(_02772_),
     .X(_02773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06646_ (.A1(_02769_),
-    .A2(_02771_),
-    .A3(_02032_),
-    .B1(_02772_),
-    .B2(_02773_),
+ sky130_fd_sc_hd__and2_4 _07956_ (.A(_02773_),
+    .B(\u_m0_res_fifo.mem[4][31] ),
     .X(_02774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06647_ (.A(_02319_),
-    .B(_02774_),
+ sky130_fd_sc_hd__a211o_4 _07957_ (.A1(_02768_),
+    .A2(\u_m0_res_fifo.mem[5][31] ),
+    .B1(_02770_),
+    .C1(_02774_),
     .X(_02775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06648_ (.A(_02422_),
+ sky130_fd_sc_hd__buf_2 _07958_ (.A(_02743_),
     .X(_02776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06649_ (.A(\u_m0_cmd_fifo.mem[0][10] ),
-    .Y(_02777_),
+ sky130_fd_sc_hd__buf_2 _07959_ (.A(_02776_),
+    .X(_02777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06650_ (.A(\u_m0_cmd_fifo.mem[1][10] ),
-    .Y(_02778_),
+ sky130_fd_sc_hd__buf_2 _07960_ (.A(_02757_),
+    .X(_02778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06651_ (.A1(_02424_),
-    .A2(_02777_),
-    .B1(_02507_),
-    .B2(_02778_),
+ sky130_fd_sc_hd__buf_2 _07961_ (.A(_02772_),
     .X(_02779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06652_ (.A(_02718_),
-    .B(\u_m1_cmd_fifo.mem[0][10] ),
+ sky130_fd_sc_hd__and2_4 _07962_ (.A(_02779_),
+    .B(\u_m0_res_fifo.mem[6][31] ),
     .X(_02780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06653_ (.A(_02517_),
-    .B(\u_m1_cmd_fifo.mem[1][10] ),
+ sky130_fd_sc_hd__a211o_4 _07963_ (.A1(_02777_),
+    .A2(\u_m0_res_fifo.mem[7][31] ),
+    .B1(_02778_),
+    .C1(_02780_),
     .X(_02781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06654_ (.A(_01993_),
-    .B(\u_m1_cmd_fifo.mem[3][10] ),
+ sky130_fd_sc_hd__and3_4 _07964_ (.A(_02765_),
+    .B(_02775_),
+    .C(_02781_),
     .X(_02782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06655_ (.A1(_02645_),
-    .A2(\u_m1_cmd_fifo.mem[2][10] ),
-    .B1(_02722_),
-    .X(_02783_),
+ sky130_fd_sc_hd__inv_2 _07965_ (.A(_02734_),
+    .Y(_02783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06656_ (.A1(_02717_),
-    .A2(_02780_),
-    .A3(_02781_),
-    .B1(_02782_),
-    .B2(_02783_),
+ sky130_fd_sc_hd__buf_2 _07966_ (.A(_02783_),
     .X(_02784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06657_ (.A1_N(_02776_),
-    .A2_N(_02779_),
-    .B1(_02776_),
-    .B2(_02784_),
+ sky130_fd_sc_hd__buf_2 _07967_ (.A(_02784_),
     .X(_02785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06658_ (.A1(_02328_),
-    .A2(_02785_),
-    .B1(_02726_),
+ sky130_fd_sc_hd__buf_2 _07968_ (.A(_02734_),
     .X(_02786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06659_ (.A1(_02680_),
-    .A2(_02757_),
-    .A3(_02767_),
-    .B1(_02775_),
-    .B2(_02786_),
+ sky130_fd_sc_hd__buf_2 _07969_ (.A(_02786_),
     .X(_02787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06660_ (.A(\u_spictrl.spi_mode_cmd[2] ),
-    .B(_02729_),
+ sky130_fd_sc_hd__o32a_4 _07970_ (.A1(_02763_),
+    .A2(_02782_),
+    .A3(_02785_),
+    .B1(\u_spim_regs.spim_reg_rdata[31] ),
+    .B2(_02787_),
     .X(_02788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06661_ (.A(_02731_),
-    .B(_02774_),
+ sky130_fd_sc_hd__o22a_4 _07971_ (.A1(wbd_dat_o[31]),
+    .A2(_02737_),
+    .B1(_02740_),
+    .B2(_02788_),
+    .X(_01191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07972_ (.A(_02751_),
+    .B(\u_m0_res_fifo.mem[0][30] ),
     .X(_02789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06662_ (.A1(_02566_),
-    .A2(_02787_),
-    .B1(_02788_),
+ sky130_fd_sc_hd__a211o_4 _07973_ (.A1(_02745_),
+    .A2(\u_m0_res_fifo.mem[1][30] ),
+    .B1(_02748_),
     .C1(_02789_),
     .X(_02790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06663_ (.A(_02790_),
-    .Y(_02791_),
+ sky130_fd_sc_hd__and2_4 _07974_ (.A(_02760_),
+    .B(\u_m0_res_fifo.mem[2][30] ),
+    .X(_02791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06664_ (.A(\u_spictrl.u_txreg.data_int[22] ),
-    .Y(_02792_),
+ sky130_fd_sc_hd__a211o_4 _07975_ (.A1(_02755_),
+    .A2(\u_m0_res_fifo.mem[3][30] ),
+    .B1(_02758_),
+    .C1(_02791_),
+    .X(_02792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06665_ (.A1_N(_02738_),
-    .A2_N(\u_spictrl.u_txreg.data_int[25] ),
-    .B1(_02738_),
-    .B2(_02792_),
+ sky130_fd_sc_hd__and3_4 _07976_ (.A(_02742_),
+    .B(_02790_),
+    .C(_02792_),
     .X(_02793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06666_ (.A1(_02679_),
-    .A2(_02791_),
-    .B1(_02736_),
-    .B2(_02793_),
+ sky130_fd_sc_hd__and2_4 _07977_ (.A(_02773_),
+    .B(\u_m0_res_fifo.mem[4][30] ),
     .X(_02794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06667_ (.A1(_02678_),
-    .A2(_02794_),
-    .B1(_02483_),
-    .B2(_02745_),
+ sky130_fd_sc_hd__a211o_4 _07978_ (.A1(_02768_),
+    .A2(\u_m0_res_fifo.mem[5][30] ),
+    .B1(_02770_),
+    .C1(_02794_),
     .X(_02795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06668_ (.A(psn_net_43),
+ sky130_fd_sc_hd__and2_4 _07979_ (.A(_02779_),
+    .B(\u_m0_res_fifo.mem[6][30] ),
+    .X(_02796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07980_ (.A1(_02777_),
+    .A2(\u_m0_res_fifo.mem[7][30] ),
+    .B1(_02778_),
+    .C1(_02796_),
+    .X(_02797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07981_ (.A(_02765_),
     .B(_02795_),
-    .Y(_00657_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06669_ (.A(\u_m0_cmd_fifo.mem[0][17] ),
-    .Y(_02796_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06670_ (.A(\u_m0_cmd_fifo.mem[1][17] ),
-    .Y(_02797_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06671_ (.A1(_02681_),
-    .A2(_02796_),
-    .B1(_02537_),
-    .B2(_02797_),
+    .C(_02797_),
     .X(_02798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06672_ (.A(_02494_),
-    .B(\u_m1_cmd_fifo.mem[0][17] ),
+ sky130_fd_sc_hd__o32a_4 _07982_ (.A1(_02793_),
+    .A2(_02798_),
+    .A3(_02785_),
+    .B1(\u_spim_regs.spim_reg_rdata[30] ),
+    .B2(_02787_),
     .X(_02799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06673_ (.A(_02403_),
-    .B(\u_m1_cmd_fifo.mem[1][17] ),
+ sky130_fd_sc_hd__o22a_4 _07983_ (.A1(wbd_dat_o[30]),
+    .A2(_02737_),
+    .B1(_02740_),
+    .B2(_02799_),
+    .X(_01190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07984_ (.A(_02741_),
     .X(_02800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06674_ (.A(_02572_),
-    .B(\u_m1_cmd_fifo.mem[3][17] ),
+ sky130_fd_sc_hd__buf_2 _07985_ (.A(_02800_),
     .X(_02801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06675_ (.A1(_02574_),
-    .A2(\u_m1_cmd_fifo.mem[2][17] ),
-    .B1(_02575_),
+ sky130_fd_sc_hd__and2_4 _07986_ (.A(_02751_),
+    .B(\u_m0_res_fifo.mem[0][29] ),
     .X(_02802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06676_ (.A1(_02596_),
-    .A2(_02799_),
-    .A3(_02800_),
-    .B1(_02801_),
-    .B2(_02802_),
+ sky130_fd_sc_hd__a211o_4 _07987_ (.A1(_02745_),
+    .A2(\u_m0_res_fifo.mem[1][29] ),
+    .B1(_02748_),
+    .C1(_02802_),
     .X(_02803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06677_ (.A1_N(_02750_),
-    .A2_N(_02798_),
-    .B1(_02750_),
-    .B2(_02803_),
+ sky130_fd_sc_hd__buf_2 _07988_ (.A(_02757_),
     .X(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06678_ (.A(_02523_),
-    .B(_02804_),
+ sky130_fd_sc_hd__and2_4 _07989_ (.A(_02760_),
+    .B(\u_m0_res_fifo.mem[2][29] ),
     .X(_02805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06679_ (.A(\u_m0_cmd_fifo.mem[0][25] ),
-    .Y(_02806_),
+ sky130_fd_sc_hd__a211o_4 _07990_ (.A1(_02755_),
+    .A2(\u_m0_res_fifo.mem[3][29] ),
+    .B1(_02804_),
+    .C1(_02805_),
+    .X(_02806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06680_ (.A(\u_m0_cmd_fifo.mem[1][25] ),
-    .Y(_02807_),
+ sky130_fd_sc_hd__and3_4 _07991_ (.A(_02801_),
+    .B(_02803_),
+    .C(_02806_),
+    .X(_02807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06681_ (.A1(_02455_),
-    .A2(_02806_),
-    .B1(_02581_),
-    .B2(_02807_),
+ sky130_fd_sc_hd__and2_4 _07992_ (.A(_02773_),
+    .B(\u_m0_res_fifo.mem[4][29] ),
     .X(_02808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06682_ (.A(_02585_),
-    .B(\u_m1_cmd_fifo.mem[3][25] ),
+ sky130_fd_sc_hd__a211o_4 _07993_ (.A1(_02768_),
+    .A2(\u_m0_res_fifo.mem[5][29] ),
+    .B1(_02770_),
+    .C1(_02808_),
     .X(_02809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06683_ (.A1(_02584_),
-    .A2(\u_m1_cmd_fifo.mem[2][25] ),
-    .B1(_02513_),
-    .C1(_02809_),
+ sky130_fd_sc_hd__buf_2 _07994_ (.A(_01419_),
     .X(_02810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06684_ (.A(_02589_),
-    .B(\u_m1_cmd_fifo.mem[0][25] ),
+ sky130_fd_sc_hd__buf_2 _07995_ (.A(_02810_),
     .X(_02811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06685_ (.A1(_02450_),
-    .A2(\u_m1_cmd_fifo.mem[1][25] ),
-    .B1(_02588_),
-    .C1(_02811_),
+ sky130_fd_sc_hd__and2_4 _07996_ (.A(_02779_),
+    .B(\u_m0_res_fifo.mem[6][29] ),
     .X(_02812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06686_ (.A(_02810_),
-    .B(_02812_),
-    .Y(_02813_),
+ sky130_fd_sc_hd__a211o_4 _07997_ (.A1(_02777_),
+    .A2(\u_m0_res_fifo.mem[7][29] ),
+    .B1(_02811_),
+    .C1(_02812_),
+    .X(_02813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06687_ (.A1(_02693_),
-    .A2(_02808_),
-    .B1(_02511_),
-    .B2(_02813_),
+ sky130_fd_sc_hd__and3_4 _07998_ (.A(_02765_),
+    .B(_02809_),
+    .C(_02813_),
     .X(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06688_ (.A(_02503_),
-    .B(_02814_),
-    .Y(_02815_),
+ sky130_fd_sc_hd__o32a_4 _07999_ (.A1(_02807_),
+    .A2(_02814_),
+    .A3(_02785_),
+    .B1(\u_spim_regs.spim_reg_rdata[29] ),
+    .B2(_02787_),
+    .X(_02815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06689_ (.A(_02446_),
-    .B(\u_m1_cmd_fifo.mem[3][1] ),
+ sky130_fd_sc_hd__o22a_4 _08000_ (.A1(wbd_dat_o[29]),
+    .A2(_02737_),
+    .B1(_02740_),
+    .B2(_02815_),
+    .X(_01189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08001_ (.A(_02744_),
     .X(_02816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06690_ (.A1(_02404_),
-    .A2(\u_m1_cmd_fifo.mem[2][1] ),
-    .B1(_02685_),
-    .C1(_02816_),
+ sky130_fd_sc_hd__buf_2 _08002_ (.A(_02750_),
     .X(_02817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06691_ (.A(_02651_),
-    .B(\u_m1_cmd_fifo.mem[0][1] ),
+ sky130_fd_sc_hd__and2_4 _08003_ (.A(_02817_),
+    .B(\u_m0_res_fifo.mem[0][28] ),
     .X(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06692_ (.A1(_02707_),
-    .A2(\u_m1_cmd_fifo.mem[1][1] ),
-    .B1(_02516_),
+ sky130_fd_sc_hd__a211o_4 _08004_ (.A1(_02816_),
+    .A2(\u_m0_res_fifo.mem[1][28] ),
+    .B1(_02748_),
     .C1(_02818_),
     .X(_02819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06693_ (.A(_02601_),
-    .B(\u_m0_cmd_fifo.mem[1][1] ),
+ sky130_fd_sc_hd__buf_2 _08005_ (.A(_02754_),
     .X(_02820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06694_ (.A1(_02603_),
-    .A2(\u_m0_cmd_fifo.mem[0][1] ),
-    .B1(_02531_),
+ sky130_fd_sc_hd__buf_2 _08006_ (.A(_02759_),
     .X(_02821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06695_ (.A1(_02817_),
-    .A2(_02819_),
-    .A3(_02529_),
-    .B1(_02820_),
-    .B2(_02821_),
+ sky130_fd_sc_hd__and2_4 _08007_ (.A(_02821_),
+    .B(\u_m0_res_fifo.mem[2][28] ),
     .X(_02822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06696_ (.A(_02319_),
-    .B(_02822_),
+ sky130_fd_sc_hd__a211o_4 _08008_ (.A1(_02820_),
+    .A2(\u_m0_res_fifo.mem[3][28] ),
+    .B1(_02804_),
+    .C1(_02822_),
     .X(_02823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06697_ (.A(\u_m0_cmd_fifo.mem[0][9] ),
-    .Y(_02824_),
+ sky130_fd_sc_hd__and3_4 _08009_ (.A(_02801_),
+    .B(_02819_),
+    .C(_02823_),
+    .X(_02824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06698_ (.A(\u_m0_cmd_fifo.mem[1][9] ),
-    .Y(_02825_),
+ sky130_fd_sc_hd__buf_2 _08010_ (.A(_02767_),
+    .X(_02825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06699_ (.A1(_02424_),
-    .A2(_02824_),
-    .B1(_02507_),
-    .B2(_02825_),
+ sky130_fd_sc_hd__buf_2 _08011_ (.A(_02772_),
     .X(_02826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06700_ (.A(_02718_),
-    .B(\u_m1_cmd_fifo.mem[0][9] ),
+ sky130_fd_sc_hd__and2_4 _08012_ (.A(_02826_),
+    .B(\u_m0_res_fifo.mem[4][28] ),
     .X(_02827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06701_ (.A(_02517_),
-    .B(\u_m1_cmd_fifo.mem[1][9] ),
+ sky130_fd_sc_hd__a211o_4 _08013_ (.A1(_02825_),
+    .A2(\u_m0_res_fifo.mem[5][28] ),
+    .B1(_02770_),
+    .C1(_02827_),
     .X(_02828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06702_ (.A(_02434_),
-    .B(\u_m1_cmd_fifo.mem[3][9] ),
+ sky130_fd_sc_hd__buf_2 _08014_ (.A(_02776_),
     .X(_02829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06703_ (.A1(_02645_),
-    .A2(\u_m1_cmd_fifo.mem[2][9] ),
-    .B1(_02722_),
+ sky130_fd_sc_hd__buf_2 _08015_ (.A(_02749_),
     .X(_02830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06704_ (.A1(_02717_),
-    .A2(_02827_),
-    .A3(_02828_),
-    .B1(_02829_),
-    .B2(_02830_),
+ sky130_fd_sc_hd__buf_2 _08016_ (.A(_02830_),
     .X(_02831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06705_ (.A1_N(_02776_),
-    .A2_N(_02826_),
-    .B1(_02776_),
-    .B2(_02831_),
+ sky130_fd_sc_hd__and2_4 _08017_ (.A(_02831_),
+    .B(\u_m0_res_fifo.mem[6][28] ),
     .X(_02832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06706_ (.A1(_02368_),
-    .A2(_02832_),
-    .B1(_02726_),
+ sky130_fd_sc_hd__a211o_4 _08018_ (.A1(_02829_),
+    .A2(\u_m0_res_fifo.mem[7][28] ),
+    .B1(_02811_),
+    .C1(_02832_),
     .X(_02833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06707_ (.A1(_02680_),
-    .A2(_02805_),
-    .A3(_02815_),
-    .B1(_02823_),
-    .B2(_02833_),
+ sky130_fd_sc_hd__and3_4 _08019_ (.A(_02765_),
+    .B(_02828_),
+    .C(_02833_),
     .X(_02834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06708_ (.A(\u_spictrl.spi_mode_cmd[1] ),
-    .B(_02729_),
+ sky130_fd_sc_hd__o32a_4 _08020_ (.A1(_02824_),
+    .A2(_02834_),
+    .A3(_02785_),
+    .B1(\u_spim_regs.spim_reg_rdata[28] ),
+    .B2(_02787_),
     .X(_02835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06709_ (.A(_02731_),
-    .B(_02822_),
+ sky130_fd_sc_hd__o22a_4 _08021_ (.A1(wbd_dat_o[28]),
+    .A2(_02737_),
+    .B1(_02740_),
+    .B2(_02835_),
+    .X(_01188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08022_ (.A(_02736_),
     .X(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06710_ (.A1(_02566_),
-    .A2(_02834_),
-    .B1(_02835_),
-    .C1(_02836_),
+ sky130_fd_sc_hd__buf_2 _08023_ (.A(_02739_),
     .X(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06711_ (.A(_02837_),
-    .Y(_02838_),
+ sky130_fd_sc_hd__buf_2 _08024_ (.A(_02747_),
+    .X(_02838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06712_ (.A(_02482_),
+ sky130_fd_sc_hd__and2_4 _08025_ (.A(_02817_),
+    .B(\u_m0_res_fifo.mem[0][27] ),
     .X(_02839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06713_ (.A(_02839_),
+ sky130_fd_sc_hd__a211o_4 _08026_ (.A1(_02816_),
+    .A2(\u_m0_res_fifo.mem[1][27] ),
+    .B1(_02838_),
+    .C1(_02839_),
     .X(_02840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06714_ (.A(\u_spictrl.u_txreg.data_int[21] ),
-    .Y(_02841_),
+ sky130_fd_sc_hd__and2_4 _08027_ (.A(_02821_),
+    .B(\u_m0_res_fifo.mem[2][27] ),
+    .X(_02841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06715_ (.A1_N(_02840_),
-    .A2_N(\u_spictrl.u_txreg.data_int[24] ),
-    .B1(_02840_),
-    .B2(_02841_),
+ sky130_fd_sc_hd__a211o_4 _08028_ (.A1(_02820_),
+    .A2(\u_m0_res_fifo.mem[3][27] ),
+    .B1(_02804_),
+    .C1(_02841_),
     .X(_02842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06716_ (.A1(_02679_),
-    .A2(_02838_),
-    .B1(_02736_),
-    .B2(_02842_),
+ sky130_fd_sc_hd__and3_4 _08029_ (.A(_02801_),
+    .B(_02840_),
+    .C(_02842_),
     .X(_02843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06717_ (.A1(_02678_),
-    .A2(_02843_),
-    .B1(_02563_),
-    .B2(_02745_),
+ sky130_fd_sc_hd__buf_2 _08030_ (.A(_02764_),
     .X(_02844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06718_ (.A(psn_net_41),
-    .B(_02844_),
-    .Y(_00656_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06719_ (.A(psn_net_44),
+ sky130_fd_sc_hd__buf_2 _08031_ (.A(_02769_),
     .X(_02845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06720_ (.A(_02845_),
+ sky130_fd_sc_hd__and2_4 _08032_ (.A(_02826_),
+    .B(\u_m0_res_fifo.mem[4][27] ),
     .X(_02846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06721_ (.A(\u_m0_cmd_fifo.mem[0][16] ),
-    .Y(_02847_),
+ sky130_fd_sc_hd__a211o_4 _08033_ (.A1(_02825_),
+    .A2(\u_m0_res_fifo.mem[5][27] ),
+    .B1(_02845_),
+    .C1(_02846_),
+    .X(_02847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06722_ (.A(\u_m0_cmd_fifo.mem[1][16] ),
-    .Y(_02848_),
+ sky130_fd_sc_hd__and2_4 _08034_ (.A(_02831_),
+    .B(\u_m0_res_fifo.mem[6][27] ),
+    .X(_02848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06723_ (.A1(_02681_),
-    .A2(_02847_),
-    .B1(_02491_),
-    .B2(_02848_),
+ sky130_fd_sc_hd__a211o_4 _08035_ (.A1(_02829_),
+    .A2(\u_m0_res_fifo.mem[7][27] ),
+    .B1(_02811_),
+    .C1(_02848_),
     .X(_02849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06724_ (.A(_02494_),
-    .B(\u_m1_cmd_fifo.mem[0][16] ),
+ sky130_fd_sc_hd__and3_4 _08036_ (.A(_02844_),
+    .B(_02847_),
+    .C(_02849_),
     .X(_02850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06725_ (.A(_02403_),
-    .B(\u_m1_cmd_fifo.mem[1][16] ),
+ sky130_fd_sc_hd__buf_2 _08037_ (.A(_02784_),
     .X(_02851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06726_ (.A(_02572_),
-    .B(\u_m1_cmd_fifo.mem[3][16] ),
+ sky130_fd_sc_hd__buf_2 _08038_ (.A(_02786_),
     .X(_02852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06727_ (.A1(_02574_),
-    .A2(\u_m1_cmd_fifo.mem[2][16] ),
-    .B1(_02575_),
+ sky130_fd_sc_hd__o32a_4 _08039_ (.A1(_02843_),
+    .A2(_02850_),
+    .A3(_02851_),
+    .B1(\u_spim_regs.spim_reg_rdata[27] ),
+    .B2(_02852_),
     .X(_02853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06728_ (.A1(_02596_),
-    .A2(_02850_),
-    .A3(_02851_),
-    .B1(_02852_),
+ sky130_fd_sc_hd__o22a_4 _08040_ (.A1(wbd_dat_o[27]),
+    .A2(_02836_),
+    .B1(_02837_),
     .B2(_02853_),
+    .X(_01187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08041_ (.A(_02817_),
+    .B(\u_m0_res_fifo.mem[0][26] ),
     .X(_02854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06729_ (.A1_N(_02750_),
-    .A2_N(_02849_),
-    .B1(_02488_),
-    .B2(_02854_),
+ sky130_fd_sc_hd__a211o_4 _08042_ (.A1(_02816_),
+    .A2(\u_m0_res_fifo.mem[1][26] ),
+    .B1(_02838_),
+    .C1(_02854_),
     .X(_02855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06730_ (.A(_02523_),
-    .B(_02855_),
+ sky130_fd_sc_hd__and2_4 _08043_ (.A(_02821_),
+    .B(\u_m0_res_fifo.mem[2][26] ),
     .X(_02856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06731_ (.A(\u_m0_cmd_fifo.mem[0][24] ),
-    .Y(_02857_),
+ sky130_fd_sc_hd__a211o_4 _08044_ (.A1(_02820_),
+    .A2(\u_m0_res_fifo.mem[3][26] ),
+    .B1(_02804_),
+    .C1(_02856_),
+    .X(_02857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06732_ (.A(\u_m0_cmd_fifo.mem[1][24] ),
-    .Y(_02858_),
+ sky130_fd_sc_hd__and3_4 _08045_ (.A(_02801_),
+    .B(_02855_),
+    .C(_02857_),
+    .X(_02858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06733_ (.A1(_02505_),
-    .A2(_02857_),
-    .B1(_02581_),
-    .B2(_02858_),
+ sky130_fd_sc_hd__and2_4 _08046_ (.A(_02826_),
+    .B(\u_m0_res_fifo.mem[4][26] ),
     .X(_02859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06734_ (.A(_02585_),
-    .B(\u_m1_cmd_fifo.mem[3][24] ),
+ sky130_fd_sc_hd__a211o_4 _08047_ (.A1(_02825_),
+    .A2(\u_m0_res_fifo.mem[5][26] ),
+    .B1(_02845_),
+    .C1(_02859_),
     .X(_02860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06735_ (.A1(_02584_),
-    .A2(\u_m1_cmd_fifo.mem[2][24] ),
-    .B1(_02513_),
-    .C1(_02860_),
+ sky130_fd_sc_hd__and2_4 _08048_ (.A(_02831_),
+    .B(\u_m0_res_fifo.mem[6][26] ),
     .X(_02861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06736_ (.A(_02589_),
-    .B(\u_m1_cmd_fifo.mem[0][24] ),
+ sky130_fd_sc_hd__a211o_4 _08049_ (.A1(_02829_),
+    .A2(\u_m0_res_fifo.mem[7][26] ),
+    .B1(_02811_),
+    .C1(_02861_),
     .X(_02862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06737_ (.A1(_02447_),
-    .A2(\u_m1_cmd_fifo.mem[1][24] ),
-    .B1(_02588_),
-    .C1(_02862_),
+ sky130_fd_sc_hd__and3_4 _08050_ (.A(_02844_),
+    .B(_02860_),
+    .C(_02862_),
     .X(_02863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06738_ (.A(_02861_),
-    .B(_02863_),
-    .Y(_02864_),
+ sky130_fd_sc_hd__o32a_4 _08051_ (.A1(_02858_),
+    .A2(_02863_),
+    .A3(_02851_),
+    .B1(\u_spim_regs.spim_reg_rdata[26] ),
+    .B2(_02852_),
+    .X(_02864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06739_ (.A1(_02693_),
-    .A2(_02859_),
-    .B1(_02511_),
+ sky130_fd_sc_hd__o22a_4 _08052_ (.A1(wbd_dat_o[26]),
+    .A2(_02836_),
+    .B1(_02837_),
     .B2(_02864_),
+    .X(_01186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08053_ (.A(_02800_),
     .X(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06740_ (.A(_02503_),
-    .B(_02865_),
-    .Y(_02866_),
+ sky130_fd_sc_hd__and2_4 _08054_ (.A(_02817_),
+    .B(\u_m0_res_fifo.mem[0][25] ),
+    .X(_02866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06741_ (.A(_02446_),
-    .B(\u_m1_cmd_fifo.mem[3][0] ),
+ sky130_fd_sc_hd__a211o_4 _08055_ (.A1(_02816_),
+    .A2(\u_m0_res_fifo.mem[1][25] ),
+    .B1(_02838_),
+    .C1(_02866_),
     .X(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06742_ (.A1(_02404_),
-    .A2(\u_m1_cmd_fifo.mem[2][0] ),
-    .B1(_02685_),
-    .C1(_02867_),
+ sky130_fd_sc_hd__buf_2 _08056_ (.A(_01419_),
     .X(_02868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06743_ (.A(_02542_),
-    .B(\u_m1_cmd_fifo.mem[0][0] ),
+ sky130_fd_sc_hd__buf_2 _08057_ (.A(_02868_),
     .X(_02869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06744_ (.A1(_02707_),
-    .A2(\u_m1_cmd_fifo.mem[1][0] ),
-    .B1(_02413_),
-    .C1(_02869_),
+ sky130_fd_sc_hd__and2_4 _08058_ (.A(_02821_),
+    .B(\u_m0_res_fifo.mem[2][25] ),
     .X(_02870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06745_ (.A(_02601_),
-    .B(\u_m0_cmd_fifo.mem[1][0] ),
+ sky130_fd_sc_hd__a211o_4 _08059_ (.A1(_02820_),
+    .A2(\u_m0_res_fifo.mem[3][25] ),
+    .B1(_02869_),
+    .C1(_02870_),
     .X(_02871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06746_ (.A1(_02603_),
-    .A2(\u_m0_cmd_fifo.mem[0][0] ),
-    .B1(_02531_),
+ sky130_fd_sc_hd__and3_4 _08060_ (.A(_02865_),
+    .B(_02867_),
+    .C(_02871_),
     .X(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06747_ (.A1(_02868_),
-    .A2(_02870_),
-    .A3(_02529_),
-    .B1(_02871_),
-    .B2(_02872_),
+ sky130_fd_sc_hd__and2_4 _08061_ (.A(_02826_),
+    .B(\u_m0_res_fifo.mem[4][25] ),
     .X(_02873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06748_ (.A(_02595_),
-    .B(_02873_),
+ sky130_fd_sc_hd__a211o_4 _08062_ (.A1(_02825_),
+    .A2(\u_m0_res_fifo.mem[5][25] ),
+    .B1(_02845_),
+    .C1(_02873_),
     .X(_02874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06749_ (.A(\u_m0_cmd_fifo.mem[0][8] ),
-    .Y(_02875_),
+ sky130_fd_sc_hd__buf_2 _08063_ (.A(_02810_),
+    .X(_02875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06750_ (.A(\u_m0_cmd_fifo.mem[1][8] ),
-    .Y(_02876_),
+ sky130_fd_sc_hd__and2_4 _08064_ (.A(_02831_),
+    .B(\u_m0_res_fifo.mem[6][25] ),
+    .X(_02876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06751_ (.A1(_02424_),
-    .A2(_02875_),
-    .B1(_02400_),
-    .B2(_02876_),
+ sky130_fd_sc_hd__a211o_4 _08065_ (.A1(_02829_),
+    .A2(\u_m0_res_fifo.mem[7][25] ),
+    .B1(_02875_),
+    .C1(_02876_),
     .X(_02877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06752_ (.A(_02718_),
-    .B(\u_m1_cmd_fifo.mem[0][8] ),
+ sky130_fd_sc_hd__and3_4 _08066_ (.A(_02844_),
+    .B(_02874_),
+    .C(_02877_),
     .X(_02878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06753_ (.A(_02432_),
-    .B(\u_m1_cmd_fifo.mem[1][8] ),
+ sky130_fd_sc_hd__o32a_4 _08067_ (.A1(_02872_),
+    .A2(_02878_),
+    .A3(_02851_),
+    .B1(\u_spim_regs.spim_reg_rdata[25] ),
+    .B2(_02852_),
     .X(_02879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06754_ (.A(_02434_),
-    .B(\u_m1_cmd_fifo.mem[3][8] ),
+ sky130_fd_sc_hd__o22a_4 _08068_ (.A1(wbd_dat_o[25]),
+    .A2(_02836_),
+    .B1(_02837_),
+    .B2(_02879_),
+    .X(_01185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08069_ (.A(_02744_),
     .X(_02880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06755_ (.A1(_02436_),
-    .A2(\u_m1_cmd_fifo.mem[2][8] ),
-    .B1(_02722_),
+ sky130_fd_sc_hd__buf_2 _08070_ (.A(_02750_),
     .X(_02881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06756_ (.A1(_02717_),
-    .A2(_02878_),
-    .A3(_02879_),
-    .B1(_02880_),
-    .B2(_02881_),
+ sky130_fd_sc_hd__and2_4 _08071_ (.A(_02881_),
+    .B(\u_m0_res_fifo.mem[0][24] ),
     .X(_02882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06757_ (.A1_N(_02423_),
-    .A2_N(_02877_),
-    .B1(_02423_),
-    .B2(_02882_),
+ sky130_fd_sc_hd__a211o_4 _08072_ (.A1(_02880_),
+    .A2(\u_m0_res_fifo.mem[1][24] ),
+    .B1(_02838_),
+    .C1(_02882_),
     .X(_02883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06758_ (.A1(_02368_),
-    .A2(_02883_),
-    .B1(_02726_),
+ sky130_fd_sc_hd__buf_2 _08073_ (.A(_02754_),
     .X(_02884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06759_ (.A1(_02487_),
-    .A2(_02856_),
-    .A3(_02866_),
-    .B1(_02874_),
-    .B2(_02884_),
+ sky130_fd_sc_hd__buf_2 _08074_ (.A(_02759_),
     .X(_02885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06760_ (.A(\u_spictrl.spi_mode_cmd[0] ),
-    .B(_02551_),
+ sky130_fd_sc_hd__and2_4 _08075_ (.A(_02885_),
+    .B(\u_m0_res_fifo.mem[2][24] ),
     .X(_02886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06761_ (.A(_02731_),
-    .B(_02873_),
+ sky130_fd_sc_hd__a211o_4 _08076_ (.A1(_02884_),
+    .A2(\u_m0_res_fifo.mem[3][24] ),
+    .B1(_02869_),
+    .C1(_02886_),
     .X(_02887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06762_ (.A1(_02566_),
-    .A2(_02885_),
-    .B1(_02886_),
-    .C1(_02887_),
+ sky130_fd_sc_hd__and3_4 _08077_ (.A(_02865_),
+    .B(_02883_),
+    .C(_02887_),
     .X(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06763_ (.A(_02888_),
-    .Y(_02889_),
+ sky130_fd_sc_hd__buf_2 _08078_ (.A(_02767_),
+    .X(_02889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06764_ (.A(\u_spictrl.u_txreg.data_int[20] ),
-    .Y(_02890_),
+ sky130_fd_sc_hd__buf_2 _08079_ (.A(_02772_),
+    .X(_02890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06765_ (.A1_N(_02840_),
-    .A2_N(\u_spictrl.u_txreg.data_int[23] ),
-    .B1(_02840_),
-    .B2(_02890_),
+ sky130_fd_sc_hd__and2_4 _08080_ (.A(_02890_),
+    .B(\u_m0_res_fifo.mem[4][24] ),
     .X(_02891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06766_ (.A1(_02679_),
-    .A2(_02889_),
-    .B1(_02736_),
-    .B2(_02891_),
+ sky130_fd_sc_hd__a211o_4 _08081_ (.A1(_02889_),
+    .A2(\u_m0_res_fifo.mem[5][24] ),
+    .B1(_02845_),
+    .C1(_02891_),
     .X(_02892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06767_ (.A1(_02678_),
-    .A2(_02892_),
-    .B1(_02626_),
-    .B2(_02745_),
+ sky130_fd_sc_hd__buf_2 _08082_ (.A(_02776_),
     .X(_02893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06768_ (.A(_02846_),
-    .B(_02893_),
-    .Y(_00655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06769_ (.A(_02276_),
+ sky130_fd_sc_hd__buf_2 _08083_ (.A(_02830_),
     .X(_02894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06770_ (.A(_02468_),
+ sky130_fd_sc_hd__and2_4 _08084_ (.A(_02894_),
+    .B(\u_m0_res_fifo.mem[6][24] ),
     .X(_02895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06771_ (.A(_02367_),
-    .B(_02457_),
+ sky130_fd_sc_hd__a211o_4 _08085_ (.A1(_02893_),
+    .A2(\u_m0_res_fifo.mem[7][24] ),
+    .B1(_02875_),
+    .C1(_02895_),
     .X(_02896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06772_ (.A(_02365_),
-    .Y(_02897_),
+ sky130_fd_sc_hd__and3_4 _08086_ (.A(_02844_),
+    .B(_02892_),
+    .C(_02896_),
+    .X(_02897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06773_ (.A(_02897_),
+ sky130_fd_sc_hd__o32a_4 _08087_ (.A1(_02888_),
+    .A2(_02897_),
+    .A3(_02851_),
+    .B1(\u_spim_regs.spim_reg_rdata[24] ),
+    .B2(_02852_),
     .X(_02898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06774_ (.A(_02391_),
-    .Y(_02899_),
+ sky130_fd_sc_hd__o22a_4 _08088_ (.A1(wbd_dat_o[24]),
+    .A2(_02836_),
+    .B1(_02837_),
+    .B2(_02898_),
+    .X(_01184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06775_ (.A(_02899_),
+ sky130_fd_sc_hd__buf_2 _08089_ (.A(_02736_),
+    .X(_02899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08090_ (.A(_02739_),
     .X(_02900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06776_ (.A(_02390_),
-    .Y(_02901_),
+ sky130_fd_sc_hd__buf_2 _08091_ (.A(_02747_),
+    .X(_02901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06777_ (.A1(_02900_),
-    .A2(_02901_),
-    .B1(_02393_),
-    .B2(_02441_),
+ sky130_fd_sc_hd__and2_4 _08092_ (.A(_02881_),
+    .B(\u_m0_res_fifo.mem[0][23] ),
     .X(_02902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06778_ (.A(_02898_),
-    .B(_02902_),
+ sky130_fd_sc_hd__a211o_4 _08093_ (.A1(_02880_),
+    .A2(\u_m0_res_fifo.mem[1][23] ),
+    .B1(_02901_),
+    .C1(_02902_),
     .X(_02903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06779_ (.A(psn_net_36),
+ sky130_fd_sc_hd__and2_4 _08094_ (.A(_02885_),
+    .B(\u_m0_res_fifo.mem[2][23] ),
     .X(_02904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06780_ (.A(_02904_),
+ sky130_fd_sc_hd__a211o_4 _08095_ (.A1(_02884_),
+    .A2(\u_m0_res_fifo.mem[3][23] ),
+    .B1(_02869_),
+    .C1(_02904_),
     .X(_02905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06781_ (.A1(_02322_),
-    .A2(_02896_),
-    .A3(_02903_),
-    .B1(_02905_),
-    .B2(_02441_),
+ sky130_fd_sc_hd__and3_4 _08096_ (.A(_02865_),
+    .B(_02903_),
+    .C(_02905_),
     .X(_02906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06782_ (.A(_02906_),
-    .Y(_02907_),
+ sky130_fd_sc_hd__buf_2 _08097_ (.A(_02764_),
+    .X(_02907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06783_ (.A(_02735_),
+ sky130_fd_sc_hd__buf_2 _08098_ (.A(_02769_),
     .X(_02908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06784_ (.A(_02839_),
+ sky130_fd_sc_hd__and2_4 _08099_ (.A(_02890_),
+    .B(\u_m0_res_fifo.mem[4][23] ),
     .X(_02909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06785_ (.A(\u_spictrl.u_txreg.data_int[19] ),
-    .Y(_02910_),
+ sky130_fd_sc_hd__a211o_4 _08100_ (.A1(_02889_),
+    .A2(\u_m0_res_fifo.mem[5][23] ),
+    .B1(_02908_),
+    .C1(_02909_),
+    .X(_02910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06786_ (.A1_N(_02909_),
-    .A2_N(\u_spictrl.u_txreg.data_int[22] ),
-    .B1(_02909_),
-    .B2(_02910_),
+ sky130_fd_sc_hd__and2_4 _08101_ (.A(_02894_),
+    .B(\u_m0_res_fifo.mem[6][23] ),
     .X(_02911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06787_ (.A1(_02895_),
-    .A2(_02907_),
-    .B1(_02908_),
-    .B2(_02911_),
+ sky130_fd_sc_hd__a211o_4 _08102_ (.A1(_02893_),
+    .A2(\u_m0_res_fifo.mem[7][23] ),
+    .B1(_02875_),
+    .C1(_02911_),
     .X(_02912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06788_ (.A(_02744_),
+ sky130_fd_sc_hd__and3_4 _08103_ (.A(_02907_),
+    .B(_02910_),
+    .C(_02912_),
     .X(_02913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06789_ (.A1(_02894_),
-    .A2(_02912_),
-    .B1(_02739_),
-    .B2(_02913_),
+ sky130_fd_sc_hd__buf_2 _08104_ (.A(_02784_),
     .X(_02914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06790_ (.A(_02846_),
-    .B(_02914_),
-    .Y(_00654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06791_ (.A(_02367_),
-    .B(_02533_),
+ sky130_fd_sc_hd__buf_2 _08105_ (.A(_02786_),
     .X(_02915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06792_ (.A1(_02900_),
-    .A2(_02501_),
-    .B1(_02393_),
-    .B2(_02547_),
+ sky130_fd_sc_hd__o32a_4 _08106_ (.A1(_02906_),
+    .A2(_02913_),
+    .A3(_02914_),
+    .B1(\u_spim_regs.spim_reg_rdata[23] ),
+    .B2(_02915_),
     .X(_02916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06793_ (.A(_02898_),
-    .B(_02916_),
+ sky130_fd_sc_hd__o22a_4 _08107_ (.A1(wbd_dat_o[23]),
+    .A2(_02899_),
+    .B1(_02900_),
+    .B2(_02916_),
+    .X(_01183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08108_ (.A(_02881_),
+    .B(\u_m0_res_fifo.mem[0][22] ),
     .X(_02917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06794_ (.A1(_02322_),
-    .A2(_02915_),
-    .A3(_02917_),
-    .B1(_02905_),
-    .B2(_02547_),
+ sky130_fd_sc_hd__a211o_4 _08109_ (.A1(_02880_),
+    .A2(\u_m0_res_fifo.mem[1][22] ),
+    .B1(_02901_),
+    .C1(_02917_),
     .X(_02918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06795_ (.A(_02918_),
-    .Y(_02919_),
+ sky130_fd_sc_hd__and2_4 _08110_ (.A(_02885_),
+    .B(\u_m0_res_fifo.mem[2][22] ),
+    .X(_02919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06796_ (.A(\u_spictrl.u_txreg.data_int[18] ),
-    .Y(_02920_),
+ sky130_fd_sc_hd__a211o_4 _08111_ (.A1(_02884_),
+    .A2(\u_m0_res_fifo.mem[3][22] ),
+    .B1(_02869_),
+    .C1(_02919_),
+    .X(_02920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06797_ (.A1_N(_02909_),
-    .A2_N(\u_spictrl.u_txreg.data_int[21] ),
-    .B1(_02909_),
-    .B2(_02920_),
+ sky130_fd_sc_hd__and3_4 _08112_ (.A(_02865_),
+    .B(_02918_),
+    .C(_02920_),
     .X(_02921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06798_ (.A1(_02895_),
-    .A2(_02919_),
-    .B1(_02908_),
-    .B2(_02921_),
+ sky130_fd_sc_hd__and2_4 _08113_ (.A(_02890_),
+    .B(\u_m0_res_fifo.mem[4][22] ),
     .X(_02922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06799_ (.A1(_02894_),
-    .A2(_02922_),
-    .B1(_02792_),
-    .B2(_02913_),
+ sky130_fd_sc_hd__a211o_4 _08114_ (.A1(_02889_),
+    .A2(\u_m0_res_fifo.mem[5][22] ),
+    .B1(_02908_),
+    .C1(_02922_),
     .X(_02923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06800_ (.A(_02846_),
-    .B(_02923_),
-    .Y(_00653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06801_ (.A(_02367_),
-    .B(_02605_),
+ sky130_fd_sc_hd__and2_4 _08115_ (.A(_02894_),
+    .B(\u_m0_res_fifo.mem[6][22] ),
     .X(_02924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06802_ (.A(_02899_),
+ sky130_fd_sc_hd__a211o_4 _08116_ (.A1(_02893_),
+    .A2(\u_m0_res_fifo.mem[7][22] ),
+    .B1(_02875_),
+    .C1(_02924_),
     .X(_02925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06803_ (.A(_02392_),
+ sky130_fd_sc_hd__and3_4 _08117_ (.A(_02907_),
+    .B(_02923_),
+    .C(_02925_),
     .X(_02926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06804_ (.A1(_02925_),
-    .A2(_02578_),
-    .B1(_02926_),
-    .B2(_02615_),
+ sky130_fd_sc_hd__o32a_4 _08118_ (.A1(_02921_),
+    .A2(_02926_),
+    .A3(_02914_),
+    .B1(\u_spim_regs.spim_reg_rdata[22] ),
+    .B2(_02915_),
     .X(_02927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06805_ (.A(_02898_),
-    .B(_02927_),
+ sky130_fd_sc_hd__o22a_4 _08119_ (.A1(wbd_dat_o[22]),
+    .A2(_02899_),
+    .B1(_02900_),
+    .B2(_02927_),
+    .X(_01182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08120_ (.A(_02741_),
     .X(_02928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06806_ (.A1(_02322_),
-    .A2(_02924_),
-    .A3(_02928_),
-    .B1(_02905_),
-    .B2(_02615_),
+ sky130_fd_sc_hd__buf_2 _08121_ (.A(_02928_),
     .X(_02929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06807_ (.A(_02929_),
-    .Y(_02930_),
+ sky130_fd_sc_hd__and2_4 _08122_ (.A(_02881_),
+    .B(\u_m0_res_fifo.mem[0][21] ),
+    .X(_02930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06808_ (.A(_02839_),
+ sky130_fd_sc_hd__a211o_4 _08123_ (.A1(_02880_),
+    .A2(\u_m0_res_fifo.mem[1][21] ),
+    .B1(_02901_),
+    .C1(_02930_),
     .X(_02931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06809_ (.A(\u_spictrl.u_txreg.data_int[17] ),
-    .Y(_02932_),
+ sky130_fd_sc_hd__buf_2 _08124_ (.A(_02868_),
+    .X(_02932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06810_ (.A1_N(_02931_),
-    .A2_N(\u_spictrl.u_txreg.data_int[20] ),
-    .B1(_02931_),
-    .B2(_02932_),
+ sky130_fd_sc_hd__and2_4 _08125_ (.A(_02885_),
+    .B(\u_m0_res_fifo.mem[2][21] ),
     .X(_02933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06811_ (.A1(_02895_),
-    .A2(_02930_),
-    .B1(_02908_),
-    .B2(_02933_),
+ sky130_fd_sc_hd__a211o_4 _08126_ (.A1(_02884_),
+    .A2(\u_m0_res_fifo.mem[3][21] ),
+    .B1(_02932_),
+    .C1(_02933_),
     .X(_02934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06812_ (.A1(_02894_),
-    .A2(_02934_),
-    .B1(_02841_),
-    .B2(_02913_),
+ sky130_fd_sc_hd__and3_4 _08127_ (.A(_02929_),
+    .B(_02931_),
+    .C(_02934_),
     .X(_02935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06813_ (.A(_02846_),
-    .B(_02935_),
-    .Y(_00652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06814_ (.A(_02845_),
+ sky130_fd_sc_hd__and2_4 _08128_ (.A(_02890_),
+    .B(\u_m0_res_fifo.mem[4][21] ),
     .X(_02936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06815_ (.A(_02321_),
+ sky130_fd_sc_hd__a211o_4 _08129_ (.A1(_02889_),
+    .A2(\u_m0_res_fifo.mem[5][21] ),
+    .B1(_02908_),
+    .C1(_02936_),
     .X(_02937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06816_ (.A(_02366_),
+ sky130_fd_sc_hd__buf_2 _08130_ (.A(_02756_),
     .X(_02938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06817_ (.A(_02938_),
-    .B(_02658_),
+ sky130_fd_sc_hd__buf_2 _08131_ (.A(_02938_),
     .X(_02939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06818_ (.A1(_02925_),
-    .A2(_02638_),
-    .B1(_02926_),
-    .B2(_02668_),
+ sky130_fd_sc_hd__and2_4 _08132_ (.A(_02894_),
+    .B(\u_m0_res_fifo.mem[6][21] ),
     .X(_02940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06819_ (.A(_02898_),
-    .B(_02940_),
+ sky130_fd_sc_hd__a211o_4 _08133_ (.A1(_02893_),
+    .A2(\u_m0_res_fifo.mem[7][21] ),
+    .B1(_02939_),
+    .C1(_02940_),
     .X(_02941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06820_ (.A1(_02937_),
-    .A2(_02939_),
-    .A3(_02941_),
-    .B1(_02905_),
-    .B2(_02668_),
+ sky130_fd_sc_hd__and3_4 _08134_ (.A(_02907_),
+    .B(_02937_),
+    .C(_02941_),
     .X(_02942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06821_ (.A(_02942_),
-    .Y(_02943_),
+ sky130_fd_sc_hd__o32a_4 _08135_ (.A1(_02935_),
+    .A2(_02942_),
+    .A3(_02914_),
+    .B1(\u_spim_regs.spim_reg_rdata[21] ),
+    .B2(_02915_),
+    .X(_02943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06822_ (.A(\u_spictrl.u_txreg.data_int[16] ),
-    .Y(_02944_),
+ sky130_fd_sc_hd__o22a_4 _08136_ (.A1(wbd_dat_o[21]),
+    .A2(_02899_),
+    .B1(_02900_),
+    .B2(_02943_),
+    .X(_01181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06823_ (.A1_N(_02931_),
-    .A2_N(\u_spictrl.u_txreg.data_int[19] ),
-    .B1(_02931_),
-    .B2(_02944_),
+ sky130_fd_sc_hd__buf_2 _08137_ (.A(_01414_),
+    .X(_02944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08138_ (.A(_02944_),
     .X(_02945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06824_ (.A1(_02895_),
-    .A2(_02943_),
-    .B1(_02908_),
-    .B2(_02945_),
+ sky130_fd_sc_hd__buf_2 _08139_ (.A(_01420_),
     .X(_02946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06825_ (.A1(_02894_),
-    .A2(_02946_),
-    .B1(_02890_),
-    .B2(_02913_),
+ sky130_fd_sc_hd__buf_2 _08140_ (.A(_02946_),
     .X(_02947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06826_ (.A(_02936_),
-    .B(_02947_),
-    .Y(_00651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06827_ (.A(_02276_),
+ sky130_fd_sc_hd__and2_4 _08141_ (.A(_02947_),
+    .B(\u_m0_res_fifo.mem[0][20] ),
     .X(_02948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06828_ (.A(_02468_),
+ sky130_fd_sc_hd__a211o_4 _08142_ (.A1(_02945_),
+    .A2(\u_m0_res_fifo.mem[1][20] ),
+    .B1(_02901_),
+    .C1(_02948_),
     .X(_02949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06829_ (.A(_02938_),
-    .B(_02712_),
+ sky130_fd_sc_hd__buf_2 _08143_ (.A(_02743_),
     .X(_02950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06830_ (.A(_02897_),
+ sky130_fd_sc_hd__buf_2 _08144_ (.A(_02950_),
     .X(_02951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06831_ (.A1(_02925_),
-    .A2(_02691_),
-    .B1(_02926_),
-    .B2(_02725_),
+ sky130_fd_sc_hd__buf_2 _08145_ (.A(_02749_),
     .X(_02952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06832_ (.A(_02951_),
-    .B(_02952_),
+ sky130_fd_sc_hd__buf_2 _08146_ (.A(_02952_),
     .X(_02953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06833_ (.A(_02904_),
+ sky130_fd_sc_hd__and2_4 _08147_ (.A(_02953_),
+    .B(\u_m0_res_fifo.mem[2][20] ),
     .X(_02954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06834_ (.A1(_02937_),
-    .A2(_02950_),
-    .A3(_02953_),
-    .B1(_02954_),
-    .B2(_02725_),
+ sky130_fd_sc_hd__a211o_4 _08148_ (.A1(_02951_),
+    .A2(\u_m0_res_fifo.mem[3][20] ),
+    .B1(_02932_),
+    .C1(_02954_),
     .X(_02955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06835_ (.A(_02955_),
-    .Y(_02956_),
+ sky130_fd_sc_hd__and3_4 _08149_ (.A(_02929_),
+    .B(_02949_),
+    .C(_02955_),
+    .X(_02956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06836_ (.A(_02251_),
+ sky130_fd_sc_hd__buf_2 _08150_ (.A(_02766_),
     .X(_02957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06837_ (.A(_02839_),
+ sky130_fd_sc_hd__buf_2 _08151_ (.A(_02957_),
     .X(_02958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06838_ (.A(\u_spictrl.u_txreg.data_int[15] ),
-    .Y(_02959_),
+ sky130_fd_sc_hd__buf_2 _08152_ (.A(_02771_),
+    .X(_02959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06839_ (.A1_N(_02958_),
-    .A2_N(\u_spictrl.u_txreg.data_int[18] ),
-    .B1(_02958_),
-    .B2(_02959_),
+ sky130_fd_sc_hd__buf_2 _08153_ (.A(_02959_),
     .X(_02960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06840_ (.A1(_02949_),
-    .A2(_02956_),
-    .B1(_02957_),
-    .B2(_02960_),
+ sky130_fd_sc_hd__and2_4 _08154_ (.A(_02960_),
+    .B(\u_m0_res_fifo.mem[4][20] ),
     .X(_02961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06841_ (.A(_02744_),
+ sky130_fd_sc_hd__a211o_4 _08155_ (.A1(_02958_),
+    .A2(\u_m0_res_fifo.mem[5][20] ),
+    .B1(_02908_),
+    .C1(_02961_),
     .X(_02962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06842_ (.A1(_02948_),
-    .A2(_02961_),
-    .B1(_02910_),
-    .B2(_02962_),
+ sky130_fd_sc_hd__buf_2 _08156_ (.A(_02766_),
     .X(_02963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06843_ (.A(_02936_),
-    .B(_02963_),
-    .Y(_00650_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06844_ (.A(_02938_),
-    .B(_02774_),
+ sky130_fd_sc_hd__buf_2 _08157_ (.A(_02963_),
     .X(_02964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06845_ (.A1(_02925_),
-    .A2(_02756_),
-    .B1(_02926_),
-    .B2(_02785_),
+ sky130_fd_sc_hd__buf_2 _08158_ (.A(_02830_),
     .X(_02965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06846_ (.A(_02951_),
-    .B(_02965_),
+ sky130_fd_sc_hd__and2_4 _08159_ (.A(_02965_),
+    .B(\u_m0_res_fifo.mem[6][20] ),
     .X(_02966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06847_ (.A1(_02937_),
-    .A2(_02964_),
-    .A3(_02966_),
-    .B1(_02954_),
-    .B2(_02785_),
+ sky130_fd_sc_hd__a211o_4 _08160_ (.A1(_02964_),
+    .A2(\u_m0_res_fifo.mem[7][20] ),
+    .B1(_02939_),
+    .C1(_02966_),
     .X(_02967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06848_ (.A(_02967_),
-    .Y(_02968_),
+ sky130_fd_sc_hd__and3_4 _08161_ (.A(_02907_),
+    .B(_02962_),
+    .C(_02967_),
+    .X(_02968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06849_ (.A(\u_spictrl.u_txreg.data_int[14] ),
-    .Y(_02969_),
+ sky130_fd_sc_hd__o32a_4 _08162_ (.A1(_02956_),
+    .A2(_02968_),
+    .A3(_02914_),
+    .B1(\u_spim_regs.spim_reg_rdata[20] ),
+    .B2(_02915_),
+    .X(_02969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06850_ (.A1_N(_02958_),
-    .A2_N(\u_spictrl.u_txreg.data_int[17] ),
-    .B1(_02958_),
+ sky130_fd_sc_hd__o22a_4 _08163_ (.A1(wbd_dat_o[20]),
+    .A2(_02899_),
+    .B1(_02900_),
     .B2(_02969_),
+    .X(_01180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08164_ (.A(_02736_),
     .X(_02970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06851_ (.A1(_02949_),
-    .A2(_02968_),
-    .B1(_02957_),
-    .B2(_02970_),
+ sky130_fd_sc_hd__buf_2 _08165_ (.A(_02739_),
     .X(_02971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06852_ (.A1(_02948_),
-    .A2(_02971_),
-    .B1(_02920_),
-    .B2(_02962_),
+ sky130_fd_sc_hd__buf_2 _08166_ (.A(_02747_),
     .X(_02972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06853_ (.A(_02936_),
-    .B(_02972_),
-    .Y(_00649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06854_ (.A(_02938_),
-    .B(_02822_),
+ sky130_fd_sc_hd__and2_4 _08167_ (.A(_02947_),
+    .B(\u_m0_res_fifo.mem[0][19] ),
     .X(_02973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06855_ (.A1(_02899_),
-    .A2(_02804_),
-    .B1(_02392_),
-    .B2(_02832_),
+ sky130_fd_sc_hd__a211o_4 _08168_ (.A1(_02945_),
+    .A2(\u_m0_res_fifo.mem[1][19] ),
+    .B1(_02972_),
+    .C1(_02973_),
     .X(_02974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06856_ (.A(_02951_),
-    .B(_02974_),
+ sky130_fd_sc_hd__and2_4 _08169_ (.A(_02953_),
+    .B(\u_m0_res_fifo.mem[2][19] ),
     .X(_02975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06857_ (.A1(_02937_),
-    .A2(_02973_),
-    .A3(_02975_),
-    .B1(_02954_),
-    .B2(_02832_),
+ sky130_fd_sc_hd__a211o_4 _08170_ (.A1(_02951_),
+    .A2(\u_m0_res_fifo.mem[3][19] ),
+    .B1(_02932_),
+    .C1(_02975_),
     .X(_02976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06858_ (.A(_02976_),
-    .Y(_02977_),
+ sky130_fd_sc_hd__and3_4 _08171_ (.A(_02929_),
+    .B(_02974_),
+    .C(_02976_),
+    .X(_02977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06859_ (.A(_02481_),
+ sky130_fd_sc_hd__buf_2 _08172_ (.A(_02764_),
     .X(_02978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06860_ (.A(\u_spictrl.u_txreg.data_int[13] ),
-    .Y(_02979_),
+ sky130_fd_sc_hd__buf_2 _08173_ (.A(_02769_),
+    .X(_02979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06861_ (.A1_N(_02978_),
-    .A2_N(\u_spictrl.u_txreg.data_int[16] ),
-    .B1(_02978_),
-    .B2(_02979_),
+ sky130_fd_sc_hd__and2_4 _08174_ (.A(_02960_),
+    .B(\u_m0_res_fifo.mem[4][19] ),
     .X(_02980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06862_ (.A1(_02949_),
-    .A2(_02977_),
-    .B1(_02957_),
-    .B2(_02980_),
+ sky130_fd_sc_hd__a211o_4 _08175_ (.A1(_02958_),
+    .A2(\u_m0_res_fifo.mem[5][19] ),
+    .B1(_02979_),
+    .C1(_02980_),
     .X(_02981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06863_ (.A1(_02948_),
-    .A2(_02981_),
-    .B1(_02932_),
-    .B2(_02962_),
+ sky130_fd_sc_hd__and2_4 _08176_ (.A(_02965_),
+    .B(\u_m0_res_fifo.mem[6][19] ),
     .X(_02982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06864_ (.A(_02936_),
-    .B(_02982_),
-    .Y(_00648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06865_ (.A(_02845_),
+ sky130_fd_sc_hd__a211o_4 _08177_ (.A1(_02964_),
+    .A2(\u_m0_res_fifo.mem[7][19] ),
+    .B1(_02939_),
+    .C1(_02982_),
     .X(_02983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06866_ (.A(_02366_),
-    .B(_02873_),
+ sky130_fd_sc_hd__and3_4 _08178_ (.A(_02978_),
+    .B(_02981_),
+    .C(_02983_),
     .X(_02984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06867_ (.A1(_02899_),
-    .A2(_02855_),
-    .B1(_02392_),
-    .B2(_02883_),
+ sky130_fd_sc_hd__buf_2 _08179_ (.A(_02784_),
     .X(_02985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06868_ (.A(_02951_),
-    .B(_02985_),
+ sky130_fd_sc_hd__buf_2 _08180_ (.A(_02786_),
     .X(_02986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06869_ (.A1(_02321_),
+ sky130_fd_sc_hd__o32a_4 _08181_ (.A1(_02977_),
     .A2(_02984_),
-    .A3(_02986_),
-    .B1(_02954_),
-    .B2(_02883_),
+    .A3(_02985_),
+    .B1(\u_spim_regs.spim_reg_rdata[19] ),
+    .B2(_02986_),
     .X(_02987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06870_ (.A(_02987_),
-    .Y(_02988_),
+ sky130_fd_sc_hd__o22a_4 _08182_ (.A1(wbd_dat_o[19]),
+    .A2(_02970_),
+    .B1(_02971_),
+    .B2(_02987_),
+    .X(_01179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06871_ (.A(\u_spictrl.u_txreg.data_int[12] ),
-    .Y(_02989_),
+ sky130_fd_sc_hd__and2_4 _08183_ (.A(_02947_),
+    .B(\u_m0_res_fifo.mem[0][18] ),
+    .X(_02988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06872_ (.A1_N(_02978_),
-    .A2_N(\u_spictrl.u_txreg.data_int[15] ),
-    .B1(_02978_),
-    .B2(_02989_),
+ sky130_fd_sc_hd__a211o_4 _08184_ (.A1(_02945_),
+    .A2(\u_m0_res_fifo.mem[1][18] ),
+    .B1(_02972_),
+    .C1(_02988_),
+    .X(_02989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08185_ (.A(_02953_),
+    .B(\u_m0_res_fifo.mem[2][18] ),
     .X(_02990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06873_ (.A1(_02949_),
-    .A2(_02988_),
-    .B1(_02957_),
-    .B2(_02990_),
+ sky130_fd_sc_hd__a211o_4 _08186_ (.A1(_02951_),
+    .A2(\u_m0_res_fifo.mem[3][18] ),
+    .B1(_02932_),
+    .C1(_02990_),
     .X(_02991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06874_ (.A1(_02948_),
-    .A2(_02991_),
-    .B1(_02944_),
-    .B2(_02962_),
+ sky130_fd_sc_hd__and3_4 _08187_ (.A(_02929_),
+    .B(_02989_),
+    .C(_02991_),
     .X(_02992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06875_ (.A(_02983_),
-    .B(_02992_),
-    .Y(_00647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06876_ (.A(_02743_),
+ sky130_fd_sc_hd__and2_4 _08188_ (.A(_02960_),
+    .B(\u_m0_res_fifo.mem[4][18] ),
     .X(_02993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06877_ (.A(_02677_),
+ sky130_fd_sc_hd__a211o_4 _08189_ (.A1(_02958_),
+    .A2(\u_m0_res_fifo.mem[5][18] ),
+    .B1(_02979_),
+    .C1(_02993_),
     .X(_02994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06878_ (.A(_02629_),
+ sky130_fd_sc_hd__and2_4 _08190_ (.A(_02965_),
+    .B(\u_m0_res_fifo.mem[6][18] ),
     .X(_02995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06879_ (.A(_02393_),
-    .B(_02457_),
+ sky130_fd_sc_hd__a211o_4 _08191_ (.A1(_02964_),
+    .A2(\u_m0_res_fifo.mem[7][18] ),
+    .B1(_02939_),
+    .C1(_02995_),
     .X(_02996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06880_ (.A(_02900_),
+ sky130_fd_sc_hd__and3_4 _08192_ (.A(_02978_),
+    .B(_02994_),
+    .C(_02996_),
     .X(_02997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06881_ (.A(_02997_),
-    .B(_02441_),
+ sky130_fd_sc_hd__o32a_4 _08193_ (.A1(_02992_),
+    .A2(_02997_),
+    .A3(_02985_),
+    .B1(\u_spim_regs.spim_reg_rdata[18] ),
+    .B2(_02986_),
     .X(_02998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06882_ (.A(_02904_),
+ sky130_fd_sc_hd__o22a_4 _08194_ (.A1(wbd_dat_o[18]),
+    .A2(_02970_),
+    .B1(_02971_),
+    .B2(_02998_),
+    .X(_01178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08195_ (.A(_02928_),
     .X(_02999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06883_ (.A1(_02342_),
-    .A2(_02996_),
-    .A3(_02998_),
-    .B1(_02999_),
-    .B2(_02901_),
+ sky130_fd_sc_hd__and2_4 _08196_ (.A(_02947_),
+    .B(\u_m0_res_fifo.mem[0][17] ),
     .X(_03000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06884_ (.A(_03000_),
-    .Y(_03001_),
+ sky130_fd_sc_hd__a211o_4 _08197_ (.A1(_02945_),
+    .A2(\u_m0_res_fifo.mem[1][17] ),
+    .B1(_02972_),
+    .C1(_03000_),
+    .X(_03001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06885_ (.A(_02735_),
+ sky130_fd_sc_hd__buf_2 _08198_ (.A(_02868_),
     .X(_03002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06886_ (.A(_02482_),
+ sky130_fd_sc_hd__and2_4 _08199_ (.A(_02953_),
+    .B(\u_m0_res_fifo.mem[2][17] ),
     .X(_03003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06887_ (.A(_03003_),
+ sky130_fd_sc_hd__a211o_4 _08200_ (.A1(_02951_),
+    .A2(\u_m0_res_fifo.mem[3][17] ),
+    .B1(_03002_),
+    .C1(_03003_),
     .X(_03004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06888_ (.A(\u_spictrl.u_txreg.data_int[11] ),
-    .Y(_03005_),
+ sky130_fd_sc_hd__and3_4 _08201_ (.A(_02999_),
+    .B(_03001_),
+    .C(_03004_),
+    .X(_03005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06889_ (.A1_N(_03004_),
-    .A2_N(\u_spictrl.u_txreg.data_int[14] ),
-    .B1(_03004_),
-    .B2(_03005_),
+ sky130_fd_sc_hd__and2_4 _08202_ (.A(_02960_),
+    .B(\u_m0_res_fifo.mem[4][17] ),
     .X(_03006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06890_ (.A1(_02995_),
-    .A2(_03001_),
-    .B1(_03002_),
-    .B2(_03006_),
+ sky130_fd_sc_hd__a211o_4 _08203_ (.A1(_02958_),
+    .A2(\u_m0_res_fifo.mem[5][17] ),
+    .B1(_02979_),
+    .C1(_03006_),
     .X(_03007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06891_ (.A1(_02959_),
-    .A2(_02993_),
-    .B1(_02994_),
-    .B2(_03007_),
+ sky130_fd_sc_hd__buf_2 _08204_ (.A(_02938_),
     .X(_03008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06892_ (.A(_02983_),
-    .B(_03008_),
-    .Y(_00646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06893_ (.A(_02997_),
-    .B(_02547_),
+ sky130_fd_sc_hd__and2_4 _08205_ (.A(_02965_),
+    .B(\u_m0_res_fifo.mem[6][17] ),
     .X(_03009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06894_ (.A1(_02342_),
-    .A2(_02534_),
-    .A3(_03009_),
-    .B1(_02999_),
-    .B2(_02501_),
+ sky130_fd_sc_hd__a211o_4 _08206_ (.A1(_02964_),
+    .A2(\u_m0_res_fifo.mem[7][17] ),
+    .B1(_03008_),
+    .C1(_03009_),
     .X(_03010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06895_ (.A(_03010_),
-    .Y(_03011_),
+ sky130_fd_sc_hd__and3_4 _08207_ (.A(_02978_),
+    .B(_03007_),
+    .C(_03010_),
+    .X(_03011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06896_ (.A(\u_spictrl.u_txreg.data_int[10] ),
-    .Y(_03012_),
+ sky130_fd_sc_hd__o32a_4 _08208_ (.A1(_03005_),
+    .A2(_03011_),
+    .A3(_02985_),
+    .B1(\u_spim_regs.spim_reg_rdata[17] ),
+    .B2(_02986_),
+    .X(_03012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06897_ (.A1_N(_03004_),
-    .A2_N(\u_spictrl.u_txreg.data_int[13] ),
-    .B1(_03004_),
+ sky130_fd_sc_hd__o22a_4 _08209_ (.A1(wbd_dat_o[17]),
+    .A2(_02970_),
+    .B1(_02971_),
     .B2(_03012_),
+    .X(_01177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08210_ (.A(_02944_),
     .X(_03013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06898_ (.A1(_02995_),
-    .A2(_03011_),
-    .B1(_03002_),
-    .B2(_03013_),
+ sky130_fd_sc_hd__buf_2 _08211_ (.A(_02946_),
     .X(_03014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06899_ (.A1(_02969_),
-    .A2(_02993_),
-    .B1(_02994_),
-    .B2(_03014_),
+ sky130_fd_sc_hd__and2_4 _08212_ (.A(_03014_),
+    .B(\u_m0_res_fifo.mem[0][16] ),
     .X(_03015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06900_ (.A(_02983_),
-    .B(_03015_),
-    .Y(_00645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06901_ (.A(_02997_),
-    .B(_02615_),
+ sky130_fd_sc_hd__a211o_4 _08213_ (.A1(_03013_),
+    .A2(\u_m0_res_fifo.mem[1][16] ),
+    .B1(_02972_),
+    .C1(_03015_),
     .X(_03016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06902_ (.A1(_02342_),
-    .A2(_02606_),
-    .A3(_03016_),
-    .B1(_02999_),
-    .B2(_02578_),
+ sky130_fd_sc_hd__buf_2 _08214_ (.A(_02950_),
     .X(_03017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06903_ (.A(_03017_),
-    .Y(_03018_),
+ sky130_fd_sc_hd__buf_2 _08215_ (.A(_02952_),
+    .X(_03018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06904_ (.A(_02482_),
+ sky130_fd_sc_hd__and2_4 _08216_ (.A(_03018_),
+    .B(\u_m0_res_fifo.mem[2][16] ),
     .X(_03019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06905_ (.A(_03019_),
+ sky130_fd_sc_hd__a211o_4 _08217_ (.A1(_03017_),
+    .A2(\u_m0_res_fifo.mem[3][16] ),
+    .B1(_03002_),
+    .C1(_03019_),
     .X(_03020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06906_ (.A(\u_spictrl.u_txreg.data_int[9] ),
-    .Y(_03021_),
+ sky130_fd_sc_hd__and3_4 _08218_ (.A(_02999_),
+    .B(_03016_),
+    .C(_03020_),
+    .X(_03021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06907_ (.A1_N(_03020_),
-    .A2_N(\u_spictrl.u_txreg.data_int[12] ),
-    .B1(_03020_),
-    .B2(_03021_),
+ sky130_fd_sc_hd__buf_2 _08219_ (.A(_02957_),
     .X(_03022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06908_ (.A1(_02995_),
-    .A2(_03018_),
-    .B1(_03002_),
-    .B2(_03022_),
+ sky130_fd_sc_hd__buf_2 _08220_ (.A(_02959_),
     .X(_03023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06909_ (.A1(_02979_),
-    .A2(_02993_),
-    .B1(_02994_),
-    .B2(_03023_),
+ sky130_fd_sc_hd__and2_4 _08221_ (.A(_03023_),
+    .B(\u_m0_res_fifo.mem[4][16] ),
     .X(_03024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06910_ (.A(_02983_),
-    .B(_03024_),
-    .Y(_00644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06911_ (.A(_02845_),
+ sky130_fd_sc_hd__a211o_4 _08222_ (.A1(_03022_),
+    .A2(\u_m0_res_fifo.mem[5][16] ),
+    .B1(_02979_),
+    .C1(_03024_),
     .X(_03025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06912_ (.A(_02743_),
+ sky130_fd_sc_hd__buf_2 _08223_ (.A(_02963_),
     .X(_03026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06913_ (.A(_02341_),
+ sky130_fd_sc_hd__buf_2 _08224_ (.A(_02771_),
     .X(_03027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06914_ (.A(_02997_),
-    .B(_02668_),
+ sky130_fd_sc_hd__buf_2 _08225_ (.A(_03027_),
     .X(_03028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06915_ (.A1(_03027_),
-    .A2(_02659_),
-    .A3(_03028_),
-    .B1(_02999_),
-    .B2(_02638_),
+ sky130_fd_sc_hd__and2_4 _08226_ (.A(_03028_),
+    .B(\u_m0_res_fifo.mem[6][16] ),
     .X(_03029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06916_ (.A(_03029_),
-    .Y(_03030_),
+ sky130_fd_sc_hd__a211o_4 _08227_ (.A1(_03026_),
+    .A2(\u_m0_res_fifo.mem[7][16] ),
+    .B1(_03008_),
+    .C1(_03029_),
+    .X(_03030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06917_ (.A(\u_spictrl.u_txreg.data_int[8] ),
-    .Y(_03031_),
+ sky130_fd_sc_hd__and3_4 _08228_ (.A(_02978_),
+    .B(_03025_),
+    .C(_03030_),
+    .X(_03031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06918_ (.A1_N(_03020_),
-    .A2_N(\u_spictrl.u_txreg.data_int[11] ),
-    .B1(_03020_),
-    .B2(_03031_),
+ sky130_fd_sc_hd__o32a_4 _08229_ (.A1(_03021_),
+    .A2(_03031_),
+    .A3(_02985_),
+    .B1(\u_spim_regs.spim_reg_rdata[16] ),
+    .B2(_02986_),
     .X(_03032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06919_ (.A1(_02995_),
-    .A2(_03030_),
-    .B1(_03002_),
+ sky130_fd_sc_hd__o22a_4 _08230_ (.A1(wbd_dat_o[16]),
+    .A2(_02970_),
+    .B1(_02971_),
     .B2(_03032_),
+    .X(_01176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08231_ (.A(_02735_),
     .X(_03033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06920_ (.A1(_02989_),
-    .A2(_03026_),
-    .B1(_02994_),
-    .B2(_03033_),
+ sky130_fd_sc_hd__buf_2 _08232_ (.A(_03033_),
     .X(_03034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06921_ (.A(_03025_),
-    .B(_03034_),
-    .Y(_00643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06922_ (.A(_02677_),
+ sky130_fd_sc_hd__buf_2 _08233_ (.A(_02738_),
     .X(_03035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06923_ (.A(_02629_),
+ sky130_fd_sc_hd__buf_2 _08234_ (.A(_03035_),
     .X(_03036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06924_ (.A(_02900_),
+ sky130_fd_sc_hd__buf_2 _08235_ (.A(_02746_),
     .X(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06925_ (.A(_03037_),
-    .B(_02725_),
+ sky130_fd_sc_hd__buf_2 _08236_ (.A(_03037_),
     .X(_03038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06926_ (.A(_02904_),
+ sky130_fd_sc_hd__and2_4 _08237_ (.A(_03014_),
+    .B(\u_m0_res_fifo.mem[0][15] ),
     .X(_03039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06927_ (.A1(_03027_),
-    .A2(_02713_),
-    .A3(_03038_),
-    .B1(_03039_),
-    .B2(_02691_),
+ sky130_fd_sc_hd__a211o_4 _08238_ (.A1(_03013_),
+    .A2(\u_m0_res_fifo.mem[1][15] ),
+    .B1(_03038_),
+    .C1(_03039_),
     .X(_03040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06928_ (.A(_03040_),
-    .Y(_03041_),
+ sky130_fd_sc_hd__and2_4 _08239_ (.A(_03018_),
+    .B(\u_m0_res_fifo.mem[2][15] ),
+    .X(_03041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06929_ (.A(_02735_),
+ sky130_fd_sc_hd__a211o_4 _08240_ (.A1(_03017_),
+    .A2(\u_m0_res_fifo.mem[3][15] ),
+    .B1(_03002_),
+    .C1(_03041_),
     .X(_03042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06930_ (.A(_03019_),
+ sky130_fd_sc_hd__and3_4 _08241_ (.A(_02999_),
+    .B(_03040_),
+    .C(_03042_),
     .X(_03043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06931_ (.A(\u_spictrl.u_txreg.data_int[7] ),
-    .Y(_03044_),
+ sky130_fd_sc_hd__buf_2 _08242_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
+    .X(_03044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06932_ (.A1_N(_03043_),
-    .A2_N(\u_spictrl.u_txreg.data_int[10] ),
-    .B1(_03043_),
-    .B2(_03044_),
+ sky130_fd_sc_hd__buf_2 _08243_ (.A(_03044_),
     .X(_03045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06933_ (.A1(_03036_),
-    .A2(_03041_),
-    .B1(_03042_),
-    .B2(_03045_),
+ sky130_fd_sc_hd__buf_2 _08244_ (.A(_02746_),
     .X(_03046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06934_ (.A1(_03005_),
-    .A2(_03026_),
-    .B1(_03035_),
-    .B2(_03046_),
+ sky130_fd_sc_hd__buf_2 _08245_ (.A(_03046_),
     .X(_03047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06935_ (.A(_03025_),
-    .B(_03047_),
-    .Y(_00642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06936_ (.A(_03037_),
-    .B(_02785_),
+ sky130_fd_sc_hd__and2_4 _08246_ (.A(_03023_),
+    .B(\u_m0_res_fifo.mem[4][15] ),
     .X(_03048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06937_ (.A1(_03027_),
-    .A2(_02775_),
-    .A3(_03048_),
-    .B1(_03039_),
-    .B2(_02756_),
+ sky130_fd_sc_hd__a211o_4 _08247_ (.A1(_03022_),
+    .A2(\u_m0_res_fifo.mem[5][15] ),
+    .B1(_03047_),
+    .C1(_03048_),
     .X(_03049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06938_ (.A(_03049_),
-    .Y(_03050_),
+ sky130_fd_sc_hd__and2_4 _08248_ (.A(_03028_),
+    .B(\u_m0_res_fifo.mem[6][15] ),
+    .X(_03050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06939_ (.A(\u_spictrl.u_txreg.data_int[6] ),
-    .Y(_03051_),
+ sky130_fd_sc_hd__a211o_4 _08249_ (.A1(_03026_),
+    .A2(\u_m0_res_fifo.mem[7][15] ),
+    .B1(_03008_),
+    .C1(_03050_),
+    .X(_03051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06940_ (.A1_N(_03043_),
-    .A2_N(\u_spictrl.u_txreg.data_int[9] ),
-    .B1(_03043_),
-    .B2(_03051_),
+ sky130_fd_sc_hd__and3_4 _08250_ (.A(_03045_),
+    .B(_03049_),
+    .C(_03051_),
     .X(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06941_ (.A1(_03036_),
-    .A2(_03050_),
-    .B1(_03042_),
-    .B2(_03052_),
+ sky130_fd_sc_hd__buf_2 _08251_ (.A(_02783_),
     .X(_03053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06942_ (.A1(_03012_),
-    .A2(_03026_),
-    .B1(_03035_),
-    .B2(_03053_),
+ sky130_fd_sc_hd__buf_2 _08252_ (.A(_03053_),
     .X(_03054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06943_ (.A(_03025_),
-    .B(_03054_),
-    .Y(_00641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06944_ (.A(_03037_),
-    .B(_02832_),
+ sky130_fd_sc_hd__buf_2 _08253_ (.A(_02734_),
     .X(_03055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06945_ (.A1(_03027_),
-    .A2(_02823_),
-    .A3(_03055_),
-    .B1(_03039_),
-    .B2(_02804_),
+ sky130_fd_sc_hd__buf_2 _08254_ (.A(_03055_),
     .X(_03056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06946_ (.A(_03056_),
-    .Y(_03057_),
+ sky130_fd_sc_hd__o32a_4 _08255_ (.A1(_03043_),
+    .A2(_03052_),
+    .A3(_03054_),
+    .B1(\u_spim_regs.spim_reg_rdata[15] ),
+    .B2(_03056_),
+    .X(_03057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06947_ (.A(_03019_),
+ sky130_fd_sc_hd__o22a_4 _08256_ (.A1(wbd_dat_o[15]),
+    .A2(_03034_),
+    .B1(_03036_),
+    .B2(_03057_),
+    .X(_01175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08257_ (.A(_03014_),
+    .B(\u_m0_res_fifo.mem[0][14] ),
     .X(_03058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06948_ (.A(\u_spictrl.u_txreg.data_int[5] ),
-    .Y(_03059_),
+ sky130_fd_sc_hd__a211o_4 _08258_ (.A1(_03013_),
+    .A2(\u_m0_res_fifo.mem[1][14] ),
+    .B1(_03038_),
+    .C1(_03058_),
+    .X(_03059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06949_ (.A1_N(_03058_),
-    .A2_N(\u_spictrl.u_txreg.data_int[8] ),
-    .B1(_03058_),
-    .B2(_03059_),
+ sky130_fd_sc_hd__and2_4 _08259_ (.A(_03018_),
+    .B(\u_m0_res_fifo.mem[2][14] ),
     .X(_03060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06950_ (.A1(_03036_),
-    .A2(_03057_),
-    .B1(_03042_),
-    .B2(_03060_),
+ sky130_fd_sc_hd__a211o_4 _08260_ (.A1(_03017_),
+    .A2(\u_m0_res_fifo.mem[3][14] ),
+    .B1(_03002_),
+    .C1(_03060_),
     .X(_03061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06951_ (.A1(_03021_),
-    .A2(_03026_),
-    .B1(_03035_),
-    .B2(_03061_),
+ sky130_fd_sc_hd__and3_4 _08261_ (.A(_02999_),
+    .B(_03059_),
+    .C(_03061_),
     .X(_03062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06952_ (.A(_03025_),
-    .B(_03062_),
-    .Y(_00640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06953_ (.A(psn_net_44),
+ sky130_fd_sc_hd__and2_4 _08262_ (.A(_03023_),
+    .B(\u_m0_res_fifo.mem[4][14] ),
     .X(_03063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06954_ (.A(_03037_),
-    .B(_02883_),
+ sky130_fd_sc_hd__a211o_4 _08263_ (.A1(_03022_),
+    .A2(\u_m0_res_fifo.mem[5][14] ),
+    .B1(_03047_),
+    .C1(_03063_),
     .X(_03064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06955_ (.A1(_02341_),
-    .A2(_02874_),
-    .A3(_03064_),
-    .B1(_03039_),
-    .B2(_02855_),
+ sky130_fd_sc_hd__and2_4 _08264_ (.A(_03028_),
+    .B(\u_m0_res_fifo.mem[6][14] ),
     .X(_03065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06956_ (.A(_03065_),
-    .Y(_03066_),
+ sky130_fd_sc_hd__a211o_4 _08265_ (.A1(_03026_),
+    .A2(\u_m0_res_fifo.mem[7][14] ),
+    .B1(_03008_),
+    .C1(_03065_),
+    .X(_03066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06957_ (.A(\u_spictrl.u_txreg.data_int[4] ),
-    .Y(_03067_),
+ sky130_fd_sc_hd__and3_4 _08266_ (.A(_03045_),
+    .B(_03064_),
+    .C(_03066_),
+    .X(_03067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06958_ (.A1_N(_03058_),
-    .A2_N(\u_spictrl.u_txreg.data_int[7] ),
-    .B1(_03058_),
-    .B2(_03067_),
+ sky130_fd_sc_hd__o32a_4 _08267_ (.A1(_03062_),
+    .A2(_03067_),
+    .A3(_03054_),
+    .B1(\u_spim_regs.spim_reg_rdata[14] ),
+    .B2(_03056_),
     .X(_03068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06959_ (.A1(_03036_),
-    .A2(_03066_),
-    .B1(_03042_),
+ sky130_fd_sc_hd__o22a_4 _08268_ (.A1(wbd_dat_o[14]),
+    .A2(_03034_),
+    .B1(_03036_),
     .B2(_03068_),
+    .X(_01174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08269_ (.A(_02928_),
     .X(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06960_ (.A1(_03031_),
-    .A2(_02744_),
-    .B1(_03035_),
-    .B2(_03069_),
+ sky130_fd_sc_hd__and2_4 _08270_ (.A(_03014_),
+    .B(\u_m0_res_fifo.mem[0][13] ),
     .X(_03070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06961_ (.A(_03063_),
-    .B(_03070_),
-    .Y(_00639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06962_ (.A(_02277_),
+ sky130_fd_sc_hd__a211o_4 _08271_ (.A1(_03013_),
+    .A2(\u_m0_res_fifo.mem[1][13] ),
+    .B1(_03038_),
+    .C1(_03070_),
     .X(_03071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06963_ (.A(_02477_),
+ sky130_fd_sc_hd__buf_2 _08272_ (.A(_02868_),
     .X(_03072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06964_ (.A(_02479_),
+ sky130_fd_sc_hd__and2_4 _08273_ (.A(_03018_),
+    .B(\u_m0_res_fifo.mem[2][13] ),
     .X(_03073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06965_ (.A(_02480_),
+ sky130_fd_sc_hd__a211o_4 _08274_ (.A1(_03017_),
+    .A2(\u_m0_res_fifo.mem[3][13] ),
+    .B1(_03072_),
+    .C1(_03073_),
     .X(_03074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06966_ (.A(_02625_),
+ sky130_fd_sc_hd__and3_4 _08275_ (.A(_03069_),
+    .B(_03071_),
+    .C(_03074_),
     .X(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06967_ (.A(\u_spictrl.u_txreg.data_int[3] ),
-    .Y(_03076_),
+ sky130_fd_sc_hd__and2_4 _08276_ (.A(_03023_),
+    .B(\u_m0_res_fifo.mem[4][13] ),
+    .X(_03076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06968_ (.A1_N(_03019_),
-    .A2_N(\u_spictrl.u_txreg.data_int[6] ),
-    .B1(_03075_),
-    .B2(_03076_),
+ sky130_fd_sc_hd__a211o_4 _08277_ (.A1(_03022_),
+    .A2(\u_m0_res_fifo.mem[5][13] ),
+    .B1(_03047_),
+    .C1(_03076_),
     .X(_03077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06969_ (.A1(_03044_),
-    .A2(_03073_),
-    .B1(_03074_),
-    .B2(_03077_),
+ sky130_fd_sc_hd__buf_2 _08278_ (.A(_02938_),
     .X(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06970_ (.A(_02486_),
+ sky130_fd_sc_hd__and2_4 _08279_ (.A(_03028_),
+    .B(\u_m0_res_fifo.mem[6][13] ),
     .X(_03079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06971_ (.A(_01999_),
+ sky130_fd_sc_hd__a211o_4 _08280_ (.A1(_03026_),
+    .A2(\u_m0_res_fifo.mem[7][13] ),
+    .B1(_03078_),
+    .C1(_03079_),
     .X(_03080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06972_ (.A(_02328_),
-    .B(_02340_),
+ sky130_fd_sc_hd__and3_4 _08281_ (.A(_03045_),
+    .B(_03077_),
+    .C(_03080_),
     .X(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06973_ (.A(_03081_),
+ sky130_fd_sc_hd__o32a_4 _08282_ (.A1(_03075_),
+    .A2(_03081_),
+    .A3(_03054_),
+    .B1(\u_spim_regs.spim_reg_rdata[13] ),
+    .B2(_03056_),
     .X(_03082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06974_ (.A1(_03080_),
-    .A2(_02419_),
-    .B1(_03082_),
-    .B2(_02458_),
+ sky130_fd_sc_hd__o22a_4 _08283_ (.A1(wbd_dat_o[13]),
+    .A2(_03034_),
+    .B1(_03036_),
+    .B2(_03082_),
+    .X(_01173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08284_ (.A(_02944_),
     .X(_03083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06975_ (.A1(_03072_),
-    .A2(_03078_),
-    .B1(_03079_),
-    .B2(_03083_),
+ sky130_fd_sc_hd__buf_2 _08285_ (.A(_02946_),
     .X(_03084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06976_ (.A1(_03044_),
-    .A2(_02476_),
-    .B1(_03071_),
-    .B2(_03084_),
+ sky130_fd_sc_hd__and2_4 _08286_ (.A(_03084_),
+    .B(\u_m0_res_fifo.mem[0][12] ),
     .X(_03085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06977_ (.A(_03085_),
-    .Y(_00638_),
+ sky130_fd_sc_hd__a211o_4 _08287_ (.A1(_03083_),
+    .A2(\u_m0_res_fifo.mem[1][12] ),
+    .B1(_03038_),
+    .C1(_03085_),
+    .X(_03086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06978_ (.A(\u_spictrl.u_txreg.data_int[2] ),
-    .Y(_03086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06979_ (.A1_N(_03075_),
-    .A2_N(\u_spictrl.u_txreg.data_int[5] ),
-    .B1(_03075_),
-    .B2(_03086_),
+ sky130_fd_sc_hd__buf_2 _08288_ (.A(_02950_),
     .X(_03087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06980_ (.A1(_03051_),
-    .A2(_02561_),
-    .B1(_03074_),
-    .B2(_03087_),
+ sky130_fd_sc_hd__buf_2 _08289_ (.A(_02952_),
     .X(_03088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06981_ (.A(_02533_),
-    .Y(_03089_),
+ sky130_fd_sc_hd__and2_4 _08290_ (.A(_03088_),
+    .B(\u_m0_res_fifo.mem[2][12] ),
+    .X(_03089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06982_ (.A1(_03080_),
-    .A2(_02521_),
-    .B1(_03082_),
-    .B2(_03089_),
+ sky130_fd_sc_hd__a211o_4 _08291_ (.A1(_03087_),
+    .A2(\u_m0_res_fifo.mem[3][12] ),
+    .B1(_03072_),
+    .C1(_03089_),
     .X(_03090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06983_ (.A1(_03072_),
-    .A2(_03088_),
-    .B1(_03079_),
-    .B2(_03090_),
+ sky130_fd_sc_hd__and3_4 _08292_ (.A(_03069_),
+    .B(_03086_),
+    .C(_03090_),
     .X(_03091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06984_ (.A1(_03051_),
-    .A2(_02476_),
-    .B1(_03071_),
-    .B2(_03091_),
+ sky130_fd_sc_hd__buf_2 _08293_ (.A(_02957_),
     .X(_03092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06985_ (.A(_03092_),
-    .Y(_00637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06986_ (.A(_02295_),
+ sky130_fd_sc_hd__buf_2 _08294_ (.A(_02959_),
     .X(_03093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06987_ (.A(\u_spictrl.u_txreg.data_int[1] ),
-    .Y(_03094_),
+ sky130_fd_sc_hd__and2_4 _08295_ (.A(_03093_),
+    .B(\u_m0_res_fifo.mem[4][12] ),
+    .X(_03094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06988_ (.A1_N(_03075_),
-    .A2_N(\u_spictrl.u_txreg.data_int[4] ),
-    .B1(_02737_),
-    .B2(_03094_),
+ sky130_fd_sc_hd__a211o_4 _08296_ (.A1(_03092_),
+    .A2(\u_m0_res_fifo.mem[5][12] ),
+    .B1(_03047_),
+    .C1(_03094_),
     .X(_03095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06989_ (.A1(_03059_),
-    .A2(_02561_),
-    .B1(_03074_),
-    .B2(_03095_),
+ sky130_fd_sc_hd__buf_2 _08297_ (.A(_02963_),
     .X(_03096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06990_ (.A(_02605_),
-    .Y(_03097_),
+ sky130_fd_sc_hd__buf_2 _08298_ (.A(_03027_),
+    .X(_03097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06991_ (.A1(_03080_),
-    .A2(_02593_),
-    .B1(_03082_),
-    .B2(_03097_),
+ sky130_fd_sc_hd__and2_4 _08299_ (.A(_03097_),
+    .B(\u_m0_res_fifo.mem[6][12] ),
     .X(_03098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06992_ (.A1(_03072_),
-    .A2(_03096_),
-    .B1(_03079_),
-    .B2(_03098_),
+ sky130_fd_sc_hd__a211o_4 _08300_ (.A1(_03096_),
+    .A2(\u_m0_res_fifo.mem[7][12] ),
+    .B1(_03078_),
+    .C1(_03098_),
     .X(_03099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06993_ (.A1(_03059_),
-    .A2(_03093_),
-    .B1(_03071_),
-    .B2(_03099_),
+ sky130_fd_sc_hd__and3_4 _08301_ (.A(_03045_),
+    .B(_03095_),
+    .C(_03099_),
     .X(_03100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06994_ (.A(_03100_),
-    .Y(_00636_),
+ sky130_fd_sc_hd__o32a_4 _08302_ (.A1(_03091_),
+    .A2(_03100_),
+    .A3(_03054_),
+    .B1(\u_spim_regs.spim_reg_rdata[12] ),
+    .B2(_03056_),
+    .X(_03101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06995_ (.A(\u_spictrl.u_txreg.data_int[0] ),
-    .Y(_03101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06996_ (.A1_N(_02737_),
-    .A2_N(\u_spictrl.u_txreg.data_int[3] ),
-    .B1(_02737_),
+ sky130_fd_sc_hd__o22a_4 _08303_ (.A1(wbd_dat_o[12]),
+    .A2(_03034_),
+    .B1(_03036_),
     .B2(_03101_),
+    .X(_01172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08304_ (.A(_03033_),
     .X(_03102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06997_ (.A1(_03067_),
-    .A2(_02561_),
-    .B1(_03074_),
-    .B2(_03102_),
+ sky130_fd_sc_hd__buf_2 _08305_ (.A(_03035_),
     .X(_03103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06998_ (.A(_02486_),
+ sky130_fd_sc_hd__buf_2 _08306_ (.A(_03037_),
     .X(_03104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06999_ (.A(_01999_),
+ sky130_fd_sc_hd__and2_4 _08307_ (.A(_03084_),
+    .B(\u_m0_res_fifo.mem[0][11] ),
     .X(_03105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07000_ (.A(_03081_),
+ sky130_fd_sc_hd__a211o_4 _08308_ (.A1(_03083_),
+    .A2(\u_m0_res_fifo.mem[1][11] ),
+    .B1(_03104_),
+    .C1(_03105_),
     .X(_03106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07001_ (.A(_02658_),
-    .Y(_03107_),
+ sky130_fd_sc_hd__and2_4 _08309_ (.A(_03088_),
+    .B(\u_m0_res_fifo.mem[2][11] ),
+    .X(_03107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07002_ (.A1(_03105_),
-    .A2(_02649_),
-    .B1(_03106_),
-    .B2(_03107_),
+ sky130_fd_sc_hd__a211o_4 _08310_ (.A1(_03087_),
+    .A2(\u_m0_res_fifo.mem[3][11] ),
+    .B1(_03072_),
+    .C1(_03107_),
     .X(_03108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07003_ (.A1(_03072_),
-    .A2(_03103_),
-    .B1(_03104_),
-    .B2(_03108_),
+ sky130_fd_sc_hd__and3_4 _08311_ (.A(_03069_),
+    .B(_03106_),
+    .C(_03108_),
     .X(_03109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07004_ (.A1(_03067_),
-    .A2(_03093_),
-    .B1(_03071_),
-    .B2(_03109_),
+ sky130_fd_sc_hd__buf_2 _08312_ (.A(_03044_),
     .X(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07005_ (.A(_03110_),
-    .Y(_00635_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07006_ (.A1(_03003_),
-    .A2(_03086_),
-    .A3(_02562_),
-    .B1(_03076_),
-    .B2(_03073_),
+ sky130_fd_sc_hd__buf_2 _08313_ (.A(_03046_),
     .X(_03111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07007_ (.A(_02712_),
-    .Y(_03112_),
+ sky130_fd_sc_hd__and2_4 _08314_ (.A(_03093_),
+    .B(\u_m0_res_fifo.mem[4][11] ),
+    .X(_03112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07008_ (.A1(_03105_),
-    .A2(_02703_),
-    .B1(_03106_),
-    .B2(_03112_),
+ sky130_fd_sc_hd__a211o_4 _08315_ (.A1(_03092_),
+    .A2(\u_m0_res_fifo.mem[5][11] ),
+    .B1(_03111_),
+    .C1(_03112_),
     .X(_03113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07009_ (.A1(_02559_),
-    .A2(_03111_),
-    .B1(_03104_),
-    .B2(_03113_),
+ sky130_fd_sc_hd__and2_4 _08316_ (.A(_03097_),
+    .B(\u_m0_res_fifo.mem[6][11] ),
     .X(_03114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07010_ (.A1(_03076_),
-    .A2(_03093_),
-    .B1(_02558_),
-    .B2(_03114_),
+ sky130_fd_sc_hd__a211o_4 _08317_ (.A1(_03096_),
+    .A2(\u_m0_res_fifo.mem[7][11] ),
+    .B1(_03078_),
+    .C1(_03114_),
     .X(_03115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07011_ (.A(_03115_),
-    .Y(_00634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07012_ (.A1(_03003_),
-    .A2(_03094_),
-    .A3(_02562_),
-    .B1(_03086_),
-    .B2(_03073_),
+ sky130_fd_sc_hd__and3_4 _08318_ (.A(_03110_),
+    .B(_03113_),
+    .C(_03115_),
     .X(_03116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07013_ (.A(_02774_),
-    .Y(_03117_),
+ sky130_fd_sc_hd__buf_2 _08319_ (.A(_03053_),
+    .X(_03117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07014_ (.A1(_03105_),
-    .A2(_02766_),
-    .B1(_03106_),
-    .B2(_03117_),
+ sky130_fd_sc_hd__buf_2 _08320_ (.A(_03055_),
     .X(_03118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07015_ (.A1(_02559_),
+ sky130_fd_sc_hd__o32a_4 _08321_ (.A1(_03109_),
     .A2(_03116_),
-    .B1(_03104_),
+    .A3(_03117_),
+    .B1(\u_spim_regs.spim_reg_rdata[11] ),
     .B2(_03118_),
     .X(_03119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07016_ (.A1(_03086_),
-    .A2(_03093_),
-    .B1(_02558_),
+ sky130_fd_sc_hd__o22a_4 _08322_ (.A1(wbd_dat_o[11]),
+    .A2(_03102_),
+    .B1(_03103_),
     .B2(_03119_),
+    .X(_01171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08323_ (.A(_03084_),
+    .B(\u_m0_res_fifo.mem[0][10] ),
     .X(_03120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07017_ (.A(_03120_),
-    .Y(_00633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07018_ (.A1(_03003_),
-    .A2(_03101_),
-    .A3(_02562_),
-    .B1(_03094_),
-    .B2(_03073_),
+ sky130_fd_sc_hd__a211o_4 _08324_ (.A1(_03083_),
+    .A2(\u_m0_res_fifo.mem[1][10] ),
+    .B1(_03104_),
+    .C1(_03120_),
     .X(_03121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07019_ (.A(_02822_),
-    .Y(_03122_),
+ sky130_fd_sc_hd__and2_4 _08325_ (.A(_03088_),
+    .B(\u_m0_res_fifo.mem[2][10] ),
+    .X(_03122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07020_ (.A1(_03105_),
-    .A2(_02814_),
-    .B1(_03106_),
-    .B2(_03122_),
+ sky130_fd_sc_hd__a211o_4 _08326_ (.A1(_03087_),
+    .A2(\u_m0_res_fifo.mem[3][10] ),
+    .B1(_03072_),
+    .C1(_03122_),
     .X(_03123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07021_ (.A1(_02559_),
-    .A2(_03121_),
-    .B1(_03104_),
-    .B2(_03123_),
+ sky130_fd_sc_hd__and3_4 _08327_ (.A(_03069_),
+    .B(_03121_),
+    .C(_03123_),
     .X(_03124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07022_ (.A1(_03094_),
-    .A2(_02295_),
-    .B1(_02558_),
-    .B2(_03124_),
+ sky130_fd_sc_hd__and2_4 _08328_ (.A(_03093_),
+    .B(\u_m0_res_fifo.mem[4][10] ),
     .X(_03125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07023_ (.A(_03125_),
-    .Y(_00632_),
+ sky130_fd_sc_hd__a211o_4 _08329_ (.A1(_03092_),
+    .A2(\u_m0_res_fifo.mem[5][10] ),
+    .B1(_03111_),
+    .C1(_03125_),
+    .X(_03126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07024_ (.A(_02873_),
-    .Y(_03126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07025_ (.A1(_03080_),
-    .A2(_02865_),
-    .B1(_03082_),
-    .B2(_03126_),
+ sky130_fd_sc_hd__and2_4 _08330_ (.A(_03097_),
+    .B(\u_m0_res_fifo.mem[6][10] ),
     .X(_03127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07026_ (.A1(_02677_),
-    .A2(_03127_),
-    .A3(_03079_),
-    .B1(_03101_),
-    .B2(_02993_),
+ sky130_fd_sc_hd__a211o_4 _08331_ (.A1(_03096_),
+    .A2(\u_m0_res_fifo.mem[7][10] ),
+    .B1(_03078_),
+    .C1(_03127_),
     .X(_03128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07027_ (.A(_03063_),
-    .B(_03128_),
-    .Y(_00631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07028_ (.A(psn_net_52),
+ sky130_fd_sc_hd__and3_4 _08332_ (.A(_03110_),
+    .B(_03126_),
+    .C(_03128_),
     .X(_03129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07029_ (.A(_03129_),
+ sky130_fd_sc_hd__o32a_4 _08333_ (.A1(_03124_),
+    .A2(_03129_),
+    .A3(_03117_),
+    .B1(\u_spim_regs.spim_reg_rdata[10] ),
+    .B2(_03118_),
     .X(_03130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07030_ (.A(_03130_),
-    .B(_02266_),
+ sky130_fd_sc_hd__o22a_4 _08334_ (.A1(wbd_dat_o[10]),
+    .A2(_03102_),
+    .B1(_03103_),
+    .B2(_03130_),
+    .X(_01170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08335_ (.A(_02928_),
     .X(_03131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07031_ (.A(_01524_),
+ sky130_fd_sc_hd__and2_4 _08336_ (.A(_03084_),
+    .B(\u_m0_res_fifo.mem[0][9] ),
     .X(_03132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07032_ (.A1(_02248_),
-    .A2(_00059_),
-    .B1(_02282_),
+ sky130_fd_sc_hd__a211o_4 _08337_ (.A1(_03083_),
+    .A2(\u_m0_res_fifo.mem[1][9] ),
+    .B1(_03104_),
+    .C1(_03132_),
     .X(_03133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07033_ (.A(_03133_),
-    .Y(_03134_),
+ sky130_fd_sc_hd__buf_2 _08338_ (.A(_02756_),
+    .X(_03134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07034_ (.A(_03132_),
-    .B(_03134_),
+ sky130_fd_sc_hd__and2_4 _08339_ (.A(_03088_),
+    .B(\u_m0_res_fifo.mem[2][9] ),
     .X(_03135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07035_ (.A(\u_spictrl.spi_sdo3 ),
-    .Y(_03136_),
+ sky130_fd_sc_hd__a211o_4 _08340_ (.A1(_03087_),
+    .A2(\u_m0_res_fifo.mem[3][9] ),
+    .B1(_03134_),
+    .C1(_03135_),
+    .X(_03136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07036_ (.A(_03131_),
-    .Y(_03137_),
+ sky130_fd_sc_hd__and3_4 _08341_ (.A(_03131_),
+    .B(_03133_),
+    .C(_03136_),
+    .X(_03137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07037_ (.A1(_02473_),
-    .A2(_03131_),
-    .A3(_03135_),
-    .B1(_03136_),
-    .B2(_03137_),
+ sky130_fd_sc_hd__and2_4 _08342_ (.A(_03093_),
+    .B(\u_m0_res_fifo.mem[4][9] ),
     .X(_03138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07038_ (.A(_03138_),
-    .Y(_00630_),
+ sky130_fd_sc_hd__a211o_4 _08343_ (.A1(_03092_),
+    .A2(\u_m0_res_fifo.mem[5][9] ),
+    .B1(_03111_),
+    .C1(_03138_),
+    .X(_03139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07039_ (.A(\u_spictrl.spi_sdo2 ),
-    .Y(_03139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07040_ (.A1(_02556_),
-    .A2(_03131_),
-    .A3(_03135_),
-    .B1(_03139_),
-    .B2(_03137_),
+ sky130_fd_sc_hd__buf_2 _08344_ (.A(_02938_),
     .X(_03140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07041_ (.A(_03140_),
-    .Y(_00629_),
+ sky130_fd_sc_hd__and2_4 _08345_ (.A(_03097_),
+    .B(\u_m0_res_fifo.mem[6][9] ),
+    .X(_03141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07042_ (.A1(_02265_),
-    .A2(_03134_),
-    .A3(_02623_),
-    .B1(\u_spictrl.spi_sdo1 ),
-    .B2(_03137_),
-    .X(_00628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07043_ (.A(\u_spictrl.spi_sdo0 ),
-    .Y(_03141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07044_ (.A(_02675_),
-    .B(_03134_),
+ sky130_fd_sc_hd__a211o_4 _08346_ (.A1(_03096_),
+    .A2(\u_m0_res_fifo.mem[7][9] ),
+    .B1(_03140_),
+    .C1(_03141_),
     .X(_03142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07045_ (.A(_03130_),
+ sky130_fd_sc_hd__and3_4 _08347_ (.A(_03110_),
+    .B(_03139_),
+    .C(_03142_),
     .X(_03143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07046_ (.A1(_02473_),
-    .A2(_03133_),
-    .B1(_03143_),
-    .C1(_02267_),
+ sky130_fd_sc_hd__o32a_4 _08348_ (.A1(_03137_),
+    .A2(_03143_),
+    .A3(_03117_),
+    .B1(\u_spim_regs.spim_reg_rdata[9] ),
+    .B2(_03118_),
     .X(_03144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07047_ (.A1(_03141_),
-    .A2(_03131_),
-    .B1(_03142_),
+ sky130_fd_sc_hd__o22a_4 _08349_ (.A1(wbd_dat_o[9]),
+    .A2(_03102_),
+    .B1(_03103_),
     .B2(_03144_),
+    .X(_01169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08350_ (.A(_02944_),
     .X(_03145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07048_ (.A(_03145_),
-    .Y(_00627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07049_ (.A(_03132_),
+ sky130_fd_sc_hd__buf_2 _08351_ (.A(_02946_),
     .X(_03146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07050_ (.A(psn_net_63),
-    .B(_00983_),
-    .C(_00986_),
-    .D(_01284_),
+ sky130_fd_sc_hd__and2_4 _08352_ (.A(_03146_),
+    .B(\u_m0_res_fifo.mem[0][8] ),
     .X(_03147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07051_ (.A(_03147_),
+ sky130_fd_sc_hd__a211o_4 _08353_ (.A1(_03145_),
+    .A2(\u_m0_res_fifo.mem[1][8] ),
+    .B1(_03104_),
+    .C1(_03147_),
     .X(_03148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07052_ (.A(_02309_),
+ sky130_fd_sc_hd__buf_2 _08354_ (.A(_02950_),
     .X(_03149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07053_ (.A(_03147_),
-    .Y(_03150_),
+ sky130_fd_sc_hd__buf_2 _08355_ (.A(_02952_),
+    .X(_03150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07054_ (.A(_03150_),
+ sky130_fd_sc_hd__and2_4 _08356_ (.A(_03150_),
+    .B(\u_m0_res_fifo.mem[2][8] ),
     .X(_03151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07055_ (.A(\u_spictrl.cfg_data_cnt[7] ),
-    .B(_03151_),
+ sky130_fd_sc_hd__a211o_4 _08357_ (.A1(_03149_),
+    .A2(\u_m0_res_fifo.mem[3][8] ),
+    .B1(_03134_),
+    .C1(_03151_),
     .X(_03152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07056_ (.A1(_03146_),
-    .A2(_03148_),
-    .A3(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .B1(_03149_),
-    .B2(_03152_),
-    .X(_00626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07057_ (.A(_03150_),
+ sky130_fd_sc_hd__and3_4 _08358_ (.A(_03131_),
+    .B(_03148_),
+    .C(_03152_),
     .X(_03153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07058_ (.A(psn_net_50),
-    .B(_03150_),
+ sky130_fd_sc_hd__buf_2 _08359_ (.A(_02957_),
     .X(_03154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07059_ (.A(_03154_),
-    .Y(_03155_),
+ sky130_fd_sc_hd__buf_2 _08360_ (.A(_02959_),
+    .X(_03155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07060_ (.A(_03155_),
+ sky130_fd_sc_hd__and2_4 _08361_ (.A(_03155_),
+    .B(\u_m0_res_fifo.mem[4][8] ),
     .X(_03156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07061_ (.A1(\u_spictrl.cfg_data_cnt[6] ),
-    .A2(_03153_),
-    .A3(_03149_),
-    .B1(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .B2(_03156_),
-    .X(_00625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07062_ (.A(\u_spictrl.cfg_data_cnt[5] ),
-    .B(_03151_),
+ sky130_fd_sc_hd__a211o_4 _08362_ (.A1(_03154_),
+    .A2(\u_m0_res_fifo.mem[5][8] ),
+    .B1(_03111_),
+    .C1(_03156_),
     .X(_03157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07063_ (.A(_02289_),
-    .B(_03154_),
+ sky130_fd_sc_hd__buf_2 _08363_ (.A(_02963_),
     .X(_03158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07064_ (.A1(_03149_),
-    .A2(_03152_),
-    .B1(_03157_),
-    .B2(_03158_),
+ sky130_fd_sc_hd__buf_2 _08364_ (.A(_03027_),
     .X(_03159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _07065_ (.A1(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .A2(_03156_),
-    .B1(_03159_),
-    .X(_00624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07066_ (.A(_03132_),
+ sky130_fd_sc_hd__and2_4 _08365_ (.A(_03159_),
+    .B(\u_m0_res_fifo.mem[6][8] ),
     .X(_03160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07067_ (.A(_03153_),
+ sky130_fd_sc_hd__a211o_4 _08366_ (.A1(_03158_),
+    .A2(\u_m0_res_fifo.mem[7][8] ),
+    .B1(_03140_),
+    .C1(_03160_),
     .X(_03161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07068_ (.A1(_03160_),
-    .A2(_03148_),
-    .A3(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .B1(_02307_),
-    .B2(_03161_),
-    .X(_00623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07069_ (.A(\u_spictrl.cfg_data_cnt[3] ),
-    .B(_03151_),
+ sky130_fd_sc_hd__and3_4 _08367_ (.A(_03110_),
+    .B(_03157_),
+    .C(_03161_),
     .X(_03162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07070_ (.A1(_03149_),
-    .A2(_03157_),
-    .B1(_03158_),
-    .B2(_03162_),
+ sky130_fd_sc_hd__o32a_4 _08368_ (.A1(_03153_),
+    .A2(_03162_),
+    .A3(_03117_),
+    .B1(\u_spim_regs.spim_reg_rdata[8] ),
+    .B2(_03118_),
     .X(_03163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _07071_ (.A1(\u_spictrl.u_rxreg.counter_trgt[6] ),
-    .A2(_03156_),
-    .B1(_03163_),
-    .X(_00622_),
+ sky130_fd_sc_hd__o22a_4 _08369_ (.A1(wbd_dat_o[8]),
+    .A2(_03102_),
+    .B1(_03103_),
+    .B2(_03163_),
+    .X(_01168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07072_ (.A1(_03160_),
-    .A2(_03148_),
-    .A3(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .B1(_02311_),
-    .B2(_03161_),
-    .X(_00621_),
+ sky130_fd_sc_hd__buf_2 _08370_ (.A(_03033_),
+    .X(_03164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07073_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
-    .Y(_03164_),
+ sky130_fd_sc_hd__buf_2 _08371_ (.A(_03035_),
+    .X(_03165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07074_ (.A(_02325_),
-    .B(_03162_),
-    .Y(_03165_),
+ sky130_fd_sc_hd__buf_2 _08372_ (.A(_03037_),
+    .X(_03166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _07075_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
-    .A2(_03153_),
-    .B1(_03158_),
-    .Y(_03166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07076_ (.A1(_03164_),
-    .A2(_03154_),
-    .B1(_03165_),
-    .B2(_03166_),
+ sky130_fd_sc_hd__and2_4 _08373_ (.A(_03146_),
+    .B(\u_m0_res_fifo.mem[0][7] ),
     .X(_03167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07077_ (.A(_03167_),
-    .Y(_00620_),
+ sky130_fd_sc_hd__a211o_4 _08374_ (.A1(_03145_),
+    .A2(\u_m0_res_fifo.mem[1][7] ),
+    .B1(_03166_),
+    .C1(_03167_),
+    .X(_03168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07078_ (.A(\u_spictrl.cfg_data_cnt[2] ),
-    .Y(_03168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07079_ (.A1_N(_03168_),
-    .A2_N(_02325_),
-    .B1(\u_spictrl.cfg_data_cnt[0] ),
-    .B2(_02325_),
+ sky130_fd_sc_hd__and2_4 _08375_ (.A(_03150_),
+    .B(\u_m0_res_fifo.mem[2][7] ),
     .X(_03169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07080_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
-    .B(_03148_),
+ sky130_fd_sc_hd__a211o_4 _08376_ (.A1(_03149_),
+    .A2(\u_m0_res_fifo.mem[3][7] ),
+    .B1(_03134_),
+    .C1(_03169_),
     .X(_03170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07081_ (.A1(_03161_),
-    .A2(_03169_),
-    .B1(_02246_),
-    .C1(_03170_),
-    .X(_00619_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07082_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
-    .A2(_03153_),
-    .A3(_02345_),
-    .B1(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .B2(_03156_),
-    .X(_00618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07083_ (.A(\u_spictrl.u_rxreg.counter_trgt[1] ),
+ sky130_fd_sc_hd__and3_4 _08377_ (.A(_03131_),
+    .B(_03168_),
+    .C(_03170_),
     .X(_03171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07084_ (.A1(\u_spictrl.cfg_data_cnt[0] ),
-    .A2(_02291_),
-    .A3(_03161_),
-    .B1(_03171_),
-    .B2(_03155_),
-    .X(_00617_),
+ sky130_fd_sc_hd__buf_2 _08378_ (.A(_03044_),
+    .X(_03172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07085_ (.A(\u_spictrl.u_rxreg.counter[8] ),
-    .Y(_03172_),
+ sky130_fd_sc_hd__buf_2 _08379_ (.A(_03046_),
+    .X(_03173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07086_ (.A(\u_spictrl.u_rxreg.counter[7] ),
-    .Y(_03173_),
+ sky130_fd_sc_hd__and2_4 _08380_ (.A(_03155_),
+    .B(\u_m0_res_fifo.mem[4][7] ),
+    .X(_03174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07087_ (.A(\u_spictrl.spi_rise ),
-    .Y(_03174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07088_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
-    .B(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .C(_03174_),
-    .D(\u_spictrl.u_rxreg.rx_CS[0] ),
+ sky130_fd_sc_hd__a211o_4 _08381_ (.A1(_03154_),
+    .A2(\u_m0_res_fifo.mem[5][7] ),
+    .B1(_03173_),
+    .C1(_03174_),
     .X(_03175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07089_ (.A(\u_spictrl.u_rxreg.counter[6] ),
-    .Y(_03176_),
+ sky130_fd_sc_hd__and2_4 _08382_ (.A(_03159_),
+    .B(\u_m0_res_fifo.mem[6][7] ),
+    .X(_03176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07090_ (.A(\u_spictrl.u_rxreg.counter[5] ),
-    .Y(_03177_),
+ sky130_fd_sc_hd__a211o_4 _08383_ (.A1(_03158_),
+    .A2(\u_m0_res_fifo.mem[7][7] ),
+    .B1(_03140_),
+    .C1(_03176_),
+    .X(_03177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07091_ (.A(\u_spictrl.u_rxreg.counter[4] ),
-    .Y(_03178_),
+ sky130_fd_sc_hd__and3_4 _08384_ (.A(_03172_),
+    .B(_03175_),
+    .C(_03177_),
+    .X(_03178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07092_ (.A(\u_spictrl.u_rxreg.counter[3] ),
-    .Y(_03179_),
+ sky130_fd_sc_hd__buf_2 _08385_ (.A(_03053_),
+    .X(_03179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07093_ (.A(_03178_),
-    .B(_03179_),
+ sky130_fd_sc_hd__buf_2 _08386_ (.A(_03055_),
     .X(_03180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07094_ (.A(\u_spictrl.u_rxreg.counter[2] ),
-    .Y(_03181_),
+ sky130_fd_sc_hd__o32a_4 _08387_ (.A1(_03171_),
+    .A2(_03178_),
+    .A3(_03179_),
+    .B1(\u_spim_regs.spim_reg_rdata[7] ),
+    .B2(_03180_),
+    .X(_03181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07095_ (.A(\u_spictrl.u_rxreg.counter[1] ),
-    .Y(_03182_),
+ sky130_fd_sc_hd__o22a_4 _08388_ (.A1(wbd_dat_o[7]),
+    .A2(_03164_),
+    .B1(_03165_),
+    .B2(_03181_),
+    .X(_01167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07096_ (.A(\u_spictrl.u_rxreg.counter[0] ),
-    .Y(_03183_),
+ sky130_fd_sc_hd__and2_4 _08389_ (.A(_03146_),
+    .B(\u_m0_res_fifo.mem[0][6] ),
+    .X(_03182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07097_ (.A(_03181_),
-    .B(_03182_),
-    .C(_03183_),
+ sky130_fd_sc_hd__a211o_4 _08390_ (.A1(_03145_),
+    .A2(\u_m0_res_fifo.mem[1][6] ),
+    .B1(_03166_),
+    .C1(_03182_),
+    .X(_03183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08391_ (.A(_03150_),
+    .B(\u_m0_res_fifo.mem[2][6] ),
     .X(_03184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07098_ (.A(_03176_),
-    .B(_03177_),
-    .C(_03180_),
-    .D(_03184_),
+ sky130_fd_sc_hd__a211o_4 _08392_ (.A1(_03149_),
+    .A2(\u_m0_res_fifo.mem[3][6] ),
+    .B1(_03134_),
+    .C1(_03184_),
     .X(_03185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07099_ (.A(_03175_),
-    .B(_03185_),
+ sky130_fd_sc_hd__and3_4 _08393_ (.A(_03131_),
+    .B(_03183_),
+    .C(_03185_),
     .X(_03186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07100_ (.A(_03173_),
-    .B(_03186_),
+ sky130_fd_sc_hd__and2_4 _08394_ (.A(_03155_),
+    .B(\u_m0_res_fifo.mem[4][6] ),
     .X(_03187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07101_ (.A(_03172_),
-    .B(_03187_),
+ sky130_fd_sc_hd__a211o_4 _08395_ (.A1(_03154_),
+    .A2(\u_m0_res_fifo.mem[5][6] ),
+    .B1(_03173_),
+    .C1(_03187_),
     .X(_03188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07102_ (.A(_03188_),
-    .Y(_03189_),
+ sky130_fd_sc_hd__and2_4 _08396_ (.A(_03159_),
+    .B(\u_m0_res_fifo.mem[6][6] ),
+    .X(_03189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07103_ (.A(\u_spictrl.u_rxreg.counter[9] ),
-    .B(_03189_),
+ sky130_fd_sc_hd__a211o_4 _08397_ (.A1(_03158_),
+    .A2(\u_m0_res_fifo.mem[7][6] ),
+    .B1(_03140_),
+    .C1(_03189_),
     .X(_03190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07104_ (.A(\u_spictrl.u_rxreg.counter[10] ),
-    .B(_03190_),
+ sky130_fd_sc_hd__and3_4 _08398_ (.A(_03172_),
+    .B(_03188_),
+    .C(_03190_),
     .X(_03191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07105_ (.A(\u_spictrl.u_rxreg.counter[11] ),
-    .B(_03191_),
+ sky130_fd_sc_hd__o32a_4 _08399_ (.A1(_03186_),
+    .A2(_03191_),
+    .A3(_03179_),
+    .B1(\u_spim_regs.spim_reg_rdata[6] ),
+    .B2(_03180_),
     .X(_03192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07106_ (.A(\u_spictrl.u_rxreg.counter[12] ),
-    .B(_03192_),
-    .C(\u_spictrl.u_rxreg.counter[13] ),
-    .D(\u_spictrl.u_rxreg.counter[14] ),
+ sky130_fd_sc_hd__o22a_4 _08400_ (.A1(wbd_dat_o[6]),
+    .A2(_03164_),
+    .B1(_03165_),
+    .B2(_03192_),
+    .X(_01166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08401_ (.A(_02741_),
     .X(_03193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07107_ (.A1_N(\u_spictrl.u_rxreg.counter[15] ),
-    .A2_N(_03193_),
-    .B1(\u_spictrl.u_rxreg.counter[15] ),
-    .B2(_03193_),
+ sky130_fd_sc_hd__and2_4 _08402_ (.A(_03146_),
+    .B(\u_m0_res_fifo.mem[0][5] ),
     .X(_03194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07108_ (.A(_03175_),
-    .Y(_03195_),
+ sky130_fd_sc_hd__a211o_4 _08403_ (.A1(_03145_),
+    .A2(\u_m0_res_fifo.mem[1][5] ),
+    .B1(_03166_),
+    .C1(_03194_),
+    .X(_03195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07109_ (.A(\u_spictrl.rx_done ),
-    .B(_03195_),
+ sky130_fd_sc_hd__buf_2 _08404_ (.A(_02756_),
     .X(_03196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07110_ (.A(psn_net_54),
-    .B(_03196_),
+ sky130_fd_sc_hd__and2_4 _08405_ (.A(_03150_),
+    .B(\u_m0_res_fifo.mem[2][5] ),
     .X(_03197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07111_ (.A(_03197_),
+ sky130_fd_sc_hd__a211o_4 _08406_ (.A1(_03149_),
+    .A2(\u_m0_res_fifo.mem[3][5] ),
+    .B1(_03196_),
+    .C1(_03197_),
     .X(_03198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07112_ (.A(_03198_),
+ sky130_fd_sc_hd__and3_4 _08407_ (.A(_03193_),
+    .B(_03195_),
+    .C(_03198_),
     .X(_03199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07113_ (.A(_03194_),
-    .B(_03199_),
-    .Y(_00616_),
+ sky130_fd_sc_hd__and2_4 _08408_ (.A(_03155_),
+    .B(\u_m0_res_fifo.mem[4][5] ),
+    .X(_03200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07114_ (.A(\u_spictrl.u_rxreg.counter[14] ),
-    .Y(_03200_),
+ sky130_fd_sc_hd__a211o_4 _08409_ (.A1(_03154_),
+    .A2(\u_m0_res_fifo.mem[5][5] ),
+    .B1(_03173_),
+    .C1(_03200_),
+    .X(_03201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07115_ (.A(\u_spictrl.u_rxreg.counter[13] ),
-    .Y(_03201_),
+ sky130_fd_sc_hd__buf_2 _08410_ (.A(_02757_),
+    .X(_03202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07116_ (.A(\u_spictrl.u_rxreg.counter[12] ),
-    .Y(_03202_),
+ sky130_fd_sc_hd__and2_4 _08411_ (.A(_03159_),
+    .B(\u_m0_res_fifo.mem[6][5] ),
+    .X(_03203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07117_ (.A(_03192_),
-    .Y(_03203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07118_ (.A(_03202_),
-    .B(_03203_),
+ sky130_fd_sc_hd__a211o_4 _08412_ (.A1(_03158_),
+    .A2(\u_m0_res_fifo.mem[7][5] ),
+    .B1(_03202_),
+    .C1(_03203_),
     .X(_03204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07119_ (.A(_03201_),
-    .B(_03204_),
+ sky130_fd_sc_hd__and3_4 _08413_ (.A(_03172_),
+    .B(_03201_),
+    .C(_03204_),
     .X(_03205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _07120_ (.A1(_03200_),
+ sky130_fd_sc_hd__o32a_4 _08414_ (.A1(_03199_),
     .A2(_03205_),
-    .B1(_03193_),
+    .A3(_03179_),
+    .B1(\u_spim_regs.spim_reg_rdata[5] ),
+    .B2(_03180_),
     .X(_03206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07121_ (.A(_03199_),
-    .B(_03206_),
-    .Y(_00615_),
+ sky130_fd_sc_hd__o22a_4 _08415_ (.A1(wbd_dat_o[5]),
+    .A2(_03164_),
+    .B1(_03165_),
+    .B2(_03206_),
+    .X(_01165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _07122_ (.A1(_03201_),
-    .A2(_03204_),
-    .B1_N(_03205_),
+ sky130_fd_sc_hd__buf_2 _08416_ (.A(_02766_),
     .X(_03207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07123_ (.A(_03199_),
-    .B(_03207_),
-    .Y(_00614_),
+ sky130_fd_sc_hd__buf_2 _08417_ (.A(_02771_),
+    .X(_03208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07124_ (.A(_03197_),
-    .Y(_03208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07125_ (.A1(\u_spictrl.u_rxreg.counter[12] ),
-    .A2(_03192_),
-    .B1(_03204_),
+ sky130_fd_sc_hd__and2_4 _08418_ (.A(_03208_),
+    .B(\u_m0_res_fifo.mem[0][4] ),
     .X(_03209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07126_ (.A(_03208_),
-    .B(_03209_),
-    .X(_00613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07127_ (.A1(\u_spictrl.u_rxreg.counter[11] ),
-    .A2(_03191_),
-    .B1(_03203_),
+ sky130_fd_sc_hd__a211o_4 _08419_ (.A1(_03207_),
+    .A2(\u_m0_res_fifo.mem[1][4] ),
+    .B1(_03166_),
+    .C1(_03209_),
     .X(_03210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07128_ (.A(_03208_),
-    .B(_03210_),
-    .X(_00612_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07129_ (.A(_01524_),
+ sky130_fd_sc_hd__buf_2 _08420_ (.A(_02744_),
     .X(_03211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07130_ (.A(_03211_),
+ sky130_fd_sc_hd__buf_2 _08421_ (.A(_02750_),
     .X(_03212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07131_ (.A(_03175_),
+ sky130_fd_sc_hd__and2_4 _08422_ (.A(_03212_),
+    .B(\u_m0_res_fifo.mem[2][4] ),
     .X(_03213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07132_ (.A(\u_spictrl.u_rxreg.counter[9] ),
-    .Y(_03214_),
+ sky130_fd_sc_hd__a211o_4 _08423_ (.A1(_03211_),
+    .A2(\u_m0_res_fifo.mem[3][4] ),
+    .B1(_03196_),
+    .C1(_03213_),
+    .X(_03214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07133_ (.A(\u_spictrl.u_rxreg.counter[10] ),
-    .Y(_03215_),
+ sky130_fd_sc_hd__and3_4 _08424_ (.A(_03193_),
+    .B(_03210_),
+    .C(_03214_),
+    .X(_03215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07134_ (.A(_03214_),
-    .B(_03172_),
-    .C(_03173_),
-    .D(_03215_),
+ sky130_fd_sc_hd__buf_2 _08425_ (.A(_02754_),
     .X(_03216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07135_ (.A(\u_spictrl.rx_done ),
-    .Y(_03217_),
+ sky130_fd_sc_hd__buf_2 _08426_ (.A(_02759_),
+    .X(_03217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07136_ (.A(_03217_),
+ sky130_fd_sc_hd__and2_4 _08427_ (.A(_03217_),
+    .B(\u_m0_res_fifo.mem[4][4] ),
     .X(_03218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07137_ (.A1(_03185_),
-    .A2(_03216_),
-    .B1(_03218_),
+ sky130_fd_sc_hd__a211o_4 _08428_ (.A1(_03216_),
+    .A2(\u_m0_res_fifo.mem[5][4] ),
+    .B1(_03173_),
+    .C1(_03218_),
     .X(_03219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07138_ (.A1(\u_spictrl.u_rxreg.counter[10] ),
-    .A2(_03190_),
-    .B1(_03213_),
-    .B2(_03219_),
+ sky130_fd_sc_hd__buf_2 _08429_ (.A(_02767_),
     .X(_03220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07139_ (.A(_03212_),
-    .B(_03220_),
-    .X(_00611_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07140_ (.A(_03196_),
+ sky130_fd_sc_hd__buf_2 _08430_ (.A(_03027_),
     .X(_03221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07141_ (.A(_03221_),
+ sky130_fd_sc_hd__and2_4 _08431_ (.A(_03221_),
+    .B(\u_m0_res_fifo.mem[6][4] ),
     .X(_03222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07142_ (.A1(_03214_),
-    .A2(_03188_),
-    .B1(_03190_),
+ sky130_fd_sc_hd__a211o_4 _08432_ (.A1(_03220_),
+    .A2(\u_m0_res_fifo.mem[7][4] ),
+    .B1(_03202_),
     .C1(_03222_),
     .X(_03223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07143_ (.A(_03223_),
-    .Y(_03224_),
+ sky130_fd_sc_hd__and3_4 _08433_ (.A(_03172_),
+    .B(_03219_),
+    .C(_03223_),
+    .X(_03224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07144_ (.A(_03212_),
-    .B(_03224_),
-    .X(_00610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07145_ (.A1(_03172_),
-    .A2(_03187_),
-    .B1(_03189_),
-    .C1(_03222_),
+ sky130_fd_sc_hd__o32a_4 _08434_ (.A1(_03215_),
+    .A2(_03224_),
+    .A3(_03179_),
+    .B1(\u_spim_regs.spim_reg_rdata[4] ),
+    .B2(_03180_),
     .X(_03225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07146_ (.A(_03063_),
-    .B(_03225_),
-    .Y(_00609_),
+ sky130_fd_sc_hd__o22a_4 _08435_ (.A1(wbd_dat_o[4]),
+    .A2(_03164_),
+    .B1(_03165_),
+    .B2(_03225_),
+    .X(_01164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _07147_ (.A1(_03173_),
-    .A2(_03186_),
-    .B1_N(_03187_),
+ sky130_fd_sc_hd__buf_2 _08436_ (.A(_03033_),
     .X(_03226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07148_ (.A(_03199_),
-    .B(_03226_),
-    .Y(_00608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07149_ (.A(_03175_),
-    .B(_03184_),
+ sky130_fd_sc_hd__buf_2 _08437_ (.A(_03035_),
     .X(_03227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07150_ (.A(_03180_),
-    .B(_03227_),
+ sky130_fd_sc_hd__buf_2 _08438_ (.A(_03037_),
     .X(_03228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07151_ (.A(_03228_),
-    .Y(_03229_),
+ sky130_fd_sc_hd__and2_4 _08439_ (.A(_03208_),
+    .B(\u_m0_res_fifo.mem[0][3] ),
+    .X(_03229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07152_ (.A(\u_spictrl.u_rxreg.counter[5] ),
-    .B(_03229_),
+ sky130_fd_sc_hd__a211o_4 _08440_ (.A1(_03207_),
+    .A2(\u_m0_res_fifo.mem[1][3] ),
+    .B1(_03228_),
+    .C1(_03229_),
     .X(_03230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07153_ (.A(_03217_),
-    .B(_03185_),
+ sky130_fd_sc_hd__and2_4 _08441_ (.A(_03212_),
+    .B(\u_m0_res_fifo.mem[2][3] ),
     .X(_03231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07154_ (.A1(\u_spictrl.u_rxreg.counter[6] ),
-    .A2(_03230_),
-    .B1(_03213_),
-    .B2(_03231_),
+ sky130_fd_sc_hd__a211o_4 _08442_ (.A1(_03211_),
+    .A2(\u_m0_res_fifo.mem[3][3] ),
+    .B1(_03196_),
+    .C1(_03231_),
     .X(_03232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07155_ (.A(_03212_),
-    .B(_03232_),
-    .X(_00607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _07156_ (.A1(_03177_),
-    .A2(_03228_),
-    .B1(_03230_),
+ sky130_fd_sc_hd__and3_4 _08443_ (.A(_03193_),
+    .B(_03230_),
+    .C(_03232_),
     .X(_03233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07157_ (.A(_03198_),
-    .B(_03233_),
-    .Y(_00606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07158_ (.A(_03227_),
+ sky130_fd_sc_hd__buf_2 _08444_ (.A(_03044_),
     .X(_03234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07159_ (.A1(_03179_),
-    .A2(_03234_),
-    .B1(_03178_),
+ sky130_fd_sc_hd__buf_2 _08445_ (.A(_03046_),
     .X(_03235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07160_ (.A(_03229_),
-    .B(_03196_),
-    .C(_03235_),
+ sky130_fd_sc_hd__and2_4 _08446_ (.A(_03217_),
+    .B(\u_m0_res_fifo.mem[4][3] ),
     .X(_03236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07161_ (.A(_03236_),
-    .Y(_03237_),
+ sky130_fd_sc_hd__a211o_4 _08447_ (.A1(_03216_),
+    .A2(\u_m0_res_fifo.mem[5][3] ),
+    .B1(_03235_),
+    .C1(_03236_),
+    .X(_03237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07162_ (.A(_03212_),
-    .B(_03237_),
-    .X(_00605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07163_ (.A1_N(_03179_),
-    .A2_N(_03234_),
-    .B1(_03179_),
-    .B2(_03234_),
+ sky130_fd_sc_hd__and2_4 _08448_ (.A(_03221_),
+    .B(\u_m0_res_fifo.mem[6][3] ),
     .X(_03238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07164_ (.A(_03198_),
-    .B(_03238_),
-    .Y(_00604_),
+ sky130_fd_sc_hd__a211o_4 _08449_ (.A1(_03220_),
+    .A2(\u_m0_res_fifo.mem[7][3] ),
+    .B1(_03202_),
+    .C1(_03238_),
+    .X(_03239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07165_ (.A(_03234_),
-    .Y(_03239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07166_ (.A(_03183_),
-    .B(_03213_),
+ sky130_fd_sc_hd__and3_4 _08450_ (.A(_03234_),
+    .B(_03237_),
+    .C(_03239_),
     .X(_03240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07167_ (.A1(_03182_),
-    .A2(_03240_),
-    .B1(_03181_),
+ sky130_fd_sc_hd__buf_2 _08451_ (.A(_03053_),
     .X(_03241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07168_ (.A(_03239_),
-    .B(_03221_),
-    .C(_03241_),
+ sky130_fd_sc_hd__buf_2 _08452_ (.A(_03055_),
     .X(_03242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07169_ (.A(_03242_),
-    .Y(_03243_),
+ sky130_fd_sc_hd__o32a_4 _08453_ (.A1(_03233_),
+    .A2(_03240_),
+    .A3(_03241_),
+    .B1(\u_spim_regs.spim_reg_rdata[3] ),
+    .B2(_03242_),
+    .X(_03243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07170_ (.A(_03146_),
-    .B(_03243_),
-    .X(_00603_),
+ sky130_fd_sc_hd__o22a_4 _08454_ (.A1(wbd_dat_o[3]),
+    .A2(_03226_),
+    .B1(_03227_),
+    .B2(_03243_),
+    .X(_01163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07171_ (.A1_N(_03182_),
-    .A2_N(_03240_),
-    .B1(_03182_),
-    .B2(_03240_),
+ sky130_fd_sc_hd__and2_4 _08455_ (.A(_03208_),
+    .B(\u_m0_res_fifo.mem[0][2] ),
     .X(_03244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07172_ (.A(_03198_),
-    .B(_03244_),
-    .Y(_00602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07173_ (.A(_03195_),
+ sky130_fd_sc_hd__a211o_4 _08456_ (.A1(_03207_),
+    .A2(\u_m0_res_fifo.mem[1][2] ),
+    .B1(_03228_),
+    .C1(_03244_),
     .X(_03245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07174_ (.A(\u_spictrl.u_rxreg.counter[0] ),
-    .B(_03245_),
+ sky130_fd_sc_hd__and2_4 _08457_ (.A(_03212_),
+    .B(\u_m0_res_fifo.mem[2][2] ),
     .X(_03246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07175_ (.A(_03240_),
-    .B(_03246_),
-    .C(_03208_),
+ sky130_fd_sc_hd__a211o_4 _08458_ (.A1(_03211_),
+    .A2(\u_m0_res_fifo.mem[3][2] ),
+    .B1(_03196_),
+    .C1(_03246_),
     .X(_03247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07176_ (.A(_03247_),
-    .X(_00601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07177_ (.A(_03245_),
+ sky130_fd_sc_hd__and3_4 _08459_ (.A(_03193_),
+    .B(_03245_),
+    .C(_03247_),
     .X(_03248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07178_ (.A(_03248_),
+ sky130_fd_sc_hd__and2_4 _08460_ (.A(_03217_),
+    .B(\u_m0_res_fifo.mem[4][2] ),
     .X(_03249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07179_ (.A(\u_spictrl.u_rxreg.data_int[30] ),
-    .B(_02288_),
+ sky130_fd_sc_hd__a211o_4 _08461_ (.A1(_03216_),
+    .A2(\u_m0_res_fifo.mem[5][2] ),
+    .B1(_03235_),
+    .C1(_03249_),
     .X(_03250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07180_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
-    .B(_02299_),
+ sky130_fd_sc_hd__and2_4 _08462_ (.A(_03221_),
+    .B(\u_m0_res_fifo.mem[6][2] ),
     .X(_03251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07181_ (.A1(_03249_),
-    .A2(_03250_),
-    .A3(_03251_),
-    .B1(\u_spictrl.u_rxreg.data_int[31] ),
-    .B2(_03213_),
+ sky130_fd_sc_hd__a211o_4 _08463_ (.A1(_03220_),
+    .A2(\u_m0_res_fifo.mem[7][2] ),
+    .B1(_03202_),
+    .C1(_03251_),
     .X(_03252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07182_ (.A(_03211_),
-    .B(_03252_),
-    .X(_00052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07183_ (.A(_00052_),
-    .X(_00600_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07184_ (.A(_03249_),
+ sky130_fd_sc_hd__and3_4 _08464_ (.A(_03234_),
+    .B(_03250_),
+    .C(_03252_),
     .X(_03253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07185_ (.A1(\u_spictrl.u_rxreg.data_int[26] ),
-    .A2(_02300_),
-    .B1(\u_spictrl.u_rxreg.data_int[29] ),
-    .B2(_02289_),
+ sky130_fd_sc_hd__o32a_4 _08465_ (.A1(_03248_),
+    .A2(_03253_),
+    .A3(_03241_),
+    .B1(\u_spim_regs.spim_reg_rdata[2] ),
+    .B2(_03242_),
     .X(_03254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07186_ (.A(_03254_),
-    .Y(_03255_),
+ sky130_fd_sc_hd__o22a_4 _08466_ (.A1(wbd_dat_o[2]),
+    .A2(_03226_),
+    .B1(_03227_),
+    .B2(_03254_),
+    .X(_01162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07187_ (.A(_03245_),
+ sky130_fd_sc_hd__and2_4 _08467_ (.A(_03208_),
+    .B(\u_m0_res_fifo.mem[0][1] ),
+    .X(_03255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _08468_ (.A1(_03207_),
+    .A2(\u_m0_res_fifo.mem[1][1] ),
+    .B1(_03228_),
+    .C1(_03255_),
     .X(_03256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07188_ (.A(_03256_),
+ sky130_fd_sc_hd__and2_4 _08469_ (.A(_03212_),
+    .B(\u_m0_res_fifo.mem[2][1] ),
     .X(_03257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07189_ (.A(\u_spictrl.u_rxreg.data_int[30] ),
-    .B(_03257_),
-    .Y(_03258_),
+ sky130_fd_sc_hd__a211o_4 _08470_ (.A1(_03211_),
+    .A2(\u_m0_res_fifo.mem[3][1] ),
+    .B1(_02810_),
+    .C1(_03257_),
+    .X(_03258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07190_ (.A1(_03253_),
-    .A2(_03255_),
-    .B1(_03143_),
-    .C1(_03258_),
+ sky130_fd_sc_hd__and3_4 _08471_ (.A(_02800_),
+    .B(_03256_),
+    .C(_03258_),
     .X(_03259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07191_ (.A(_03259_),
-    .Y(_00051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07192_ (.A(_00051_),
-    .X(_00599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07193_ (.A(_02279_),
+ sky130_fd_sc_hd__and2_4 _08472_ (.A(_03217_),
+    .B(\u_m0_res_fifo.mem[4][1] ),
     .X(_03260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07194_ (.A(_03260_),
+ sky130_fd_sc_hd__a211o_4 _08473_ (.A1(_03216_),
+    .A2(\u_m0_res_fifo.mem[5][1] ),
+    .B1(_03235_),
+    .C1(_03260_),
     .X(_03261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07195_ (.A1(\u_spictrl.u_rxreg.data_int[25] ),
-    .A2(_02300_),
-    .B1(\u_spictrl.u_rxreg.data_int[28] ),
-    .B2(_03261_),
+ sky130_fd_sc_hd__and2_4 _08474_ (.A(_03221_),
+    .B(\u_m0_res_fifo.mem[6][1] ),
     .X(_03262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07196_ (.A(_03262_),
-    .Y(_03263_),
+ sky130_fd_sc_hd__a211o_4 _08475_ (.A1(_03220_),
+    .A2(\u_m0_res_fifo.mem[7][1] ),
+    .B1(_02758_),
+    .C1(_03262_),
+    .X(_03263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07197_ (.A(_03256_),
+ sky130_fd_sc_hd__and3_4 _08476_ (.A(_03234_),
+    .B(_03261_),
+    .C(_03263_),
     .X(_03264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07198_ (.A(\u_spictrl.u_rxreg.data_int[29] ),
-    .B(_03264_),
-    .Y(_03265_),
+ sky130_fd_sc_hd__o32a_4 _08477_ (.A1(_03259_),
+    .A2(_03264_),
+    .A3(_03241_),
+    .B1(\u_spim_regs.spim_reg_rdata[1] ),
+    .B2(_03242_),
+    .X(_03265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07199_ (.A1(_03253_),
-    .A2(_03263_),
-    .B1(_03143_),
-    .C1(_03265_),
+ sky130_fd_sc_hd__o22a_4 _08478_ (.A1(wbd_dat_o[1]),
+    .A2(_03226_),
+    .B1(_03227_),
+    .B2(_03265_),
+    .X(_01161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08479_ (.A(_02830_),
+    .B(\u_m0_res_fifo.mem[0][0] ),
     .X(_03266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07200_ (.A(_03266_),
-    .Y(_00050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07201_ (.A(_00050_),
-    .X(_00598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07202_ (.A(_02299_),
+ sky130_fd_sc_hd__a211o_4 _08480_ (.A1(_02776_),
+    .A2(\u_m0_res_fifo.mem[1][0] ),
+    .B1(_03228_),
+    .C1(_03266_),
     .X(_03267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07203_ (.A1(\u_spictrl.u_rxreg.data_int[24] ),
-    .A2(_03267_),
-    .B1(\u_spictrl.u_rxreg.data_int[27] ),
-    .B2(_03261_),
+ sky130_fd_sc_hd__and2_4 _08481_ (.A(_02751_),
+    .B(\u_m0_res_fifo.mem[2][0] ),
     .X(_03268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07204_ (.A(_03268_),
-    .Y(_03269_),
+ sky130_fd_sc_hd__a211o_4 _08482_ (.A1(_02745_),
+    .A2(\u_m0_res_fifo.mem[3][0] ),
+    .B1(_02810_),
+    .C1(_03268_),
+    .X(_03269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07205_ (.A(_03130_),
+ sky130_fd_sc_hd__and3_4 _08483_ (.A(_02800_),
+    .B(_03267_),
+    .C(_03269_),
     .X(_03270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07206_ (.A(\u_spictrl.u_rxreg.data_int[28] ),
-    .B(_03264_),
-    .Y(_03271_),
+ sky130_fd_sc_hd__and2_4 _08484_ (.A(_02760_),
+    .B(\u_m0_res_fifo.mem[4][0] ),
+    .X(_03271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07207_ (.A1(_03253_),
-    .A2(_03269_),
-    .B1(_03270_),
+ sky130_fd_sc_hd__a211o_4 _08485_ (.A1(_02755_),
+    .A2(\u_m0_res_fifo.mem[5][0] ),
+    .B1(_03235_),
     .C1(_03271_),
     .X(_03272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07208_ (.A(_03272_),
-    .Y(_00049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07209_ (.A(_00049_),
-    .X(_00597_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07210_ (.A1(\u_spictrl.u_rxreg.data_int[23] ),
-    .A2(_03267_),
-    .B1(\u_spictrl.u_rxreg.data_int[26] ),
-    .B2(_03261_),
+ sky130_fd_sc_hd__and2_4 _08486_ (.A(_02773_),
+    .B(\u_m0_res_fifo.mem[6][0] ),
     .X(_03273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07211_ (.A(_03273_),
-    .Y(_03274_),
+ sky130_fd_sc_hd__a211o_4 _08487_ (.A1(_02768_),
+    .A2(\u_m0_res_fifo.mem[7][0] ),
+    .B1(_02758_),
+    .C1(_03273_),
+    .X(_03274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07212_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
-    .B(_03264_),
-    .Y(_03275_),
+ sky130_fd_sc_hd__and3_4 _08488_ (.A(_03234_),
+    .B(_03272_),
+    .C(_03274_),
+    .X(_03275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07213_ (.A1(_03253_),
-    .A2(_03274_),
-    .B1(_03270_),
-    .C1(_03275_),
+ sky130_fd_sc_hd__o32a_4 _08489_ (.A1(_03270_),
+    .A2(_03275_),
+    .A3(_03241_),
+    .B1(\u_spim_regs.spim_reg_rdata[0] ),
+    .B2(_03242_),
     .X(_03276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07214_ (.A(_03276_),
-    .Y(_00048_),
+ sky130_fd_sc_hd__o22a_4 _08490_ (.A1(wbd_dat_o[0]),
+    .A2(_03226_),
+    .B1(_03227_),
+    .B2(_03276_),
+    .X(_01160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07215_ (.A(_00048_),
-    .X(_00596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07216_ (.A(_03248_),
+ sky130_fd_sc_hd__and3_4 _08491_ (.A(_02558_),
+    .B(_02552_),
+    .C(_02562_),
     .X(_03277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07217_ (.A(_03277_),
+ sky130_fd_sc_hd__or2_4 _08492_ (.A(_01834_),
+    .B(_03277_),
     .X(_03278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07218_ (.A1(\u_spictrl.u_rxreg.data_int[22] ),
-    .A2(_03267_),
-    .B1(\u_spictrl.u_rxreg.data_int[25] ),
-    .B2(_03261_),
+ sky130_fd_sc_hd__or2_4 _08493_ (.A(_01836_),
+    .B(_03278_),
     .X(_03279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07219_ (.A(_03279_),
-    .Y(_03280_),
+ sky130_fd_sc_hd__buf_2 _08494_ (.A(_03279_),
+    .X(_03280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07220_ (.A(\u_spictrl.u_rxreg.data_int[26] ),
-    .B(_03264_),
+ sky130_fd_sc_hd__inv_2 _08495_ (.A(_03280_),
     .Y(_03281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07221_ (.A1(_03278_),
-    .A2(_03280_),
-    .B1(_03270_),
-    .C1(_03281_),
-    .X(_03282_),
+ sky130_fd_sc_hd__o22a_4 _08496_ (.A1(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .A2(_03281_),
+    .B1(_01828_),
+    .B2(_03280_),
+    .X(_01159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07222_ (.A(_03282_),
-    .Y(_00045_),
+ sky130_fd_sc_hd__a21oi_4 _08497_ (.A1(_01836_),
+    .A2(_03278_),
+    .B1(_03281_),
+    .Y(_01158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07223_ (.A(_00045_),
-    .X(_00595_),
+ sky130_fd_sc_hd__inv_2 _08498_ (.A(_03277_),
+    .Y(_03282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07224_ (.A(_03260_),
+ sky130_fd_sc_hd__o21a_4 _08499_ (.A1(_01832_),
+    .A2(_03282_),
+    .B1(_03278_),
+    .X(_01157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08500_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
     .X(_03283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07225_ (.A1(\u_spictrl.u_rxreg.data_int[21] ),
-    .A2(_03267_),
-    .B1(\u_spictrl.u_rxreg.data_int[24] ),
-    .B2(_03283_),
+ sky130_fd_sc_hd__buf_2 _08501_ (.A(_03283_),
     .X(_03284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07226_ (.A(_03284_),
-    .Y(_03285_),
+ sky130_fd_sc_hd__buf_2 _08502_ (.A(_03284_),
+    .X(_03285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07227_ (.A(_03245_),
+ sky130_fd_sc_hd__buf_2 _08503_ (.A(_03285_),
     .X(_03286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07228_ (.A(_03286_),
+ sky130_fd_sc_hd__buf_2 _08504_ (.A(_03286_),
     .X(_03287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07229_ (.A(\u_spictrl.u_rxreg.data_int[25] ),
-    .B(_03287_),
-    .Y(_03288_),
+ sky130_fd_sc_hd__buf_2 _08505_ (.A(_01833_),
+    .X(_03288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07230_ (.A1(_03278_),
-    .A2(_03285_),
-    .B1(_03270_),
-    .C1(_03288_),
+ sky130_fd_sc_hd__buf_2 _08506_ (.A(_03288_),
     .X(_03289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07231_ (.A(_03289_),
-    .Y(_00034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07232_ (.A(_00034_),
-    .X(_00594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07233_ (.A(_02281_),
+ sky130_fd_sc_hd__buf_2 _08507_ (.A(_03289_),
     .X(_03290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07234_ (.A(_03290_),
+ sky130_fd_sc_hd__buf_2 _08508_ (.A(_03290_),
     .X(_03291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07235_ (.A1(\u_spictrl.u_rxreg.data_int[20] ),
-    .A2(_03291_),
-    .B1(\u_spictrl.u_rxreg.data_int[23] ),
-    .B2(_03283_),
+ sky130_fd_sc_hd__buf_2 _08509_ (.A(_03291_),
     .X(_03292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07236_ (.A(_03292_),
+ sky130_fd_sc_hd__inv_2 _08510_ (.A(\u_spictrl.tx_data_ready ),
     .Y(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07237_ (.A(_03130_),
+ sky130_fd_sc_hd__buf_2 _08511_ (.A(spi_debug[19]),
     .X(_03294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07238_ (.A(\u_spictrl.u_rxreg.data_int[24] ),
-    .B(_03287_),
-    .Y(_03295_),
+ sky130_fd_sc_hd__or4_4 _08512_ (.A(psn_net_240),
+    .B(_03294_),
+    .C(psn_net_174),
+    .D(_02023_),
+    .X(_03295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07239_ (.A1(_03278_),
-    .A2(_03293_),
-    .B1(_03294_),
-    .C1(_03295_),
+ sky130_fd_sc_hd__buf_2 _08513_ (.A(_03295_),
     .X(_03296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07240_ (.A(_03296_),
-    .Y(_00023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07241_ (.A(_00023_),
-    .X(_00593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07242_ (.A1(\u_spictrl.u_rxreg.data_int[19] ),
-    .A2(_03291_),
-    .B1(\u_spictrl.u_rxreg.data_int[22] ),
-    .B2(_03283_),
+ sky130_fd_sc_hd__or3_4 _08514_ (.A(_01531_),
+    .B(_01533_),
+    .C(psn_net_175),
     .X(_03297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07243_ (.A(_03297_),
-    .Y(_03298_),
+ sky130_fd_sc_hd__or2_4 _08515_ (.A(_01538_),
+    .B(_03297_),
+    .X(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07244_ (.A(\u_spictrl.u_rxreg.data_int[23] ),
-    .B(_03287_),
-    .Y(_03299_),
+ sky130_fd_sc_hd__or4_4 _08516_ (.A(spi_debug[20]),
+    .B(spi_debug[19]),
+    .C(spi_debug[17]),
+    .D(_01528_),
+    .X(_03299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07245_ (.A1(_03278_),
-    .A2(_03298_),
-    .B1(_03294_),
-    .C1(_03299_),
+ sky130_fd_sc_hd__buf_2 _08517_ (.A(_03299_),
     .X(_03300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07246_ (.A(_03300_),
-    .Y(_00029_),
+ sky130_fd_sc_hd__inv_2 _08518_ (.A(_01866_),
+    .Y(\u_m1_cmd_fifo.empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07247_ (.A(_00029_),
-    .X(_00592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07248_ (.A(_03277_),
+ sky130_fd_sc_hd__o22a_4 _08519_ (.A1(\u_spictrl.gnt[2] ),
+    .A2(\u_m1_cmd_fifo.empty ),
+    .B1(_01522_),
+    .B2(_02569_),
     .X(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07249_ (.A1(\u_spictrl.u_rxreg.data_int[18] ),
-    .A2(_03291_),
-    .B1(\u_spictrl.u_rxreg.data_int[21] ),
-    .B2(_03283_),
-    .X(_03302_),
+ sky130_fd_sc_hd__inv_2 _08520_ (.A(\u_spictrl.u_txreg.counter[10] ),
+    .Y(_03302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07250_ (.A(_03302_),
-    .Y(_03303_),
+ sky130_fd_sc_hd__or3_4 _08521_ (.A(\u_spictrl.u_txreg.counter_trgt[2] ),
+    .B(\u_spictrl.u_txreg.counter_trgt[0] ),
+    .C(\u_spictrl.u_txreg.counter_trgt[1] ),
+    .X(_03303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07251_ (.A(\u_spictrl.u_rxreg.data_int[22] ),
-    .B(_03287_),
-    .Y(_03304_),
+ sky130_fd_sc_hd__or4_4 _08522_ (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
+    .B(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .C(\u_spictrl.u_txreg.counter_trgt[5] ),
+    .D(_03303_),
+    .X(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07252_ (.A1(_03301_),
-    .A2(_03303_),
-    .B1(_03294_),
-    .C1(_03304_),
+ sky130_fd_sc_hd__or3_4 _08523_ (.A(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .B(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .C(_03304_),
     .X(_03305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07253_ (.A(_03305_),
-    .Y(_00028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07254_ (.A(_00028_),
-    .X(_00591_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07255_ (.A(_03260_),
+ sky130_fd_sc_hd__buf_2 _08524_ (.A(_03305_),
     .X(_03306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07256_ (.A1(\u_spictrl.u_rxreg.data_int[17] ),
-    .A2(_03291_),
-    .B1(\u_spictrl.u_rxreg.data_int[20] ),
-    .B2(_03306_),
+ sky130_fd_sc_hd__or3_4 _08525_ (.A(\u_spictrl.u_txreg.counter_trgt[9] ),
+    .B(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .C(_03306_),
     .X(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07257_ (.A(_03307_),
-    .Y(_03308_),
+ sky130_fd_sc_hd__or2_4 _08526_ (.A(\u_spictrl.u_txreg.counter_trgt[10] ),
+    .B(_03307_),
+    .X(_03308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07258_ (.A(_03286_),
-    .X(_03309_),
+ sky130_fd_sc_hd__inv_2 _08527_ (.A(_03308_),
+    .Y(_03309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07259_ (.A(\u_spictrl.u_rxreg.data_int[21] ),
-    .B(_03309_),
-    .Y(_03310_),
+ sky130_fd_sc_hd__a21o_4 _08528_ (.A1(psn_net_114),
+    .A2(\u_spictrl.u_txreg.counter_trgt[10] ),
+    .B1(_03309_),
+    .X(_03310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07260_ (.A1(_03301_),
-    .A2(_03308_),
-    .B1(_03294_),
-    .C1(_03310_),
-    .X(_03311_),
+ sky130_fd_sc_hd__inv_2 _08529_ (.A(_03310_),
+    .Y(_03311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07261_ (.A(_03311_),
-    .Y(_00027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07262_ (.A(_00027_),
-    .X(_00590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07263_ (.A(_03290_),
+ sky130_fd_sc_hd__o22a_4 _08530_ (.A1(_03302_),
+    .A2(_03311_),
+    .B1(\u_spictrl.u_txreg.counter[10] ),
+    .B2(_03310_),
     .X(_03312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07264_ (.A1(\u_spictrl.u_rxreg.data_int[16] ),
-    .A2(_03312_),
-    .B1(\u_spictrl.u_rxreg.data_int[19] ),
-    .B2(_03306_),
-    .X(_03313_),
+ sky130_fd_sc_hd__inv_2 _08531_ (.A(\u_spictrl.u_txreg.counter[9] ),
+    .Y(_03313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07265_ (.A(_03313_),
+ sky130_fd_sc_hd__inv_2 _08532_ (.A(\u_spictrl.u_txreg.counter_trgt[9] ),
     .Y(_03314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07266_ (.A(_03129_),
-    .X(_03315_),
+ sky130_fd_sc_hd__nor2_4 _08533_ (.A(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .B(psn_net_116),
+    .Y(_03315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07267_ (.A(_03315_),
+ sky130_fd_sc_hd__o21a_4 _08534_ (.A1(_03314_),
+    .A2(_03315_),
+    .B1(psn_net_113),
     .X(_03316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07268_ (.A(\u_spictrl.u_rxreg.data_int[20] ),
-    .B(_03309_),
+ sky130_fd_sc_hd__inv_2 _08535_ (.A(_03316_),
     .Y(_03317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07269_ (.A1(_03301_),
-    .A2(_03314_),
-    .B1(_03316_),
-    .C1(_03317_),
+ sky130_fd_sc_hd__o22a_4 _08536_ (.A1(_03313_),
+    .A2(_03316_),
+    .B1(\u_spictrl.u_txreg.counter[9] ),
+    .B2(_03317_),
     .X(_03318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07270_ (.A(_03318_),
-    .Y(_00026_),
+ sky130_fd_sc_hd__inv_2 _08537_ (.A(\u_spictrl.u_txreg.counter[12] ),
+    .Y(_03319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07271_ (.A(_00026_),
-    .X(_00589_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07272_ (.A1(\u_spictrl.u_rxreg.data_int[15] ),
-    .A2(_03312_),
-    .B1(\u_spictrl.u_rxreg.data_int[18] ),
-    .B2(_03306_),
-    .X(_03319_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07273_ (.A(_03319_),
+ sky130_fd_sc_hd__inv_2 _08538_ (.A(\u_spictrl.u_txreg.counter[11] ),
     .Y(_03320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07274_ (.A(\u_spictrl.u_rxreg.data_int[19] ),
-    .B(_03309_),
+ sky130_fd_sc_hd__inv_2 _08539_ (.A(\u_spictrl.u_txreg.counter[15] ),
     .Y(_03321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07275_ (.A1(_03301_),
-    .A2(_03320_),
-    .B1(_03316_),
-    .C1(_03321_),
-    .X(_03322_),
+ sky130_fd_sc_hd__inv_2 _08540_ (.A(\u_spictrl.u_txreg.counter[14] ),
+    .Y(_03322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07276_ (.A(_03322_),
-    .Y(_00025_),
+ sky130_fd_sc_hd__inv_2 _08541_ (.A(\u_spictrl.u_txreg.counter[13] ),
+    .Y(_03323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07277_ (.A(_00025_),
-    .X(_00588_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07278_ (.A(_03277_),
-    .X(_03323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07279_ (.A1(\u_spictrl.u_rxreg.data_int[14] ),
-    .A2(_03312_),
-    .B1(\u_spictrl.u_rxreg.data_int[17] ),
-    .B2(_03306_),
+ sky130_fd_sc_hd__or2_4 _08542_ (.A(_03322_),
+    .B(_03323_),
     .X(_03324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07280_ (.A(_03324_),
-    .Y(_03325_),
+ sky130_fd_sc_hd__or4_4 _08543_ (.A(_03319_),
+    .B(_03320_),
+    .C(_03321_),
+    .D(_03324_),
+    .X(_03325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07281_ (.A(\u_spictrl.u_rxreg.data_int[18] ),
-    .B(_03309_),
+ sky130_fd_sc_hd__inv_2 _08544_ (.A(\u_spictrl.u_txreg.counter[3] ),
     .Y(_03326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07282_ (.A1(_03323_),
-    .A2(_03325_),
-    .B1(_03316_),
-    .C1(_03326_),
+ sky130_fd_sc_hd__or2_4 _08545_ (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
+    .B(psn_net_123),
     .X(_03327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07283_ (.A(_03327_),
-    .Y(_00024_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07284_ (.A(_00024_),
-    .X(_00587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07285_ (.A(_03260_),
+ sky130_fd_sc_hd__a21bo_4 _08546_ (.A1(\u_spictrl.u_txreg.counter_trgt[3] ),
+    .A2(psn_net_122),
+    .B1_N(_03327_),
     .X(_03328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07286_ (.A1(\u_spictrl.u_rxreg.data_int[13] ),
-    .A2(_03312_),
-    .B1(\u_spictrl.u_rxreg.data_int[16] ),
+ sky130_fd_sc_hd__inv_2 _08547_ (.A(_03328_),
+    .Y(_03329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08548_ (.A1(_03326_),
+    .A2(_03329_),
+    .B1(\u_spictrl.u_txreg.counter[3] ),
     .B2(_03328_),
-    .X(_03329_),
+    .X(_03330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07287_ (.A(_03329_),
-    .Y(_03330_),
+ sky130_fd_sc_hd__inv_2 _08549_ (.A(\u_spictrl.u_txreg.counter[4] ),
+    .Y(_03331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07288_ (.A(_03286_),
-    .X(_03331_),
+ sky130_fd_sc_hd__or2_4 _08550_ (.A(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .B(_03327_),
+    .X(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07289_ (.A(\u_spictrl.u_rxreg.data_int[17] ),
-    .B(_03331_),
-    .Y(_03332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07290_ (.A1(_03323_),
-    .A2(_03330_),
-    .B1(_03316_),
-    .C1(_03332_),
+ sky130_fd_sc_hd__a21bo_4 _08551_ (.A1(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .A2(_03327_),
+    .B1_N(_03332_),
     .X(_03333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07291_ (.A(_03333_),
-    .Y(_00054_),
+ sky130_fd_sc_hd__inv_2 _08552_ (.A(_03333_),
+    .Y(_03334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07292_ (.A(_00054_),
-    .X(_00586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07293_ (.A(_03290_),
-    .X(_03334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07294_ (.A1(\u_spictrl.u_rxreg.data_int[12] ),
+ sky130_fd_sc_hd__o22a_4 _08553_ (.A1(_03331_),
     .A2(_03334_),
-    .B1(\u_spictrl.u_rxreg.data_int[15] ),
-    .B2(_03328_),
+    .B1(\u_spictrl.u_txreg.counter[4] ),
+    .B2(_03333_),
     .X(_03335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07295_ (.A(_03335_),
+ sky130_fd_sc_hd__inv_2 _08554_ (.A(\u_spictrl.u_txreg.counter[7] ),
     .Y(_03336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07296_ (.A(_03315_),
+ sky130_fd_sc_hd__or2_4 _08555_ (.A(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .B(psn_net_119),
     .X(_03337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07297_ (.A(\u_spictrl.u_rxreg.data_int[16] ),
-    .B(_03331_),
-    .Y(_03338_),
+ sky130_fd_sc_hd__a21bo_4 _08556_ (.A1(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .A2(_03337_),
+    .B1_N(psn_net_115),
+    .X(_03338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07298_ (.A1(_03323_),
-    .A2(_03336_),
-    .B1(_03337_),
-    .C1(_03338_),
-    .X(_03339_),
+ sky130_fd_sc_hd__inv_2 _08557_ (.A(_03338_),
+    .Y(_03339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07299_ (.A(_03339_),
-    .Y(_00053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07300_ (.A(_00053_),
-    .X(_00585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07301_ (.A1(\u_spictrl.u_rxreg.data_int[11] ),
-    .A2(_03334_),
-    .B1(\u_spictrl.u_rxreg.data_int[14] ),
-    .B2(_03328_),
+ sky130_fd_sc_hd__o22a_4 _08558_ (.A1(_03336_),
+    .A2(_03339_),
+    .B1(\u_spictrl.u_txreg.counter[7] ),
+    .B2(_03338_),
     .X(_03340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07302_ (.A(_03340_),
-    .Y(_03341_),
+ sky130_fd_sc_hd__a2111o_4 _08559_ (.A1(_03309_),
+    .A2(_03325_),
+    .B1(_03330_),
+    .C1(_03335_),
+    .D1(_03340_),
+    .X(_03341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07303_ (.A(\u_spictrl.u_rxreg.data_int[15] ),
-    .B(_03331_),
+ sky130_fd_sc_hd__inv_2 _08560_ (.A(\u_spictrl.u_txreg.counter[6] ),
     .Y(_03342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07304_ (.A1(_03323_),
-    .A2(_03341_),
-    .B1(_03337_),
-    .C1(_03342_),
+ sky130_fd_sc_hd__a21bo_4 _08561_ (.A1(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .A2(psn_net_120),
+    .B1_N(_03337_),
     .X(_03343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07305_ (.A(_03343_),
-    .Y(_00038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07306_ (.A(_00038_),
-    .X(_00584_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07307_ (.A(_03277_),
+ sky130_fd_sc_hd__a2bb2o_4 _08562_ (.A1_N(_03342_),
+    .A2_N(_03343_),
+    .B1(_03342_),
+    .B2(_03343_),
     .X(_03344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07308_ (.A1(\u_spictrl.u_rxreg.data_int[10] ),
-    .A2(_03334_),
-    .B1(\u_spictrl.u_rxreg.data_int[13] ),
-    .B2(_03328_),
+ sky130_fd_sc_hd__or4_4 _08563_ (.A(\u_spictrl.u_txreg.counter[14] ),
+    .B(\u_spictrl.u_txreg.counter[13] ),
+    .C(\u_spictrl.u_txreg.counter[12] ),
+    .D(\u_spictrl.u_txreg.counter[11] ),
     .X(_03345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07309_ (.A(_03345_),
-    .Y(_03346_),
+ sky130_fd_sc_hd__buf_2 _08564_ (.A(\u_spictrl.u_txreg.counter_trgt[0] ),
+    .X(_03346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07310_ (.A(\u_spictrl.u_rxreg.data_int[14] ),
-    .B(_03331_),
+ sky130_fd_sc_hd__inv_2 _08565_ (.A(_03346_),
     .Y(_03347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07311_ (.A1(_03344_),
-    .A2(_03346_),
-    .B1(_03337_),
-    .C1(_03347_),
-    .X(_03348_),
+ sky130_fd_sc_hd__inv_2 _08566_ (.A(\u_spictrl.u_txreg.counter[0] ),
+    .Y(_03348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07312_ (.A(_03348_),
-    .Y(_00037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07313_ (.A(_00037_),
-    .X(_00583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07314_ (.A(_02287_),
+ sky130_fd_sc_hd__o22a_4 _08567_ (.A1(_03347_),
+    .A2(\u_spictrl.u_txreg.counter[0] ),
+    .B1(_03346_),
+    .B2(_03348_),
     .X(_03349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07315_ (.A1(\u_spictrl.u_rxreg.data_int[9] ),
-    .A2(_03334_),
-    .B1(\u_spictrl.u_rxreg.data_int[12] ),
-    .B2(_03349_),
-    .X(_03350_),
+ sky130_fd_sc_hd__nor2_4 _08568_ (.A(psn_net_125),
+    .B(\u_spictrl.u_txreg.counter_trgt[0] ),
+    .Y(_03350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07316_ (.A(_03350_),
-    .Y(_03351_),
+ sky130_fd_sc_hd__a21o_4 _08569_ (.A1(psn_net_124),
+    .A2(_03346_),
+    .B1(_03350_),
+    .X(_03351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07317_ (.A(_03286_),
-    .X(_03352_),
+ sky130_fd_sc_hd__inv_2 _08570_ (.A(\u_spictrl.u_txreg.counter[1] ),
+    .Y(_03352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07318_ (.A(\u_spictrl.u_rxreg.data_int[13] ),
-    .B(_03352_),
+ sky130_fd_sc_hd__inv_2 _08571_ (.A(_03351_),
     .Y(_03353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07319_ (.A1(_03344_),
+ sky130_fd_sc_hd__o22a_4 _08572_ (.A1(\u_spictrl.u_txreg.counter[1] ),
     .A2(_03351_),
-    .B1(_03337_),
-    .C1(_03353_),
+    .B1(_03352_),
+    .B2(_03353_),
     .X(_03354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07320_ (.A(_03354_),
-    .Y(_00036_),
+ sky130_fd_sc_hd__inv_2 _08573_ (.A(psn_net_137),
+    .Y(_03355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07321_ (.A(_00036_),
-    .X(_00582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07322_ (.A(_03290_),
-    .X(_03355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07323_ (.A1(\u_spictrl.u_rxreg.data_int[8] ),
-    .A2(_03355_),
-    .B1(\u_spictrl.u_rxreg.data_int[11] ),
-    .B2(_03349_),
+ sky130_fd_sc_hd__o21a_4 _08574_ (.A1(_03355_),
+    .A2(_03350_),
+    .B1(psn_net_121),
     .X(_03356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07324_ (.A(_03356_),
-    .Y(_03357_),
+ sky130_fd_sc_hd__a2bb2o_4 _08575_ (.A1_N(\u_spictrl.u_txreg.counter[2] ),
+    .A2_N(_03356_),
+    .B1(\u_spictrl.u_txreg.counter[2] ),
+    .B2(_03356_),
+    .X(_03357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07325_ (.A(_03315_),
+ sky130_fd_sc_hd__or4_4 _08576_ (.A(\u_spictrl.u_txreg.counter[15] ),
+    .B(_03349_),
+    .C(_03354_),
+    .D(_03357_),
     .X(_03358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07326_ (.A(\u_spictrl.u_rxreg.data_int[12] ),
-    .B(_03352_),
-    .Y(_03359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07327_ (.A1(_03344_),
-    .A2(_03357_),
+ sky130_fd_sc_hd__a21o_4 _08577_ (.A1(_03308_),
+    .A2(_03345_),
     .B1(_03358_),
-    .C1(_03359_),
-    .X(_03360_),
+    .X(_03359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07328_ (.A(_03360_),
-    .Y(_00035_),
+ sky130_fd_sc_hd__inv_2 _08578_ (.A(\u_spictrl.u_txreg.counter[5] ),
+    .Y(_03360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07329_ (.A(_00035_),
-    .X(_00581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07330_ (.A1(\u_spictrl.u_rxreg.data_int[7] ),
-    .A2(_03355_),
-    .B1(\u_spictrl.u_rxreg.data_int[10] ),
-    .B2(_03349_),
+ sky130_fd_sc_hd__a21bo_4 _08579_ (.A1(\u_spictrl.u_txreg.counter_trgt[5] ),
+    .A2(_03332_),
+    .B1_N(psn_net_118),
     .X(_03361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07331_ (.A(_03361_),
+ sky130_fd_sc_hd__inv_2 _08580_ (.A(_03361_),
     .Y(_03362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07332_ (.A(\u_spictrl.u_rxreg.data_int[11] ),
-    .B(_03352_),
-    .Y(_03363_),
+ sky130_fd_sc_hd__o22a_4 _08581_ (.A1(_03360_),
+    .A2(_03362_),
+    .B1(\u_spictrl.u_txreg.counter[5] ),
+    .B2(_03361_),
+    .X(_03363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07333_ (.A1(_03344_),
-    .A2(_03362_),
-    .B1(_03358_),
-    .C1(_03363_),
+ sky130_fd_sc_hd__buf_2 _08582_ (.A(\u_spictrl.u_txreg.counter[8] ),
     .X(_03364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07334_ (.A(_03364_),
-    .Y(_00033_),
+ sky130_fd_sc_hd__a21oi_4 _08583_ (.A1(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .A2(psn_net_117),
+    .B1(_03315_),
+    .Y(_03365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07335_ (.A(_00033_),
-    .X(_00580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07336_ (.A(_03256_),
-    .X(_03365_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07337_ (.A1(\u_spictrl.u_rxreg.data_int[6] ),
-    .A2(_03355_),
-    .B1(\u_spictrl.u_rxreg.data_int[9] ),
-    .B2(_03349_),
+ sky130_fd_sc_hd__a2bb2o_4 _08584_ (.A1_N(_03364_),
+    .A2_N(_03365_),
+    .B1(\u_spictrl.u_txreg.counter[8] ),
+    .B2(_03365_),
     .X(_03366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07338_ (.A(_03366_),
-    .Y(_03367_),
+ sky130_fd_sc_hd__or4_4 _08585_ (.A(_03344_),
+    .B(_03366_),
+    .C(_03363_),
+    .D(_03359_),
+    .X(_03367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07339_ (.A(\u_spictrl.u_rxreg.data_int[10] ),
-    .B(_03352_),
-    .Y(_03368_),
+ sky130_fd_sc_hd__or4_4 _08586_ (.A(_03312_),
+    .B(_03318_),
+    .C(_03341_),
+    .D(_03367_),
+    .X(_03368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07340_ (.A1(_03365_),
-    .A2(_03367_),
-    .B1(_03358_),
-    .C1(_03368_),
-    .X(_03369_),
+ sky130_fd_sc_hd__inv_2 _08587_ (.A(_03368_),
+    .Y(_03369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07341_ (.A(_03369_),
-    .Y(_00032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07342_ (.A(_00032_),
-    .X(_00579_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07343_ (.A(_02287_),
+ sky130_fd_sc_hd__and2_4 _08588_ (.A(psn_net_225),
+    .B(\u_spictrl.u_txreg.tx_CS[2] ),
     .X(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07344_ (.A1(\u_spictrl.u_rxreg.data_int[5] ),
-    .A2(_03355_),
-    .B1(\u_spictrl.u_rxreg.data_int[8] ),
-    .B2(_03370_),
+ sky130_fd_sc_hd__or4_4 _08589_ (.A(_03301_),
+    .B(_03297_),
+    .C(_02025_),
+    .D(_03370_),
     .X(_03371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07345_ (.A(_03371_),
-    .Y(_03372_),
+ sky130_fd_sc_hd__and4_4 _08590_ (.A(_03371_),
+    .B(_03296_),
+    .C(_03298_),
+    .D(psn_net_172),
+    .X(_03372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07346_ (.A(_03248_),
+ sky130_fd_sc_hd__or2_4 _08591_ (.A(_03293_),
+    .B(_03372_),
     .X(_03373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07347_ (.A(\u_spictrl.u_rxreg.data_int[9] ),
+ sky130_fd_sc_hd__or2_4 _08592_ (.A(_01602_),
     .B(_03373_),
-    .Y(_03374_),
+    .X(_03374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07348_ (.A1(_03365_),
-    .A2(_03372_),
-    .B1(_03358_),
-    .C1(_03374_),
+ sky130_fd_sc_hd__or2_4 _08593_ (.A(_03292_),
+    .B(_03374_),
     .X(_03375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07349_ (.A(_03375_),
-    .Y(_00031_),
+ sky130_fd_sc_hd__inv_2 _08594_ (.A(psn_net_221),
+    .Y(_03376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07350_ (.A(_00031_),
-    .X(_00578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07351_ (.A(_02281_),
-    .X(_03376_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07352_ (.A1(\u_spictrl.u_rxreg.data_int[4] ),
-    .A2(_03376_),
-    .B1(\u_spictrl.u_rxreg.data_int[7] ),
-    .B2(_03370_),
+ sky130_fd_sc_hd__buf_2 _08595_ (.A(_01837_),
     .X(_03377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07353_ (.A(_03377_),
-    .Y(_03378_),
+ sky130_fd_sc_hd__buf_2 _08596_ (.A(_03377_),
+    .X(_03378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07354_ (.A(_03315_),
+ sky130_fd_sc_hd__buf_2 _08597_ (.A(_03378_),
     .X(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07355_ (.A(\u_spictrl.u_rxreg.data_int[8] ),
-    .B(_03373_),
-    .Y(_03380_),
+ sky130_fd_sc_hd__buf_2 _08598_ (.A(_03379_),
+    .X(_03380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07356_ (.A1(_03365_),
-    .A2(_03378_),
-    .B1(_03379_),
-    .C1(_03380_),
+ sky130_fd_sc_hd__or2_4 _08599_ (.A(_03380_),
+    .B(_03375_),
     .X(_03381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07357_ (.A(_03381_),
-    .Y(_00030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07358_ (.A(_00030_),
-    .X(_00577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07359_ (.A1(\u_spictrl.u_rxreg.data_int[3] ),
+ sky130_fd_sc_hd__a32o_4 _08600_ (.A1(_03287_),
     .A2(_03376_),
-    .B1(\u_spictrl.u_rxreg.data_int[6] ),
-    .B2(_03370_),
+    .A3(_01829_),
+    .B1(_03381_),
+    .B2(\u_m1_cmd_fifo.rd_ptr[2] ),
+    .X(_01156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _08601_ (.A1(_03287_),
+    .A2(_03376_),
+    .B1(_03381_),
+    .X(_01155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _08602_ (.A1(_03292_),
+    .A2(psn_net_222),
+    .B1(_03376_),
+    .Y(_01154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08603_ (.A(_01286_),
+    .B(_01399_),
     .X(_03382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07360_ (.A(_03382_),
-    .Y(_03383_),
+ sky130_fd_sc_hd__buf_2 _08604_ (.A(_03382_),
+    .X(_03383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07361_ (.A(\u_spictrl.u_rxreg.data_int[7] ),
-    .B(_03373_),
+ sky130_fd_sc_hd__inv_2 _08605_ (.A(_03383_),
     .Y(_03384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07362_ (.A1(_03365_),
-    .A2(_03383_),
-    .B1(_03379_),
-    .C1(_03384_),
+ sky130_fd_sc_hd__o22a_4 _08606_ (.A1(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .A2(_03384_),
+    .B1(_01283_),
+    .B2(_03383_),
+    .X(_01153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08607_ (.A(_03383_),
     .X(_03385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07363_ (.A(_03385_),
-    .Y(_00047_),
+ sky130_fd_sc_hd__o21a_4 _08608_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .A2(_01459_),
+    .B1(_03385_),
+    .X(_01152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07364_ (.A(_00047_),
-    .X(_00576_),
+ sky130_fd_sc_hd__inv_2 _08609_ (.A(\u_wb_if.NextPreAddr[19] ),
+    .Y(_03386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07365_ (.A(_03256_),
-    .X(_03386_),
+ sky130_fd_sc_hd__inv_2 _08610_ (.A(\u_wb_if.NextPreAddr[3] ),
+    .Y(_03387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07366_ (.A1(\u_spictrl.u_rxreg.data_int[2] ),
-    .A2(_03376_),
-    .B1(\u_spictrl.u_rxreg.data_int[5] ),
-    .B2(_03370_),
-    .X(_03387_),
+ sky130_fd_sc_hd__or3_4 _08611_ (.A(_03387_),
+    .B(_01377_),
+    .C(_01330_),
+    .X(_03388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07367_ (.A(_03387_),
-    .Y(_03388_),
+ sky130_fd_sc_hd__or4_4 _08612_ (.A(_01318_),
+    .B(_01321_),
+    .C(_01350_),
+    .D(_01306_),
+    .X(_03389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07368_ (.A(\u_spictrl.u_rxreg.data_int[6] ),
-    .B(_03373_),
-    .Y(_03389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07369_ (.A1(_03386_),
-    .A2(_03388_),
-    .B1(_03379_),
-    .C1(_03389_),
+ sky130_fd_sc_hd__or4_4 _08613_ (.A(_01339_),
+    .B(_03388_),
+    .C(_01336_),
+    .D(_03389_),
     .X(_03390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07370_ (.A(_03390_),
-    .Y(_00046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07371_ (.A(_00046_),
-    .X(_00575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07372_ (.A(_02287_),
+ sky130_fd_sc_hd__or2_4 _08614_ (.A(_01322_),
+    .B(_03390_),
     .X(_03391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07373_ (.A1(\u_spictrl.u_rxreg.data_int[1] ),
-    .A2(_03376_),
-    .B1(\u_spictrl.u_rxreg.data_int[4] ),
-    .B2(_03391_),
-    .X(_03392_),
+ sky130_fd_sc_hd__inv_2 _08615_ (.A(\u_wb_if.NextPreAddr[13] ),
+    .Y(_03392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07374_ (.A(_03392_),
-    .Y(_03393_),
+ sky130_fd_sc_hd__or4_4 _08616_ (.A(_01375_),
+    .B(_01369_),
+    .C(_03392_),
+    .D(_03391_),
+    .X(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07375_ (.A(_03248_),
+ sky130_fd_sc_hd__or2_4 _08617_ (.A(_01309_),
+    .B(_03393_),
     .X(_03394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07376_ (.A(\u_spictrl.u_rxreg.data_int[5] ),
+ sky130_fd_sc_hd__or2_4 _08618_ (.A(_01351_),
     .B(_03394_),
-    .Y(_03395_),
+    .X(_03395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07377_ (.A1(_03386_),
-    .A2(_03393_),
-    .B1(_03379_),
-    .C1(_03395_),
+ sky130_fd_sc_hd__or2_4 _08619_ (.A(_01324_),
+    .B(_03395_),
     .X(_03396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07378_ (.A(_03396_),
-    .Y(_00044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07379_ (.A(_00044_),
-    .X(_00574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07380_ (.A(_02281_),
+ sky130_fd_sc_hd__or2_4 _08620_ (.A(_01364_),
+    .B(_03396_),
     .X(_03397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07381_ (.A1(\u_spictrl.u_rxreg.data_int[0] ),
-    .A2(_03397_),
-    .B1(\u_spictrl.u_rxreg.data_int[3] ),
-    .B2(_03391_),
+ sky130_fd_sc_hd__or2_4 _08621_ (.A(_03386_),
+    .B(_03397_),
     .X(_03398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07382_ (.A(_03398_),
-    .Y(_03399_),
+ sky130_fd_sc_hd__or2_4 _08622_ (.A(_01354_),
+    .B(_03398_),
+    .X(_03399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07383_ (.A(psn_net_49),
+ sky130_fd_sc_hd__or2_4 _08623_ (.A(_01297_),
+    .B(_03399_),
     .X(_03400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07384_ (.A(\u_spictrl.u_rxreg.data_int[4] ),
-    .B(_03394_),
-    .Y(_03401_),
+ sky130_fd_sc_hd__or2_4 _08624_ (.A(_01374_),
+    .B(_03400_),
+    .X(_03401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07385_ (.A1(_03386_),
-    .A2(_03399_),
-    .B1(_03400_),
-    .C1(_03401_),
+ sky130_fd_sc_hd__or2_4 _08625_ (.A(_01386_),
+    .B(_03401_),
     .X(_03402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07386_ (.A(_03402_),
-    .Y(_00043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07387_ (.A(_00043_),
-    .X(_00573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07388_ (.A1(io_in[3]),
-    .A2(_03397_),
-    .B1(\u_spictrl.u_rxreg.data_int[2] ),
-    .B2(_03391_),
+ sky130_fd_sc_hd__or2_4 _08626_ (.A(_01302_),
+    .B(_03402_),
     .X(_03403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07389_ (.A(_03403_),
-    .Y(_03404_),
+ sky130_fd_sc_hd__or2_4 _08627_ (.A(_01382_),
+    .B(_03403_),
+    .X(_03404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07390_ (.A(\u_spictrl.u_rxreg.data_int[3] ),
-    .B(_03394_),
-    .Y(_03405_),
+ sky130_fd_sc_hd__or2_4 _08628_ (.A(_01317_),
+    .B(_03404_),
+    .X(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07391_ (.A1(_03386_),
-    .A2(_03404_),
-    .B1(_03400_),
-    .C1(_03405_),
+ sky130_fd_sc_hd__or2_4 _08629_ (.A(_01385_),
+    .B(_03405_),
     .X(_03406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07392_ (.A(_03406_),
-    .Y(_00042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07393_ (.A(_00042_),
-    .X(_00572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07394_ (.A1(io_in[2]),
-    .A2(_03397_),
-    .B1(\u_spictrl.u_rxreg.data_int[1] ),
-    .B2(_03391_),
+ sky130_fd_sc_hd__or2_4 _08630_ (.A(_01356_),
+    .B(_03406_),
     .X(_03407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07395_ (.A(_03407_),
-    .Y(_03408_),
+ sky130_fd_sc_hd__or2_4 _08631_ (.A(_01344_),
+    .B(_03407_),
+    .X(_03408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07396_ (.A(\u_spictrl.u_rxreg.data_int[2] ),
-    .B(_03394_),
-    .Y(_03409_),
+ sky130_fd_sc_hd__or2_4 _08632_ (.A(_03408_),
+    .B(_01383_),
+    .X(_03409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07397_ (.A1(_03257_),
-    .A2(_03408_),
-    .B1(_03400_),
-    .C1(_03409_),
+ sky130_fd_sc_hd__or2_4 _08633_ (.A(_01433_),
+    .B(_03409_),
     .X(_03410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07398_ (.A(_03410_),
-    .Y(_00041_),
+ sky130_fd_sc_hd__inv_2 _08634_ (.A(_03410_),
+    .Y(_03411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07399_ (.A(_00041_),
-    .X(_00571_),
+ sky130_fd_sc_hd__o22a_4 _08635_ (.A1(\u_wb_if.NextPreAddr[31] ),
+    .A2(_03411_),
+    .B1(_01326_),
+    .B2(_03410_),
+    .X(_03412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07400_ (.A1(io_in[1]),
-    .A2(_03397_),
-    .B1(\u_spictrl.u_rxreg.data_int[0] ),
-    .B2(_02288_),
-    .X(_03411_),
+ sky130_fd_sc_hd__buf_2 _08636_ (.A(_01400_),
+    .X(_03413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07401_ (.A(_03411_),
-    .Y(_03412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07402_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
-    .B(_03249_),
-    .Y(_03413_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07403_ (.A1(_03257_),
-    .A2(_03412_),
-    .B1(_03400_),
-    .C1(_03413_),
+ sky130_fd_sc_hd__buf_2 _08637_ (.A(_03413_),
     .X(_03414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07404_ (.A(_03414_),
-    .Y(_00040_),
+ sky130_fd_sc_hd__o22a_4 _08638_ (.A1(_01459_),
+    .A2(_03412_),
+    .B1(\u_wb_if.spim_wb_addr[31] ),
+    .B2(_03414_),
+    .X(_01151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07405_ (.A(_00040_),
-    .X(_00570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07406_ (.A1(io_in[0]),
-    .A2(_02299_),
-    .B1(io_in[1]),
-    .B2(_02288_),
+ sky130_fd_sc_hd__buf_2 _08639_ (.A(_01442_),
     .X(_03415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07407_ (.A(_03415_),
-    .Y(_03416_),
+ sky130_fd_sc_hd__buf_2 _08640_ (.A(_03415_),
+    .X(_03416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07408_ (.A(\u_spictrl.u_rxreg.data_int[0] ),
-    .B(_03249_),
-    .Y(_03417_),
+ sky130_fd_sc_hd__buf_2 _08641_ (.A(_01454_),
+    .X(_03417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07409_ (.A1(_03257_),
-    .A2(_03416_),
-    .B1(psn_net_45),
-    .C1(_03417_),
+ sky130_fd_sc_hd__buf_2 _08642_ (.A(_03417_),
     .X(_03418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07410_ (.A(_03418_),
-    .Y(_00039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07411_ (.A(_00039_),
-    .X(_00569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07412_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+ sky130_fd_sc_hd__and2_4 _08643_ (.A(\u_wb_if.NextPreAddr[30] ),
+    .B(_03418_),
     .X(_03419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07413_ (.A(_03419_),
-    .B(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .C(\u_spictrl.u_rxreg.rx_CS[2] ),
+ sky130_fd_sc_hd__buf_2 _08644_ (.A(_01431_),
     .X(_03420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07414_ (.A(_01172_),
-    .Y(\u_m0_res_fifo.full ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07415_ (.A(_01225_),
-    .Y(\u_m1_res_fifo.full ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07416_ (.A1(_02004_),
-    .A2(\u_m0_res_fifo.full ),
-    .B1(\u_spictrl.gnt[2] ),
-    .B2(\u_m1_res_fifo.full ),
+ sky130_fd_sc_hd__buf_2 _08645_ (.A(_03420_),
     .X(_03421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07417_ (.A(_03421_),
-    .X(_03422_),
+ sky130_fd_sc_hd__nand2_4 _08646_ (.A(_01383_),
+    .B(psn_net_2),
+    .Y(_03422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07418_ (.A(_04525_),
-    .B(_03420_),
+ sky130_fd_sc_hd__and3_4 _08647_ (.A(psn_net_0),
+    .B(_03421_),
     .C(_03422_),
     .X(_03423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07419_ (.A(_04524_),
-    .Y(_03424_),
+ sky130_fd_sc_hd__a211o_4 _08648_ (.A1(\u_wb_if.spim_wb_addr[30] ),
+    .A2(_03416_),
+    .B1(_03419_),
+    .C1(_03423_),
+    .X(_01150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07420_ (.A(_03424_),
-    .B(_04525_),
+ sky130_fd_sc_hd__buf_2 _08649_ (.A(_01456_),
+    .X(_03424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08650_ (.A(\u_wb_if.NextPreAddr[29] ),
+    .B(_03418_),
     .X(_03425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07421_ (.A(_03420_),
+ sky130_fd_sc_hd__nand2_4 _08651_ (.A(_01344_),
+    .B(psn_net_4),
     .Y(_03426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07422_ (.A(_03147_),
-    .B(_03425_),
+ sky130_fd_sc_hd__and3_4 _08652_ (.A(psn_net_1),
+    .B(_03421_),
     .C(_03426_),
     .X(_03427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07423_ (.A(\u_spictrl.u_rxreg.rx_CS[2] ),
-    .Y(_03428_),
+ sky130_fd_sc_hd__a211o_4 _08653_ (.A1(\u_wb_if.spim_wb_addr[29] ),
+    .A2(_03424_),
+    .B1(_03425_),
+    .C1(_03427_),
+    .X(_01149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07424_ (.A(_04525_),
+ sky130_fd_sc_hd__and2_4 _08654_ (.A(\u_wb_if.NextPreAddr[28] ),
+    .B(_03418_),
+    .X(_03428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08655_ (.A(_01356_),
+    .B(psn_net_6),
     .Y(_03429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07425_ (.A(_03217_),
-    .B(_03174_),
+ sky130_fd_sc_hd__and3_4 _08656_ (.A(psn_net_3),
+    .B(_03421_),
+    .C(_03429_),
     .X(_03430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07426_ (.A(_03430_),
-    .Y(_03431_),
+ sky130_fd_sc_hd__a211o_4 _08657_ (.A1(_01282_),
+    .A2(_03424_),
+    .B1(_03428_),
+    .C1(_03430_),
+    .X(_01148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07427_ (.A(_03421_),
+ sky130_fd_sc_hd__and2_4 _08658_ (.A(\u_wb_if.NextPreAddr[27] ),
+    .B(_03418_),
+    .X(_03431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08659_ (.A(_01385_),
+    .B(psn_net_8),
     .Y(_03432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _07428_ (.A1(_02280_),
-    .A2(_03180_),
-    .B1(_03184_),
+ sky130_fd_sc_hd__and3_4 _08660_ (.A(psn_net_5),
+    .B(_03421_),
+    .C(_03432_),
     .X(_03433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07429_ (.A(_03174_),
+ sky130_fd_sc_hd__a211o_4 _08661_ (.A1(\u_wb_if.spim_wb_addr[27] ),
+    .A2(_03424_),
+    .B1(_03431_),
+    .C1(_03433_),
+    .X(_01147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08662_ (.A(_03417_),
     .X(_03434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _07430_ (.A1(_03218_),
-    .A2(_03433_),
-    .B1(_03434_),
+ sky130_fd_sc_hd__and2_4 _08663_ (.A(\u_wb_if.NextPreAddr[26] ),
+    .B(_03434_),
     .X(_03435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07431_ (.A1(_03429_),
-    .A2(_03431_),
-    .B1(_03432_),
-    .B2(_03435_),
+ sky130_fd_sc_hd__buf_2 _08664_ (.A(_01431_),
     .X(_03436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07432_ (.A(_03428_),
-    .B(_03436_),
+ sky130_fd_sc_hd__nand2_4 _08665_ (.A(psn_net_10),
+    .B(_01317_),
     .Y(_03437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07433_ (.A(_03433_),
-    .B(_03432_),
+ sky130_fd_sc_hd__and3_4 _08666_ (.A(_03437_),
+    .B(_03436_),
+    .C(psn_net_7),
     .X(_03438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _07434_ (.A1(_03217_),
-    .A2(_03438_),
-    .B1(_03174_),
-    .Y(_03439_),
+ sky130_fd_sc_hd__a211o_4 _08667_ (.A1(\u_wb_if.spim_wb_addr[26] ),
+    .A2(_03424_),
+    .B1(_03435_),
+    .C1(_03438_),
+    .X(_01146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07435_ (.A1_N(_03424_),
-    .A2_N(_03439_),
-    .B1(_03422_),
-    .B2(_03431_),
+ sky130_fd_sc_hd__buf_2 _08668_ (.A(_03415_),
+    .X(_03439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08669_ (.A(\u_wb_if.NextPreAddr[25] ),
+    .B(_03434_),
     .X(_03440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07436_ (.A(_03419_),
-    .B(_03421_),
-    .X(_03441_),
+ sky130_fd_sc_hd__nand2_4 _08670_ (.A(psn_net_11),
+    .B(_01382_),
+    .Y(_03441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07437_ (.A1(_04524_),
-    .A2(_03432_),
-    .B1(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .B2(_03441_),
+ sky130_fd_sc_hd__and3_4 _08671_ (.A(_03441_),
+    .B(_03436_),
+    .C(psn_net_9),
     .X(_03442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07438_ (.A1(\u_spictrl.u_rxreg.rx_CS[2] ),
-    .A2(_03440_),
-    .B1(_03426_),
+ sky130_fd_sc_hd__a211o_4 _08672_ (.A1(\u_wb_if.spim_wb_addr[25] ),
+    .A2(_03439_),
+    .B1(_03440_),
     .C1(_03442_),
+    .X(_01145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08673_ (.A(\u_wb_if.NextPreAddr[24] ),
+    .B(_03434_),
     .X(_03443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07439_ (.A(_03443_),
+ sky130_fd_sc_hd__nand2_4 _08674_ (.A(_01302_),
+    .B(psn_net_14),
     .Y(_03444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07440_ (.A(_03423_),
-    .B(_03427_),
-    .C(_03437_),
-    .D(_03444_),
+ sky130_fd_sc_hd__and3_4 _08675_ (.A(psn_net_12),
+    .B(_03436_),
+    .C(_03444_),
     .X(_03445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07441_ (.A(_03445_),
-    .Y(_03446_),
+ sky130_fd_sc_hd__a211o_4 _08676_ (.A1(\u_wb_if.spim_wb_addr[24] ),
+    .A2(_03439_),
+    .B1(_03443_),
+    .C1(_03445_),
+    .X(_01144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07442_ (.A(\u_spictrl.spi_rise ),
-    .B(_03129_),
-    .X(_03447_),
+ sky130_fd_sc_hd__and2_4 _08677_ (.A(\u_wb_if.NextPreAddr[23] ),
+    .B(_03434_),
+    .X(_03446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07443_ (.A(_03447_),
-    .Y(_03448_),
+ sky130_fd_sc_hd__nand2_4 _08678_ (.A(_01386_),
+    .B(psn_net_16),
+    .Y(_03447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07444_ (.A1(\u_spictrl.spi_rise ),
-    .A2(_03160_),
-    .A3(_03446_),
-    .B1(\u_spictrl.rx_clk_en ),
-    .B2(_03448_),
-    .X(_00568_),
+ sky130_fd_sc_hd__and3_4 _08679_ (.A(_03436_),
+    .B(psn_net_13),
+    .C(_03447_),
+    .X(_03448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07445_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
-    .Y(_03449_),
+ sky130_fd_sc_hd__a211o_4 _08680_ (.A1(\u_wb_if.spim_wb_addr[23] ),
+    .A2(_03439_),
+    .B1(_03446_),
+    .C1(_03448_),
+    .X(_01143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07446_ (.A(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .B(\u_spictrl.u_rxreg.counter_trgt[1] ),
+ sky130_fd_sc_hd__and2_4 _08681_ (.A(\u_wb_if.NextPreAddr[22] ),
+    .B(_03417_),
+    .X(_03449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08682_ (.A(_01374_),
+    .B(psn_net_18),
     .Y(_03450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07447_ (.A(_03449_),
+ sky130_fd_sc_hd__and3_4 _08683_ (.A(psn_net_15),
     .B(_03450_),
+    .C(_03420_),
     .X(_03451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07448_ (.A(_03451_),
-    .Y(_03452_),
+ sky130_fd_sc_hd__a211o_4 _08684_ (.A1(\u_wb_if.spim_wb_addr[22] ),
+    .A2(_03439_),
+    .B1(_03449_),
+    .C1(_03451_),
+    .X(_01142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07449_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
-    .B(_03452_),
+ sky130_fd_sc_hd__buf_2 _08685_ (.A(_03415_),
+    .X(_03452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08686_ (.A(\u_wb_if.NextPreAddr[21] ),
+    .B(_03417_),
     .X(_03453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07450_ (.A(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .B(_03453_),
-    .X(_03454_),
+ sky130_fd_sc_hd__inv_2 _08687_ (.A(psn_net_19),
+    .Y(_03454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07451_ (.A(\u_spictrl.u_rxreg.counter_trgt[6] ),
+ sky130_fd_sc_hd__or2_4 _08688_ (.A(\u_wb_if.NextPreAddr[21] ),
     .B(_03454_),
     .X(_03455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07452_ (.A(\u_spictrl.u_rxreg.counter_trgt[7] ),
+ sky130_fd_sc_hd__and3_4 _08689_ (.A(psn_net_17),
     .B(_03455_),
+    .C(_03420_),
     .X(_03456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07453_ (.A(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .B(_03456_),
+ sky130_fd_sc_hd__a211o_4 _08690_ (.A1(\u_wb_if.spim_wb_addr[21] ),
+    .A2(_03452_),
+    .B1(_03453_),
+    .C1(_03456_),
+    .X(_01141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08691_ (.A(_01433_),
     .X(_03457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07454_ (.A(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .B(_03457_),
+ sky130_fd_sc_hd__a211o_4 _08692_ (.A1(_01354_),
+    .A2(psn_net_21),
+    .B1(_03454_),
+    .C1(_03457_),
     .X(_03458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07455_ (.A(_03458_),
-    .X(_03459_),
+ sky130_fd_sc_hd__inv_2 _08693_ (.A(_03458_),
+    .Y(_03459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07456_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .B(_03459_),
-    .Y(_03460_),
+ sky130_fd_sc_hd__and2_4 _08694_ (.A(\u_wb_if.NextPreAddr[20] ),
+    .B(_01455_),
+    .X(_03460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _07457_ (.A1(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .A2(_03459_),
-    .B1(_03460_),
-    .Y(_03461_),
+ sky130_fd_sc_hd__a211o_4 _08695_ (.A1(\u_wb_if.spim_wb_addr[20] ),
+    .A2(_03452_),
+    .B1(_03459_),
+    .C1(_03460_),
+    .X(_01140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07458_ (.A1_N(_03220_),
-    .A2_N(_03461_),
-    .B1(_03220_),
-    .B2(_03461_),
-    .X(_03462_),
+ sky130_fd_sc_hd__buf_2 _08696_ (.A(_03413_),
+    .X(_03461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _07459_ (.A1(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .A2(_03457_),
-    .B1_N(_03459_),
+ sky130_fd_sc_hd__nand2_4 _08697_ (.A(_03386_),
+    .B(psn_net_22),
+    .Y(_03462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08698_ (.A1(_01401_),
+    .A2(_03462_),
+    .A3(psn_net_20),
+    .B1(\u_wb_if.spim_wb_addr[19] ),
+    .B2(_01404_),
     .X(_03463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _07460_ (.A1(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .A2(_03171_),
-    .B1(_03450_),
-    .Y(_03464_),
+ sky130_fd_sc_hd__a32o_4 _08699_ (.A1(_03461_),
+    .A2(_03457_),
+    .A3(\u_wb_if.NextPreAddr[19] ),
+    .B1(_01453_),
+    .B2(_03463_),
+    .X(_01139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07461_ (.A1_N(_03243_),
-    .A2_N(_03464_),
-    .B1(_03243_),
-    .B2(_03464_),
+ sky130_fd_sc_hd__or2_4 _08700_ (.A(_01433_),
+    .B(psn_net_28),
+    .X(_03464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08701_ (.A(_01375_),
+    .B(_03464_),
     .X(_03465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _07462_ (.A1(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .A2(_03453_),
-    .B1_N(_03454_),
-    .Y(_03466_),
+ sky130_fd_sc_hd__or4_4 _08702_ (.A(_01309_),
+    .B(_01369_),
+    .C(_03392_),
+    .D(_03465_),
+    .X(_03466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07463_ (.A(_03221_),
-    .B(_03233_),
-    .Y(_03467_),
+ sky130_fd_sc_hd__or3_4 _08703_ (.A(_01324_),
+    .B(_01351_),
+    .C(_03466_),
+    .X(_03467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _07464_ (.A1(\u_spictrl.u_rxreg.counter_trgt[6] ),
-    .A2(_03454_),
-    .B1_N(_03455_),
+ sky130_fd_sc_hd__inv_2 _08704_ (.A(_03467_),
     .Y(_03468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07465_ (.A1_N(_03466_),
-    .A2_N(_03467_),
-    .B1(_03232_),
-    .B2(_03468_),
+ sky130_fd_sc_hd__o22a_4 _08705_ (.A1(\u_wb_if.NextPreAddr[18] ),
+    .A2(_03468_),
+    .B1(_01364_),
+    .B2(_03467_),
     .X(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07466_ (.A(_00601_),
+ sky130_fd_sc_hd__o22a_4 _08706_ (.A1(_01459_),
+    .A2(_03469_),
+    .B1(\u_wb_if.spim_wb_addr[18] ),
+    .B2(_03414_),
+    .X(_01138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08707_ (.A(_01324_),
+    .B(psn_net_24),
     .Y(_03470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07467_ (.A1(_03164_),
-    .A2(_03451_),
-    .B1(_03453_),
+ sky130_fd_sc_hd__a32o_4 _08708_ (.A1(psn_net_23),
+    .A2(_03470_),
+    .A3(_01401_),
+    .B1(\u_wb_if.spim_wb_addr[17] ),
+    .B2(_01404_),
     .X(_03471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07468_ (.A(_03237_),
-    .B(_03471_),
-    .X(_03472_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07469_ (.A(_03196_),
-    .B(_03244_),
-    .Y(_03473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07470_ (.A1(_03171_),
-    .A2(_03473_),
-    .B1(_03237_),
+ sky130_fd_sc_hd__a32o_4 _08709_ (.A1(_03461_),
+    .A2(_03457_),
+    .A3(\u_wb_if.NextPreAddr[17] ),
+    .B1(_01453_),
     .B2(_03471_),
-    .X(_03474_),
+    .X(_01137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07471_ (.A(_03474_),
-    .Y(_03475_),
+ sky130_fd_sc_hd__inv_2 _08710_ (.A(_03466_),
+    .Y(_03472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07472_ (.A(_03434_),
-    .B(_03470_),
-    .C(_03472_),
-    .D(_03475_),
+ sky130_fd_sc_hd__o22a_4 _08711_ (.A1(_01351_),
+    .A2(_03466_),
+    .B1(\u_wb_if.NextPreAddr[16] ),
+    .B2(_03472_),
+    .X(_03473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08712_ (.A1(_03416_),
+    .A2(_03473_),
+    .B1(\u_wb_if.spim_wb_addr[16] ),
+    .B2(_03414_),
+    .X(_01136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08713_ (.A(_01309_),
+    .B(psn_net_26),
+    .Y(_03474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08714_ (.A1(psn_net_25),
+    .A2(_03474_),
+    .A3(_01401_),
+    .B1(\u_wb_if.spim_wb_addr[15] ),
+    .B2(_01404_),
+    .X(_03475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08715_ (.A1(_03461_),
+    .A2(_03457_),
+    .A3(\u_wb_if.NextPreAddr[15] ),
+    .B1(_01453_),
+    .B2(_03475_),
+    .X(_01135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08716_ (.A(_03392_),
+    .B(_03465_),
     .X(_03476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07473_ (.A1_N(_03232_),
-    .A2_N(_03468_),
-    .B1(_03466_),
-    .B2(_03467_),
-    .X(_03477_),
+ sky130_fd_sc_hd__inv_2 _08717_ (.A(_03476_),
+    .Y(_03477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _07474_ (.A1(_03449_),
-    .A2(_03450_),
-    .B1(_03452_),
-    .Y(_03478_),
+ sky130_fd_sc_hd__o22a_4 _08718_ (.A1(_01369_),
+    .A2(_03476_),
+    .B1(\u_wb_if.NextPreAddr[14] ),
+    .B2(_03477_),
+    .X(_03478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07475_ (.A(_03221_),
-    .B(_03238_),
-    .X(_03479_),
+ sky130_fd_sc_hd__o22a_4 _08719_ (.A1(_03416_),
+    .A2(_03478_),
+    .B1(\u_wb_if.spim_wb_addr[14] ),
+    .B2(_03414_),
+    .X(_01134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07476_ (.A1_N(_03478_),
-    .A2_N(_03479_),
-    .B1(_03478_),
-    .B2(_03479_),
+ sky130_fd_sc_hd__nand2_4 _08720_ (.A(_03392_),
+    .B(_03465_),
+    .Y(_03479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08721_ (.A(_03413_),
     .X(_03480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _07477_ (.A1(_03171_),
-    .A2(_03473_),
-    .B1(_03480_),
-    .X(_03481_),
+ sky130_fd_sc_hd__a32o_4 _08722_ (.A1(_03476_),
+    .A2(_03479_),
+    .A3(_03480_),
+    .B1(\u_wb_if.spim_wb_addr[13] ),
+    .B2(_03452_),
+    .X(_01133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07478_ (.A(_03476_),
-    .B(_03477_),
-    .C(_03460_),
-    .D(_03481_),
+ sky130_fd_sc_hd__nand2_4 _08723_ (.A(_01375_),
+    .B(_03464_),
+    .Y(_03481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08724_ (.A1(_03465_),
+    .A2(_03481_),
+    .A3(_03480_),
+    .B1(\u_wb_if.spim_wb_addr[12] ),
+    .B2(_03452_),
+    .X(_01132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08725_ (.A(\u_wb_if.spim_wb_addr[11] ),
+    .B(_01456_),
     .X(_03482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2111o_4 _07479_ (.A1(_03223_),
-    .A2(_03463_),
-    .B1(_03465_),
-    .C1(_03469_),
-    .D1(_03482_),
-    .X(_03483_),
+ sky130_fd_sc_hd__nand2_4 _08726_ (.A(_01322_),
+    .B(psn_net_29),
+    .Y(_03483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07480_ (.A(_03463_),
-    .Y(_03484_),
+ sky130_fd_sc_hd__and3_4 _08727_ (.A(psn_net_27),
+    .B(_03483_),
+    .C(_03420_),
+    .X(_03484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _07481_ (.A1(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .A2(_03455_),
-    .B1_N(_03456_),
+ sky130_fd_sc_hd__a211o_4 _08728_ (.A1(\u_wb_if.NextPreAddr[11] ),
+    .A2(_01455_),
+    .B1(_03482_),
+    .C1(_03484_),
+    .X(_01131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08729_ (.A(_01377_),
+    .B(_01432_),
     .X(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07482_ (.A(_03222_),
-    .B(_03226_),
-    .Y(_03486_),
+ sky130_fd_sc_hd__or2_4 _08730_ (.A(_03387_),
+    .B(_03485_),
+    .X(_03486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07483_ (.A1_N(_03485_),
-    .A2_N(_03486_),
-    .B1(_03485_),
-    .B2(_03486_),
+ sky130_fd_sc_hd__or2_4 _08731_ (.A(_01330_),
+    .B(_03486_),
     .X(_03487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07484_ (.A(_03487_),
-    .Y(_03488_),
+ sky130_fd_sc_hd__or2_4 _08732_ (.A(_01339_),
+    .B(_03487_),
+    .X(_03488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _07485_ (.A1(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .A2(_03456_),
-    .B1_N(_03457_),
+ sky130_fd_sc_hd__or2_4 _08733_ (.A(psn_net_53),
+    .B(_03488_),
     .X(_03489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07486_ (.A1_N(_03225_),
-    .A2_N(_03489_),
-    .B1(_03225_),
-    .B2(_03489_),
+ sky130_fd_sc_hd__or2_4 _08734_ (.A(_01350_),
+    .B(_03489_),
     .X(_03490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07487_ (.A1(_03224_),
-    .A2(_03484_),
-    .B1(_03488_),
-    .C1(_03490_),
+ sky130_fd_sc_hd__or2_4 _08735_ (.A(psn_net_71),
+    .B(_03490_),
     .X(_03491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07488_ (.A(_03462_),
-    .B(_03483_),
-    .C(_03491_),
-    .D(_03445_),
-    .X(_03492_),
+ sky130_fd_sc_hd__inv_2 _08736_ (.A(_03491_),
+    .Y(_03492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07489_ (.A(_03492_),
-    .Y(_03493_),
+ sky130_fd_sc_hd__or2_4 _08737_ (.A(psn_net_30),
+    .B(_03491_),
+    .X(_03493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07490_ (.A(_03209_),
-    .Y(_03494_),
+ sky130_fd_sc_hd__a32o_4 _08738_ (.A1(psn_net_34),
+    .A2(_03492_),
+    .A3(_01336_),
+    .B1(\u_wb_if.NextPreAddr[10] ),
+    .B2(_03493_),
+    .X(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07491_ (.A(_03210_),
-    .Y(_03495_),
+ sky130_fd_sc_hd__o22a_4 _08739_ (.A1(_03416_),
+    .A2(_03494_),
+    .B1(\u_wb_if.spim_wb_addr[10] ),
+    .B2(_03480_),
+    .X(_01130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07492_ (.A(_03494_),
-    .B(_03495_),
-    .C(_03207_),
-    .D(_03206_),
+ sky130_fd_sc_hd__buf_2 _08740_ (.A(_03413_),
+    .X(_03495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08741_ (.A(psn_net_33),
+    .B(_03492_),
     .X(_03496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07493_ (.A(_03200_),
-    .B(_03201_),
-    .C(_03202_),
-    .D(_03495_),
+ sky130_fd_sc_hd__buf_2 _08742_ (.A(_03415_),
     .X(_03497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07494_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .B(_03459_),
-    .C(_03497_),
-    .D(_03194_),
-    .X(_03498_),
+ sky130_fd_sc_hd__a32o_4 _08743_ (.A1(_03495_),
+    .A2(_03493_),
+    .A3(_03496_),
+    .B1(\u_wb_if.spim_wb_addr[9] ),
+    .B2(_03497_),
+    .X(_01129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07495_ (.A(_03498_),
+ sky130_fd_sc_hd__nand2_4 _08744_ (.A(psn_net_72),
+    .B(_03490_),
+    .Y(_03498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08745_ (.A1(_03495_),
+    .A2(_03491_),
+    .A3(_03498_),
+    .B1(\u_wb_if.spim_wb_addr[8] ),
+    .B2(_03497_),
+    .X(_01128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08746_ (.A(_01350_),
+    .B(_03489_),
     .Y(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07496_ (.A1(_03194_),
-    .A2(_03496_),
-    .B1(_03222_),
-    .C1(_03499_),
-    .X(_03500_),
+ sky130_fd_sc_hd__a32o_4 _08747_ (.A1(_03495_),
+    .A2(_03490_),
+    .A3(_03499_),
+    .B1(\u_wb_if.spim_wb_addr[7] ),
+    .B2(_03497_),
+    .X(_01127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07497_ (.A1_N(_03218_),
-    .A2_N(_03447_),
-    .B1(_03493_),
-    .B2(_03500_),
-    .X(_00567_),
+ sky130_fd_sc_hd__nand2_4 _08748_ (.A(psn_net_52),
+    .B(_03488_),
+    .Y(_03500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07498_ (.A(\u_spictrl.u_clkgen.clk_cnt[3] ),
+ sky130_fd_sc_hd__a32o_4 _08749_ (.A1(_03489_),
+    .A2(_03500_),
+    .A3(_03480_),
+    .B1(\u_wb_if.spim_wb_addr[6] ),
+    .B2(_03497_),
+    .X(_01126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08750_ (.A(_01339_),
+    .B(_03487_),
     .Y(_03501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07499_ (.A(\u_spictrl.u_clkgen.clk_cnt[4] ),
+ sky130_fd_sc_hd__a32o_4 _08751_ (.A1(_03495_),
+    .A2(_03488_),
+    .A3(_03501_),
+    .B1(_02086_),
+    .B2(_01405_),
+    .X(_01125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08752_ (.A(_01330_),
+    .B(_03486_),
     .Y(_03502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07500_ (.A(_03502_),
-    .X(_03503_),
+ sky130_fd_sc_hd__a32o_4 _08753_ (.A1(_01402_),
+    .A2(_03487_),
+    .A3(_03502_),
+    .B1(_02087_),
+    .B2(_01405_),
+    .X(_01124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07501_ (.A1_N(\u_spictrl.spi_clk_div[3] ),
-    .A2_N(_03501_),
-    .B1(\u_spictrl.spi_clk_div[4] ),
-    .B2(_03503_),
+ sky130_fd_sc_hd__inv_2 _08754_ (.A(_03485_),
+    .Y(_03503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08755_ (.A(\u_wb_if.NextPreAddr[3] ),
+    .B(_03503_),
     .X(_03504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07502_ (.A(\u_spictrl.u_clkgen.clk_cnt[5] ),
-    .Y(_03505_),
+ sky130_fd_sc_hd__a32o_4 _08756_ (.A1(_03486_),
+    .A2(_03504_),
+    .A3(_03461_),
+    .B1(_02085_),
+    .B2(_01405_),
+    .X(_01123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07503_ (.A(_03505_),
+ sky130_fd_sc_hd__and2_4 _08757_ (.A(_02297_),
+    .B(_01442_),
+    .X(_03505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _08758_ (.A1(_01377_),
+    .A2(_01455_),
+    .B1(_03505_),
+    .C1(_03503_),
     .X(_03506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07504_ (.A1_N(\u_spictrl.spi_clk_div[5] ),
-    .A2_N(_03506_),
-    .B1(\u_spictrl.spi_clk_div[5] ),
-    .B2(_03505_),
+ sky130_fd_sc_hd__inv_2 _08759_ (.A(_03506_),
+    .Y(_01122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08760_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
     .X(_03507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07505_ (.A(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .Y(_03508_),
+ sky130_fd_sc_hd__buf_2 _08761_ (.A(_03507_),
+    .X(_03508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07506_ (.A1_N(\u_spictrl.spi_clk_div[4] ),
-    .A2_N(_03502_),
-    .B1(\u_spictrl.spi_clk_div[1] ),
-    .B2(_03508_),
+ sky130_fd_sc_hd__buf_2 _08762_ (.A(_03508_),
     .X(_03509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07507_ (.A1_N(_01371_),
-    .A2_N(\u_spictrl.u_clkgen.clk_cnt[3] ),
-    .B1(_01400_),
-    .B2(\u_spictrl.u_clkgen.clk_cnt[1] ),
+ sky130_fd_sc_hd__buf_2 _08763_ (.A(_03509_),
     .X(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07508_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .Y(_03511_),
+ sky130_fd_sc_hd__buf_2 _08764_ (.A(_03510_),
+    .X(_03511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07509_ (.A1(\u_spictrl.spi_clk_div[0] ),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .B1(_01421_),
-    .B2(_03511_),
+ sky130_fd_sc_hd__or2_4 _08765_ (.A(_01527_),
+    .B(psn_net_223),
     .X(_03512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07510_ (.A(\u_spictrl.u_clkgen.clk_cnt[2] ),
+ sky130_fd_sc_hd__inv_2 _08766_ (.A(_03512_),
     .Y(_03513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07511_ (.A1(\u_spictrl.spi_clk_div[2] ),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .B1(_01384_),
-    .B2(_03513_),
+ sky130_fd_sc_hd__buf_2 _08767_ (.A(_01287_),
     .X(_03514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07512_ (.A(_03509_),
-    .B(_03510_),
-    .C(_03512_),
-    .D(_03514_),
+ sky130_fd_sc_hd__buf_2 _08768_ (.A(_03514_),
     .X(_03515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07513_ (.A(_03504_),
-    .B(_03507_),
-    .C(_03515_),
+ sky130_fd_sc_hd__buf_2 _08769_ (.A(_03515_),
     .X(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07514_ (.A(_03516_),
-    .Y(_03517_),
+ sky130_fd_sc_hd__buf_2 _08770_ (.A(_03516_),
+    .X(_03517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07515_ (.A(\u_spictrl.spi_clk ),
-    .B(_03517_),
-    .Y(_03518_),
+ sky130_fd_sc_hd__buf_2 _08771_ (.A(_03517_),
+    .X(_03518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07516_ (.A1(_01400_),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .B1(\u_spictrl.spi_clk_div[2] ),
-    .B2(_03508_),
+ sky130_fd_sc_hd__or2_4 _08772_ (.A(_03518_),
+    .B(_03512_),
     .X(_03519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07517_ (.A1(_01384_),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .B1(\u_spictrl.spi_clk_div[3] ),
-    .B2(_03513_),
+ sky130_fd_sc_hd__a32o_4 _08773_ (.A1(_03511_),
+    .A2(_03513_),
+    .A3(_01284_),
+    .B1(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .B2(_03519_),
+    .X(_01121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _08774_ (.A1(_03511_),
+    .A2(_03513_),
+    .B1(_03519_),
+    .X(_01120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08775_ (.A(_01450_),
     .X(_03520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07518_ (.A(_01358_),
-    .B(\u_spictrl.u_clkgen.clk_cnt[3] ),
+ sky130_fd_sc_hd__a2bb2o_4 _08776_ (.A1_N(_01315_),
+    .A2_N(_03520_),
+    .B1(\u_wb_if.NextPreAddr[1] ),
+    .B2(_03520_),
+    .X(_01119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08777_ (.A1_N(_01290_),
+    .A2_N(_03520_),
+    .B1(\u_wb_if.NextPreAddr[0] ),
+    .B2(_03520_),
+    .X(_01118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08778_ (.A(_02557_),
     .X(_03521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07519_ (.A(\u_spictrl.spi_clk_div[4] ),
-    .B(_03501_),
+ sky130_fd_sc_hd__buf_2 _08779_ (.A(_02560_),
     .X(_03522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07520_ (.A(\u_spictrl.spi_clk_div[1] ),
-    .B(_03511_),
+ sky130_fd_sc_hd__a32o_4 _08780_ (.A1(_03521_),
+    .A2(_03522_),
+    .A3(_02213_),
+    .B1(_02212_),
+    .B2(_02675_),
     .X(_03523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07521_ (.A(_03521_),
-    .B(_03522_),
-    .C(_03506_),
-    .D(_03523_),
+ sky130_fd_sc_hd__or2_4 _08781_ (.A(_01478_),
+    .B(spi_debug[10]),
     .X(_03524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07522_ (.A1(_01371_),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .B1(_01347_),
-    .B2(\u_spictrl.u_clkgen.clk_cnt[4] ),
+ sky130_fd_sc_hd__a211o_4 _08782_ (.A1(\u_spim_regs.cfg_m1_spi_seq[1] ),
+    .A2(_02049_),
+    .B1(_01965_),
+    .C1(_01995_),
     .X(_03525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07523_ (.A1(\u_spictrl.spi_clk_div[5] ),
-    .A2(_03503_),
-    .B1(_03525_),
-    .X(_03526_),
+ sky130_fd_sc_hd__inv_2 _08783_ (.A(\u_spim_regs.cfg_m1_spi_seq[0] ),
+    .Y(_03526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07524_ (.A(_03519_),
-    .B(_03520_),
-    .C(_03524_),
+ sky130_fd_sc_hd__or4_4 _08784_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
+    .B(\u_spim_regs.cfg_m1_spi_seq[2] ),
+    .C(_02027_),
     .D(_03526_),
     .X(_03527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07525_ (.A(_03527_),
-    .X(_00014_),
+ sky130_fd_sc_hd__nand2_4 _08785_ (.A(_03525_),
+    .B(_03527_),
+    .Y(_03528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07526_ (.A1(_03518_),
-    .A2(_00014_),
-    .B1(\u_spictrl.rx_clk_en ),
-    .B2(_02265_),
-    .X(_03528_),
+ sky130_fd_sc_hd__o22a_4 _08786_ (.A1(_02027_),
+    .A2(_03526_),
+    .B1(_01966_),
+    .B2(_02554_),
+    .X(_03529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07527_ (.A(_03528_),
-    .Y(_00566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07528_ (.A(_00984_),
-    .Y(_03529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07529_ (.A(_00987_),
-    .B(_00979_),
+ sky130_fd_sc_hd__o22a_4 _08787_ (.A1(_02556_),
+    .A2(_02049_),
+    .B1(_01965_),
+    .B2(_01995_),
     .X(_03530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07530_ (.A(psn_net_62),
+ sky130_fd_sc_hd__nor2_4 _08788_ (.A(_03529_),
     .B(_03530_),
-    .X(_03531_),
+    .Y(_03531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07531_ (.A(_02253_),
-    .B(_00983_),
-    .C(_00987_),
-    .D(_01285_),
+ sky130_fd_sc_hd__or4_4 _08789_ (.A(_01476_),
+    .B(_03524_),
+    .C(_03528_),
+    .D(_03531_),
     .X(_03532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07532_ (.A(_03532_),
-    .Y(_03533_),
+ sky130_fd_sc_hd__or2_4 _08790_ (.A(\u_m1_cmd_fifo.full ),
+    .B(_03532_),
+    .X(_03533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07533_ (.A(_03531_),
-    .B(_03533_),
-    .X(_03534_),
+ sky130_fd_sc_hd__inv_2 _08791_ (.A(_03533_),
+    .Y(_03534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07534_ (.A(_03531_),
-    .Y(_03535_),
+ sky130_fd_sc_hd__and4_4 _08792_ (.A(_01471_),
+    .B(_02546_),
+    .C(_02211_),
+    .D(_01499_),
+    .X(_03535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07535_ (.A(\u_spictrl.cnt[0] ),
-    .Y(_03536_),
+ sky130_fd_sc_hd__and4_4 _08793_ (.A(_02211_),
+    .B(_01472_),
+    .C(_02546_),
+    .D(\u_m1_cmd_fifo.full ),
+    .X(_03536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07536_ (.A(_01491_),
-    .B(\u_spictrl.cnt[0] ),
+ sky130_fd_sc_hd__and4_4 _08794_ (.A(_01471_),
+    .B(_01476_),
+    .C(_02211_),
+    .D(_02551_),
     .X(_03537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07537_ (.A(\u_spictrl.cnt[1] ),
-    .Y(_03538_),
+ sky130_fd_sc_hd__or3_4 _08795_ (.A(_03535_),
+    .B(_03536_),
+    .C(_03537_),
+    .X(_03538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07538_ (.A1(\u_spictrl.cfg_cs_late[1] ),
-    .A2(\u_spictrl.cnt[1] ),
-    .B1(_01478_),
-    .B2(_03538_),
-    .X(_03539_),
+ sky130_fd_sc_hd__inv_2 _08796_ (.A(\u_spim_regs.cur_cnt[1] ),
+    .Y(_03539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07539_ (.A1(\u_spictrl.cfg_cs_late[0] ),
-    .A2(_03536_),
-    .B1(_03537_),
-    .C1(_03539_),
+ sky130_fd_sc_hd__or3_4 _08797_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
+    .B(\u_spim_regs.cfg_m1_data_cnt[0] ),
+    .C(\u_spim_regs.cfg_m1_data_cnt[2] ),
     .X(_03540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07540_ (.A(_01520_),
-    .B(\u_spictrl.cnt[0] ),
+ sky130_fd_sc_hd__or2_4 _08798_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
+    .B(_03540_),
     .X(_03541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07541_ (.A1(\u_spictrl.cfg_cs_early[1] ),
-    .A2(\u_spictrl.cnt[1] ),
-    .B1(_01503_),
-    .B2(_03538_),
+ sky130_fd_sc_hd__a21bo_4 _08799_ (.A1(_01728_),
+    .A2(_03540_),
+    .B1_N(_03541_),
     .X(_03542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07542_ (.A1(\u_spictrl.cfg_cs_early[0] ),
-    .A2(_03536_),
-    .B1(_03541_),
-    .C1(_03542_),
-    .X(_03543_),
+ sky130_fd_sc_hd__nor2_4 _08800_ (.A(_03539_),
+    .B(_03542_),
+    .Y(_03543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07543_ (.A1(_03535_),
-    .A2(_03540_),
-    .B1(_03532_),
-    .B2(_03543_),
-    .X(_03544_),
+ sky130_fd_sc_hd__inv_2 _08801_ (.A(_01762_),
+    .Y(_03544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _07544_ (.A(_03534_),
-    .B(_03544_),
+ sky130_fd_sc_hd__nor2_4 _08802_ (.A(_01795_),
+    .B(_01826_),
     .Y(_03545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07545_ (.A(\u_spictrl.cnt[0] ),
-    .B(_03545_),
+ sky130_fd_sc_hd__o21a_4 _08803_ (.A1(_03544_),
+    .A2(_03545_),
+    .B1(_03540_),
     .X(_03546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07546_ (.A(psn_net_38),
-    .B(_02329_),
-    .C(_03154_),
-    .D(_03534_),
+ sky130_fd_sc_hd__a2bb2o_4 _08804_ (.A1_N(\u_spim_regs.cur_cnt[0] ),
+    .A2_N(_03546_),
+    .B1(\u_spim_regs.cur_cnt[0] ),
+    .B2(_03546_),
     .X(_03547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07547_ (.A(_03544_),
-    .B(_03547_),
+ sky130_fd_sc_hd__and2_4 _08805_ (.A(_03539_),
+    .B(_03542_),
     .X(_03548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07548_ (.A(_03536_),
-    .B(_03545_),
+ sky130_fd_sc_hd__or2_4 _08806_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
+    .B(_03541_),
     .X(_03549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07549_ (.A1(\u_spictrl.cnt[1] ),
-    .A2(_03546_),
-    .A3(_03548_),
-    .B1(_03538_),
-    .B2(_03549_),
-    .X(_03550_),
+ sky130_fd_sc_hd__inv_2 _08807_ (.A(_03549_),
+    .Y(_03550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07550_ (.A(_03550_),
-    .Y(_00565_),
+ sky130_fd_sc_hd__a21oi_4 _08808_ (.A1(_01679_),
+    .A2(_03541_),
+    .B1(_03550_),
+    .Y(_03551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _07551_ (.A1(_03536_),
-    .A2(_03548_),
-    .B1(_03546_),
-    .Y(_00564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07552_ (.A1(psn_net_61),
-    .A2(_03530_),
-    .A3(_03540_),
-    .B1(_02253_),
-    .B2(_00983_),
-    .X(_03551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07553_ (.A(_01130_),
-    .B(_01215_),
+ sky130_fd_sc_hd__a2bb2o_4 _08809_ (.A1_N(\u_spim_regs.cur_cnt[2] ),
+    .A2_N(_03551_),
+    .B1(\u_spim_regs.cur_cnt[2] ),
+    .B2(_03551_),
     .X(_03552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07554_ (.A1_N(psn_net_55),
-    .A2_N(_03552_),
-    .B1(_03533_),
-    .B2(_03543_),
+ sky130_fd_sc_hd__or4_4 _08810_ (.A(_03543_),
+    .B(_03547_),
+    .C(_03548_),
+    .D(_03552_),
     .X(_03553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07555_ (.A(_02259_),
-    .B(_02262_),
-    .C(_02001_),
-    .D(_02257_),
+ sky130_fd_sc_hd__or2_4 _08811_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .B(_03549_),
     .X(_03554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07556_ (.A1(_03150_),
-    .A2(_03430_),
-    .A3(_02006_),
-    .B1(_02258_),
-    .B2(_03554_),
+ sky130_fd_sc_hd__buf_2 _08812_ (.A(_03554_),
     .X(_03555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07557_ (.A(_03529_),
-    .B(_01284_),
+ sky130_fd_sc_hd__o21a_4 _08813_ (.A1(_01630_),
+    .A2(_03550_),
+    .B1(_03555_),
     .X(_03556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07558_ (.A(_00980_),
-    .B(psn_net_35),
+ sky130_fd_sc_hd__a2bb2o_4 _08814_ (.A1_N(\u_spim_regs.cur_cnt[3] ),
+    .A2_N(_03556_),
+    .B1(\u_spim_regs.cur_cnt[3] ),
+    .B2(_03556_),
     .X(_03557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07559_ (.A1(psn_net_60),
-    .A2(_03557_),
-    .B1(psn_net_5),
-    .X(_03558_),
+ sky130_fd_sc_hd__nor2_4 _08815_ (.A(\u_spim_regs.cfg_m1_data_cnt[6] ),
+    .B(_03555_),
+    .Y(_03558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07560_ (.A(_03551_),
-    .B(_03553_),
-    .C(_03555_),
-    .D(_03558_),
-    .X(_03559_),
+ sky130_fd_sc_hd__a21oi_4 _08816_ (.A1(_01600_),
+    .A2(_03555_),
+    .B1(_03558_),
+    .Y(_03559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07561_ (.A(_03559_),
-    .Y(_03560_),
+ sky130_fd_sc_hd__a2bb2o_4 _08817_ (.A1_N(\u_spim_regs.cur_cnt[4] ),
+    .A2_N(_03559_),
+    .B1(\u_spim_regs.cur_cnt[4] ),
+    .B2(_03559_),
+    .X(_03560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07562_ (.A(_03559_),
-    .X(_03561_),
+ sky130_fd_sc_hd__inv_2 _08818_ (.A(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .Y(_03561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07563_ (.A1(_01285_),
-    .A2(_01999_),
-    .B1(_00985_),
-    .B2(_03530_),
-    .X(_03562_),
+ sky130_fd_sc_hd__inv_2 _08819_ (.A(\u_spim_regs.cur_cnt[5] ),
+    .Y(_03562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07564_ (.A(\u_spictrl.cfg_spi_seq[3] ),
-    .Y(_03563_),
+ sky130_fd_sc_hd__a2bb2o_4 _08820_ (.A1_N(_03562_),
+    .A2_N(_03558_),
+    .B1(_03562_),
+    .B2(_03558_),
+    .X(_03563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07565_ (.A(\u_spictrl.cfg_spi_seq[2] ),
+ sky130_fd_sc_hd__a2bb2o_4 _08821_ (.A1_N(_03561_),
+    .A2_N(_03563_),
+    .B1(_03561_),
+    .B2(_03563_),
     .X(_03564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07566_ (.A(\u_spictrl.cfg_spi_seq[0] ),
-    .Y(_03565_),
+ sky130_fd_sc_hd__or4_4 _08822_ (.A(_03553_),
+    .B(_03557_),
+    .C(_03560_),
+    .D(_03564_),
+    .X(_03565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07567_ (.A(_03565_),
+ sky130_fd_sc_hd__or2_4 _08823_ (.A(_01478_),
+    .B(_03565_),
     .X(_03566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07568_ (.A(\u_spictrl.cfg_spi_seq[1] ),
-    .X(_03567_),
+ sky130_fd_sc_hd__nor2_4 _08824_ (.A(_01473_),
+    .B(_03566_),
+    .Y(_03567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07569_ (.A(_03563_),
-    .B(_03564_),
-    .C(_03566_),
+ sky130_fd_sc_hd__or4_4 _08825_ (.A(_01479_),
+    .B(_03534_),
+    .C(_03538_),
     .D(_03567_),
     .X(_03568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07570_ (.A(\u_spictrl.cfg_spi_seq[3] ),
-    .X(_03569_),
+ sky130_fd_sc_hd__inv_2 _08826_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
+    .Y(_03569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07571_ (.A(\u_spictrl.cfg_spi_seq[2] ),
-    .Y(_03570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07572_ (.A(_03570_),
-    .X(_03571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07573_ (.A(_03569_),
-    .B(_03571_),
-    .C(_03566_),
-    .X(_03572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07574_ (.A1(_02254_),
+ sky130_fd_sc_hd__o21a_4 _08827_ (.A1(_03523_),
     .A2(_03568_),
-    .B1(_02331_),
-    .B2(_03572_),
-    .X(_03573_),
+    .B1(_03569_),
+    .X(_03570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07575_ (.A(\u_spictrl.cfg_spi_seq[3] ),
-    .B(_03570_),
+ sky130_fd_sc_hd__inv_2 _08828_ (.A(_03570_),
+    .Y(_03571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08829_ (.A(\u_spim_regs.cur_cnt[4] ),
+    .Y(_03572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08830_ (.A(\u_spim_regs.cur_cnt[0] ),
+    .Y(_03573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08831_ (.A(_03569_),
+    .B(_03568_),
     .X(_03574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07576_ (.A(_03574_),
-    .Y(_03575_),
+ sky130_fd_sc_hd__or2_4 _08832_ (.A(_03573_),
+    .B(_03574_),
+    .X(_03575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07577_ (.A(\u_spictrl.cfg_spi_seq[1] ),
+ sky130_fd_sc_hd__nor2_4 _08833_ (.A(_03539_),
+    .B(_03575_),
     .Y(_03576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07578_ (.A(_03576_),
+ sky130_fd_sc_hd__and2_4 _08834_ (.A(\u_spim_regs.cur_cnt[2] ),
+    .B(_03576_),
     .X(_03577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07579_ (.A(_03569_),
-    .B(_03571_),
-    .C(_03577_),
-    .D(_03565_),
-    .X(_03578_),
+ sky130_fd_sc_hd__nand2_4 _08835_ (.A(\u_spim_regs.cur_cnt[3] ),
+    .B(_03577_),
+    .Y(_03578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07580_ (.A(_03575_),
+ sky130_fd_sc_hd__or2_4 _08836_ (.A(_03572_),
     .B(_03578_),
     .X(_03579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07581_ (.A(_03579_),
-    .X(_03580_),
+ sky130_fd_sc_hd__inv_2 _08837_ (.A(_03579_),
+    .Y(_03580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07582_ (.A(_02339_),
-    .B(_03580_),
+ sky130_fd_sc_hd__o22a_4 _08838_ (.A1(_03562_),
+    .A2(_03580_),
+    .B1(\u_spim_regs.cur_cnt[5] ),
+    .B2(_03579_),
     .X(_03581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07583_ (.A(_03563_),
-    .B(_03571_),
-    .C(_03567_),
+ sky130_fd_sc_hd__nor2_4 _08839_ (.A(_03571_),
+    .B(_03581_),
+    .Y(_01117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _08840_ (.A1(_03572_),
+    .A2(_03578_),
+    .B1(_03571_),
+    .C1(_03580_),
     .X(_03582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07584_ (.A1(\u_spictrl.cfg_spi_seq[1] ),
-    .A2(_03565_),
-    .B1(_03576_),
-    .B2(\u_spictrl.cfg_spi_seq[0] ),
+ sky130_fd_sc_hd__inv_2 _08841_ (.A(_03582_),
+    .Y(_01116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08842_ (.A(\u_spim_regs.cur_cnt[3] ),
+    .B(_03577_),
     .X(_03583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07585_ (.A(_03563_),
-    .B(_03564_),
+ sky130_fd_sc_hd__and3_4 _08843_ (.A(_03570_),
+    .B(_03578_),
     .C(_03583_),
-    .X(_03584_),
+    .X(_01115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07586_ (.A(_02459_),
-    .B(_03584_),
+ sky130_fd_sc_hd__inv_2 _08844_ (.A(_03577_),
+    .Y(_03584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08845_ (.A(\u_spim_regs.cur_cnt[2] ),
+    .B(_03576_),
     .X(_03585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07587_ (.A(_03585_),
-    .Y(_03586_),
+ sky130_fd_sc_hd__and3_4 _08846_ (.A(_03570_),
+    .B(_03584_),
+    .C(_03585_),
+    .X(_01114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07588_ (.A(\u_spictrl.cfg_spi_seq[0] ),
-    .X(_03587_),
+ sky130_fd_sc_hd__a211o_4 _08847_ (.A1(_03539_),
+    .A2(_03575_),
+    .B1(_03571_),
+    .C1(_03576_),
+    .X(_03586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07589_ (.A(_03563_),
-    .B(_03571_),
-    .C(_03577_),
-    .D(_03587_),
+ sky130_fd_sc_hd__inv_2 _08848_ (.A(_03586_),
+    .Y(_01113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08849_ (.A(_03524_),
+    .Y(_03587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _08850_ (.A(_02302_),
+    .B(_03587_),
+    .C(_02676_),
+    .D(_03574_),
     .X(_03588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07590_ (.A(_03579_),
-    .B(_03582_),
-    .C(_03586_),
-    .D(_03588_),
-    .X(_03589_),
+ sky130_fd_sc_hd__inv_2 _08851_ (.A(_03588_),
+    .Y(_03589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07591_ (.A(_03562_),
-    .B(_03573_),
-    .C(_03581_),
-    .D(_03589_),
+ sky130_fd_sc_hd__o21a_4 _08852_ (.A1(\u_spim_regs.cur_cnt[0] ),
+    .A2(_03589_),
+    .B1(_03575_),
+    .X(_01112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08853_ (.A(_02601_),
+    .B(\u_spim_regs.spim_reg_wdata[31] ),
     .X(_03590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07592_ (.A1(psn_net_65),
-    .A2(_03560_),
-    .B1(_03561_),
-    .B2(_03590_),
-    .X(_03591_),
+ sky130_fd_sc_hd__inv_2 _08854_ (.A(\u_spim_regs.spim_reg_be[3] ),
+    .Y(_03591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07593_ (.A(_03591_),
-    .Y(_00563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07594_ (.A(_02729_),
-    .B(_03568_),
+ sky130_fd_sc_hd__and2_4 _08855_ (.A(_03591_),
+    .B(_02592_),
     .X(_03592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07595_ (.A(_02314_),
-    .B(_03572_),
+ sky130_fd_sc_hd__or2_4 _08856_ (.A(_02595_),
+    .B(_03592_),
     .X(_03593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07596_ (.A(_03584_),
-    .Y(_03594_),
+ sky130_fd_sc_hd__buf_2 _08857_ (.A(_03593_),
+    .X(_03594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07597_ (.A1(_03594_),
-    .A2(_03588_),
-    .B1(_02459_),
-    .X(_03595_),
+ sky130_fd_sc_hd__inv_2 _08858_ (.A(_03593_),
+    .Y(_03595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07598_ (.A(_02313_),
-    .B(_03592_),
-    .C(_03593_),
-    .D(_03595_),
+ sky130_fd_sc_hd__buf_2 _08859_ (.A(_03595_),
     .X(_03596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _07599_ (.A1(_02330_),
-    .A2(_03580_),
-    .B1(_03596_),
-    .Y(_03597_),
+ sky130_fd_sc_hd__o22a_4 _08860_ (.A1(_03590_),
+    .A2(_03594_),
+    .B1(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .B2(_03596_),
+    .X(_01111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07600_ (.A1(_01257_),
-    .A2(_03560_),
-    .B1(_03561_),
-    .B2(_03597_),
+ sky130_fd_sc_hd__and2_4 _08861_ (.A(_02660_),
+    .B(\u_spim_regs.spim_reg_wdata[30] ),
+    .X(_03597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08862_ (.A1(_03594_),
+    .A2(_03597_),
+    .B1(_01600_),
+    .B2(_03596_),
+    .X(_01110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08863_ (.A(_03593_),
     .X(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07601_ (.A(_03598_),
-    .Y(_00562_),
+ sky130_fd_sc_hd__buf_2 _08864_ (.A(_02628_),
+    .X(_03599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07602_ (.A(_03580_),
-    .Y(_03599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07603_ (.A(_03569_),
-    .B(_03564_),
-    .C(_03577_),
-    .D(_03566_),
+ sky130_fd_sc_hd__and2_4 _08865_ (.A(_03599_),
+    .B(\u_spim_regs.spim_reg_wdata[29] ),
     .X(_03600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07604_ (.A1(_03567_),
-    .A2(_03587_),
-    .A3(_03575_),
-    .B1(_03599_),
-    .B2(_03600_),
+ sky130_fd_sc_hd__o22a_4 _08866_ (.A1(_03598_),
+    .A2(_03600_),
+    .B1(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .B2(_03596_),
+    .X(_01109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08867_ (.A(_03599_),
+    .B(\u_spim_regs.spim_reg_wdata[28] ),
     .X(_03601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07605_ (.A1(_01271_),
-    .A2(_01285_),
-    .B1(_00985_),
-    .C1(_03530_),
+ sky130_fd_sc_hd__o22a_4 _08868_ (.A1(_03598_),
+    .A2(_03601_),
+    .B1(_01679_),
+    .B2(_03596_),
+    .X(_01108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08869_ (.A(_03599_),
+    .B(\u_spim_regs.spim_reg_wdata[27] ),
     .X(_03602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07606_ (.A(_03602_),
-    .Y(_03603_),
+ sky130_fd_sc_hd__o22a_4 _08870_ (.A1(_03598_),
+    .A2(_03602_),
+    .B1(_01728_),
+    .B2(_03595_),
+    .X(_01107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07607_ (.A(\u_spictrl.cfg_spi_seq[3] ),
-    .B(_03570_),
-    .C(_03577_),
-    .D(_03587_),
-    .X(_03604_),
+ sky130_fd_sc_hd__and2_4 _08871_ (.A(_03599_),
+    .B(\u_spim_regs.spim_reg_wdata[26] ),
+    .X(_03603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07608_ (.A1(_03566_),
-    .A2(_03575_),
-    .B1(_02331_),
-    .C1(_03604_),
+ sky130_fd_sc_hd__o22a_4 _08872_ (.A1(_03598_),
+    .A2(_03603_),
+    .B1(_01762_),
+    .B2(_03595_),
+    .X(_01106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08873_ (.A(_02583_),
+    .Y(_03604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08874_ (.A(_02584_),
+    .B(\u_spim_regs.spim_reg_wdata[25] ),
     .X(_03605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07609_ (.A(_03605_),
+ sky130_fd_sc_hd__nor2_4 _08875_ (.A(_03604_),
+    .B(_03605_),
     .Y(_03606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07610_ (.A(_03574_),
-    .B(_03583_),
+ sky130_fd_sc_hd__a2bb2o_4 _08876_ (.A1_N(_03594_),
+    .A2_N(_03606_),
+    .B1(_01795_),
+    .B2(_03594_),
+    .X(_01105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08877_ (.A(_02601_),
+    .B(\u_spim_regs.spim_reg_wdata[24] ),
     .X(_03607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07611_ (.A(_02551_),
-    .B(_03607_),
+ sky130_fd_sc_hd__o22a_4 _08878_ (.A1(_03593_),
+    .A2(_03607_),
+    .B1(_01826_),
+    .B2(_03595_),
+    .X(_01104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08879_ (.A(_02595_),
+    .B(_02648_),
     .X(_03608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07612_ (.A(_03557_),
-    .B(_03603_),
-    .C(_03606_),
-    .D(_03608_),
+ sky130_fd_sc_hd__buf_2 _08880_ (.A(_03608_),
     .X(_03609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07613_ (.A(_02313_),
-    .B(_03533_),
-    .C(_03585_),
-    .D(_03609_),
-    .X(_03610_),
+ sky130_fd_sc_hd__inv_2 _08881_ (.A(_03608_),
+    .Y(_03610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _07614_ (.A1(_02330_),
-    .A2(_03601_),
-    .B1(_03610_),
-    .Y(_03611_),
+ sky130_fd_sc_hd__buf_2 _08882_ (.A(_03610_),
+    .X(_03611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07615_ (.A1(_01271_),
-    .A2(_03560_),
-    .B1(_03559_),
+ sky130_fd_sc_hd__o22a_4 _08883_ (.A1(_02653_),
+    .A2(_03609_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
     .B2(_03611_),
+    .X(_01103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08884_ (.A1(_02656_),
+    .A2(_03609_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .B2(_03611_),
+    .X(_01102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08885_ (.A(_03608_),
     .X(_03612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07616_ (.A(_03612_),
-    .Y(_00561_),
+ sky130_fd_sc_hd__o22a_4 _08886_ (.A1(_02657_),
+    .A2(_03612_),
+    .B1(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .B2(_03611_),
+    .X(_01101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07617_ (.A(_03572_),
-    .B(_03605_),
+ sky130_fd_sc_hd__o22a_4 _08887_ (.A1(_02658_),
+    .A2(_03612_),
+    .B1(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .B2(_03611_),
+    .X(_01100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08888_ (.A1(_02661_),
+    .A2(_03612_),
+    .B1(_02553_),
+    .B2(_03610_),
+    .X(_01099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08889_ (.A1(_02663_),
+    .A2(_03612_),
+    .B1(_02554_),
+    .B2(_03610_),
+    .X(_01098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08890_ (.A1(_02664_),
+    .A2(_03608_),
+    .B1(_02556_),
+    .B2(_03610_),
+    .X(_01097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _08891_ (.A(_03604_),
+    .B(_02665_),
     .Y(_03613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07618_ (.A(_03562_),
-    .Y(_03614_),
+ sky130_fd_sc_hd__a2bb2o_4 _08892_ (.A1_N(_03609_),
+    .A2_N(_03613_),
+    .B1(_02050_),
+    .B2(_03609_),
+    .X(_01096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07619_ (.A(_02339_),
-    .B(_03607_),
+ sky130_fd_sc_hd__buf_2 _08893_ (.A(\u_spim_regs.spim_reg_wdata[8] ),
+    .X(_03614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08894_ (.A(_03614_),
     .Y(_03615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07620_ (.A(_02002_),
-    .B(_03608_),
-    .C(_03614_),
-    .D(_03615_),
+ sky130_fd_sc_hd__or2_4 _08895_ (.A(_02589_),
+    .B(_02590_),
     .X(_03616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07621_ (.A1(_03580_),
-    .A2(_03582_),
-    .B1(_02459_),
+ sky130_fd_sc_hd__buf_2 _08896_ (.A(_03616_),
     .X(_03617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07622_ (.A(_02550_),
-    .B(_03556_),
+ sky130_fd_sc_hd__or2_4 _08897_ (.A(_02303_),
+    .B(_03617_),
     .X(_03618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07623_ (.A(_02320_),
-    .B(_02314_),
-    .C(_03618_),
-    .D(_02461_),
-    .X(_03619_),
+ sky130_fd_sc_hd__a2bb2o_4 _08898_ (.A1_N(_03615_),
+    .A2_N(_03618_),
+    .B1(_02302_),
+    .B2(_03618_),
+    .X(_01095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07624_ (.A(_03619_),
-    .Y(io_oeb[3]),
+ sky130_fd_sc_hd__nor2_4 _08899_ (.A(_02090_),
+    .B(_03617_),
+    .Y(_03619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07625_ (.A(_00985_),
-    .B(_03532_),
-    .C(psn_net_28),
+ sky130_fd_sc_hd__buf_2 _08900_ (.A(_03619_),
     .X(_03620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07626_ (.A(_03613_),
-    .B(_03616_),
-    .C(_03617_),
-    .D(_03620_),
+ sky130_fd_sc_hd__buf_2 _08901_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
     .X(_03621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07627_ (.A(_03621_),
-    .Y(_03622_),
+ sky130_fd_sc_hd__a2bb2o_4 _08902_ (.A1_N(_02436_),
+    .A2_N(_03620_),
+    .B1(_03621_),
+    .B2(_03620_),
+    .X(_01094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07628_ (.A1_N(_03561_),
-    .A2_N(_03622_),
-    .B1(_00980_),
-    .B2(_03561_),
-    .X(_00560_),
+ sky130_fd_sc_hd__buf_2 _08903_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
+    .X(_03622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _07629_ (.A(psn_net_46),
-    .B(_03552_),
-    .Y(_03623_),
+ sky130_fd_sc_hd__a2bb2o_4 _08904_ (.A1_N(_02465_),
+    .A2_N(_03620_),
+    .B1(_03622_),
+    .B2(_03620_),
+    .X(_01093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07630_ (.A(_03623_),
+ sky130_fd_sc_hd__buf_2 _08905_ (.A(_03619_),
+    .X(_03623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08906_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
     .X(_03624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07631_ (.A(_03624_),
+ sky130_fd_sc_hd__a2bb2o_4 _08907_ (.A1_N(_02490_),
+    .A2_N(_03623_),
+    .B1(_03624_),
+    .B2(_03623_),
+    .X(_01092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08908_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
     .X(_03625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07632_ (.A(_02005_),
+ sky130_fd_sc_hd__a2bb2o_4 _08909_ (.A1_N(_02515_),
+    .A2_N(_03623_),
+    .B1(_03625_),
+    .B2(_03623_),
+    .X(_01091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _08910_ (.A1(_02581_),
+    .A2(_02303_),
+    .B1(_02593_),
+    .C1(_02594_),
     .X(_03626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07633_ (.A(_03626_),
-    .X(\u_m0_cmd_fifo.empty ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07634_ (.A(_01131_),
+ sky130_fd_sc_hd__buf_2 _08911_ (.A(_03626_),
     .X(_03627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07635_ (.A(_03627_),
+ sky130_fd_sc_hd__buf_2 _08912_ (.A(_03627_),
     .X(_03628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07636_ (.A(_02440_),
+ sky130_fd_sc_hd__inv_2 _08913_ (.A(_03626_),
     .Y(_03629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07637_ (.A1(\u_m0_cmd_fifo.empty ),
-    .A2(_02427_),
-    .B1(_03628_),
-    .B2(_03629_),
+ sky130_fd_sc_hd__buf_2 _08914_ (.A(_03629_),
     .X(_03630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07638_ (.A1_N(_03625_),
-    .A2_N(_03630_),
-    .B1(\u_spictrl.spi_mode_cmd[7] ),
-    .B2(_03625_),
-    .X(_00559_),
+ sky130_fd_sc_hd__o22a_4 _08915_ (.A1(_02586_),
+    .A2(_03628_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .B2(_03630_),
+    .X(_01090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07639_ (.A(_02005_),
+ sky130_fd_sc_hd__o22a_4 _08916_ (.A1(_02603_),
+    .A2(_03628_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .B2(_03630_),
+    .X(_01089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08917_ (.A(_03629_),
     .X(_03631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07640_ (.A(_03631_),
+ sky130_fd_sc_hd__o22a_4 _08918_ (.A1(_02607_),
+    .A2(_03628_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .B2(_03631_),
+    .X(_01088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08919_ (.A1(_02609_),
+    .A2(_03628_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .B2(_03631_),
+    .X(_01087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08920_ (.A1(_02610_),
+    .A2(_03627_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[3] ),
+    .B2(_03631_),
+    .X(_01086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08921_ (.A1(_02611_),
+    .A2(_03627_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[2] ),
+    .B2(_03631_),
+    .X(_01085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08922_ (.A1(_02615_),
+    .A2(_03627_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[1] ),
+    .B2(_03629_),
+    .X(_01084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08923_ (.A1_N(_02518_),
+    .A2_N(_03630_),
+    .B1(_02617_),
+    .B2(_03630_),
+    .X(_01083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08924_ (.A(_02590_),
     .X(_03632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07641_ (.A(_02546_),
-    .Y(_03633_),
+ sky130_fd_sc_hd__or3_4 _08925_ (.A(_02622_),
+    .B(_03632_),
+    .C(_01509_),
+    .X(_03633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07642_ (.A1(_03632_),
-    .A2(_02539_),
-    .B1(_03628_),
-    .B2(_03633_),
-    .X(_03634_),
+ sky130_fd_sc_hd__inv_2 _08926_ (.A(_03633_),
+    .Y(_03634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07643_ (.A1_N(_03625_),
-    .A2_N(_03634_),
-    .B1(\u_spictrl.spi_mode_cmd[6] ),
-    .B2(_03625_),
-    .X(_00558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07644_ (.A(_03624_),
+ sky130_fd_sc_hd__buf_2 _08927_ (.A(_03634_),
     .X(_03635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07645_ (.A(_02614_),
-    .Y(_03636_),
+ sky130_fd_sc_hd__a2bb2o_4 _08928_ (.A1_N(_02095_),
+    .A2_N(_03635_),
+    .B1(_02620_),
+    .B2(_03635_),
+    .X(_01082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07646_ (.A1(_03632_),
-    .A2(_02609_),
-    .B1(_03628_),
+ sky130_fd_sc_hd__a2bb2o_4 _08929_ (.A1_N(_02120_),
+    .A2_N(_03635_),
+    .B1(_02630_),
+    .B2(_03635_),
+    .X(_01081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08930_ (.A(_03634_),
+    .X(_03636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08931_ (.A1_N(_02152_),
+    .A2_N(_03636_),
+    .B1(_02632_),
     .B2(_03636_),
+    .X(_01080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08932_ (.A1_N(_02180_),
+    .A2_N(_03636_),
+    .B1(_02635_),
+    .B2(_03636_),
+    .X(_01079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08933_ (.A(_03634_),
     .X(_03637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07647_ (.A1_N(_03635_),
+ sky130_fd_sc_hd__a2bb2o_4 _08934_ (.A1_N(_02206_),
     .A2_N(_03637_),
-    .B1(\u_spictrl.spi_mode_cmd[5] ),
-    .B2(_03635_),
-    .X(_00557_),
+    .B1(_02638_),
+    .B2(_03637_),
+    .X(_01078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07648_ (.A(_02667_),
-    .Y(_03638_),
+ sky130_fd_sc_hd__a2bb2o_4 _08935_ (.A1_N(_02235_),
+    .A2_N(_03637_),
+    .B1(_02641_),
+    .B2(_03637_),
+    .X(_01077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07649_ (.A1(_03632_),
-    .A2(_02662_),
-    .B1(_03628_),
+ sky130_fd_sc_hd__buf_2 _08936_ (.A(_03634_),
+    .X(_03638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08937_ (.A1_N(_02270_),
+    .A2_N(_03638_),
+    .B1(_02643_),
     .B2(_03638_),
-    .X(_03639_),
+    .X(_01076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07650_ (.A1_N(_03635_),
-    .A2_N(_03639_),
-    .B1(\u_spictrl.spi_mode_cmd[4] ),
-    .B2(_03635_),
-    .X(_00556_),
+ sky130_fd_sc_hd__a2bb2o_4 _08938_ (.A1_N(_02300_),
+    .A2_N(_03638_),
+    .B1(_03614_),
+    .B2(_03638_),
+    .X(_01075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07651_ (.A(_03624_),
+ sky130_fd_sc_hd__nor2_4 _08939_ (.A(_01510_),
+    .B(_03617_),
+    .Y(_03639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08940_ (.A(_03639_),
     .X(_03640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07652_ (.A(_03627_),
+ sky130_fd_sc_hd__a2bb2o_4 _08941_ (.A1_N(_02331_),
+    .A2_N(_03640_),
+    .B1(_02585_),
+    .B2(_03640_),
+    .X(_01074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08942_ (.A1_N(_02366_),
+    .A2_N(_03640_),
+    .B1(_02602_),
+    .B2(_03640_),
+    .X(_01073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08943_ (.A(_03639_),
     .X(_03641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07653_ (.A(_02724_),
-    .Y(_03642_),
+ sky130_fd_sc_hd__a2bb2o_4 _08944_ (.A1_N(_02391_),
+    .A2_N(_03641_),
+    .B1(_02606_),
+    .B2(_03641_),
+    .X(_01072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07654_ (.A1(_03632_),
-    .A2(_02716_),
-    .B1(_03641_),
+ sky130_fd_sc_hd__a2bb2o_4 _08945_ (.A1_N(_02414_),
+    .A2_N(_03641_),
+    .B1(_02608_),
+    .B2(_03641_),
+    .X(_01071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08946_ (.A(_03639_),
+    .X(_03642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08947_ (.A1_N(_02442_),
+    .A2_N(_03642_),
+    .B1(_03621_),
     .B2(_03642_),
+    .X(_01070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08948_ (.A1_N(_02470_),
+    .A2_N(_03642_),
+    .B1(_03622_),
+    .B2(_03642_),
+    .X(_01069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08949_ (.A(_03639_),
     .X(_03643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07655_ (.A1_N(_03640_),
+ sky130_fd_sc_hd__a2bb2o_4 _08950_ (.A1_N(_02495_),
     .A2_N(_03643_),
-    .B1(\u_spictrl.spi_mode_cmd[3] ),
-    .B2(_03640_),
-    .X(_00555_),
+    .B1(_03624_),
+    .B2(_03643_),
+    .X(_01068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07656_ (.A(_03631_),
+ sky130_fd_sc_hd__a2bb2o_4 _08951_ (.A1_N(_02524_),
+    .A2_N(_03643_),
+    .B1(_03625_),
+    .B2(_03643_),
+    .X(_01067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _08952_ (.A(_03591_),
+    .B(_02367_),
+    .C(_03632_),
     .X(_03644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07657_ (.A(_02784_),
+ sky130_fd_sc_hd__inv_2 _08953_ (.A(_03644_),
     .Y(_03645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07658_ (.A1(_03644_),
-    .A2(_02779_),
-    .B1(_03641_),
-    .B2(_03645_),
+ sky130_fd_sc_hd__buf_2 _08954_ (.A(_03645_),
     .X(_03646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07659_ (.A1_N(_03640_),
+ sky130_fd_sc_hd__a2bb2o_4 _08955_ (.A1_N(_01505_),
     .A2_N(_03646_),
-    .B1(\u_spictrl.spi_mode_cmd[2] ),
-    .B2(_03640_),
-    .X(_00554_),
+    .B1(\u_spim_regs.spim_reg_wdata[31] ),
+    .B2(_03646_),
+    .X(_01066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07660_ (.A(_03624_),
+ sky130_fd_sc_hd__a2bb2o_4 _08956_ (.A1_N(_01278_),
+    .A2_N(_03646_),
+    .B1(\u_spim_regs.spim_reg_wdata[30] ),
+    .B2(_03646_),
+    .X(_01065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08957_ (.A(_03645_),
     .X(_03647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07661_ (.A(_02831_),
-    .Y(_03648_),
+ sky130_fd_sc_hd__a2bb2o_4 _08958_ (.A1_N(_01441_),
+    .A2_N(_03647_),
+    .B1(\u_spim_regs.spim_reg_wdata[29] ),
+    .B2(_03647_),
+    .X(_01064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07662_ (.A1(_03644_),
-    .A2(_02826_),
-    .B1(_03641_),
+ sky130_fd_sc_hd__a2bb2o_4 _08959_ (.A1_N(_01446_),
+    .A2_N(_03647_),
+    .B1(\u_spim_regs.spim_reg_wdata[28] ),
+    .B2(_03647_),
+    .X(_01063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08960_ (.A(_03645_),
+    .X(_03648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08961_ (.A1_N(_01451_),
+    .A2_N(_03648_),
+    .B1(\u_spim_regs.spim_reg_wdata[27] ),
     .B2(_03648_),
+    .X(_01062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08962_ (.A1_N(_01758_),
+    .A2_N(_03648_),
+    .B1(\u_spim_regs.spim_reg_wdata[26] ),
+    .B2(_03648_),
+    .X(_01061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08963_ (.A(_03645_),
     .X(_03649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07663_ (.A1_N(_03647_),
+ sky130_fd_sc_hd__a2bb2o_4 _08964_ (.A1_N(_01791_),
     .A2_N(_03649_),
-    .B1(\u_spictrl.spi_mode_cmd[1] ),
-    .B2(_03647_),
-    .X(_00553_),
+    .B1(\u_spim_regs.spim_reg_wdata[25] ),
+    .B2(_03649_),
+    .X(_01060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07664_ (.A(_02882_),
-    .Y(_03650_),
+ sky130_fd_sc_hd__a2bb2o_4 _08965_ (.A1_N(_01823_),
+    .A2_N(_03649_),
+    .B1(\u_spim_regs.spim_reg_wdata[24] ),
+    .B2(_03649_),
+    .X(_01059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07665_ (.A1(_03644_),
-    .A2(_02877_),
-    .B1(_03641_),
-    .B2(_03650_),
-    .X(_03651_),
+ sky130_fd_sc_hd__or3_4 _08966_ (.A(_02647_),
+    .B(_01633_),
+    .C(_03632_),
+    .X(_03650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07666_ (.A1_N(_03647_),
-    .A2_N(_03651_),
-    .B1(\u_spictrl.spi_mode_cmd[0] ),
-    .B2(_03647_),
-    .X(_00552_),
+ sky130_fd_sc_hd__inv_2 _08967_ (.A(_03650_),
+    .Y(_03651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07667_ (.A(_03623_),
+ sky130_fd_sc_hd__buf_2 _08968_ (.A(_03651_),
     .X(_03652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07668_ (.A(_03652_),
+ sky130_fd_sc_hd__a2bb2o_4 _08969_ (.A1_N(_01862_),
+    .A2_N(_03652_),
+    .B1(\u_spim_regs.spim_reg_wdata[23] ),
+    .B2(_03652_),
+    .X(_01058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08970_ (.A1_N(_01886_),
+    .A2_N(_03652_),
+    .B1(\u_spim_regs.spim_reg_wdata[22] ),
+    .B2(_03652_),
+    .X(_01057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08971_ (.A(_03651_),
     .X(_03653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07669_ (.A(_03627_),
+ sky130_fd_sc_hd__a2bb2o_4 _08972_ (.A1_N(_01911_),
+    .A2_N(_03653_),
+    .B1(\u_spim_regs.spim_reg_wdata[21] ),
+    .B2(_03653_),
+    .X(_01056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08973_ (.A1_N(_01938_),
+    .A2_N(_03653_),
+    .B1(\u_spim_regs.spim_reg_wdata[20] ),
+    .B2(_03653_),
+    .X(_01055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08974_ (.A(_03651_),
     .X(_03654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07670_ (.A(_02690_),
-    .Y(_03655_),
+ sky130_fd_sc_hd__a2bb2o_4 _08975_ (.A1_N(_01968_),
+    .A2_N(_03654_),
+    .B1(\u_spim_regs.spim_reg_wdata[19] ),
+    .B2(_03654_),
+    .X(_01054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07671_ (.A1(_03644_),
-    .A2(_02684_),
-    .B1(_03654_),
+ sky130_fd_sc_hd__a2bb2o_4 _08976_ (.A1_N(_01998_),
+    .A2_N(_03654_),
+    .B1(\u_spim_regs.spim_reg_wdata[18] ),
+    .B2(_03654_),
+    .X(_01053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08977_ (.A(_03651_),
+    .X(_03655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08978_ (.A1_N(_02029_),
+    .A2_N(_03655_),
+    .B1(\u_spim_regs.spim_reg_wdata[17] ),
     .B2(_03655_),
-    .X(_03656_),
+    .X(_01052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07672_ (.A1_N(_03653_),
-    .A2_N(_03656_),
-    .B1(_03569_),
-    .B2(_03653_),
-    .X(_00551_),
+ sky130_fd_sc_hd__a2bb2o_4 _08979_ (.A1_N(_02051_),
+    .A2_N(_03655_),
+    .B1(\u_spim_regs.spim_reg_wdata[16] ),
+    .B2(_03655_),
+    .X(_01051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07673_ (.A(_03631_),
+ sky130_fd_sc_hd__nor2_4 _08980_ (.A(_02438_),
+    .B(_03617_),
+    .Y(_03656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08981_ (.A(_03656_),
     .X(_03657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07674_ (.A(_02755_),
-    .Y(_03658_),
+ sky130_fd_sc_hd__a2bb2o_4 _08982_ (.A1_N(_02328_),
+    .A2_N(_03657_),
+    .B1(_02585_),
+    .B2(_03657_),
+    .X(_01050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07675_ (.A1(_03657_),
-    .A2(_02749_),
-    .B1(_03654_),
+ sky130_fd_sc_hd__a2bb2o_4 _08983_ (.A1_N(_02364_),
+    .A2_N(_03657_),
+    .B1(_02602_),
+    .B2(_03657_),
+    .X(_01049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08984_ (.A(_03656_),
+    .X(_03658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08985_ (.A1_N(_02389_),
+    .A2_N(_03658_),
+    .B1(_02606_),
     .B2(_03658_),
+    .X(_01048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08986_ (.A1_N(_02412_),
+    .A2_N(_03658_),
+    .B1(_02608_),
+    .B2(_03658_),
+    .X(_01047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08987_ (.A(_03656_),
     .X(_03659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07676_ (.A1_N(_03653_),
+ sky130_fd_sc_hd__a2bb2o_4 _08988_ (.A1_N(_02437_),
     .A2_N(_03659_),
-    .B1(_03564_),
-    .B2(_03653_),
-    .X(_00550_),
+    .B1(_03621_),
+    .B2(_03659_),
+    .X(_01046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07677_ (.A(_03652_),
+ sky130_fd_sc_hd__a2bb2o_4 _08989_ (.A1_N(_02466_),
+    .A2_N(_03659_),
+    .B1(_03622_),
+    .B2(_03659_),
+    .X(_01045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08990_ (.A(_03656_),
     .X(_03660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07678_ (.A(_02803_),
-    .Y(_03661_),
+ sky130_fd_sc_hd__a2bb2o_4 _08991_ (.A1_N(_02491_),
+    .A2_N(_03660_),
+    .B1(_03624_),
+    .B2(_03660_),
+    .X(_01044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07679_ (.A1(_03657_),
-    .A2(_02798_),
-    .B1(_03654_),
+ sky130_fd_sc_hd__a2bb2o_4 _08992_ (.A1_N(_02517_),
+    .A2_N(_03660_),
+    .B1(_03625_),
+    .B2(_03660_),
+    .X(_01043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _08993_ (.A(_02622_),
+    .B(_03632_),
+    .C(_02438_),
+    .X(_03661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08994_ (.A1_N(_03615_),
+    .A2_N(_03661_),
+    .B1(\u_spim_regs.cfg_m0_fsm_reset ),
     .B2(_03661_),
+    .X(_01042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _08995_ (.A(_02622_),
+    .B(_02590_),
+    .C(_02127_),
     .X(_03662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07680_ (.A1_N(_03660_),
-    .A2_N(_03662_),
-    .B1(_03567_),
-    .B2(_03660_),
-    .X(_00549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07681_ (.A(_02854_),
+ sky130_fd_sc_hd__inv_2 _08996_ (.A(_03662_),
     .Y(_03663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07682_ (.A1(_03657_),
-    .A2(_02849_),
-    .B1(_03654_),
-    .B2(_03663_),
+ sky130_fd_sc_hd__buf_2 _08997_ (.A(_03663_),
     .X(_03664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07683_ (.A1_N(_03660_),
+ sky130_fd_sc_hd__a2bb2o_4 _08998_ (.A1_N(_02084_),
     .A2_N(_03664_),
-    .B1(_03587_),
-    .B2(_03660_),
-    .X(_00548_),
+    .B1(_02620_),
+    .B2(_03664_),
+    .X(_01041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07684_ (.A(_03652_),
+ sky130_fd_sc_hd__a2bb2o_4 _08999_ (.A1_N(_02126_),
+    .A2_N(_03664_),
+    .B1(_02630_),
+    .B2(_03664_),
+    .X(_01040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09000_ (.A(_03663_),
     .X(_03665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07685_ (.A(_03627_),
+ sky130_fd_sc_hd__a2bb2o_4 _09001_ (.A1_N(_02156_),
+    .A2_N(_03665_),
+    .B1(_02632_),
+    .B2(_03665_),
+    .X(_01039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _09002_ (.A1_N(_02176_),
+    .A2_N(_03665_),
+    .B1(_02635_),
+    .B2(_03665_),
+    .X(_01038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09003_ (.A(_03663_),
     .X(_03666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07686_ (.A1(_03657_),
-    .A2(_02402_),
-    .B1(_03666_),
-    .B2(_02418_),
+ sky130_fd_sc_hd__a2bb2o_4 _09004_ (.A1_N(_02210_),
+    .A2_N(_03666_),
+    .B1(_02638_),
+    .B2(_03666_),
+    .X(_01037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _09005_ (.A1_N(_02239_),
+    .A2_N(_03666_),
+    .B1(_02641_),
+    .B2(_03666_),
+    .X(_01036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09006_ (.A(_03663_),
     .X(_03667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07687_ (.A1_N(_03665_),
+ sky130_fd_sc_hd__a2bb2o_4 _09007_ (.A1_N(_02262_),
     .A2_N(_03667_),
-    .B1(\u_spictrl.cfg_data_cnt[7] ),
-    .B2(_03665_),
-    .X(_00547_),
+    .B1(_02643_),
+    .B2(_03667_),
+    .X(_01035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07688_ (.A(_03631_),
+ sky130_fd_sc_hd__a2bb2o_4 _09008_ (.A1_N(_02293_),
+    .A2_N(_03667_),
+    .B1(_03614_),
+    .B2(_03667_),
+    .X(_01034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09009_ (.A(_02650_),
+    .B(_03592_),
     .X(_03668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07689_ (.A1(_03668_),
-    .A2(_02509_),
-    .B1(_03666_),
-    .B2(_02520_),
+ sky130_fd_sc_hd__buf_2 _09010_ (.A(_03668_),
     .X(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07690_ (.A1_N(_03665_),
-    .A2_N(_03669_),
-    .B1(\u_spictrl.cfg_data_cnt[6] ),
-    .B2(_03665_),
-    .X(_00546_),
+ sky130_fd_sc_hd__inv_2 _09011_ (.A(_03668_),
+    .Y(_03670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07691_ (.A(_03652_),
-    .X(_03670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07692_ (.A1(_03668_),
-    .A2(_02583_),
-    .B1(_03666_),
-    .B2(_02592_),
+ sky130_fd_sc_hd__buf_2 _09012_ (.A(_03670_),
     .X(_03671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07693_ (.A1_N(_03670_),
-    .A2_N(_03671_),
-    .B1(\u_spictrl.cfg_data_cnt[5] ),
-    .B2(_03670_),
-    .X(_00545_),
+ sky130_fd_sc_hd__o22a_4 _09013_ (.A1(_03590_),
+    .A2(_03669_),
+    .B1(\u_spim_regs.cfg_m1_addr[31] ),
+    .B2(_03671_),
+    .X(_01033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07694_ (.A1(_03668_),
-    .A2(_02642_),
-    .B1(_03666_),
-    .B2(_02648_),
+ sky130_fd_sc_hd__o22a_4 _09014_ (.A1(_03597_),
+    .A2(_03669_),
+    .B1(\u_spim_regs.cfg_m1_addr[30] ),
+    .B2(_03671_),
+    .X(_01032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09015_ (.A1(_03600_),
+    .A2(_03669_),
+    .B1(\u_spim_regs.cfg_m1_addr[29] ),
+    .B2(_03671_),
+    .X(_01031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09016_ (.A1(_03601_),
+    .A2(_03669_),
+    .B1(\u_spim_regs.cfg_m1_addr[28] ),
+    .B2(_03671_),
+    .X(_01030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09017_ (.A(_03668_),
     .X(_03672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07695_ (.A1_N(_03670_),
-    .A2_N(_03672_),
-    .B1(\u_spictrl.cfg_data_cnt[4] ),
-    .B2(_03670_),
-    .X(_00544_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07696_ (.A(_03623_),
+ sky130_fd_sc_hd__buf_2 _09018_ (.A(_03670_),
     .X(_03673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07697_ (.A(_03673_),
+ sky130_fd_sc_hd__o22a_4 _09019_ (.A1(_03602_),
+    .A2(_03672_),
+    .B1(\u_spim_regs.cfg_m1_addr[27] ),
+    .B2(_03673_),
+    .X(_01029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09020_ (.A1(_03603_),
+    .A2(_03672_),
+    .B1(\u_spim_regs.cfg_m1_addr[26] ),
+    .B2(_03673_),
+    .X(_01028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09021_ (.A1(_03605_),
+    .A2(_03672_),
+    .B1(\u_spim_regs.cfg_m1_addr[25] ),
+    .B2(_03673_),
+    .X(_01027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09022_ (.A1(_03607_),
+    .A2(_03672_),
+    .B1(\u_spim_regs.cfg_m1_addr[24] ),
+    .B2(_03673_),
+    .X(_01026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09023_ (.A(_01967_),
+    .B(_01995_),
     .X(_03674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07698_ (.A(_01131_),
-    .X(_03675_),
+ sky130_fd_sc_hd__inv_2 _09024_ (.A(_03674_),
+    .Y(_03675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07699_ (.A1(_03668_),
-    .A2(_02696_),
-    .B1(_03675_),
-    .B2(_02702_),
+ sky130_fd_sc_hd__and4_4 _09025_ (.A(_01967_),
+    .B(_01996_),
+    .C(_02028_),
+    .D(_03526_),
     .X(_03676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07700_ (.A1_N(_03674_),
-    .A2_N(_03676_),
-    .B1(\u_spictrl.cfg_data_cnt[3] ),
-    .B2(_03674_),
-    .X(_00543_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07701_ (.A(_02005_),
+ sky130_fd_sc_hd__and2_4 _09026_ (.A(_01473_),
+    .B(_01477_),
     .X(_03677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07702_ (.A1(_03677_),
-    .A2(_02760_),
-    .B1(_03675_),
-    .B2(_02765_),
+ sky130_fd_sc_hd__and2_4 _09027_ (.A(_02212_),
+    .B(_03677_),
     .X(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07703_ (.A1_N(_03674_),
-    .A2_N(_03678_),
-    .B1(\u_spictrl.cfg_data_cnt[2] ),
-    .B2(_03674_),
-    .X(_00542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07704_ (.A(_03673_),
+ sky130_fd_sc_hd__buf_2 _09028_ (.A(_03678_),
     .X(_03679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07705_ (.A1(_03677_),
-    .A2(_02808_),
-    .B1(_03675_),
-    .B2(_02813_),
+ sky130_fd_sc_hd__and3_4 _09029_ (.A(_02557_),
+    .B(_03522_),
+    .C(_01480_),
     .X(_03680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07706_ (.A1_N(_03679_),
-    .A2_N(_03680_),
-    .B1(\u_spictrl.cfg_data_cnt[1] ),
-    .B2(_03679_),
-    .X(_00541_),
+ sky130_fd_sc_hd__nor2_4 _09030_ (.A(_03679_),
+    .B(_03680_),
+    .Y(_03681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07707_ (.A1(_03677_),
-    .A2(_02859_),
-    .B1(_03675_),
-    .B2(_02864_),
-    .X(_03681_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07708_ (.A1_N(_03679_),
-    .A2_N(_03681_),
-    .B1(\u_spictrl.cfg_data_cnt[0] ),
-    .B2(_03679_),
-    .X(_00540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07709_ (.A(_03673_),
+ sky130_fd_sc_hd__or3_4 _09031_ (.A(_03675_),
+    .B(_03676_),
+    .C(_03681_),
     .X(_03682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07710_ (.A(_01131_),
-    .X(_03683_),
+ sky130_fd_sc_hd__inv_2 _09032_ (.A(_03532_),
+    .Y(_03683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07711_ (.A1(_03677_),
-    .A2(_02375_),
-    .B1(_03683_),
-    .B2(_02389_),
+ sky130_fd_sc_hd__or4_4 _09033_ (.A(_03679_),
+    .B(_03680_),
+    .C(_03523_),
+    .D(_03683_),
     .X(_03684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07712_ (.A1_N(_03682_),
-    .A2_N(_03684_),
-    .B1(\u_spictrl.cfg_dummy_cnt[1] ),
-    .B2(_03682_),
-    .X(_00539_),
+ sky130_fd_sc_hd__o21a_4 _09034_ (.A1(_02561_),
+    .A2(_03675_),
+    .B1(_03679_),
+    .X(_03685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07713_ (.A(_02500_),
-    .Y(_03685_),
+ sky130_fd_sc_hd__o21ai_4 _09035_ (.A1(_02028_),
+    .A2(_02555_),
+    .B1(_03525_),
+    .Y(_03686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07714_ (.A1(_03626_),
-    .A2(_02493_),
-    .B1(_03683_),
-    .B2(_03685_),
-    .X(_03686_),
+ sky130_fd_sc_hd__nand2_4 _09036_ (.A(_01474_),
+    .B(_02549_),
+    .Y(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07715_ (.A1_N(_03682_),
-    .A2_N(_03686_),
-    .B1(\u_spictrl.cfg_dummy_cnt[0] ),
-    .B2(_03682_),
-    .X(_00538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07716_ (.A(_01908_),
-    .X(_03687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07717_ (.A(wbd_stb_i),
+ sky130_fd_sc_hd__a22oi_4 _09037_ (.A1(_02056_),
+    .A2(_03677_),
+    .B1(_02560_),
+    .B2(_03687_),
     .Y(_03688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07718_ (.A(\u_spim_regs.spim_reg_ack ),
-    .B(_01814_),
-    .C(wbd_ack_o),
-    .D(_03688_),
+ sky130_fd_sc_hd__o22a_4 _09038_ (.A1(_03533_),
+    .A2(_03686_),
+    .B1(_02212_),
+    .B2(_03688_),
     .X(_03689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07719_ (.A1(_03687_),
-    .A2(_00939_),
-    .B1(_02041_),
-    .B2(_03689_),
-    .X(_03690_),
+ sky130_fd_sc_hd__inv_2 _09039_ (.A(_03689_),
+    .Y(_03690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07720_ (.A(_03690_),
-    .Y(_00537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07721_ (.A(_01815_),
+ sky130_fd_sc_hd__or3_4 _09040_ (.A(_03685_),
+    .B(_03690_),
+    .C(_03538_),
     .X(_03691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07722_ (.A(_03687_),
-    .X(_03692_),
+ sky130_fd_sc_hd__nor2_4 _09041_ (.A(_02302_),
+    .B(_03691_),
+    .Y(_03692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07723_ (.A1(_03691_),
-    .A2(wbd_dat_i[31]),
-    .B1(_03692_),
-    .B2(\u_spim_regs.spim_reg_wdata[31] ),
-    .X(_00536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07724_ (.A1(_03691_),
-    .A2(wbd_dat_i[30]),
-    .B1(_03692_),
-    .B2(\u_spim_regs.spim_reg_wdata[30] ),
-    .X(_00535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07725_ (.A1(_03691_),
-    .A2(wbd_dat_i[29]),
-    .B1(_03692_),
-    .B2(\u_spim_regs.spim_reg_wdata[29] ),
-    .X(_00534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07726_ (.A1(_03691_),
-    .A2(wbd_dat_i[28]),
-    .B1(_03692_),
-    .B2(\u_spim_regs.spim_reg_wdata[28] ),
-    .X(_00533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07727_ (.A(_00910_),
+ sky130_fd_sc_hd__and2_4 _09042_ (.A(_03569_),
+    .B(_03691_),
     .X(_03693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07728_ (.A(_03693_),
+ sky130_fd_sc_hd__a32o_4 _09043_ (.A1(_03682_),
+    .A2(_03684_),
+    .A3(_03692_),
+    .B1(_01480_),
+    .B2(_03693_),
+    .X(_01025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09044_ (.A(_03521_),
+    .B(_03566_),
     .X(_03694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07729_ (.A(_03694_),
+ sky130_fd_sc_hd__or2_4 _09045_ (.A(_03531_),
+    .B(_03686_),
     .X(_03695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07730_ (.A(_01904_),
+ sky130_fd_sc_hd__or2_4 _09046_ (.A(_03681_),
+    .B(_03695_),
     .X(_03696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07731_ (.A(_03696_),
-    .X(_03697_),
+ sky130_fd_sc_hd__o21ai_4 _09047_ (.A1(_03679_),
+    .A2(_03694_),
+    .B1(_03696_),
+    .Y(_03697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07732_ (.A1(_03695_),
-    .A2(wbd_dat_i[27]),
-    .B1(_03697_),
-    .B2(\u_spim_regs.spim_reg_wdata[27] ),
-    .X(_00532_),
+ sky130_fd_sc_hd__a32o_4 _09048_ (.A1(_03569_),
+    .A2(_03691_),
+    .A3(_03521_),
+    .B1(_03692_),
+    .B2(_03697_),
+    .X(_01024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07733_ (.A1(_03695_),
-    .A2(wbd_dat_i[26]),
-    .B1(_03697_),
-    .B2(\u_spim_regs.spim_reg_wdata[26] ),
-    .X(_00531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07734_ (.A1(_03695_),
-    .A2(wbd_dat_i[25]),
-    .B1(_03697_),
-    .B2(\u_spim_regs.spim_reg_wdata[25] ),
-    .X(_00530_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07735_ (.A1(_03695_),
-    .A2(wbd_dat_i[24]),
-    .B1(_03697_),
-    .B2(\u_spim_regs.spim_reg_wdata[24] ),
-    .X(_00529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07736_ (.A(_03694_),
+ sky130_fd_sc_hd__and3_4 _09049_ (.A(_02553_),
+    .B(_01996_),
+    .C(_02050_),
     .X(_03698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07737_ (.A(_03687_),
+ sky130_fd_sc_hd__or2_4 _09050_ (.A(_03696_),
+    .B(_03698_),
     .X(_03699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07738_ (.A1(_03698_),
-    .A2(wbd_dat_i[23]),
-    .B1(\u_spim_regs.spim_reg_wdata[23] ),
-    .B2(_03699_),
-    .X(_00528_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07739_ (.A(_03696_),
+ sky130_fd_sc_hd__and4_4 _09051_ (.A(_02213_),
+    .B(_01474_),
+    .C(_03522_),
+    .D(_03528_),
     .X(_03700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07740_ (.A1(_03698_),
-    .A2(wbd_dat_i[22]),
-    .B1(_03700_),
-    .B2(\u_spim_regs.spim_reg_wdata[22] ),
-    .X(_00527_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07741_ (.A1(_03698_),
-    .A2(wbd_dat_i[21]),
-    .B1(_03700_),
-    .B2(\u_spim_regs.spim_reg_wdata[21] ),
-    .X(_00526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07742_ (.A1(_03698_),
-    .A2(wbd_dat_i[20]),
-    .B1(_03700_),
-    .B2(\u_spim_regs.spim_reg_wdata[20] ),
-    .X(_00525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07743_ (.A(_03694_),
+ sky130_fd_sc_hd__and4_4 _09052_ (.A(_03521_),
+    .B(_01477_),
+    .C(_02213_),
+    .D(_03565_),
     .X(_03701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07744_ (.A1(_03701_),
-    .A2(wbd_dat_i[19]),
-    .B1(_03700_),
-    .B2(\u_spim_regs.spim_reg_wdata[19] ),
-    .X(_00524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07745_ (.A(_03696_),
+ sky130_fd_sc_hd__or4_4 _09053_ (.A(_03677_),
+    .B(_03680_),
+    .C(_03700_),
+    .D(_03701_),
     .X(_03702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07746_ (.A1(_03701_),
-    .A2(wbd_dat_i[18]),
-    .B1(_03702_),
-    .B2(\u_spim_regs.spim_reg_wdata[18] ),
-    .X(_00523_),
+ sky130_fd_sc_hd__a32o_4 _09054_ (.A1(_03692_),
+    .A2(_03699_),
+    .A3(_03702_),
+    .B1(_03522_),
+    .B2(_03693_),
+    .X(_01023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07747_ (.A1(_03701_),
-    .A2(wbd_dat_i[17]),
-    .B1(_03702_),
-    .B2(\u_spim_regs.spim_reg_wdata[17] ),
-    .X(_00522_),
+ sky130_fd_sc_hd__inv_2 _09055_ (.A(_03298_),
+    .Y(_03703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07748_ (.A1(_03701_),
-    .A2(wbd_dat_i[16]),
-    .B1(_03702_),
-    .B2(\u_spim_regs.spim_reg_wdata[16] ),
-    .X(_00521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07749_ (.A(_03694_),
-    .X(_03703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07750_ (.A1(_03703_),
-    .A2(wbd_dat_i[15]),
-    .B1(_03702_),
-    .B2(_01914_),
-    .X(_00520_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07751_ (.A(_03696_),
+ sky130_fd_sc_hd__buf_2 _09056_ (.A(_03703_),
     .X(_03704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07752_ (.A1(_03703_),
-    .A2(wbd_dat_i[14]),
-    .B1(_03704_),
-    .B2(_01924_),
-    .X(_00519_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07753_ (.A1(_03703_),
-    .A2(wbd_dat_i[13]),
-    .B1(_03704_),
-    .B2(_01926_),
-    .X(_00518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07754_ (.A1(_03703_),
-    .A2(wbd_dat_i[12]),
-    .B1(_03704_),
-    .B2(_01928_),
-    .X(_00517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07755_ (.A(_03693_),
+ sky130_fd_sc_hd__and2_4 _09057_ (.A(\u_spictrl.cfg_data_cnt[7] ),
+    .B(_03704_),
     .X(_03705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07756_ (.A(_03705_),
+ sky130_fd_sc_hd__buf_2 _09058_ (.A(\u_spictrl.s_spi_mode[1] ),
     .X(_03706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07757_ (.A1(_03706_),
-    .A2(wbd_dat_i[11]),
-    .B1(_03704_),
-    .B2(_01931_),
-    .X(_00516_),
+ sky130_fd_sc_hd__inv_2 _09059_ (.A(\u_spictrl.s_spi_mode[0] ),
+    .Y(_03707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07758_ (.A(_01904_),
-    .X(_03707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07759_ (.A(_03707_),
+ sky130_fd_sc_hd__or2_4 _09060_ (.A(_03706_),
+    .B(_03707_),
     .X(_03708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07760_ (.A1(_03706_),
-    .A2(wbd_dat_i[10]),
-    .B1(_03708_),
-    .B2(_01935_),
-    .X(_00515_),
+ sky130_fd_sc_hd__inv_2 _09061_ (.A(_03708_),
+    .Y(_03709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07761_ (.A1(_03706_),
-    .A2(wbd_dat_i[9]),
-    .B1(_03708_),
-    .B2(_01937_),
-    .X(_00514_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07762_ (.A1(_03706_),
-    .A2(wbd_dat_i[8]),
-    .B1(_03708_),
-    .B2(\u_spim_regs.spim_reg_wdata[8] ),
-    .X(_00513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07763_ (.A(_03705_),
-    .X(_03709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07764_ (.A1(_03709_),
-    .A2(wbd_dat_i[7]),
-    .B1(_03708_),
-    .B2(_01872_),
-    .X(_00512_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07765_ (.A(_03707_),
+ sky130_fd_sc_hd__buf_2 _09062_ (.A(_03709_),
     .X(_03710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07766_ (.A1(_03709_),
-    .A2(wbd_dat_i[6]),
-    .B1(_03710_),
-    .B2(_01896_),
-    .X(_00511_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07767_ (.A1(_03709_),
-    .A2(wbd_dat_i[5]),
-    .B1(_03710_),
-    .B2(_01899_),
-    .X(_00510_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07768_ (.A1(_03709_),
-    .A2(wbd_dat_i[4]),
-    .B1(_03710_),
-    .B2(_01901_),
-    .X(_00509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07769_ (.A(_03705_),
+ sky130_fd_sc_hd__buf_2 _09063_ (.A(_03710_),
     .X(_03711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07770_ (.A1(_03711_),
-    .A2(wbd_dat_i[3]),
-    .B1(_03710_),
-    .B2(_02150_),
-    .X(_00508_),
+ sky130_fd_sc_hd__inv_2 _09064_ (.A(\u_spictrl.s_spi_mode[1] ),
+    .Y(_03712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07771_ (.A(_03707_),
-    .X(_03712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07772_ (.A1(_03711_),
-    .A2(wbd_dat_i[2]),
-    .B1(_03712_),
-    .B2(_02151_),
-    .X(_00507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07773_ (.A1(_03711_),
-    .A2(wbd_dat_i[1]),
-    .B1(_03712_),
-    .B2(_02153_),
-    .X(_00506_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07774_ (.A1(_03711_),
-    .A2(wbd_dat_i[0]),
-    .B1(_03712_),
-    .B2(_02154_),
-    .X(_00505_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07775_ (.A(_03705_),
+ sky130_fd_sc_hd__or2_4 _09065_ (.A(_03712_),
+    .B(\u_spictrl.s_spi_mode[0] ),
     .X(_03713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07776_ (.A1(_03713_),
-    .A2(wbd_adr_i[31]),
-    .B1(_03712_),
-    .B2(\u_wb_if.spim_wb_addr[31] ),
-    .X(_00504_),
+ sky130_fd_sc_hd__inv_2 _09066_ (.A(_03713_),
+    .Y(_03714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07777_ (.A(_03707_),
-    .X(_03714_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07778_ (.A1(_03713_),
-    .A2(wbd_adr_i[30]),
-    .B1(_03714_),
-    .B2(\u_wb_if.spim_wb_addr[30] ),
-    .X(_00503_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07779_ (.A1(_03713_),
-    .A2(wbd_adr_i[29]),
-    .B1(_03714_),
-    .B2(\u_wb_if.spim_wb_addr[29] ),
-    .X(_00502_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07780_ (.A1(_03713_),
-    .A2(wbd_adr_i[28]),
-    .B1(_03714_),
-    .B2(_00911_),
-    .X(_00501_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07781_ (.A(_03693_),
+ sky130_fd_sc_hd__or2_4 _09067_ (.A(_03711_),
+    .B(_03714_),
     .X(_03715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07782_ (.A(_03715_),
-    .X(_03716_),
+ sky130_fd_sc_hd__inv_2 _09068_ (.A(_03715_),
+    .Y(_03716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07783_ (.A1(_03716_),
-    .A2(wbd_adr_i[27]),
-    .B1(_03714_),
-    .B2(\u_wb_if.spim_wb_addr[27] ),
-    .X(_00500_),
+ sky130_fd_sc_hd__inv_2 _09069_ (.A(\u_spictrl.spi_fall ),
+    .Y(_03717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07784_ (.A(_01908_),
-    .X(_03717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07785_ (.A(_03717_),
+ sky130_fd_sc_hd__or2_4 _09070_ (.A(_03717_),
+    .B(psn_net_232),
     .X(_03718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07786_ (.A1(_03716_),
-    .A2(wbd_adr_i[26]),
-    .B1(_03718_),
-    .B2(\u_wb_if.spim_wb_addr[26] ),
-    .X(_00499_),
+ sky130_fd_sc_hd__inv_2 _09071_ (.A(_03718_),
+    .Y(_03719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07787_ (.A1(_03716_),
-    .A2(wbd_adr_i[25]),
-    .B1(_03718_),
-    .B2(\u_wb_if.spim_wb_addr[25] ),
-    .X(_00498_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07788_ (.A1(_03716_),
-    .A2(wbd_adr_i[24]),
-    .B1(_03718_),
-    .B2(\u_wb_if.spim_wb_addr[24] ),
-    .X(_00497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07789_ (.A(_03715_),
-    .X(_03719_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07790_ (.A1(_03719_),
-    .A2(wbd_adr_i[23]),
-    .B1(_03718_),
-    .B2(\u_wb_if.spim_wb_addr[23] ),
-    .X(_00496_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07791_ (.A(_03717_),
+ sky130_fd_sc_hd__buf_2 _09072_ (.A(_03719_),
     .X(_03720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07792_ (.A1(_03719_),
-    .A2(wbd_adr_i[22]),
-    .B1(_03720_),
-    .B2(\u_wb_if.spim_wb_addr[22] ),
-    .X(_00495_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07793_ (.A1(_03719_),
-    .A2(wbd_adr_i[21]),
-    .B1(_03720_),
-    .B2(\u_wb_if.spim_wb_addr[21] ),
-    .X(_00494_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07794_ (.A1(_03719_),
-    .A2(wbd_adr_i[20]),
-    .B1(_03720_),
-    .B2(\u_wb_if.spim_wb_addr[20] ),
-    .X(_00493_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07795_ (.A(_03715_),
+ sky130_fd_sc_hd__or2_4 _09073_ (.A(\u_spictrl.u_txreg.tx_CS[0] ),
+    .B(\u_spictrl.u_txreg.tx_CS[1] ),
     .X(_03721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07796_ (.A1(_03721_),
-    .A2(wbd_adr_i[19]),
-    .B1(_03720_),
-    .B2(\u_wb_if.spim_wb_addr[19] ),
-    .X(_00492_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07797_ (.A(_03717_),
+ sky130_fd_sc_hd__buf_2 _09074_ (.A(_03717_),
     .X(_03722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07798_ (.A1(_03721_),
-    .A2(wbd_adr_i[18]),
-    .B1(_03722_),
-    .B2(\u_wb_if.spim_wb_addr[18] ),
-    .X(_00491_),
+ sky130_fd_sc_hd__inv_2 _09075_ (.A(_03300_),
+    .Y(_03723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07799_ (.A1(_03721_),
-    .A2(wbd_adr_i[17]),
-    .B1(_03722_),
-    .B2(\u_wb_if.spim_wb_addr[17] ),
-    .X(_00490_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07800_ (.A1(_03721_),
-    .A2(wbd_adr_i[16]),
-    .B1(_03722_),
-    .B2(\u_wb_if.spim_wb_addr[16] ),
-    .X(_00489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07801_ (.A(_03715_),
-    .X(_03723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07802_ (.A1(_03723_),
-    .A2(wbd_adr_i[15]),
-    .B1(_03722_),
-    .B2(\u_wb_if.spim_wb_addr[15] ),
-    .X(_00488_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07803_ (.A(_03717_),
+ sky130_fd_sc_hd__and4_4 _09076_ (.A(_01530_),
+    .B(_03294_),
+    .C(_01529_),
+    .D(_01532_),
     .X(_03724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07804_ (.A1(_03723_),
-    .A2(wbd_adr_i[14]),
-    .B1(_03724_),
-    .B2(\u_wb_if.spim_wb_addr[14] ),
-    .X(_00487_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07805_ (.A1(_03723_),
-    .A2(wbd_adr_i[13]),
-    .B1(_03724_),
-    .B2(\u_wb_if.spim_wb_addr[13] ),
-    .X(_00486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07806_ (.A1(_03723_),
-    .A2(wbd_adr_i[12]),
-    .B1(_03724_),
-    .B2(\u_wb_if.spim_wb_addr[12] ),
-    .X(_00485_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07807_ (.A(_03693_),
+ sky130_fd_sc_hd__or2_4 _09077_ (.A(_03723_),
+    .B(_03724_),
     .X(_03725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07808_ (.A(_03725_),
+ sky130_fd_sc_hd__or4_4 _09078_ (.A(psn_net_176),
+    .B(_01530_),
+    .C(_01531_),
+    .D(_01533_),
     .X(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07809_ (.A1(_03726_),
-    .A2(wbd_adr_i[11]),
-    .B1(_03724_),
-    .B2(\u_wb_if.spim_wb_addr[11] ),
-    .X(_00484_),
+ sky130_fd_sc_hd__inv_2 _09079_ (.A(_03726_),
+    .Y(_03727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07810_ (.A(_01908_),
-    .X(_03727_),
+ sky130_fd_sc_hd__inv_2 _09080_ (.A(_03297_),
+    .Y(_03728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07811_ (.A(_03727_),
-    .X(_03728_),
+ sky130_fd_sc_hd__inv_2 _09081_ (.A(_03295_),
+    .Y(_03729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07812_ (.A1(_03726_),
-    .A2(wbd_adr_i[10]),
-    .B1(_03728_),
-    .B2(\u_wb_if.spim_wb_addr[10] ),
-    .X(_00483_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07813_ (.A1(_03726_),
-    .A2(wbd_adr_i[9]),
-    .B1(_03728_),
-    .B2(\u_wb_if.spim_wb_addr[9] ),
-    .X(_00482_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07814_ (.A1(_03726_),
-    .A2(wbd_adr_i[8]),
-    .B1(_03728_),
-    .B2(\u_wb_if.spim_wb_addr[8] ),
-    .X(_00481_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07815_ (.A(_03725_),
-    .X(_03729_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07816_ (.A1(_03729_),
-    .A2(wbd_adr_i[7]),
-    .B1(_03728_),
-    .B2(\u_wb_if.spim_wb_addr[7] ),
-    .X(_00480_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07817_ (.A(_03727_),
+ sky130_fd_sc_hd__o21a_4 _09082_ (.A1(_03293_),
+    .A2(_03728_),
+    .B1(_03729_),
     .X(_03730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07818_ (.A1(_03729_),
-    .A2(wbd_adr_i[6]),
-    .B1(_03730_),
-    .B2(\u_wb_if.spim_wb_addr[6] ),
-    .X(_00479_),
+ sky130_fd_sc_hd__inv_2 _09083_ (.A(_03301_),
+    .Y(_03731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07819_ (.A1(_03729_),
-    .A2(wbd_adr_i[5]),
-    .B1(_03730_),
-    .B2(_00942_),
-    .X(_00478_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07820_ (.A1(_03729_),
-    .A2(wbd_adr_i[4]),
-    .B1(_03730_),
-    .B2(_00950_),
-    .X(_00477_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07821_ (.A(_03725_),
-    .X(_03731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07822_ (.A1(_03731_),
-    .A2(wbd_adr_i[3]),
-    .B1(_03730_),
-    .B2(_00944_),
-    .X(_00476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07823_ (.A(_03727_),
+ sky130_fd_sc_hd__and2_4 _09084_ (.A(_03731_),
+    .B(_03728_),
     .X(_03732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07824_ (.A1(_03731_),
-    .A2(wbd_adr_i[2]),
-    .B1(_03732_),
-    .B2(_00946_),
-    .X(_00475_),
+ sky130_fd_sc_hd__or4_4 _09085_ (.A(psn_net_166),
+    .B(_03727_),
+    .C(_03730_),
+    .D(_03732_),
+    .X(_03733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07825_ (.A1(_03731_),
-    .A2(wbd_adr_i[1]),
-    .B1(_03732_),
-    .B2(\u_wb_if.spim_wb_addr[1] ),
-    .X(_00474_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07826_ (.A1(_03731_),
-    .A2(wbd_adr_i[0]),
-    .B1(_03732_),
-    .B2(\u_wb_if.spim_wb_addr[0] ),
-    .X(_00473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07827_ (.A(wbd_ack_o),
-    .Y(_03733_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07828_ (.A(_01530_),
-    .B(_01533_),
+ sky130_fd_sc_hd__inv_2 _09086_ (.A(_03733_),
     .Y(_03734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07829_ (.A1(_03687_),
-    .A2(_03733_),
-    .B1(_01815_),
-    .B2(_03734_),
+ sky130_fd_sc_hd__or2_4 _09087_ (.A(_03722_),
+    .B(_03734_),
     .X(_03735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07830_ (.A(_03735_),
-    .Y(_00472_),
+ sky130_fd_sc_hd__inv_2 _09088_ (.A(_03735_),
+    .Y(_03736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07831_ (.A(_03725_),
-    .X(_03736_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07832_ (.A1(_03736_),
-    .A2(wbd_we_i),
-    .B1(_03732_),
-    .B2(\u_spim_regs.spim_reg_we ),
-    .X(_00471_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07833_ (.A(_03727_),
+ sky130_fd_sc_hd__o21a_4 _09089_ (.A1(_03370_),
+    .A2(_03721_),
+    .B1(_03736_),
     .X(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07834_ (.A1(_03736_),
-    .A2(wbd_sel_i[3]),
-    .B1(_03737_),
-    .B2(\u_spim_regs.spim_reg_be[3] ),
-    .X(_00470_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07835_ (.A1(_03736_),
-    .A2(wbd_sel_i[2]),
-    .B1(_03737_),
-    .B2(\u_spim_regs.spim_reg_be[2] ),
-    .X(_00469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07836_ (.A1(_03736_),
-    .A2(wbd_sel_i[1]),
-    .B1(_03737_),
-    .B2(\u_spim_regs.spim_reg_be[1] ),
-    .X(_00468_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07837_ (.A(_01874_),
+ sky130_fd_sc_hd__or2_4 _09090_ (.A(_03720_),
+    .B(_03737_),
     .X(_03738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07838_ (.A1(_03738_),
-    .A2(wbd_sel_i[0]),
-    .B1(_03737_),
-    .B2(\u_spim_regs.spim_reg_be[0] ),
-    .X(_00467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07839_ (.A(_03673_),
+ sky130_fd_sc_hd__buf_2 _09091_ (.A(_03738_),
     .X(_03739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07840_ (.A(_02577_),
-    .Y(_03740_),
+ sky130_fd_sc_hd__buf_2 _09092_ (.A(_03739_),
+    .X(_03740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07841_ (.A1(_03626_),
-    .A2(_02569_),
-    .B1(_03683_),
-    .B2(_03740_),
-    .X(_03741_),
+ sky130_fd_sc_hd__inv_2 _09093_ (.A(_03738_),
+    .Y(_03741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07842_ (.A1_N(_03739_),
-    .A2_N(_03741_),
-    .B1(_02680_),
-    .B2(_03739_),
-    .X(_00466_),
+ sky130_fd_sc_hd__buf_2 _09094_ (.A(_03741_),
+    .X(_03742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07843_ (.A(_02637_),
-    .Y(_03742_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07844_ (.A1(_03626_),
-    .A2(_02632_),
-    .B1(_03683_),
+ sky130_fd_sc_hd__a32o_4 _09095_ (.A1(_03705_),
+    .A2(_03716_),
+    .A3(_03740_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[10] ),
     .B2(_03742_),
+    .X(_01022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09096_ (.A(_03742_),
     .X(_03743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07845_ (.A1_N(_03739_),
-    .A2_N(_03743_),
-    .B1(_02336_),
-    .B2(_03739_),
-    .X(_00465_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07846_ (.A(_02697_),
+ sky130_fd_sc_hd__buf_2 _09097_ (.A(_03713_),
     .X(_03744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07847_ (.A1(\u_spictrl.cfg_m0_spi_switch[0] ),
-    .A2(_02034_),
-    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
-    .B2(_03744_),
+ sky130_fd_sc_hd__buf_2 _09098_ (.A(_03744_),
     .X(_03745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07848_ (.A1(\u_spictrl.cfg_m0_spi_switch[1] ),
-    .A2(_02033_),
-    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
-    .B2(_02697_),
+ sky130_fd_sc_hd__buf_2 _09099_ (.A(_03745_),
     .X(_03746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07849_ (.A(_03746_),
-    .Y(_03747_),
+ sky130_fd_sc_hd__buf_2 _09100_ (.A(_03711_),
+    .X(_03747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07850_ (.A(_02331_),
-    .B(_03745_),
-    .C(_03747_),
+ sky130_fd_sc_hd__buf_2 _09101_ (.A(_03747_),
     .X(_03748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07851_ (.A(_03748_),
-    .Y(_03749_),
+ sky130_fd_sc_hd__and2_4 _09102_ (.A(\u_spictrl.cfg_data_cnt[6] ),
+    .B(_03704_),
+    .X(_03749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07852_ (.A(_00980_),
-    .B(_01996_),
-    .C(_03745_),
-    .D(_03747_),
+ sky130_fd_sc_hd__or2_4 _09103_ (.A(_03748_),
+    .B(_03749_),
     .X(_03750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07853_ (.A(psn_net_46),
-    .B(_03749_),
-    .C(_03750_),
+ sky130_fd_sc_hd__buf_2 _09104_ (.A(_03708_),
     .X(_03751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07854_ (.A(_03751_),
-    .Y(_03752_),
+ sky130_fd_sc_hd__buf_2 _09105_ (.A(_03751_),
+    .X(_03752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07855_ (.A(_03744_),
+ sky130_fd_sc_hd__buf_2 _09106_ (.A(_03752_),
     .X(_03753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07856_ (.A(\u_spictrl.cfg_m1_spi_mode[1] ),
+ sky130_fd_sc_hd__or2_4 _09107_ (.A(_03705_),
     .B(_03753_),
     .X(_03754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07857_ (.A(_02034_),
+ sky130_fd_sc_hd__and3_4 _09108_ (.A(_03746_),
+    .B(_03750_),
+    .C(_03754_),
     .X(_03755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07858_ (.A(\u_spictrl.cfg_m0_spi_mode[1] ),
-    .B(_03755_),
+ sky130_fd_sc_hd__buf_2 _09109_ (.A(_03739_),
     .X(_03756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07859_ (.A(_03754_),
-    .B(_03756_),
-    .C(_03211_),
+ sky130_fd_sc_hd__o22a_4 _09110_ (.A1(_03743_),
+    .A2(_03755_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[9] ),
+    .B2(_03756_),
+    .X(_01021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09111_ (.A(_03714_),
     .X(_03757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07860_ (.A1(_03752_),
-    .A2(_03757_),
-    .B1(\u_spictrl.s_spi_mode[1] ),
-    .B2(_03751_),
-    .X(_00464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07861_ (.A(\u_spictrl.cfg_m1_spi_mode[0] ),
-    .B(_03753_),
+ sky130_fd_sc_hd__buf_2 _09112_ (.A(_03757_),
     .X(_03758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07862_ (.A(\u_spictrl.cfg_m0_spi_mode[0] ),
-    .B(_03755_),
+ sky130_fd_sc_hd__buf_2 _09113_ (.A(_03758_),
     .X(_03759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07863_ (.A(_03758_),
-    .B(_03759_),
-    .C(_03211_),
+ sky130_fd_sc_hd__buf_2 _09114_ (.A(_03759_),
     .X(_03760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07864_ (.A1(_03752_),
-    .A2(_03760_),
-    .B1(\u_spictrl.s_spi_mode[0] ),
-    .B2(_03751_),
-    .X(_00463_),
+ sky130_fd_sc_hd__buf_2 _09115_ (.A(_03747_),
+    .X(_03761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07865_ (.A(\u_spictrl.res_fifo_wr ),
-    .Y(_03761_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07866_ (.A(_03761_),
-    .B(_00977_),
-    .C(_00922_),
+ sky130_fd_sc_hd__buf_2 _09116_ (.A(_03703_),
     .X(_03762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07867_ (.A(_03762_),
-    .Y(_03763_),
+ sky130_fd_sc_hd__buf_2 _09117_ (.A(_03762_),
+    .X(_03763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07868_ (.A(_03763_),
+ sky130_fd_sc_hd__and2_4 _09118_ (.A(\u_spictrl.cfg_data_cnt[5] ),
+    .B(_03763_),
     .X(_03764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07869_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
-    .B(_03764_),
+ sky130_fd_sc_hd__buf_2 _09119_ (.A(_03752_),
     .X(_03765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07870_ (.A(_01069_),
-    .Y(\u_m1_res_fifo.flush ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07871_ (.A1(_00925_),
-    .A2(_03762_),
+ sky130_fd_sc_hd__o22a_4 _09120_ (.A1(_03761_),
+    .A2(_03764_),
     .B1(_03765_),
-    .C1(psn_net_17),
+    .B2(_03749_),
     .X(_03766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07872_ (.A(_03766_),
-    .Y(_00462_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07873_ (.A(_03763_),
+ sky130_fd_sc_hd__buf_2 _09121_ (.A(_03745_),
     .X(_03767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07874_ (.A1(_03761_),
-    .A2(_00977_),
-    .B1(_00922_),
+ sky130_fd_sc_hd__o22a_4 _09122_ (.A1(_03760_),
+    .A2(_03766_),
+    .B1(_03705_),
+    .B2(_03767_),
     .X(_03768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07875_ (.A(_03767_),
-    .B(_03768_),
-    .C(psn_net_16),
+ sky130_fd_sc_hd__o22a_4 _09123_ (.A1(_03743_),
+    .A2(_03768_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .B2(_03756_),
+    .X(_01020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09124_ (.A(\u_spictrl.cfg_data_cnt[4] ),
+    .B(_03763_),
     .X(_03769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07876_ (.A(_03769_),
-    .Y(_00461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07877_ (.A(_01146_),
-    .B(_01340_),
+ sky130_fd_sc_hd__o22a_4 _09125_ (.A1(_03761_),
+    .A2(_03769_),
+    .B1(_03765_),
+    .B2(_03764_),
     .X(_03770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07878_ (.A(_02062_),
-    .B(_01296_),
-    .C(_01298_),
-    .D(\u_m1_res_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__o22a_4 _09126_ (.A1(_03760_),
+    .A2(_03770_),
+    .B1(_03767_),
+    .B2(_03749_),
     .X(_03771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07879_ (.A1(_00926_),
-    .A2(_03770_),
-    .B1(_03771_),
-    .C1(psn_net_18),
+ sky130_fd_sc_hd__buf_2 _09127_ (.A(_03739_),
     .X(_03772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07880_ (.A(_03772_),
-    .Y(_00460_),
+ sky130_fd_sc_hd__o22a_4 _09128_ (.A1(_03743_),
+    .A2(_03771_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .B2(_03772_),
+    .X(_01019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07881_ (.A(_01298_),
-    .B(_01143_),
+ sky130_fd_sc_hd__and2_4 _09129_ (.A(\u_spictrl.cfg_data_cnt[3] ),
+    .B(_03704_),
     .X(_03773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07882_ (.A(_03770_),
-    .B(_03773_),
-    .C(psn_net_20),
-    .X(_00459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07883_ (.A(_01168_),
+ sky130_fd_sc_hd__buf_2 _09130_ (.A(_03752_),
     .X(_03774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07884_ (.A(_01164_),
+ sky130_fd_sc_hd__buf_2 _09131_ (.A(_03774_),
     .X(_03775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07885_ (.A(_02033_),
-    .B(_03761_),
-    .C(_03775_),
+ sky130_fd_sc_hd__o22a_4 _09132_ (.A1(_03761_),
+    .A2(_03773_),
+    .B1(_03775_),
+    .B2(_03769_),
     .X(_03776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07886_ (.A(_03774_),
-    .B(_03776_),
+ sky130_fd_sc_hd__o22a_4 _09133_ (.A1(_03760_),
+    .A2(_03776_),
+    .B1(_03767_),
+    .B2(_03764_),
     .X(_03777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07887_ (.A(_03777_),
+ sky130_fd_sc_hd__o22a_4 _09134_ (.A1(_03743_),
+    .A2(_03777_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .B2(_03772_),
+    .X(_01018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09135_ (.A(_03741_),
     .X(_03778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07888_ (.A(_01166_),
+ sky130_fd_sc_hd__buf_2 _09136_ (.A(_03747_),
     .X(_03779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07889_ (.A(_03776_),
-    .Y(_03780_),
+ sky130_fd_sc_hd__and2_4 _09137_ (.A(\u_spictrl.cfg_data_cnt[2] ),
+    .B(_03704_),
+    .X(_03780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07890_ (.A(_03780_),
+ sky130_fd_sc_hd__o22a_4 _09138_ (.A1(_03779_),
+    .A2(_03780_),
+    .B1(_03775_),
+    .B2(_03773_),
     .X(_03781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07891_ (.A(_03779_),
-    .B(_03781_),
-    .C(\u_m0_res_fifo.wr_ptr[2] ),
+ sky130_fd_sc_hd__o22a_4 _09139_ (.A1(_03760_),
+    .A2(_03781_),
+    .B1(_03767_),
+    .B2(_03769_),
     .X(_03782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07892_ (.A(_02034_),
-    .B(_01068_),
+ sky130_fd_sc_hd__o22a_4 _09140_ (.A1(_03778_),
+    .A2(_03782_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[5] ),
+    .B2(_03772_),
+    .X(_01017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09141_ (.A(_03759_),
     .X(_03783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07893_ (.A(_03783_),
-    .Y(\u_m0_res_fifo.flush ),
+ sky130_fd_sc_hd__inv_2 _09142_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
+    .Y(_03784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07894_ (.A1(_01158_),
-    .A2(_03778_),
-    .B1(_03782_),
-    .C1(psn_net_0),
-    .X(_03784_),
+ sky130_fd_sc_hd__inv_2 _09143_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
+    .Y(_03785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07895_ (.A(_03784_),
-    .Y(_00458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07896_ (.A(_03778_),
-    .X(_03785_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07897_ (.A(_03779_),
+ sky130_fd_sc_hd__and2_4 _09144_ (.A(_03784_),
+    .B(_03785_),
     .X(_03786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07898_ (.A(_03781_),
-    .X(_03787_),
+ sky130_fd_sc_hd__nor2_4 _09145_ (.A(_03296_),
+    .B(_03786_),
+    .Y(_03787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07899_ (.A(_03786_),
-    .B(_03787_),
+ sky130_fd_sc_hd__buf_2 _09146_ (.A(_03787_),
     .X(_03788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07900_ (.A(psn_net_3),
+ sky130_fd_sc_hd__buf_2 _09147_ (.A(_03788_),
     .X(_03789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07901_ (.A(_03785_),
-    .B(_03788_),
-    .C(_03789_),
-    .X(_00457_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07902_ (.A1(_03755_),
-    .A2(_03761_),
-    .B1(_03775_),
+ sky130_fd_sc_hd__buf_2 _09148_ (.A(_03789_),
     .X(_03790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07903_ (.A(_03787_),
-    .B(_03790_),
-    .C(psn_net_1),
+ sky130_fd_sc_hd__o21a_4 _09149_ (.A1(\u_spictrl.cfg_dummy_cnt[1] ),
+    .A2(\u_spictrl.cfg_dummy_cnt[0] ),
+    .B1(_03727_),
     .X(_03791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07904_ (.A(_03791_),
-    .Y(_00456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07905_ (.A(_01543_),
-    .B(_02041_),
+ sky130_fd_sc_hd__a211o_4 _09150_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
+    .A2(_03762_),
+    .B1(_03790_),
+    .C1(_03791_),
     .X(_03792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07906_ (.A(_03792_),
-    .Y(_03793_),
+ sky130_fd_sc_hd__o22a_4 _09151_ (.A1(_03753_),
+    .A2(_03780_),
+    .B1(_03761_),
+    .B2(_03792_),
+    .X(_03793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07907_ (.A(_01546_),
-    .B(_03793_),
+ sky130_fd_sc_hd__o22a_4 _09152_ (.A1(_03783_),
+    .A2(_03793_),
+    .B1(_03746_),
+    .B2(_03773_),
     .X(_03794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _07908_ (.A(_01159_),
-    .B(_03794_),
-    .Y(_03795_),
+ sky130_fd_sc_hd__o22a_4 _09153_ (.A1(_03778_),
+    .A2(_03794_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .B2(_03772_),
+    .X(_01016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07909_ (.A(_01159_),
-    .B(_03794_),
+ sky130_fd_sc_hd__buf_2 _09154_ (.A(_03729_),
+    .X(_03795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09155_ (.A(_03795_),
     .X(_03796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07910_ (.A(_03795_),
-    .B(_03796_),
-    .C(_03789_),
-    .X(_00455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07911_ (.A(_01556_),
-    .B(_03792_),
+ sky130_fd_sc_hd__a2bb2o_4 _09156_ (.A1_N(\u_spictrl.cfg_dummy_cnt[0] ),
+    .A2_N(_03726_),
+    .B1(\u_spictrl.cfg_data_cnt[0] ),
+    .B2(_03703_),
     .X(_03797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07912_ (.A(_03794_),
-    .B(_03797_),
-    .C(_03789_),
-    .X(_00454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07913_ (.A(_01545_),
-    .B(_02041_),
+ sky130_fd_sc_hd__a211o_4 _09157_ (.A1(_03785_),
+    .A2(_03796_),
+    .B1(psn_net_167),
+    .C1(_03797_),
     .X(_03798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07914_ (.A(_03793_),
-    .B(_03798_),
-    .C(_03789_),
-    .X(_00453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07915_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
-    .B(_01195_),
-    .C(_01982_),
+ sky130_fd_sc_hd__o22a_4 _09158_ (.A1(_03779_),
+    .A2(_03798_),
+    .B1(_03775_),
+    .B2(_03792_),
     .X(_03799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07916_ (.A(_03799_),
+ sky130_fd_sc_hd__o22a_4 _09159_ (.A1(_03783_),
+    .A2(_03799_),
+    .B1(_03746_),
+    .B2(_03780_),
     .X(_03800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07917_ (.A(_03800_),
+ sky130_fd_sc_hd__o22a_4 _09160_ (.A1(_03778_),
+    .A2(_03800_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[3] ),
+    .B2(_03740_),
+    .X(_01015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09161_ (.A(_03727_),
     .X(_03801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07918_ (.A(_01976_),
-    .B(_02218_),
-    .Y(_03802_),
+ sky130_fd_sc_hd__buf_2 _09162_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
+    .X(_03802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07919_ (.A(_03802_),
+ sky130_fd_sc_hd__buf_2 _09163_ (.A(_03802_),
     .X(_03803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07920_ (.A(_03803_),
+ sky130_fd_sc_hd__and2_4 _09164_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
+    .B(_03795_),
     .X(_03804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07921_ (.A(_01970_),
-    .B(\u_spim_regs.spim_reg_wdata[31] ),
-    .C(_01811_),
+ sky130_fd_sc_hd__buf_2 _09165_ (.A(_03804_),
     .X(_03805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07922_ (.A(_02224_),
+ sky130_fd_sc_hd__buf_2 _09166_ (.A(_03805_),
     .X(_03806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07923_ (.A(_03806_),
+ sky130_fd_sc_hd__a32o_4 _09167_ (.A1(\u_spictrl.cfg_dummy_cnt[1] ),
+    .A2(\u_spictrl.cfg_dummy_cnt[0] ),
+    .A3(_03801_),
+    .B1(_03803_),
+    .B2(_03806_),
     .X(_03807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07924_ (.A(_03807_),
+ sky130_fd_sc_hd__o22a_4 _09168_ (.A1(_03779_),
+    .A2(_03807_),
+    .B1(_03775_),
+    .B2(_03798_),
     .X(_03808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07925_ (.A(_02225_),
+ sky130_fd_sc_hd__o22a_4 _09169_ (.A1(_03783_),
+    .A2(_03808_),
+    .B1(_03746_),
+    .B2(_03792_),
     .X(_03809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07926_ (.A(_03809_),
+ sky130_fd_sc_hd__o22a_4 _09170_ (.A1(_03778_),
+    .A2(_03809_),
+    .B1(psn_net_136),
+    .B2(_03740_),
+    .X(_01014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09171_ (.A(_03758_),
     .X(_03810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07927_ (.A(_02215_),
-    .B(_03808_),
-    .C(\u_spim_regs.cfg_m1_data_cnt[7] ),
-    .D(_03810_),
+ sky130_fd_sc_hd__and2_4 _09172_ (.A(_03810_),
+    .B(_03798_),
     .X(_03811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07928_ (.A1(\u_spim_regs.cfg_m1_addr[31] ),
-    .A2(_03804_),
-    .B1(_03805_),
-    .C1(_03811_),
+ sky130_fd_sc_hd__buf_2 _09173_ (.A(_03747_),
     .X(_03812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07929_ (.A(_03812_),
-    .Y(_03813_),
+ sky130_fd_sc_hd__and2_4 _09174_ (.A(_03812_),
+    .B(_03807_),
+    .X(_03813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07930_ (.A1_N(_03801_),
-    .A2_N(_03813_),
-    .B1(\u_m1_cmd_fifo.mem[0][31] ),
-    .B2(_03801_),
-    .X(_00452_),
+ sky130_fd_sc_hd__o32a_4 _09175_ (.A1(_03811_),
+    .A2(_03813_),
+    .A3(_03742_),
+    .B1(psn_net_126),
+    .B2(_03740_),
+    .X(_01013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07931_ (.A(_01970_),
-    .B(\u_spim_regs.spim_reg_wdata[30] ),
-    .C(_01811_),
+ sky130_fd_sc_hd__buf_2 _09176_ (.A(_03759_),
     .X(_03814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07932_ (.A(_02215_),
-    .B(_03808_),
-    .C(\u_spim_regs.cfg_m1_data_cnt[6] ),
-    .D(_03810_),
+ sky130_fd_sc_hd__a32o_4 _09177_ (.A1(_03814_),
+    .A2(_03807_),
+    .A3(_03739_),
+    .B1(_03346_),
+    .B2(_03742_),
+    .X(_01012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09178_ (.A(psn_net_235),
     .X(_03815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07933_ (.A1(\u_spim_regs.cfg_m1_addr[30] ),
-    .A2(_03804_),
-    .B1(_03814_),
-    .C1(_03815_),
+ sky130_fd_sc_hd__buf_2 _09179_ (.A(\u_spictrl.u_txreg.tx_CS[0] ),
     .X(_03816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07934_ (.A(_03816_),
-    .Y(_03817_),
+ sky130_fd_sc_hd__buf_2 _09180_ (.A(_03733_),
+    .X(_03817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07935_ (.A1_N(_03801_),
-    .A2_N(_03817_),
-    .B1(\u_m1_cmd_fifo.mem[0][30] ),
-    .B2(_03801_),
-    .X(_00451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07936_ (.A(_03800_),
+ sky130_fd_sc_hd__buf_2 _09181_ (.A(_03326_),
     .X(_03818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07937_ (.A(_01809_),
+ sky130_fd_sc_hd__buf_2 _09182_ (.A(_03348_),
     .X(_03819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07938_ (.A(_03819_),
-    .X(_03820_),
+ sky130_fd_sc_hd__inv_2 _09183_ (.A(\u_spictrl.u_txreg.counter[2] ),
+    .Y(_03820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07939_ (.A(_01970_),
-    .B(\u_spim_regs.spim_reg_wdata[29] ),
+ sky130_fd_sc_hd__or3_4 _09184_ (.A(_03352_),
+    .B(_03819_),
     .C(_03820_),
     .X(_03821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07940_ (.A(_02215_),
-    .B(_03808_),
-    .C(_01083_),
-    .D(_03810_),
+ sky130_fd_sc_hd__or2_4 _09185_ (.A(_03818_),
+    .B(_03821_),
     .X(_03822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07941_ (.A1(\u_spim_regs.cfg_m1_addr[29] ),
-    .A2(_03804_),
-    .B1(_03821_),
-    .C1(_03822_),
+ sky130_fd_sc_hd__or2_4 _09186_ (.A(_03331_),
+    .B(_03822_),
     .X(_03823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07942_ (.A(_03823_),
+ sky130_fd_sc_hd__inv_2 _09187_ (.A(\u_spictrl.u_txreg.spi_mode[0] ),
     .Y(_03824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07943_ (.A1_N(_03818_),
-    .A2_N(_03824_),
-    .B1(\u_m1_cmd_fifo.mem[0][29] ),
-    .B2(_03818_),
-    .X(_00450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07944_ (.A(_01804_),
+ sky130_fd_sc_hd__or2_4 _09188_ (.A(\u_spictrl.u_txreg.spi_mode[1] ),
+    .B(_03824_),
     .X(_03825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07945_ (.A(_03825_),
-    .X(_03826_),
+ sky130_fd_sc_hd__inv_2 _09189_ (.A(\u_spictrl.u_txreg.spi_mode[1] ),
+    .Y(_03826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07946_ (.A(_03826_),
-    .B(\u_spim_regs.spim_reg_wdata[28] ),
-    .C(_03820_),
+ sky130_fd_sc_hd__or2_4 _09190_ (.A(_03826_),
+    .B(\u_spictrl.u_txreg.spi_mode[0] ),
     .X(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07947_ (.A(_02208_),
+ sky130_fd_sc_hd__o21a_4 _09191_ (.A1(_03818_),
+    .A2(_03825_),
+    .B1(_03827_),
     .X(_03828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07948_ (.A(_03828_),
-    .B(_03808_),
-    .C(\u_spim_regs.cfg_m1_data_cnt[4] ),
-    .D(_03810_),
+ sky130_fd_sc_hd__o22a_4 _09192_ (.A1(\u_spictrl.u_txreg.spi_mode[1] ),
+    .A2(_03823_),
+    .B1(_03821_),
+    .B2(_03828_),
     .X(_03829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07949_ (.A1(\u_spim_regs.cfg_m1_addr[28] ),
-    .A2(_03804_),
-    .B1(_03827_),
-    .C1(_03829_),
-    .X(_03830_),
+ sky130_fd_sc_hd__inv_2 _09193_ (.A(\u_spictrl.u_txreg.tx_CS[2] ),
+    .Y(_03830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07950_ (.A(_03830_),
-    .Y(_03831_),
+ sky130_fd_sc_hd__or2_4 _09194_ (.A(_03830_),
+    .B(_03369_),
+    .X(_03831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07951_ (.A1_N(_03818_),
-    .A2_N(_03831_),
-    .B1(\u_m1_cmd_fifo.mem[0][28] ),
-    .B2(_03818_),
-    .X(_00449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07952_ (.A(_03800_),
+ sky130_fd_sc_hd__or2_4 _09195_ (.A(_03829_),
+    .B(_03831_),
     .X(_03832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07953_ (.A(_03803_),
+ sky130_fd_sc_hd__or2_4 _09196_ (.A(_03817_),
+    .B(psn_net_185),
     .X(_03833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07954_ (.A(_03826_),
-    .B(\u_spim_regs.spim_reg_wdata[27] ),
-    .C(_03820_),
+ sky130_fd_sc_hd__o21a_4 _09197_ (.A1(\u_spictrl.u_txreg.tx_CS[2] ),
+    .A2(_03816_),
+    .B1(_03833_),
     .X(_03834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07955_ (.A(_03806_),
+ sky130_fd_sc_hd__buf_2 _09198_ (.A(\u_spictrl.spi_fall ),
     .X(_03835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07956_ (.A(_03835_),
+ sky130_fd_sc_hd__o21a_4 _09199_ (.A1(_03815_),
+    .A2(_03834_),
+    .B1(_03835_),
     .X(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07957_ (.A(_03809_),
-    .X(_03837_),
+ sky130_fd_sc_hd__inv_2 _09200_ (.A(_03836_),
+    .Y(_03837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07958_ (.A(_03828_),
-    .B(_03836_),
-    .C(_01124_),
-    .D(_03837_),
-    .X(_03838_),
+ sky130_fd_sc_hd__inv_2 _09201_ (.A(_03823_),
+    .Y(_03838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07959_ (.A1(\u_spim_regs.cfg_m1_addr[27] ),
-    .A2(_03833_),
-    .B1(_03834_),
-    .C1(_03838_),
+ sky130_fd_sc_hd__and2_4 _09202_ (.A(\u_spictrl.u_txreg.counter[5] ),
+    .B(_03838_),
     .X(_03839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07960_ (.A(_03839_),
-    .Y(_03840_),
+ sky130_fd_sc_hd__and2_4 _09203_ (.A(\u_spictrl.u_txreg.counter[6] ),
+    .B(_03839_),
+    .X(_03840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07961_ (.A1_N(_03832_),
-    .A2_N(_03840_),
-    .B1(\u_m1_cmd_fifo.mem[0][27] ),
-    .B2(_03832_),
-    .X(_00448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07962_ (.A(_03826_),
-    .B(\u_spim_regs.spim_reg_wdata[26] ),
-    .C(_03820_),
+ sky130_fd_sc_hd__and2_4 _09204_ (.A(\u_spictrl.u_txreg.counter[7] ),
+    .B(_03840_),
     .X(_03841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07963_ (.A(_03828_),
-    .B(_03836_),
-    .C(_01154_),
-    .D(_03837_),
+ sky130_fd_sc_hd__and2_4 _09205_ (.A(_03364_),
+    .B(_03841_),
     .X(_03842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07964_ (.A1(\u_spim_regs.cfg_m1_addr[26] ),
-    .A2(_03833_),
-    .B1(_03841_),
-    .C1(_03842_),
+ sky130_fd_sc_hd__and2_4 _09206_ (.A(\u_spictrl.u_txreg.counter[9] ),
+    .B(_03842_),
     .X(_03843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07965_ (.A(_03843_),
+ sky130_fd_sc_hd__inv_2 _09207_ (.A(_03843_),
     .Y(_03844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07966_ (.A1_N(_03832_),
-    .A2_N(_03844_),
-    .B1(\u_m1_cmd_fifo.mem[0][26] ),
-    .B2(_03832_),
-    .X(_00447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07967_ (.A(_03800_),
+ sky130_fd_sc_hd__or4_4 _09208_ (.A(_03302_),
+    .B(_03844_),
+    .C(_03319_),
+    .D(_03320_),
     .X(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07968_ (.A(_03819_),
+ sky130_fd_sc_hd__or2_4 _09209_ (.A(_03845_),
+    .B(_03837_),
     .X(_03846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07969_ (.A(_03826_),
-    .B(\u_spim_regs.spim_reg_wdata[25] ),
-    .C(_03846_),
+ sky130_fd_sc_hd__or2_4 _09210_ (.A(_03323_),
+    .B(_03846_),
     .X(_03847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07970_ (.A(_03828_),
-    .B(_03836_),
-    .C(_01179_),
-    .D(_03837_),
+ sky130_fd_sc_hd__o21a_4 _09211_ (.A1(_03322_),
+    .A2(_03847_),
+    .B1(_03321_),
     .X(_03848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07971_ (.A1(\u_spim_regs.cfg_m1_addr[25] ),
-    .A2(_03833_),
-    .B1(_03847_),
-    .C1(_03848_),
+ sky130_fd_sc_hd__buf_2 _09212_ (.A(psn_net_183),
     .X(_03849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07972_ (.A(_03849_),
-    .Y(_03850_),
+ sky130_fd_sc_hd__buf_2 _09213_ (.A(_03830_),
+    .X(_03850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07973_ (.A1_N(_03845_),
-    .A2_N(_03850_),
-    .B1(\u_m1_cmd_fifo.mem[0][25] ),
-    .B2(_03845_),
-    .X(_00446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07974_ (.A(_03825_),
+ sky130_fd_sc_hd__or2_4 _09214_ (.A(_03850_),
+    .B(_03719_),
     .X(_03851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07975_ (.A(_03851_),
-    .B(\u_spim_regs.spim_reg_wdata[24] ),
-    .C(_03846_),
+ sky130_fd_sc_hd__buf_2 _09215_ (.A(_03851_),
     .X(_03852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07976_ (.A(_02208_),
+ sky130_fd_sc_hd__and2_4 _09216_ (.A(_03849_),
+    .B(_03852_),
     .X(_03853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07977_ (.A(_03853_),
-    .B(_03836_),
-    .C(_01190_),
-    .D(_03837_),
+ sky130_fd_sc_hd__and2_4 _09217_ (.A(\u_spictrl.u_txreg.counter[10] ),
+    .B(_03843_),
     .X(_03854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07978_ (.A1(\u_spim_regs.cfg_m1_addr[24] ),
-    .A2(_03833_),
-    .B1(_03852_),
-    .C1(_03854_),
-    .X(_03855_),
+ sky130_fd_sc_hd__nand2_4 _09218_ (.A(_03849_),
+    .B(_03854_),
+    .Y(_03855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07979_ (.A(_03855_),
+ sky130_fd_sc_hd__nor2_4 _09219_ (.A(_03325_),
+    .B(_03855_),
     .Y(_03856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07980_ (.A1_N(_03845_),
-    .A2_N(_03856_),
-    .B1(\u_m1_cmd_fifo.mem[0][24] ),
-    .B2(_03845_),
-    .X(_00445_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07981_ (.A(_03799_),
+ sky130_fd_sc_hd__or3_4 _09220_ (.A(_03856_),
+    .B(_03853_),
+    .C(_03848_),
     .X(_03857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07982_ (.A(_03857_),
-    .X(_03858_),
+ sky130_fd_sc_hd__inv_2 _09221_ (.A(_03857_),
+    .Y(_01011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07983_ (.A(_03803_),
+ sky130_fd_sc_hd__inv_2 _09222_ (.A(psn_net_178),
+    .Y(_03858_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09223_ (.A(psn_net_182),
     .X(_03859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07984_ (.A(_03825_),
-    .X(_03860_),
+ sky130_fd_sc_hd__inv_2 _09224_ (.A(_03851_),
+    .Y(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07985_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
-    .B(_03860_),
-    .C(_03846_),
+ sky130_fd_sc_hd__o21a_4 _09225_ (.A1(_03324_),
+    .A2(_03845_),
+    .B1(_03860_),
     .X(_03861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07986_ (.A(_03835_),
+ sky130_fd_sc_hd__o22a_4 _09226_ (.A1(\u_spictrl.u_txreg.counter[14] ),
+    .A2(_03858_),
+    .B1(_03859_),
+    .B2(_03861_),
+    .X(_01010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09227_ (.A1(_03323_),
+    .A2(psn_net_179),
+    .B1(_03858_),
+    .C1(_03853_),
     .X(_03862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07987_ (.A(_02225_),
+ sky130_fd_sc_hd__inv_2 _09228_ (.A(_03862_),
+    .Y(_01009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _09229_ (.A(_03849_),
+    .B(_03854_),
+    .C(\u_spictrl.u_txreg.counter[11] ),
     .X(_03863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07988_ (.A(_03863_),
+ sky130_fd_sc_hd__and2_4 _09230_ (.A(_03845_),
+    .B(_03860_),
     .X(_03864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07989_ (.A(_03853_),
-    .B(_03862_),
-    .C(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
-    .D(_03864_),
+ sky130_fd_sc_hd__o22a_4 _09231_ (.A1(\u_spictrl.u_txreg.counter[12] ),
+    .A2(_03863_),
+    .B1(_03859_),
+    .B2(_03864_),
+    .X(_01008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09232_ (.A1(_03320_),
+    .A2(_03855_),
+    .B1(_03863_),
+    .C1(_03853_),
     .X(_03865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07990_ (.A1(\u_spim_regs.cfg_m1_addr[23] ),
-    .A2(_03859_),
-    .B1(_03861_),
-    .C1(_03865_),
+ sky130_fd_sc_hd__inv_2 _09233_ (.A(_03865_),
+    .Y(_01007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09234_ (.A(_03849_),
     .X(_03866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07991_ (.A(_03866_),
-    .Y(_03867_),
+ sky130_fd_sc_hd__buf_2 _09235_ (.A(_03866_),
+    .X(_03867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07992_ (.A1_N(_03858_),
-    .A2_N(_03867_),
-    .B1(\u_m1_cmd_fifo.mem[0][23] ),
-    .B2(_03858_),
-    .X(_00444_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07993_ (.A(\u_spim_regs.spim_reg_wdata[22] ),
-    .B(_03860_),
-    .C(_03846_),
+ sky130_fd_sc_hd__buf_2 _09236_ (.A(_03851_),
     .X(_03868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07994_ (.A(_03853_),
-    .B(_03862_),
-    .C(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
-    .D(_03864_),
+ sky130_fd_sc_hd__and2_4 _09237_ (.A(_03302_),
+    .B(_03844_),
     .X(_03869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07995_ (.A1(\u_spim_regs.cfg_m1_addr[22] ),
-    .A2(_03859_),
-    .B1(_03868_),
-    .C1(_03869_),
+ sky130_fd_sc_hd__buf_2 _09238_ (.A(psn_net_187),
     .X(_03870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07996_ (.A(_03870_),
-    .Y(_03871_),
+ sky130_fd_sc_hd__or4_4 _09239_ (.A(_03854_),
+    .B(_03868_),
+    .C(_03869_),
+    .D(_03870_),
+    .X(_03871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07997_ (.A1_N(_03858_),
-    .A2_N(_03871_),
-    .B1(\u_m1_cmd_fifo.mem[0][22] ),
-    .B2(_03858_),
-    .X(_00443_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07998_ (.A(_03857_),
+ sky130_fd_sc_hd__o22a_4 _09240_ (.A1(_03302_),
+    .A2(_03867_),
+    .B1(_03859_),
+    .B2(_03871_),
     .X(_03872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07999_ (.A(_03819_),
+ sky130_fd_sc_hd__inv_2 _09241_ (.A(_03872_),
+    .Y(_01006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09242_ (.A(psn_net_181),
     .X(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08000_ (.A(\u_spim_regs.spim_reg_wdata[21] ),
-    .B(_03860_),
-    .C(_03873_),
-    .X(_03874_),
+ sky130_fd_sc_hd__nor2_4 _09243_ (.A(\u_spictrl.u_txreg.counter[9] ),
+    .B(_03842_),
+    .Y(_03874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08001_ (.A(_03853_),
-    .B(_03862_),
-    .C(\u_spim_regs.cfg_m1_addr_cnt[1] ),
-    .D(_03864_),
+ sky130_fd_sc_hd__or4_4 _09244_ (.A(_03843_),
+    .B(_03868_),
+    .C(_03874_),
+    .D(_03870_),
     .X(_03875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08002_ (.A1(\u_spim_regs.cfg_m1_addr[21] ),
-    .A2(_03859_),
-    .B1(_03874_),
-    .C1(_03875_),
+ sky130_fd_sc_hd__o22a_4 _09245_ (.A1(_03313_),
+    .A2(_03867_),
+    .B1(_03873_),
+    .B2(_03875_),
     .X(_03876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08003_ (.A(_03876_),
-    .Y(_03877_),
+ sky130_fd_sc_hd__inv_2 _09246_ (.A(_03876_),
+    .Y(_01005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08004_ (.A1_N(_03872_),
-    .A2_N(_03877_),
-    .B1(\u_m1_cmd_fifo.mem[0][21] ),
-    .B2(_03872_),
-    .X(_00442_),
+ sky130_fd_sc_hd__buf_2 _09247_ (.A(_03368_),
+    .X(_03877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08005_ (.A(_01969_),
-    .X(_03878_),
+ sky130_fd_sc_hd__nor2_4 _09248_ (.A(_03364_),
+    .B(_03841_),
+    .Y(_03878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08006_ (.A(\u_spim_regs.spim_reg_wdata[20] ),
-    .B(_03878_),
-    .C(_03873_),
+ sky130_fd_sc_hd__or4_4 _09249_ (.A(_03850_),
+    .B(_03720_),
+    .C(_03842_),
+    .D(_03878_),
     .X(_03879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08007_ (.A(_02208_),
-    .X(_03880_),
+ sky130_fd_sc_hd__inv_2 _09250_ (.A(_03879_),
+    .Y(_03880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08008_ (.A(_03880_),
-    .B(_03862_),
-    .C(\u_spim_regs.cfg_m1_addr_cnt[0] ),
-    .D(_03864_),
+ sky130_fd_sc_hd__a32o_4 _09251_ (.A1(psn_net_110),
+    .A2(_03880_),
+    .A3(_03867_),
+    .B1(_03364_),
+    .B2(_03859_),
+    .X(_01004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09252_ (.A(_03866_),
     .X(_03881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08009_ (.A1(\u_spim_regs.cfg_m1_addr[20] ),
-    .A2(_03859_),
-    .B1(_03879_),
-    .C1(_03881_),
-    .X(_03882_),
+ sky130_fd_sc_hd__nor2_4 _09253_ (.A(\u_spictrl.u_txreg.counter[7] ),
+    .B(_03840_),
+    .Y(_03882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08010_ (.A(_03882_),
-    .Y(_03883_),
+ sky130_fd_sc_hd__or4_4 _09254_ (.A(_03841_),
+    .B(_03868_),
+    .C(_03882_),
+    .D(_03870_),
+    .X(_03883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08011_ (.A1_N(_03872_),
-    .A2_N(_03883_),
-    .B1(\u_m1_cmd_fifo.mem[0][20] ),
-    .B2(_03872_),
-    .X(_00441_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08012_ (.A(_03857_),
+ sky130_fd_sc_hd__o22a_4 _09255_ (.A1(_03336_),
+    .A2(_03881_),
+    .B1(_03873_),
+    .B2(_03883_),
     .X(_03884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08013_ (.A(_03803_),
-    .X(_03885_),
+ sky130_fd_sc_hd__inv_2 _09256_ (.A(_03884_),
+    .Y(_01003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08014_ (.A(\u_spim_regs.spim_reg_wdata[19] ),
-    .B(_03878_),
-    .C(_03873_),
+ sky130_fd_sc_hd__nor2_4 _09257_ (.A(\u_spictrl.u_txreg.counter[6] ),
+    .B(_03839_),
+    .Y(_03885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _09258_ (.A(_03840_),
+    .B(_03868_),
+    .C(_03885_),
+    .D(_03870_),
     .X(_03886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08015_ (.A(_01263_),
-    .B(\u_spim_regs.cfg_m1_spi_seq[2] ),
-    .C(_02052_),
-    .D(_01981_),
+ sky130_fd_sc_hd__o22a_4 _09259_ (.A1(_03342_),
+    .A2(_03881_),
+    .B1(_03873_),
+    .B2(_03886_),
     .X(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08016_ (.A(_03887_),
-    .Y(_03888_),
+ sky130_fd_sc_hd__inv_2 _09260_ (.A(_03887_),
+    .Y(_01002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08017_ (.A1(\u_spim_regs.cfg_m1_addr[19] ),
-    .A2(_03885_),
-    .B1(_03886_),
-    .C1(_03888_),
+ sky130_fd_sc_hd__and2_4 _09261_ (.A(_03360_),
+    .B(_03823_),
+    .X(_03888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09262_ (.A(_03369_),
     .X(_03889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08018_ (.A(_03889_),
-    .Y(_03890_),
+ sky130_fd_sc_hd__or4_4 _09263_ (.A(_03839_),
+    .B(_03851_),
+    .C(_03888_),
+    .D(_03889_),
+    .X(_03890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08019_ (.A1_N(_03884_),
-    .A2_N(_03890_),
-    .B1(\u_m1_cmd_fifo.mem[0][19] ),
-    .B2(_03884_),
-    .X(_00440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08020_ (.A(_01978_),
+ sky130_fd_sc_hd__o22a_4 _09264_ (.A1(_03360_),
+    .A2(_03881_),
+    .B1(_03873_),
+    .B2(_03890_),
     .X(_03891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08021_ (.A(_03891_),
-    .B(_03807_),
-    .C(_01263_),
-    .D(_02140_),
+ sky130_fd_sc_hd__inv_2 _09265_ (.A(_03891_),
+    .Y(_01001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09266_ (.A(psn_net_180),
     .X(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08022_ (.A(\u_spim_regs.spim_reg_wdata[18] ),
-    .B(_03860_),
-    .C(_01811_),
+ sky130_fd_sc_hd__and2_4 _09267_ (.A(_03331_),
+    .B(_03822_),
     .X(_03893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08023_ (.A1(\u_spim_regs.cfg_m1_addr[18] ),
-    .A2(_03885_),
-    .B1(_03892_),
-    .C1(_03893_),
+ sky130_fd_sc_hd__or4_4 _09268_ (.A(_03838_),
+    .B(_03893_),
+    .C(_03852_),
+    .D(_03889_),
     .X(_03894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08024_ (.A(_03894_),
-    .Y(_03895_),
+ sky130_fd_sc_hd__o22a_4 _09269_ (.A1(_03331_),
+    .A2(_03881_),
+    .B1(_03892_),
+    .B2(_03894_),
+    .X(_03895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08025_ (.A1_N(_03884_),
-    .A2_N(_03895_),
-    .B1(\u_m1_cmd_fifo.mem[0][18] ),
-    .B2(_03884_),
-    .X(_00439_),
+ sky130_fd_sc_hd__inv_2 _09270_ (.A(_03895_),
+    .Y(_01000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08026_ (.A(_03857_),
-    .X(_03896_),
+ sky130_fd_sc_hd__inv_2 _09271_ (.A(_03822_),
+    .Y(_03896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08027_ (.A(\u_spim_regs.spim_reg_wdata[17] ),
-    .B(_03878_),
-    .C(_03873_),
+ sky130_fd_sc_hd__and2_4 _09272_ (.A(_03818_),
+    .B(_03821_),
     .X(_03897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08028_ (.A(_03835_),
+ sky130_fd_sc_hd__or4_4 _09273_ (.A(_03896_),
+    .B(_03897_),
+    .C(_03852_),
+    .D(_03889_),
     .X(_03898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08029_ (.A(_03863_),
+ sky130_fd_sc_hd__o22a_4 _09274_ (.A1(_03818_),
+    .A2(_03866_),
+    .B1(_03892_),
+    .B2(_03898_),
     .X(_03899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08030_ (.A(_03880_),
-    .B(_03898_),
-    .C(_02141_),
-    .D(_03899_),
-    .X(_03900_),
+ sky130_fd_sc_hd__inv_2 _09275_ (.A(_03899_),
+    .Y(_00999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08031_ (.A1(\u_spim_regs.cfg_m1_addr[17] ),
-    .A2(_03885_),
-    .B1(_03897_),
-    .C1(_03900_),
+ sky130_fd_sc_hd__inv_2 _09276_ (.A(_03821_),
+    .Y(_03900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _09277_ (.A1(_03352_),
+    .A2(_03819_),
+    .B1(_03820_),
     .X(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08032_ (.A(_03901_),
-    .Y(_03902_),
+ sky130_fd_sc_hd__or4_4 _09278_ (.A(_03900_),
+    .B(_03901_),
+    .C(_03852_),
+    .D(_03889_),
+    .X(_03902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08033_ (.A1_N(_03896_),
-    .A2_N(_03902_),
-    .B1(\u_m1_cmd_fifo.mem[0][17] ),
-    .B2(_03896_),
-    .X(_00438_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08034_ (.A(_03819_),
+ sky130_fd_sc_hd__o22a_4 _09279_ (.A1(_03820_),
+    .A2(_03866_),
+    .B1(_03892_),
+    .B2(_03902_),
     .X(_03903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08035_ (.A(\u_spim_regs.spim_reg_wdata[16] ),
-    .B(_03878_),
-    .C(_03903_),
+ sky130_fd_sc_hd__inv_2 _09280_ (.A(_03903_),
+    .Y(_00998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _09281_ (.A(_03819_),
+    .B(_03860_),
+    .C(psn_net_111),
     .X(_03904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08036_ (.A(_03880_),
-    .B(_03898_),
-    .C(_01302_),
-    .D(_03899_),
+ sky130_fd_sc_hd__or2_4 _09282_ (.A(_03892_),
+    .B(_03904_),
     .X(_03905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08037_ (.A1(\u_spim_regs.cfg_m1_addr[16] ),
-    .A2(_03885_),
-    .B1(_03904_),
-    .C1(_03905_),
+ sky130_fd_sc_hd__buf_2 _09283_ (.A(_03815_),
     .X(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08038_ (.A(_03906_),
-    .Y(_03907_),
+ sky130_fd_sc_hd__or2_4 _09284_ (.A(_03722_),
+    .B(_03906_),
+    .X(_03907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08039_ (.A1_N(_03896_),
-    .A2_N(_03907_),
-    .B1(\u_m1_cmd_fifo.mem[0][16] ),
-    .B2(_03896_),
-    .X(_00437_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08040_ (.A(_03799_),
+ sky130_fd_sc_hd__or4_4 _09285_ (.A(\u_spictrl.u_txreg.counter[1] ),
+    .B(_03819_),
+    .C(_03907_),
+    .D(psn_net_186),
     .X(_03908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08041_ (.A(_03908_),
+ sky130_fd_sc_hd__a21bo_4 _09286_ (.A1(\u_spictrl.u_txreg.counter[1] ),
+    .A2(_03905_),
+    .B1_N(_03908_),
+    .X(_00997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _09287_ (.A1(\u_spictrl.u_txreg.counter[0] ),
+    .A2(_03867_),
+    .B1(_03905_),
+    .X(_00996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09288_ (.A(psn_net_231),
     .X(_03909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08042_ (.A(_03802_),
+ sky130_fd_sc_hd__buf_2 _09289_ (.A(_03909_),
     .X(_03910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08043_ (.A(_03910_),
+ sky130_fd_sc_hd__buf_2 _09290_ (.A(_03910_),
     .X(_03911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08044_ (.A(_03851_),
-    .B(\u_spim_regs.spim_reg_wdata[15] ),
-    .C(_03903_),
-    .X(_03912_),
+ sky130_fd_sc_hd__inv_2 _09291_ (.A(_03721_),
+    .Y(_03912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08045_ (.A(_03880_),
-    .B(_03898_),
-    .C(\u_spim_regs.cfg_m1_mode_reg[7] ),
-    .D(_03899_),
+ sky130_fd_sc_hd__and2_4 _09292_ (.A(_03830_),
+    .B(_03912_),
     .X(_03913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08046_ (.A1(\u_spim_regs.cfg_m1_addr[15] ),
-    .A2(_03911_),
-    .B1(_03912_),
-    .C1(_03913_),
+ sky130_fd_sc_hd__or2_4 _09293_ (.A(_03722_),
+    .B(_03913_),
     .X(_03914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08047_ (.A(_03914_),
+ sky130_fd_sc_hd__inv_2 _09294_ (.A(_03914_),
     .Y(_03915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08048_ (.A1_N(_03909_),
-    .A2_N(_03915_),
-    .B1(\u_m1_cmd_fifo.mem[0][15] ),
-    .B2(_03909_),
-    .X(_00436_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08049_ (.A(_03851_),
-    .B(\u_spim_regs.spim_reg_wdata[14] ),
-    .C(_03903_),
+ sky130_fd_sc_hd__buf_2 _09295_ (.A(_03915_),
     .X(_03916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08050_ (.A(_02214_),
+ sky130_fd_sc_hd__buf_2 _09296_ (.A(_03916_),
     .X(_03917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08051_ (.A(_03917_),
-    .B(_03898_),
-    .C(\u_spim_regs.cfg_m1_mode_reg[6] ),
-    .D(_03899_),
-    .X(_03918_),
+ sky130_fd_sc_hd__nand2_4 _09297_ (.A(_03877_),
+    .B(_03829_),
+    .Y(_03918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08052_ (.A1(\u_spim_regs.cfg_m1_addr[14] ),
-    .A2(_03911_),
-    .B1(_03916_),
-    .C1(_03918_),
+ sky130_fd_sc_hd__or2_4 _09298_ (.A(_03830_),
+    .B(_03918_),
     .X(_03919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08053_ (.A(_03919_),
+ sky130_fd_sc_hd__inv_2 _09299_ (.A(psn_net_150),
     .Y(_03920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08054_ (.A1_N(_03909_),
-    .A2_N(_03920_),
-    .B1(\u_m1_cmd_fifo.mem[0][14] ),
-    .B2(_03909_),
-    .X(_00435_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08055_ (.A(_03908_),
+ sky130_fd_sc_hd__buf_2 _09300_ (.A(psn_net_148),
     .X(_03921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08056_ (.A(_03851_),
-    .B(\u_spim_regs.spim_reg_wdata[13] ),
-    .C(_03903_),
+ sky130_fd_sc_hd__buf_2 _09301_ (.A(_03921_),
     .X(_03922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08057_ (.A(_03835_),
+ sky130_fd_sc_hd__buf_2 _09302_ (.A(_03922_),
     .X(_03923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08058_ (.A(_03863_),
+ sky130_fd_sc_hd__buf_2 _09303_ (.A(\u_spictrl.u_txreg.data_int[23] ),
     .X(_03924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08059_ (.A(_03917_),
-    .B(_03923_),
-    .C(\u_spim_regs.cfg_m1_mode_reg[5] ),
-    .D(_03924_),
+ sky130_fd_sc_hd__buf_2 _09304_ (.A(_03827_),
     .X(_03925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08060_ (.A1(\u_spim_regs.cfg_m1_addr[13] ),
-    .A2(_03911_),
-    .B1(_03922_),
-    .C1(_03925_),
+ sky130_fd_sc_hd__buf_2 _09305_ (.A(_03925_),
     .X(_03926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08061_ (.A(_03926_),
-    .Y(_03927_),
+ sky130_fd_sc_hd__buf_2 _09306_ (.A(_03926_),
+    .X(_03927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08062_ (.A1_N(_03921_),
-    .A2_N(_03927_),
-    .B1(\u_m1_cmd_fifo.mem[0][13] ),
-    .B2(_03921_),
-    .X(_00434_),
+ sky130_fd_sc_hd__inv_2 _09307_ (.A(_03827_),
+    .Y(_03928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08063_ (.A(_03825_),
-    .X(_03928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08064_ (.A(_01810_),
+ sky130_fd_sc_hd__buf_2 _09308_ (.A(_03928_),
     .X(_03929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08065_ (.A(_03928_),
-    .B(\u_spim_regs.spim_reg_wdata[12] ),
-    .C(_03929_),
+ sky130_fd_sc_hd__buf_2 _09309_ (.A(_03929_),
     .X(_03930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08066_ (.A(_03917_),
-    .B(_03923_),
-    .C(\u_spim_regs.cfg_m1_mode_reg[4] ),
-    .D(_03924_),
+ sky130_fd_sc_hd__buf_2 _09310_ (.A(_03930_),
     .X(_03931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08067_ (.A1(\u_spim_regs.cfg_m1_addr[12] ),
-    .A2(_03911_),
-    .B1(_03930_),
-    .C1(_03931_),
-    .X(_03932_),
+ sky130_fd_sc_hd__inv_2 _09311_ (.A(_03825_),
+    .Y(_03932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08068_ (.A(_03932_),
-    .Y(_03933_),
+ sky130_fd_sc_hd__buf_2 _09312_ (.A(_03932_),
+    .X(_03933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08069_ (.A1_N(_03921_),
-    .A2_N(_03933_),
-    .B1(\u_m1_cmd_fifo.mem[0][12] ),
-    .B2(_03921_),
-    .X(_00433_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08070_ (.A(_03908_),
+ sky130_fd_sc_hd__buf_2 _09313_ (.A(_03933_),
     .X(_03934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08071_ (.A(_03910_),
+ sky130_fd_sc_hd__buf_2 _09314_ (.A(_03934_),
     .X(_03935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08072_ (.A(_03928_),
-    .B(\u_spim_regs.spim_reg_wdata[11] ),
-    .C(_03929_),
+ sky130_fd_sc_hd__buf_2 _09315_ (.A(\u_spictrl.u_txreg.data_int[25] ),
     .X(_03936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08073_ (.A(_03917_),
-    .B(_03923_),
-    .C(\u_spim_regs.cfg_m1_mode_reg[3] ),
-    .D(_03924_),
+ sky130_fd_sc_hd__buf_2 _09316_ (.A(_03825_),
     .X(_03937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08074_ (.A1(\u_spim_regs.cfg_m1_addr[11] ),
-    .A2(_03935_),
-    .B1(_03936_),
-    .C1(_03937_),
+ sky130_fd_sc_hd__buf_2 _09317_ (.A(_03937_),
     .X(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08075_ (.A(_03938_),
-    .Y(_03939_),
+ sky130_fd_sc_hd__buf_2 _09318_ (.A(_03938_),
+    .X(_03939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08076_ (.A1_N(_03934_),
-    .A2_N(_03939_),
-    .B1(\u_m1_cmd_fifo.mem[0][11] ),
-    .B2(_03934_),
-    .X(_00432_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08077_ (.A(_03928_),
-    .B(\u_spim_regs.spim_reg_wdata[10] ),
-    .C(_03929_),
+ sky130_fd_sc_hd__o22a_4 _09319_ (.A1(\u_spictrl.u_txreg.data_int[26] ),
+    .A2(_03935_),
+    .B1(_03936_),
+    .B2(_03939_),
     .X(_03940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08078_ (.A(_02214_),
+ sky130_fd_sc_hd__o22a_4 _09320_ (.A1(_03924_),
+    .A2(_03927_),
+    .B1(_03931_),
+    .B2(_03940_),
     .X(_03941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08079_ (.A(_03941_),
-    .B(_03923_),
-    .C(\u_spim_regs.cfg_m1_mode_reg[2] ),
-    .D(_03924_),
+ sky130_fd_sc_hd__buf_2 _09321_ (.A(\u_spictrl.u_txreg.data_int[27] ),
     .X(_03942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08080_ (.A1(\u_spim_regs.cfg_m1_addr[10] ),
-    .A2(_03935_),
-    .B1(_03940_),
-    .C1(_03942_),
+ sky130_fd_sc_hd__and2_4 _09322_ (.A(\u_spictrl.u_txreg.tx_CS[2] ),
+    .B(psn_net_109),
     .X(_03943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08081_ (.A(_03943_),
+ sky130_fd_sc_hd__nor2_4 _09323_ (.A(\u_spictrl.u_txreg.tx_CS[1] ),
+    .B(_03943_),
     .Y(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08082_ (.A1_N(_03934_),
-    .A2_N(_03944_),
-    .B1(\u_m1_cmd_fifo.mem[0][10] ),
-    .B2(_03934_),
-    .X(_00431_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08083_ (.A(_03908_),
+ sky130_fd_sc_hd__or2_4 _09324_ (.A(_03817_),
+    .B(_03944_),
     .X(_03945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08084_ (.A1_N(\u_spim_regs.cfg_m1_req ),
-    .A2_N(\u_spim_regs.spim_reg_wdata[9] ),
-    .B1(_01395_),
-    .B2(\u_spim_regs.cfg_m1_req ),
-    .X(_03946_),
+ sky130_fd_sc_hd__inv_2 _09325_ (.A(_03945_),
+    .Y(_03946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08085_ (.A(_03910_),
+ sky130_fd_sc_hd__buf_2 _09326_ (.A(_03946_),
     .X(_03947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08086_ (.A(_03891_),
-    .B(_03807_),
-    .C(\u_spim_regs.cfg_m1_mode_reg[1] ),
-    .D(_03809_),
+ sky130_fd_sc_hd__buf_2 _09327_ (.A(_03947_),
     .X(_03948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _08087_ (.A1(\u_spim_regs.cfg_m1_addr[9] ),
-    .A2(_03947_),
-    .B1(_03948_),
-    .Y(_03949_),
+ sky130_fd_sc_hd__and2_4 _09328_ (.A(_03942_),
+    .B(_03948_),
+    .X(_03949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08088_ (.A1(_01808_),
-    .A2(_03946_),
-    .B1(_03949_),
-    .X(_03950_),
+ sky130_fd_sc_hd__inv_2 _09329_ (.A(_03816_),
+    .Y(_03950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08089_ (.A1_N(_03945_),
-    .A2_N(_03950_),
-    .B1(\u_m1_cmd_fifo.mem[0][9] ),
-    .B2(_03945_),
-    .X(_00430_),
+ sky130_fd_sc_hd__o21ai_4 _09330_ (.A1(_03734_),
+    .A2(_03944_),
+    .B1(_03950_),
+    .Y(_03951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08090_ (.A(_03928_),
-    .B(\u_spim_regs.spim_reg_wdata[8] ),
-    .C(_03929_),
-    .X(_03951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08091_ (.A(_03806_),
+ sky130_fd_sc_hd__buf_2 _09331_ (.A(_03951_),
     .X(_03952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08092_ (.A(_03863_),
+ sky130_fd_sc_hd__buf_2 _09332_ (.A(_03952_),
     .X(_03953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08093_ (.A(_03941_),
-    .B(_03952_),
-    .C(\u_spim_regs.cfg_m1_mode_reg[0] ),
-    .D(_03953_),
+ sky130_fd_sc_hd__buf_2 _09333_ (.A(_03953_),
     .X(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08094_ (.A1(\u_spim_regs.cfg_m1_addr[8] ),
-    .A2(_03935_),
-    .B1(_03951_),
-    .C1(_03954_),
+ sky130_fd_sc_hd__buf_2 _09334_ (.A(psn_net_210),
     .X(_03955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08095_ (.A(_03955_),
-    .Y(_03956_),
+ sky130_fd_sc_hd__buf_2 _09335_ (.A(_03955_),
+    .X(_03956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08096_ (.A1_N(_03945_),
-    .A2_N(_03956_),
-    .B1(\u_m1_cmd_fifo.mem[0][8] ),
-    .B2(_03945_),
-    .X(_00429_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08097_ (.A(_03799_),
+ sky130_fd_sc_hd__buf_2 _09336_ (.A(_03956_),
     .X(_03957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08098_ (.A(_03957_),
+ sky130_fd_sc_hd__buf_2 _09337_ (.A(_03728_),
     .X(_03958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08099_ (.A(_01969_),
+ sky130_fd_sc_hd__a211o_4 _09338_ (.A1(_03795_),
+    .A2(_03786_),
+    .B1(_03958_),
+    .C1(psn_net_170),
     .X(_03959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08100_ (.A(_01810_),
+ sky130_fd_sc_hd__buf_2 _09339_ (.A(_03959_),
     .X(_03960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08101_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
-    .B(_03959_),
-    .C(_03960_),
+ sky130_fd_sc_hd__buf_2 _09340_ (.A(_03960_),
     .X(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08102_ (.A(_03941_),
-    .B(_03952_),
-    .C(\u_spim_regs.cfg_m1_cmd_reg[7] ),
-    .D(_03953_),
+ sky130_fd_sc_hd__buf_2 _09341_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
     .X(_03962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08103_ (.A1(\u_spim_regs.cfg_m1_addr[7] ),
-    .A2(_03935_),
-    .B1(_03961_),
-    .C1(_03962_),
+ sky130_fd_sc_hd__buf_2 _09342_ (.A(_03962_),
     .X(_03963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08104_ (.A(_03963_),
-    .Y(_03964_),
+ sky130_fd_sc_hd__buf_2 _09343_ (.A(_03963_),
+    .X(_03964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08105_ (.A1_N(_03958_),
-    .A2_N(_03964_),
-    .B1(\u_m1_cmd_fifo.mem[0][7] ),
-    .B2(_03958_),
-    .X(_00428_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08106_ (.A(_03910_),
+ sky130_fd_sc_hd__buf_2 _09344_ (.A(_03964_),
     .X(_03965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08107_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
-    .B(_03959_),
-    .C(_03960_),
+ sky130_fd_sc_hd__buf_2 _09345_ (.A(_03965_),
     .X(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08108_ (.A(_03941_),
-    .B(_03952_),
-    .C(\u_spim_regs.cfg_m1_cmd_reg[6] ),
-    .D(_03953_),
+ sky130_fd_sc_hd__buf_2 _09346_ (.A(_03966_),
     .X(_03967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08109_ (.A1(\u_spim_regs.cfg_m1_addr[6] ),
-    .A2(_03965_),
-    .B1(_03966_),
-    .C1(_03967_),
+ sky130_fd_sc_hd__and2_4 _09347_ (.A(_03292_),
+    .B(\u_m1_cmd_fifo.mem[2][3] ),
     .X(_03968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08110_ (.A(_03968_),
-    .Y(_03969_),
+ sky130_fd_sc_hd__a211o_4 _09348_ (.A1(_03967_),
+    .A2(\u_m1_cmd_fifo.mem[3][3] ),
+    .B1(_03380_),
+    .C1(_03968_),
+    .X(_03969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08111_ (.A1_N(_03958_),
-    .A2_N(_03969_),
-    .B1(\u_m1_cmd_fifo.mem[0][6] ),
-    .B2(_03958_),
-    .X(_00427_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08112_ (.A(_03957_),
+ sky130_fd_sc_hd__buf_2 _09349_ (.A(_03966_),
     .X(_03970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08113_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
-    .B(_03959_),
-    .C(_03960_),
+ sky130_fd_sc_hd__buf_2 _09350_ (.A(_03290_),
     .X(_03971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08114_ (.A(_02214_),
+ sky130_fd_sc_hd__buf_2 _09351_ (.A(_03971_),
     .X(_03972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08115_ (.A(_03972_),
-    .B(_03952_),
-    .C(\u_spim_regs.cfg_m1_cmd_reg[5] ),
-    .D(_03953_),
+ sky130_fd_sc_hd__and2_4 _09352_ (.A(_03972_),
+    .B(\u_m1_cmd_fifo.mem[0][3] ),
     .X(_03973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08116_ (.A1(\u_spim_regs.cfg_m1_addr[5] ),
-    .A2(_03965_),
-    .B1(_03971_),
+ sky130_fd_sc_hd__a211o_4 _09353_ (.A1(_03970_),
+    .A2(\u_m1_cmd_fifo.mem[1][3] ),
+    .B1(_03287_),
     .C1(_03973_),
     .X(_03974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08117_ (.A(_03974_),
-    .Y(_03975_),
+ sky130_fd_sc_hd__or2_4 _09354_ (.A(_03518_),
+    .B(\u_m0_cmd_fifo.mem[1][3] ),
+    .X(_03975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08118_ (.A1_N(_03970_),
-    .A2_N(_03975_),
-    .B1(\u_m1_cmd_fifo.mem[0][5] ),
-    .B2(_03970_),
-    .X(_00426_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08119_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
-    .B(_03959_),
-    .C(_03960_),
+ sky130_fd_sc_hd__buf_2 _09355_ (.A(\u_spictrl.gnt[2] ),
     .X(_03976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08120_ (.A(_03806_),
+ sky130_fd_sc_hd__buf_2 _09356_ (.A(_03976_),
     .X(_03977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08121_ (.A(_02225_),
+ sky130_fd_sc_hd__buf_2 _09357_ (.A(_03977_),
     .X(_03978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08122_ (.A(_03972_),
-    .B(_03977_),
-    .C(\u_spim_regs.cfg_m1_cmd_reg[4] ),
-    .D(_03978_),
+ sky130_fd_sc_hd__buf_2 _09358_ (.A(_03978_),
     .X(_03979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08123_ (.A1(\u_spim_regs.cfg_m1_addr[4] ),
-    .A2(_03965_),
-    .B1(_03976_),
-    .C1(_03979_),
+ sky130_fd_sc_hd__o21a_4 _09359_ (.A1(_03511_),
+    .A2(\u_m0_cmd_fifo.mem[0][3] ),
+    .B1(_03979_),
     .X(_03980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08124_ (.A(_03980_),
-    .Y(_03981_),
+ sky130_fd_sc_hd__a32o_4 _09360_ (.A1(_03969_),
+    .A2(_03974_),
+    .A3(_01526_),
+    .B1(_03975_),
+    .B2(_03980_),
+    .X(_03981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08125_ (.A1_N(_03970_),
-    .A2_N(_03981_),
-    .B1(\u_m1_cmd_fifo.mem[0][4] ),
-    .B2(_03970_),
-    .X(_00425_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08126_ (.A(_03957_),
+ sky130_fd_sc_hd__and2_4 _09361_ (.A(_03961_),
+    .B(_03981_),
     .X(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08127_ (.A(_01969_),
+ sky130_fd_sc_hd__buf_2 _09362_ (.A(_03789_),
     .X(_03983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08128_ (.A(_01810_),
+ sky130_fd_sc_hd__or2_4 _09363_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
+    .B(_03785_),
     .X(_03984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08129_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
-    .B(_03983_),
-    .C(_03984_),
+ sky130_fd_sc_hd__buf_2 _09364_ (.A(_03984_),
     .X(_03985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08130_ (.A(_03972_),
-    .B(_03977_),
-    .C(\u_spim_regs.cfg_m1_cmd_reg[3] ),
-    .D(_03978_),
+ sky130_fd_sc_hd__buf_2 _09365_ (.A(_03985_),
     .X(_03986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08131_ (.A1(\u_spim_regs.cfg_m1_addr[3] ),
-    .A2(_03965_),
-    .B1(_03985_),
-    .C1(_03986_),
+ sky130_fd_sc_hd__buf_2 _09366_ (.A(_03986_),
     .X(_03987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08132_ (.A(_03987_),
-    .Y(_03988_),
+ sky130_fd_sc_hd__buf_2 _09367_ (.A(_01523_),
+    .X(_03988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08133_ (.A1_N(_03982_),
-    .A2_N(_03988_),
-    .B1(\u_m1_cmd_fifo.mem[0][3] ),
-    .B2(_03982_),
-    .X(_00424_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08134_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
-    .B(_03983_),
-    .C(_03984_),
+ sky130_fd_sc_hd__buf_2 _09368_ (.A(_03988_),
     .X(_03989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08135_ (.A(_03972_),
-    .B(_03977_),
-    .C(\u_spim_regs.cfg_m1_cmd_reg[2] ),
-    .D(_03978_),
+ sky130_fd_sc_hd__buf_2 _09369_ (.A(_03989_),
     .X(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08136_ (.A1(\u_spim_regs.cfg_m1_addr[2] ),
-    .A2(_03947_),
-    .B1(_03989_),
-    .C1(_03990_),
+ sky130_fd_sc_hd__buf_2 _09370_ (.A(_03516_),
     .X(_03991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08137_ (.A(_03991_),
-    .Y(_03992_),
+ sky130_fd_sc_hd__o22a_4 _09371_ (.A1(_03510_),
+    .A2(\u_m0_cmd_fifo.mem[0][11] ),
+    .B1(_03991_),
+    .B2(\u_m0_cmd_fifo.mem[1][11] ),
+    .X(_03992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08138_ (.A1_N(_03982_),
-    .A2_N(_03992_),
-    .B1(\u_m1_cmd_fifo.mem[0][2] ),
-    .B2(_03982_),
-    .X(_00423_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08139_ (.A(_03957_),
+ sky130_fd_sc_hd__buf_2 _09372_ (.A(_03976_),
     .X(_03993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08140_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
-    .B(_03983_),
-    .C(_03984_),
+ sky130_fd_sc_hd__buf_2 _09373_ (.A(_03993_),
     .X(_03994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08141_ (.A(_03891_),
-    .B(_03977_),
-    .C(\u_spim_regs.cfg_m1_cmd_reg[1] ),
-    .D(_03978_),
+ sky130_fd_sc_hd__buf_2 _09374_ (.A(_03994_),
     .X(_03995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08142_ (.A1(\u_spim_regs.cfg_m1_addr[1] ),
-    .A2(_03947_),
-    .B1(_03994_),
-    .C1(_03995_),
+ sky130_fd_sc_hd__buf_2 _09375_ (.A(_03995_),
     .X(_03996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08143_ (.A(_03996_),
-    .Y(_03997_),
+ sky130_fd_sc_hd__buf_2 _09376_ (.A(_03377_),
+    .X(_03997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08144_ (.A1_N(_03993_),
-    .A2_N(_03997_),
-    .B1(\u_m1_cmd_fifo.mem[0][1] ),
-    .B2(_03993_),
-    .X(_00422_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08145_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
-    .B(_03983_),
-    .C(_03984_),
+ sky130_fd_sc_hd__buf_2 _09377_ (.A(_03997_),
     .X(_03998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08146_ (.A(_03891_),
-    .B(_03807_),
-    .C(\u_spim_regs.cfg_m1_cmd_reg[0] ),
-    .D(_03809_),
+ sky130_fd_sc_hd__buf_2 _09378_ (.A(_03998_),
     .X(_03999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08147_ (.A1(\u_spim_regs.cfg_m1_addr[0] ),
-    .A2(_03947_),
-    .B1(_03998_),
-    .C1(_03999_),
+ sky130_fd_sc_hd__buf_2 _09379_ (.A(_03964_),
     .X(_04000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08148_ (.A(_04000_),
-    .Y(_04001_),
+ sky130_fd_sc_hd__or2_4 _09380_ (.A(_04000_),
+    .B(\u_m1_cmd_fifo.mem[0][11] ),
+    .X(_04001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08149_ (.A1_N(_03993_),
-    .A2_N(_04001_),
-    .B1(\u_m1_cmd_fifo.mem[0][0] ),
-    .B2(_03993_),
-    .X(_00421_),
+ sky130_fd_sc_hd__buf_2 _09381_ (.A(_03289_),
+    .X(_04002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08150_ (.A(\u_m1_res_fifo.mem[1][31] ),
-    .Y(_04002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08151_ (.A(_03767_),
+ sky130_fd_sc_hd__buf_2 _09382_ (.A(_04002_),
     .X(_04003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08152_ (.A(\u_spictrl.res_fifo_wdata[31] ),
+ sky130_fd_sc_hd__or2_4 _09383_ (.A(_04003_),
+    .B(\u_m1_cmd_fifo.mem[1][11] ),
     .X(_04004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08153_ (.A1_N(_04002_),
-    .A2_N(_04003_),
-    .B1(_04004_),
-    .B2(_04003_),
-    .X(_00420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08154_ (.A(\u_spictrl.res_fifo_wdata[30] ),
+ sky130_fd_sc_hd__or2_4 _09384_ (.A(_03971_),
+    .B(\u_m1_cmd_fifo.mem[3][11] ),
     .X(_04005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08155_ (.A1_N(_00969_),
-    .A2_N(_04003_),
-    .B1(_04005_),
-    .B2(_04003_),
-    .X(_00419_),
+ sky130_fd_sc_hd__buf_2 _09385_ (.A(_03962_),
+    .X(_04006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08156_ (.A(\u_m1_res_fifo.mem[1][29] ),
-    .Y(_04006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08157_ (.A(_03767_),
+ sky130_fd_sc_hd__buf_2 _09386_ (.A(_04006_),
     .X(_04007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08158_ (.A(\u_spictrl.res_fifo_wdata[29] ),
+ sky130_fd_sc_hd__buf_2 _09387_ (.A(_04007_),
     .X(_04008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08159_ (.A1_N(_04006_),
-    .A2_N(_04007_),
-    .B1(_04008_),
-    .B2(_04007_),
-    .X(_00418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08160_ (.A(\u_spictrl.res_fifo_wdata[28] ),
+ sky130_fd_sc_hd__buf_2 _09388_ (.A(_04008_),
     .X(_04009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08161_ (.A1_N(_01112_),
-    .A2_N(_04007_),
-    .B1(_04009_),
-    .B2(_04007_),
-    .X(_00417_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08162_ (.A(_03767_),
+ sky130_fd_sc_hd__o21a_4 _09389_ (.A1(_04009_),
+    .A2(\u_m1_cmd_fifo.mem[2][11] ),
+    .B1(_03286_),
     .X(_04010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08163_ (.A(\u_spictrl.res_fifo_wdata[27] ),
+ sky130_fd_sc_hd__a32o_4 _09390_ (.A1(_03999_),
+    .A2(_04001_),
+    .A3(_04004_),
+    .B1(_04005_),
+    .B2(_04010_),
     .X(_04011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08164_ (.A1_N(_01134_),
-    .A2_N(_04010_),
-    .B1(_04011_),
-    .B2(_04010_),
-    .X(_00416_),
+ sky130_fd_sc_hd__o22a_4 _09391_ (.A1(_03990_),
+    .A2(_03992_),
+    .B1(_03996_),
+    .B2(_04011_),
+    .X(_04012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08165_ (.A(\u_m1_res_fifo.mem[1][26] ),
-    .Y(_04012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08166_ (.A(\u_spictrl.res_fifo_wdata[26] ),
+ sky130_fd_sc_hd__or2_4 _09392_ (.A(_03987_),
+    .B(_04012_),
     .X(_04013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08167_ (.A1_N(_04012_),
-    .A2_N(_04010_),
-    .B1(_04013_),
-    .B2(_04010_),
-    .X(_00415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08168_ (.A(\u_m1_res_fifo.mem[1][25] ),
+ sky130_fd_sc_hd__inv_2 _09393_ (.A(_03984_),
     .Y(_04014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08169_ (.A(_03764_),
+ sky130_fd_sc_hd__buf_2 _09394_ (.A(_04014_),
     .X(_04015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08170_ (.A(_04015_),
+ sky130_fd_sc_hd__buf_2 _09395_ (.A(_04015_),
     .X(_04016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08171_ (.A(\u_spictrl.res_fifo_wdata[25] ),
+ sky130_fd_sc_hd__or2_4 _09396_ (.A(_03784_),
+    .B(\u_spictrl.cfg_addr_cnt[0] ),
     .X(_04017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08172_ (.A1_N(_04014_),
-    .A2_N(_04016_),
-    .B1(_04017_),
-    .B2(_04016_),
-    .X(_00414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08173_ (.A(\u_m1_res_fifo.mem[1][24] ),
+ sky130_fd_sc_hd__inv_2 _09397_ (.A(_04017_),
     .Y(_04018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08174_ (.A(\u_spictrl.res_fifo_wdata[24] ),
+ sky130_fd_sc_hd__buf_2 _09398_ (.A(_04018_),
     .X(_04019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08175_ (.A1_N(_04018_),
-    .A2_N(_04016_),
-    .B1(_04019_),
-    .B2(_04016_),
-    .X(_00413_),
+ sky130_fd_sc_hd__buf_2 _09399_ (.A(_04019_),
+    .X(_04020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08176_ (.A(\u_m1_res_fifo.mem[1][23] ),
-    .Y(_04020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08177_ (.A(_04015_),
+ sky130_fd_sc_hd__buf_2 _09400_ (.A(_03508_),
     .X(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08178_ (.A(\u_spictrl.res_fifo_wdata[23] ),
+ sky130_fd_sc_hd__buf_2 _09401_ (.A(_04021_),
     .X(_04022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08179_ (.A1_N(_04020_),
-    .A2_N(_04021_),
-    .B1(_04022_),
-    .B2(_04021_),
-    .X(_00412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08180_ (.A(\u_spictrl.res_fifo_wdata[22] ),
+ sky130_fd_sc_hd__buf_2 _09402_ (.A(_03515_),
     .X(_04023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08181_ (.A1_N(_01227_),
-    .A2_N(_04021_),
+ sky130_fd_sc_hd__o22a_4 _09403_ (.A1(_04022_),
+    .A2(\u_m0_cmd_fifo.mem[0][27] ),
     .B1(_04023_),
-    .B2(_04021_),
-    .X(_00411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08182_ (.A(_04015_),
+    .B2(\u_m0_cmd_fifo.mem[1][27] ),
     .X(_04024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08183_ (.A(\u_spictrl.res_fifo_wdata[21] ),
+ sky130_fd_sc_hd__buf_2 _09404_ (.A(_03993_),
     .X(_04025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08184_ (.A1_N(_01239_),
-    .A2_N(_04024_),
-    .B1(_04025_),
-    .B2(_04024_),
-    .X(_00410_),
+ sky130_fd_sc_hd__buf_2 _09405_ (.A(_04025_),
+    .X(_04026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08185_ (.A(\u_m1_res_fifo.mem[1][20] ),
-    .Y(_04026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08186_ (.A(\u_spictrl.res_fifo_wdata[20] ),
+ sky130_fd_sc_hd__buf_2 _09406_ (.A(_03997_),
     .X(_04027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08187_ (.A1_N(_04026_),
-    .A2_N(_04024_),
-    .B1(_04027_),
-    .B2(_04024_),
-    .X(_00409_),
+ sky130_fd_sc_hd__buf_2 _09407_ (.A(_04007_),
+    .X(_04028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08188_ (.A(\u_m1_res_fifo.mem[1][19] ),
-    .Y(_04028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08189_ (.A(_04015_),
+ sky130_fd_sc_hd__or2_4 _09408_ (.A(_04028_),
+    .B(\u_m1_cmd_fifo.mem[0][27] ),
     .X(_04029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08190_ (.A(\u_spictrl.res_fifo_wdata[19] ),
+ sky130_fd_sc_hd__buf_2 _09409_ (.A(_03289_),
     .X(_04030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08191_ (.A1_N(_04028_),
-    .A2_N(_04029_),
-    .B1(_04030_),
-    .B2(_04029_),
-    .X(_00408_),
+ sky130_fd_sc_hd__or2_4 _09410_ (.A(_04030_),
+    .B(\u_m1_cmd_fifo.mem[1][27] ),
+    .X(_04031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08192_ (.A(\u_m1_res_fifo.mem[1][18] ),
-    .Y(_04031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08193_ (.A(\u_spictrl.res_fifo_wdata[18] ),
+ sky130_fd_sc_hd__buf_2 _09411_ (.A(_03289_),
     .X(_04032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08194_ (.A1_N(_04031_),
-    .A2_N(_04029_),
-    .B1(_04032_),
-    .B2(_04029_),
-    .X(_00407_),
+ sky130_fd_sc_hd__or2_4 _09412_ (.A(_04032_),
+    .B(\u_m1_cmd_fifo.mem[3][27] ),
+    .X(_04033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08195_ (.A(\u_m1_res_fifo.mem[1][17] ),
-    .Y(_04033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08196_ (.A(_03764_),
+ sky130_fd_sc_hd__buf_2 _09413_ (.A(_04006_),
     .X(_04034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08197_ (.A(_04034_),
+ sky130_fd_sc_hd__buf_2 _09414_ (.A(_04034_),
     .X(_04035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08198_ (.A(\u_spictrl.res_fifo_wdata[17] ),
+ sky130_fd_sc_hd__buf_2 _09415_ (.A(_03284_),
     .X(_04036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08199_ (.A1_N(_04033_),
-    .A2_N(_04035_),
-    .B1(_04036_),
-    .B2(_04035_),
-    .X(_00406_),
+ sky130_fd_sc_hd__buf_2 _09416_ (.A(_04036_),
+    .X(_04037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08200_ (.A(\u_m1_res_fifo.mem[1][16] ),
-    .Y(_04037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08201_ (.A(\u_spictrl.res_fifo_wdata[16] ),
+ sky130_fd_sc_hd__o21a_4 _09417_ (.A1(_04035_),
+    .A2(\u_m1_cmd_fifo.mem[2][27] ),
+    .B1(_04037_),
     .X(_04038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08202_ (.A1_N(_04037_),
-    .A2_N(_04035_),
-    .B1(_04038_),
-    .B2(_04035_),
-    .X(_00405_),
+ sky130_fd_sc_hd__a32o_4 _09418_ (.A1(_04027_),
+    .A2(_04029_),
+    .A3(_04031_),
+    .B1(_04033_),
+    .B2(_04038_),
+    .X(_04039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08203_ (.A(\u_m1_res_fifo.mem[1][15] ),
-    .Y(_04039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08204_ (.A(_04034_),
+ sky130_fd_sc_hd__o22a_4 _09419_ (.A1(_03989_),
+    .A2(_04024_),
+    .B1(_04026_),
+    .B2(_04039_),
     .X(_04040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08205_ (.A(\u_spictrl.res_fifo_wdata[15] ),
+ sky130_fd_sc_hd__buf_2 _09420_ (.A(_04017_),
     .X(_04041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08206_ (.A1_N(_04039_),
-    .A2_N(_04040_),
-    .B1(_04041_),
-    .B2(_04040_),
-    .X(_00404_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08207_ (.A(\u_spictrl.res_fifo_wdata[14] ),
+ sky130_fd_sc_hd__buf_2 _09421_ (.A(_04041_),
     .X(_04042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08208_ (.A1_N(_01336_),
-    .A2_N(_04040_),
-    .B1(_04042_),
-    .B2(_04040_),
-    .X(_00403_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08209_ (.A(_04034_),
+ sky130_fd_sc_hd__buf_2 _09422_ (.A(_01524_),
     .X(_04043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08210_ (.A(\u_spictrl.res_fifo_wdata[13] ),
+ sky130_fd_sc_hd__buf_2 _09423_ (.A(_04021_),
     .X(_04044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08211_ (.A1_N(_01350_),
-    .A2_N(_04043_),
-    .B1(_04044_),
-    .B2(_04043_),
-    .X(_00402_),
+ sky130_fd_sc_hd__buf_2 _09424_ (.A(_03514_),
+    .X(_04045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08212_ (.A(\u_m1_res_fifo.mem[1][12] ),
-    .Y(_04045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08213_ (.A(\u_spictrl.res_fifo_wdata[12] ),
+ sky130_fd_sc_hd__buf_2 _09425_ (.A(_04045_),
     .X(_04046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08214_ (.A1_N(_04045_),
-    .A2_N(_04043_),
+ sky130_fd_sc_hd__o22a_4 _09426_ (.A1(_04044_),
+    .A2(\u_m0_cmd_fifo.mem[0][19] ),
     .B1(_04046_),
-    .B2(_04043_),
-    .X(_00401_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08215_ (.A(_04034_),
+    .B2(\u_m0_cmd_fifo.mem[1][19] ),
     .X(_04047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08216_ (.A(\u_spictrl.res_fifo_wdata[11] ),
+ sky130_fd_sc_hd__buf_2 _09427_ (.A(_03378_),
     .X(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08217_ (.A1_N(_01374_),
-    .A2_N(_04047_),
-    .B1(_04048_),
-    .B2(_04047_),
-    .X(_00400_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08218_ (.A(\u_spictrl.res_fifo_wdata[10] ),
+ sky130_fd_sc_hd__buf_2 _09428_ (.A(_04007_),
     .X(_04049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08219_ (.A1_N(_01388_),
-    .A2_N(_04047_),
-    .B1(_04049_),
-    .B2(_04047_),
-    .X(_00399_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08220_ (.A(_03763_),
+ sky130_fd_sc_hd__or2_4 _09429_ (.A(_04049_),
+    .B(\u_m1_cmd_fifo.mem[0][19] ),
     .X(_04050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08221_ (.A(_04050_),
+ sky130_fd_sc_hd__or2_4 _09430_ (.A(_04032_),
+    .B(\u_m1_cmd_fifo.mem[1][19] ),
     .X(_04051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08222_ (.A(\u_spictrl.res_fifo_wdata[9] ),
+ sky130_fd_sc_hd__buf_2 _09431_ (.A(_01833_),
     .X(_04052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08223_ (.A1_N(_01406_),
-    .A2_N(_04051_),
-    .B1(_04052_),
-    .B2(_04051_),
-    .X(_00398_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08224_ (.A(\u_spictrl.res_fifo_wdata[8] ),
+ sky130_fd_sc_hd__buf_2 _09432_ (.A(_04052_),
     .X(_04053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08225_ (.A1_N(_01425_),
-    .A2_N(_04051_),
-    .B1(_04053_),
-    .B2(_04051_),
-    .X(_00397_),
+ sky130_fd_sc_hd__buf_2 _09433_ (.A(_04053_),
+    .X(_04054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08226_ (.A(\u_m1_res_fifo.mem[1][7] ),
-    .Y(_04054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08227_ (.A(_04050_),
+ sky130_fd_sc_hd__or2_4 _09434_ (.A(_04054_),
+    .B(\u_m1_cmd_fifo.mem[3][19] ),
     .X(_04055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08228_ (.A(\u_spictrl.res_fifo_wdata[7] ),
+ sky130_fd_sc_hd__buf_2 _09435_ (.A(_04036_),
     .X(_04056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08229_ (.A1_N(_04054_),
-    .A2_N(_04055_),
+ sky130_fd_sc_hd__o21a_4 _09436_ (.A1(_04035_),
+    .A2(\u_m1_cmd_fifo.mem[2][19] ),
     .B1(_04056_),
-    .B2(_04055_),
-    .X(_00396_),
+    .X(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08230_ (.A(\u_m1_res_fifo.mem[1][6] ),
-    .Y(_04057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08231_ (.A(\u_spictrl.res_fifo_wdata[6] ),
+ sky130_fd_sc_hd__a32o_4 _09437_ (.A1(_04048_),
+    .A2(_04050_),
+    .A3(_04051_),
+    .B1(_04055_),
+    .B2(_04057_),
     .X(_04058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08232_ (.A1_N(_04057_),
-    .A2_N(_04055_),
-    .B1(_04058_),
-    .B2(_04055_),
-    .X(_00395_),
+ sky130_fd_sc_hd__o22a_4 _09438_ (.A1(_04043_),
+    .A2(_04047_),
+    .B1(_04026_),
+    .B2(_04058_),
+    .X(_04059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08233_ (.A(\u_m1_res_fifo.mem[1][5] ),
-    .Y(_04059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08234_ (.A(_04050_),
+ sky130_fd_sc_hd__o22a_4 _09439_ (.A1(_04020_),
+    .A2(_04040_),
+    .B1(_04042_),
+    .B2(_04059_),
     .X(_04060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08235_ (.A(\u_spictrl.res_fifo_wdata[5] ),
+ sky130_fd_sc_hd__or2_4 _09440_ (.A(_04016_),
+    .B(_04060_),
     .X(_04061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08236_ (.A1_N(_04059_),
-    .A2_N(_04060_),
-    .B1(_04061_),
-    .B2(_04060_),
-    .X(_00394_),
+ sky130_fd_sc_hd__and3_4 _09441_ (.A(_03983_),
+    .B(_04013_),
+    .C(_04061_),
+    .X(_04062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08237_ (.A(\u_m1_res_fifo.mem[1][4] ),
-    .Y(_04062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08238_ (.A(\u_spictrl.res_fifo_wdata[4] ),
+ sky130_fd_sc_hd__a211o_4 _09442_ (.A1(\u_spictrl.spi_mode_cmd[3] ),
+    .A2(_03957_),
+    .B1(_03982_),
+    .C1(_04062_),
     .X(_04063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08239_ (.A1_N(_04062_),
-    .A2_N(_04060_),
-    .B1(_04063_),
-    .B2(_04060_),
-    .X(_00393_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08240_ (.A(_04050_),
+ sky130_fd_sc_hd__and2_4 _09443_ (.A(_03954_),
+    .B(_04063_),
     .X(_04064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08241_ (.A(\u_spictrl.res_fifo_wdata[3] ),
+ sky130_fd_sc_hd__a211o_4 _09444_ (.A1(_03923_),
+    .A2(_03941_),
+    .B1(_03949_),
+    .C1(_04064_),
     .X(_04065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08242_ (.A1_N(_01485_),
-    .A2_N(_04064_),
-    .B1(_04065_),
-    .B2(_04064_),
-    .X(_00392_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08243_ (.A(\u_spictrl.res_fifo_wdata[2] ),
+ sky130_fd_sc_hd__buf_2 _09445_ (.A(_03718_),
     .X(_04066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08244_ (.A1_N(_01497_),
-    .A2_N(_04064_),
-    .B1(_04066_),
-    .B2(_04064_),
-    .X(_00391_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08245_ (.A(_03764_),
+ sky130_fd_sc_hd__and2_4 _09446_ (.A(_04066_),
+    .B(_03914_),
     .X(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08246_ (.A(\u_spictrl.res_fifo_wdata[1] ),
+ sky130_fd_sc_hd__buf_2 _09447_ (.A(_04067_),
     .X(_04068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08247_ (.A1_N(_01509_),
-    .A2_N(_04067_),
-    .B1(_04068_),
-    .B2(_04067_),
-    .X(_00390_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08248_ (.A(\u_spictrl.res_fifo_wdata[0] ),
+ sky130_fd_sc_hd__buf_2 _09448_ (.A(_04068_),
     .X(_04069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08249_ (.A1_N(_01514_),
-    .A2_N(_04067_),
-    .B1(_04069_),
-    .B2(_04067_),
-    .X(_00389_),
+ sky130_fd_sc_hd__a32o_4 _09449_ (.A1(_03911_),
+    .A2(_03917_),
+    .A3(_04065_),
+    .B1(_03942_),
+    .B2(_04069_),
+    .X(_00995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08250_ (.A(\u_m1_cmd_fifo.mem[2][31] ),
-    .Y(_04070_),
+ sky130_fd_sc_hd__or2_4 _09450_ (.A(_03914_),
+    .B(_03948_),
+    .X(_04070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08251_ (.A(_01199_),
-    .B(_01195_),
-    .C(_01982_),
+ sky130_fd_sc_hd__buf_2 _09451_ (.A(\u_spictrl.u_txreg.data_int[22] ),
     .X(_04071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08252_ (.A(_04071_),
-    .Y(_04072_),
+ sky130_fd_sc_hd__or2_4 _09452_ (.A(_04071_),
+    .B(_03926_),
+    .X(_04072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08253_ (.A(_04072_),
+ sky130_fd_sc_hd__buf_2 _09453_ (.A(\u_spictrl.u_txreg.data_int[24] ),
     .X(_04073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08254_ (.A(_04073_),
+ sky130_fd_sc_hd__buf_2 _09454_ (.A(_03938_),
     .X(_04074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08255_ (.A1_N(_04070_),
-    .A2_N(_04074_),
-    .B1(_03812_),
+ sky130_fd_sc_hd__o22a_4 _09455_ (.A1(_03936_),
+    .A2(_03934_),
+    .B1(_04073_),
     .B2(_04074_),
-    .X(_00388_),
+    .X(_04075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08256_ (.A(\u_m1_cmd_fifo.mem[2][30] ),
-    .Y(_04075_),
+ sky130_fd_sc_hd__or2_4 _09456_ (.A(_03930_),
+    .B(_04075_),
+    .X(_04076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08257_ (.A1_N(_04075_),
-    .A2_N(_04074_),
-    .B1(_03816_),
-    .B2(_04074_),
-    .X(_00387_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08258_ (.A(\u_m1_cmd_fifo.mem[2][29] ),
-    .Y(_04076_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08259_ (.A(_04072_),
+ sky130_fd_sc_hd__buf_2 _09457_ (.A(_03921_),
     .X(_04077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08260_ (.A(_04077_),
+ sky130_fd_sc_hd__buf_2 _09458_ (.A(_04077_),
     .X(_04078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08261_ (.A(_04078_),
+ sky130_fd_sc_hd__buf_2 _09459_ (.A(_03953_),
     .X(_04079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08262_ (.A1_N(_04076_),
-    .A2_N(_04079_),
-    .B1(_03823_),
-    .B2(_04079_),
-    .X(_00386_),
+ sky130_fd_sc_hd__and2_4 _09460_ (.A(_03292_),
+    .B(\u_m1_cmd_fifo.mem[2][2] ),
+    .X(_04080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08263_ (.A(\u_m1_cmd_fifo.mem[2][28] ),
-    .Y(_04080_),
+ sky130_fd_sc_hd__a211o_4 _09461_ (.A1(_03967_),
+    .A2(\u_m1_cmd_fifo.mem[3][2] ),
+    .B1(_03380_),
+    .C1(_04080_),
+    .X(_04081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08264_ (.A1_N(_04080_),
-    .A2_N(_04079_),
-    .B1(_03830_),
-    .B2(_04079_),
-    .X(_00385_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08265_ (.A(\u_m1_cmd_fifo.mem[2][27] ),
-    .Y(_04081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08266_ (.A(_04078_),
+ sky130_fd_sc_hd__and2_4 _09462_ (.A(_03972_),
+    .B(\u_m1_cmd_fifo.mem[0][2] ),
     .X(_04082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08267_ (.A1_N(_04081_),
-    .A2_N(_04082_),
-    .B1(_03839_),
-    .B2(_04082_),
-    .X(_00384_),
+ sky130_fd_sc_hd__a211o_4 _09463_ (.A1(_03970_),
+    .A2(\u_m1_cmd_fifo.mem[1][2] ),
+    .B1(_03287_),
+    .C1(_04082_),
+    .X(_04083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08268_ (.A(\u_m1_cmd_fifo.mem[2][26] ),
-    .Y(_04083_),
+ sky130_fd_sc_hd__or2_4 _09464_ (.A(_03518_),
+    .B(\u_m0_cmd_fifo.mem[1][2] ),
+    .X(_04084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08269_ (.A1_N(_04083_),
-    .A2_N(_04082_),
-    .B1(_03843_),
-    .B2(_04082_),
-    .X(_00383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08270_ (.A(\u_m1_cmd_fifo.mem[2][25] ),
-    .Y(_04084_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08271_ (.A(_04078_),
+ sky130_fd_sc_hd__o21a_4 _09465_ (.A1(_03511_),
+    .A2(\u_m0_cmd_fifo.mem[0][2] ),
+    .B1(_03979_),
     .X(_04085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08272_ (.A1_N(_04084_),
-    .A2_N(_04085_),
-    .B1(_03849_),
+ sky130_fd_sc_hd__a32o_4 _09466_ (.A1(_04081_),
+    .A2(_04083_),
+    .A3(_01526_),
+    .B1(_04084_),
     .B2(_04085_),
-    .X(_00382_),
+    .X(_04086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08273_ (.A(\u_m1_cmd_fifo.mem[2][24] ),
-    .Y(_04086_),
+ sky130_fd_sc_hd__and2_4 _09467_ (.A(_03961_),
+    .B(_04086_),
+    .X(_04087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08274_ (.A1_N(_04086_),
-    .A2_N(_04085_),
-    .B1(_03855_),
-    .B2(_04085_),
-    .X(_00381_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08275_ (.A(\u_m1_cmd_fifo.mem[2][23] ),
-    .Y(_04087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08276_ (.A(_04078_),
+ sky130_fd_sc_hd__o22a_4 _09468_ (.A1(_03510_),
+    .A2(\u_m0_cmd_fifo.mem[0][10] ),
+    .B1(_03991_),
+    .B2(\u_m0_cmd_fifo.mem[1][10] ),
     .X(_04088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08277_ (.A1_N(_04087_),
-    .A2_N(_04088_),
-    .B1(_03866_),
-    .B2(_04088_),
-    .X(_00380_),
+ sky130_fd_sc_hd__buf_2 _09469_ (.A(_04028_),
+    .X(_04089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08278_ (.A(\u_m1_cmd_fifo.mem[2][22] ),
-    .Y(_04089_),
+ sky130_fd_sc_hd__or2_4 _09470_ (.A(_04089_),
+    .B(\u_m1_cmd_fifo.mem[0][10] ),
+    .X(_04090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08279_ (.A1_N(_04089_),
-    .A2_N(_04088_),
-    .B1(_03870_),
-    .B2(_04088_),
-    .X(_00379_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08280_ (.A(\u_m1_cmd_fifo.mem[2][21] ),
-    .Y(_04090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08281_ (.A(_04077_),
+ sky130_fd_sc_hd__or2_4 _09471_ (.A(_04003_),
+    .B(\u_m1_cmd_fifo.mem[1][10] ),
     .X(_04091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08282_ (.A(_04091_),
+ sky130_fd_sc_hd__or2_4 _09472_ (.A(_03971_),
+    .B(\u_m1_cmd_fifo.mem[3][10] ),
     .X(_04092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08283_ (.A1_N(_04090_),
-    .A2_N(_04092_),
-    .B1(_03876_),
-    .B2(_04092_),
-    .X(_00378_),
+ sky130_fd_sc_hd__o21a_4 _09473_ (.A1(_04009_),
+    .A2(\u_m1_cmd_fifo.mem[2][10] ),
+    .B1(_03286_),
+    .X(_04093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08284_ (.A(\u_m1_cmd_fifo.mem[2][20] ),
-    .Y(_04093_),
+ sky130_fd_sc_hd__a32o_4 _09474_ (.A1(_03999_),
+    .A2(_04090_),
+    .A3(_04091_),
+    .B1(_04092_),
+    .B2(_04093_),
+    .X(_04094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08285_ (.A1_N(_04093_),
-    .A2_N(_04092_),
-    .B1(_03882_),
-    .B2(_04092_),
-    .X(_00377_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08286_ (.A(\u_m1_cmd_fifo.mem[2][19] ),
-    .Y(_04094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08287_ (.A(_04091_),
+ sky130_fd_sc_hd__o22a_4 _09475_ (.A1(_03990_),
+    .A2(_04088_),
+    .B1(_03996_),
+    .B2(_04094_),
     .X(_04095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08288_ (.A1_N(_04094_),
-    .A2_N(_04095_),
-    .B1(_03889_),
-    .B2(_04095_),
-    .X(_00376_),
+ sky130_fd_sc_hd__or2_4 _09476_ (.A(_03987_),
+    .B(_04095_),
+    .X(_04096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08289_ (.A(\u_m1_cmd_fifo.mem[2][18] ),
-    .Y(_04096_),
+ sky130_fd_sc_hd__buf_2 _09477_ (.A(_03509_),
+    .X(_04097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08290_ (.A1_N(_04096_),
-    .A2_N(_04095_),
-    .B1(_03894_),
-    .B2(_04095_),
-    .X(_00375_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08291_ (.A(\u_m1_cmd_fifo.mem[2][17] ),
-    .Y(_04097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08292_ (.A(_04091_),
+ sky130_fd_sc_hd__o22a_4 _09478_ (.A1(_04097_),
+    .A2(\u_m0_cmd_fifo.mem[0][26] ),
+    .B1(_04046_),
+    .B2(\u_m0_cmd_fifo.mem[1][26] ),
     .X(_04098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08293_ (.A1_N(_04097_),
-    .A2_N(_04098_),
-    .B1(_03901_),
-    .B2(_04098_),
-    .X(_00374_),
+ sky130_fd_sc_hd__buf_2 _09479_ (.A(_04025_),
+    .X(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08294_ (.A(\u_m1_cmd_fifo.mem[2][16] ),
-    .Y(_04099_),
+ sky130_fd_sc_hd__or2_4 _09480_ (.A(_03965_),
+    .B(\u_m1_cmd_fifo.mem[0][26] ),
+    .X(_04100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08295_ (.A1_N(_04099_),
-    .A2_N(_04098_),
-    .B1(_03906_),
-    .B2(_04098_),
-    .X(_00373_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08296_ (.A(\u_m1_cmd_fifo.mem[2][15] ),
-    .Y(_04100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08297_ (.A(_04091_),
+ sky130_fd_sc_hd__buf_2 _09481_ (.A(_04053_),
     .X(_04101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08298_ (.A1_N(_04100_),
-    .A2_N(_04101_),
-    .B1(_03914_),
-    .B2(_04101_),
-    .X(_00372_),
+ sky130_fd_sc_hd__or2_4 _09482_ (.A(_04101_),
+    .B(\u_m1_cmd_fifo.mem[1][26] ),
+    .X(_04102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08299_ (.A(\u_m1_cmd_fifo.mem[2][14] ),
-    .Y(_04102_),
+ sky130_fd_sc_hd__or2_4 _09483_ (.A(_04101_),
+    .B(\u_m1_cmd_fifo.mem[3][26] ),
+    .X(_04103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08300_ (.A1_N(_04102_),
-    .A2_N(_04101_),
-    .B1(_03919_),
-    .B2(_04101_),
-    .X(_00371_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08301_ (.A(\u_m1_cmd_fifo.mem[2][13] ),
-    .Y(_04103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08302_ (.A(_04072_),
+ sky130_fd_sc_hd__buf_2 _09484_ (.A(_03285_),
     .X(_04104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08303_ (.A(_04104_),
+ sky130_fd_sc_hd__o21a_4 _09485_ (.A1(_04089_),
+    .A2(\u_m1_cmd_fifo.mem[2][26] ),
+    .B1(_04104_),
     .X(_04105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08304_ (.A1_N(_04103_),
-    .A2_N(_04105_),
-    .B1(_03926_),
+ sky130_fd_sc_hd__a32o_4 _09486_ (.A1(_04048_),
+    .A2(_04100_),
+    .A3(_04102_),
+    .B1(_04103_),
     .B2(_04105_),
-    .X(_00370_),
+    .X(_04106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08305_ (.A(\u_m1_cmd_fifo.mem[2][12] ),
-    .Y(_04106_),
+ sky130_fd_sc_hd__o22a_4 _09487_ (.A1(_01525_),
+    .A2(_04098_),
+    .B1(_04099_),
+    .B2(_04106_),
+    .X(_04107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08306_ (.A1_N(_04106_),
-    .A2_N(_04105_),
-    .B1(_03932_),
-    .B2(_04105_),
-    .X(_00369_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08307_ (.A(\u_m1_cmd_fifo.mem[2][11] ),
-    .Y(_04107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08308_ (.A(_04104_),
+ sky130_fd_sc_hd__o22a_4 _09488_ (.A1(_04097_),
+    .A2(\u_m0_cmd_fifo.mem[0][18] ),
+    .B1(_04046_),
+    .B2(\u_m0_cmd_fifo.mem[1][18] ),
     .X(_04108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08309_ (.A1_N(_04107_),
-    .A2_N(_04108_),
-    .B1(_03938_),
-    .B2(_04108_),
-    .X(_00368_),
+ sky130_fd_sc_hd__or2_4 _09489_ (.A(_03965_),
+    .B(\u_m1_cmd_fifo.mem[0][18] ),
+    .X(_04109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08310_ (.A(\u_m1_cmd_fifo.mem[2][10] ),
-    .Y(_04109_),
+ sky130_fd_sc_hd__or2_4 _09490_ (.A(_04101_),
+    .B(\u_m1_cmd_fifo.mem[1][18] ),
+    .X(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08311_ (.A1_N(_04109_),
-    .A2_N(_04108_),
-    .B1(_03943_),
-    .B2(_04108_),
-    .X(_00367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08312_ (.A(\u_m1_cmd_fifo.mem[2][9] ),
-    .Y(_04110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08313_ (.A1(_04110_),
-    .A2(_04073_),
-    .B1(_03950_),
-    .B2(_04071_),
+ sky130_fd_sc_hd__or2_4 _09491_ (.A(_04101_),
+    .B(\u_m1_cmd_fifo.mem[3][18] ),
     .X(_04111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08314_ (.A(_04111_),
-    .Y(_00366_),
+ sky130_fd_sc_hd__o21a_4 _09492_ (.A1(_04000_),
+    .A2(\u_m1_cmd_fifo.mem[2][18] ),
+    .B1(_04104_),
+    .X(_04112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08315_ (.A(\u_m1_cmd_fifo.mem[2][8] ),
-    .Y(_04112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08316_ (.A(_04104_),
+ sky130_fd_sc_hd__a32o_4 _09493_ (.A1(_04048_),
+    .A2(_04109_),
+    .A3(_04110_),
+    .B1(_04111_),
+    .B2(_04112_),
     .X(_04113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08317_ (.A1_N(_04112_),
-    .A2_N(_04113_),
-    .B1(_03955_),
+ sky130_fd_sc_hd__o22a_4 _09494_ (.A1(_01525_),
+    .A2(_04108_),
+    .B1(_04099_),
     .B2(_04113_),
-    .X(_00365_),
+    .X(_04114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08318_ (.A(\u_m1_cmd_fifo.mem[2][7] ),
-    .Y(_04114_),
+ sky130_fd_sc_hd__o22a_4 _09495_ (.A1(_04020_),
+    .A2(_04107_),
+    .B1(_04042_),
+    .B2(_04114_),
+    .X(_04115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08319_ (.A1_N(_04114_),
-    .A2_N(_04113_),
-    .B1(_03963_),
-    .B2(_04113_),
-    .X(_00364_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08320_ (.A(\u_m1_cmd_fifo.mem[2][6] ),
-    .Y(_04115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08321_ (.A(_04104_),
+ sky130_fd_sc_hd__or2_4 _09496_ (.A(_04016_),
+    .B(_04115_),
     .X(_04116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08322_ (.A1_N(_04115_),
-    .A2_N(_04116_),
-    .B1(_03968_),
-    .B2(_04116_),
-    .X(_00363_),
+ sky130_fd_sc_hd__and3_4 _09497_ (.A(_03983_),
+    .B(_04096_),
+    .C(_04116_),
+    .X(_04117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08323_ (.A(\u_m1_cmd_fifo.mem[2][5] ),
-    .Y(_04117_),
+ sky130_fd_sc_hd__a211o_4 _09498_ (.A1(\u_spictrl.spi_mode_cmd[2] ),
+    .A2(_03957_),
+    .B1(_04087_),
+    .C1(_04117_),
+    .X(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08324_ (.A1_N(_04117_),
-    .A2_N(_04116_),
-    .B1(_03974_),
-    .B2(_04116_),
-    .X(_00362_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08325_ (.A(\u_m1_cmd_fifo.mem[2][4] ),
-    .Y(_04118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08326_ (.A(_04077_),
+ sky130_fd_sc_hd__a32o_4 _09499_ (.A1(_04072_),
+    .A2(_04076_),
+    .A3(_04078_),
+    .B1(_04079_),
+    .B2(_04118_),
     .X(_04119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08327_ (.A1_N(_04118_),
-    .A2_N(_04119_),
-    .B1(_03980_),
+ sky130_fd_sc_hd__a22oi_4 _09500_ (.A1(\u_spictrl.u_txreg.data_int[26] ),
+    .A2(_04070_),
+    .B1(_03917_),
     .B2(_04119_),
-    .X(_00361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08328_ (.A(\u_m1_cmd_fifo.mem[2][3] ),
     .Y(_04120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08329_ (.A1_N(_04120_),
-    .A2_N(_04119_),
-    .B1(_03987_),
-    .B2(_04119_),
-    .X(_00360_),
+ sky130_fd_sc_hd__nor2_4 _09501_ (.A(_03720_),
+    .B(_04120_),
+    .Y(_00994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08330_ (.A(\u_m1_cmd_fifo.mem[2][2] ),
-    .Y(_04121_),
+ sky130_fd_sc_hd__buf_2 _09502_ (.A(\u_spictrl.u_txreg.data_int[21] ),
+    .X(_04121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08331_ (.A(_04077_),
+ sky130_fd_sc_hd__o22a_4 _09503_ (.A1(_04073_),
+    .A2(_03935_),
+    .B1(_03924_),
+    .B2(_03939_),
     .X(_04122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08332_ (.A1_N(_04121_),
-    .A2_N(_04122_),
-    .B1(_03991_),
+ sky130_fd_sc_hd__o22a_4 _09504_ (.A1(_04121_),
+    .A2(_03927_),
+    .B1(_03931_),
     .B2(_04122_),
-    .X(_00359_),
+    .X(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08333_ (.A(\u_m1_cmd_fifo.mem[2][1] ),
-    .Y(_04123_),
+ sky130_fd_sc_hd__buf_2 _09505_ (.A(_03946_),
+    .X(_04124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08334_ (.A1_N(_04123_),
-    .A2_N(_04122_),
-    .B1(_03996_),
-    .B2(_04122_),
-    .X(_00358_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08335_ (.A(\u_m1_cmd_fifo.mem[2][0] ),
-    .Y(_04124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08336_ (.A1_N(_04124_),
-    .A2_N(_04073_),
-    .B1(_04000_),
-    .B2(_04073_),
-    .X(_00357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08337_ (.A(_01917_),
-    .B(_01944_),
+ sky130_fd_sc_hd__buf_2 _09506_ (.A(_04124_),
     .X(_04125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08338_ (.A(_04125_),
+ sky130_fd_sc_hd__and2_4 _09507_ (.A(_03936_),
+    .B(_04125_),
     .X(_04126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08339_ (.A(_04125_),
-    .Y(_04127_),
+ sky130_fd_sc_hd__and2_4 _09508_ (.A(_03972_),
+    .B(\u_m1_cmd_fifo.mem[2][1] ),
+    .X(_04127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08340_ (.A(_04127_),
+ sky130_fd_sc_hd__a211o_4 _09509_ (.A1(_03967_),
+    .A2(\u_m1_cmd_fifo.mem[3][1] ),
+    .B1(_03380_),
+    .C1(_04127_),
     .X(_04128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08341_ (.A1(_01915_),
-    .A2(_04126_),
-    .B1(\u_spim_regs.cfg_m1_addr[15] ),
-    .B2(_04128_),
-    .X(_00356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08342_ (.A1(_01925_),
-    .A2(_04126_),
-    .B1(\u_spim_regs.cfg_m1_addr[14] ),
-    .B2(_04128_),
-    .X(_00355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08343_ (.A1(_01927_),
-    .A2(_04126_),
-    .B1(\u_spim_regs.cfg_m1_addr[13] ),
-    .B2(_04128_),
-    .X(_00354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08344_ (.A1(_01929_),
-    .A2(_04126_),
-    .B1(\u_spim_regs.cfg_m1_addr[12] ),
-    .B2(_04128_),
-    .X(_00353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08345_ (.A(_04125_),
+ sky130_fd_sc_hd__buf_2 _09510_ (.A(_04056_),
     .X(_04129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08346_ (.A(_04127_),
+ sky130_fd_sc_hd__buf_2 _09511_ (.A(_04054_),
     .X(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08347_ (.A1(_01932_),
-    .A2(_04129_),
-    .B1(\u_spim_regs.cfg_m1_addr[11] ),
-    .B2(_04130_),
-    .X(_00352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08348_ (.A1(_01936_),
-    .A2(_04129_),
-    .B1(\u_spim_regs.cfg_m1_addr[10] ),
-    .B2(_04130_),
-    .X(_00351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08349_ (.A1(_01938_),
-    .A2(_04129_),
-    .B1(\u_spim_regs.cfg_m1_addr[9] ),
-    .B2(_04130_),
-    .X(_00350_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08350_ (.A1(_01939_),
-    .A2(_04129_),
-    .B1(\u_spim_regs.cfg_m1_addr[8] ),
-    .B2(_04130_),
-    .X(_00349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08351_ (.A(_02021_),
+ sky130_fd_sc_hd__and2_4 _09512_ (.A(_04130_),
+    .B(\u_m1_cmd_fifo.mem[0][1] ),
     .X(_04131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08352_ (.A(_04131_),
+ sky130_fd_sc_hd__a211o_4 _09513_ (.A1(_03970_),
+    .A2(\u_m1_cmd_fifo.mem[1][1] ),
+    .B1(_04129_),
+    .C1(_04131_),
     .X(_04132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08353_ (.A(_02017_),
-    .Y(_04133_),
+ sky130_fd_sc_hd__or2_4 _09514_ (.A(_03518_),
+    .B(\u_m0_cmd_fifo.mem[1][1] ),
+    .X(_04133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08354_ (.A(_04133_),
+ sky130_fd_sc_hd__buf_2 _09515_ (.A(_04044_),
     .X(_04134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08355_ (.A(_04134_),
+ sky130_fd_sc_hd__o21a_4 _09516_ (.A1(_04134_),
+    .A2(\u_m0_cmd_fifo.mem[0][1] ),
+    .B1(_03979_),
     .X(_04135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08356_ (.A1(\u_spim_regs.cfg_m0_data_cnt[7] ),
-    .A2(_02040_),
-    .A3(_04135_),
-    .B1(\u_wb_if.spim_wb_addr[31] ),
-    .B2(_02045_),
+ sky130_fd_sc_hd__a32o_4 _09517_ (.A1(_04128_),
+    .A2(_04132_),
+    .A3(_03990_),
+    .B1(_04133_),
+    .B2(_04135_),
     .X(_04136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08357_ (.A1_N(_02401_),
-    .A2_N(_04132_),
-    .B1(_04132_),
-    .B2(_04136_),
-    .X(_00348_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08358_ (.A1(\u_spim_regs.cfg_m0_data_cnt[6] ),
-    .A2(_02040_),
-    .A3(_04135_),
-    .B1(\u_wb_if.spim_wb_addr[30] ),
-    .B2(_02045_),
+ sky130_fd_sc_hd__and2_4 _09518_ (.A(_03961_),
+    .B(_04136_),
     .X(_04137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08359_ (.A1_N(_02508_),
-    .A2_N(_04132_),
-    .B1(_04132_),
-    .B2(_04137_),
-    .X(_00347_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08360_ (.A(_04131_),
+ sky130_fd_sc_hd__buf_2 _09519_ (.A(_01522_),
     .X(_04138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08361_ (.A1(\u_spim_regs.cfg_m0_data_cnt[5] ),
-    .A2(_02040_),
-    .A3(_04135_),
-    .B1(\u_wb_if.spim_wb_addr[29] ),
-    .B2(_02045_),
+ sky130_fd_sc_hd__buf_2 _09520_ (.A(_04138_),
     .X(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08362_ (.A1_N(_02582_),
-    .A2_N(_04138_),
-    .B1(_04138_),
-    .B2(_04139_),
-    .X(_00346_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o41a_4 _08363_ (.A1(spi_debug[14]),
-    .A2(spi_debug[13]),
-    .A3(_01100_),
-    .A4(_02017_),
-    .B1(_00940_),
+ sky130_fd_sc_hd__buf_2 _09521_ (.A(_04139_),
     .X(_04140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08364_ (.A1(_02641_),
-    .A2(_02023_),
-    .B1(_02020_),
-    .B2(_04140_),
+ sky130_fd_sc_hd__o22a_4 _09522_ (.A1(_03510_),
+    .A2(\u_m0_cmd_fifo.mem[0][9] ),
+    .B1(_03517_),
+    .B2(\u_m0_cmd_fifo.mem[1][9] ),
     .X(_04141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08365_ (.A(_04141_),
-    .Y(_00345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08366_ (.A(_02039_),
+ sky130_fd_sc_hd__or2_4 _09523_ (.A(_04089_),
+    .B(\u_m1_cmd_fifo.mem[0][9] ),
     .X(_04142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08367_ (.A(_02044_),
+ sky130_fd_sc_hd__or2_4 _09524_ (.A(_04003_),
+    .B(\u_m1_cmd_fifo.mem[1][9] ),
     .X(_04143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08368_ (.A1(\u_spim_regs.cfg_m0_data_cnt[3] ),
-    .A2(_04142_),
-    .A3(_04135_),
-    .B1(\u_wb_if.spim_wb_addr[27] ),
-    .B2(_04143_),
+ sky130_fd_sc_hd__or2_4 _09525_ (.A(_03971_),
+    .B(\u_m1_cmd_fifo.mem[3][9] ),
     .X(_04144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08369_ (.A1_N(_02695_),
-    .A2_N(_04138_),
-    .B1(_04138_),
-    .B2(_04144_),
-    .X(_00344_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08370_ (.A(_04131_),
+ sky130_fd_sc_hd__o21a_4 _09526_ (.A1(_04009_),
+    .A2(\u_m1_cmd_fifo.mem[2][9] ),
+    .B1(_04104_),
     .X(_04145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08371_ (.A(_04133_),
+ sky130_fd_sc_hd__a32o_4 _09527_ (.A1(_03379_),
+    .A2(_04142_),
+    .A3(_04143_),
+    .B1(_04144_),
+    .B2(_04145_),
     .X(_04146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08372_ (.A(_04146_),
+ sky130_fd_sc_hd__o22a_4 _09528_ (.A1(_04140_),
+    .A2(_04141_),
+    .B1(_03996_),
+    .B2(_04146_),
     .X(_04147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08373_ (.A1(\u_spim_regs.cfg_m0_data_cnt[2] ),
-    .A2(_04142_),
-    .A3(_04147_),
-    .B1(\u_wb_if.spim_wb_addr[26] ),
-    .B2(_04143_),
+ sky130_fd_sc_hd__or2_4 _09529_ (.A(_03987_),
+    .B(_04147_),
     .X(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08374_ (.A1_N(_02759_),
-    .A2_N(_04145_),
-    .B1(_04145_),
-    .B2(_04148_),
-    .X(_00343_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08375_ (.A1(\u_spim_regs.cfg_m0_data_cnt[1] ),
-    .A2(_04142_),
-    .A3(_04147_),
-    .B1(\u_wb_if.spim_wb_addr[25] ),
-    .B2(_04143_),
+ sky130_fd_sc_hd__buf_2 _09530_ (.A(_04019_),
     .X(_04149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08376_ (.A1_N(_02807_),
-    .A2_N(_04145_),
-    .B1(_04145_),
-    .B2(_04149_),
-    .X(_00342_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08377_ (.A(_04131_),
+ sky130_fd_sc_hd__o22a_4 _09531_ (.A1(_04022_),
+    .A2(\u_m0_cmd_fifo.mem[0][25] ),
+    .B1(_04023_),
+    .B2(\u_m0_cmd_fifo.mem[1][25] ),
     .X(_04150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08378_ (.A1(\u_spim_regs.cfg_m0_data_cnt[0] ),
-    .A2(_04142_),
-    .A3(_04147_),
-    .B1(\u_wb_if.spim_wb_addr[24] ),
-    .B2(_04143_),
+ sky130_fd_sc_hd__or2_4 _09532_ (.A(_04028_),
+    .B(\u_m1_cmd_fifo.mem[0][25] ),
     .X(_04151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08379_ (.A1_N(_02858_),
-    .A2_N(_04150_),
-    .B1(_04150_),
-    .B2(_04151_),
-    .X(_00341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08380_ (.A(_02039_),
+ sky130_fd_sc_hd__or2_4 _09533_ (.A(_04030_),
+    .B(\u_m1_cmd_fifo.mem[1][25] ),
     .X(_04152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08381_ (.A(_02044_),
+ sky130_fd_sc_hd__or2_4 _09534_ (.A(_04032_),
+    .B(\u_m1_cmd_fifo.mem[3][25] ),
     .X(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08382_ (.A1(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
-    .A2(_04152_),
-    .A3(_04147_),
-    .B1(\u_wb_if.spim_wb_addr[23] ),
-    .B2(_04153_),
+ sky130_fd_sc_hd__o21a_4 _09535_ (.A1(_04008_),
+    .A2(\u_m1_cmd_fifo.mem[2][25] ),
+    .B1(_04037_),
     .X(_04154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08383_ (.A1_N(_02374_),
-    .A2_N(_04150_),
-    .B1(_04150_),
+ sky130_fd_sc_hd__a32o_4 _09536_ (.A1(_04027_),
+    .A2(_04151_),
+    .A3(_04152_),
+    .B1(_04153_),
     .B2(_04154_),
-    .X(_00340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08384_ (.A(_02021_),
     .X(_04155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08385_ (.A(_04155_),
+ sky130_fd_sc_hd__o22a_4 _09537_ (.A1(_03989_),
+    .A2(_04150_),
+    .B1(_03995_),
+    .B2(_04155_),
     .X(_04156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08386_ (.A(_04146_),
+ sky130_fd_sc_hd__buf_2 _09538_ (.A(_04041_),
     .X(_04157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08387_ (.A1(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
-    .A2(_04152_),
-    .A3(_04157_),
-    .B1(\u_wb_if.spim_wb_addr[22] ),
-    .B2(_04153_),
+ sky130_fd_sc_hd__o22a_4 _09539_ (.A1(_04044_),
+    .A2(\u_m0_cmd_fifo.mem[0][17] ),
+    .B1(_04023_),
+    .B2(\u_m0_cmd_fifo.mem[1][17] ),
     .X(_04158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08388_ (.A1_N(_02492_),
-    .A2_N(_04156_),
-    .B1(_04156_),
-    .B2(_04158_),
-    .X(_00339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08389_ (.A1(\u_spim_regs.cfg_m0_addr_cnt[1] ),
-    .A2(_04152_),
-    .A3(_04157_),
-    .B1(\u_wb_if.spim_wb_addr[21] ),
-    .B2(_04153_),
+ sky130_fd_sc_hd__or2_4 _09540_ (.A(_04049_),
+    .B(\u_m1_cmd_fifo.mem[0][17] ),
     .X(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08390_ (.A1_N(_02568_),
-    .A2_N(_04156_),
-    .B1(_04156_),
-    .B2(_04159_),
-    .X(_00338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08391_ (.A(_04155_),
+ sky130_fd_sc_hd__or2_4 _09541_ (.A(_04002_),
+    .B(\u_m1_cmd_fifo.mem[1][17] ),
     .X(_04160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08392_ (.A1(\u_spim_regs.cfg_m0_addr_cnt[0] ),
-    .A2(_04152_),
-    .A3(_04157_),
-    .B1(\u_wb_if.spim_wb_addr[20] ),
-    .B2(_04153_),
+ sky130_fd_sc_hd__or2_4 _09542_ (.A(_03290_),
+    .B(\u_m1_cmd_fifo.mem[3][17] ),
     .X(_04161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08393_ (.A1_N(_02631_),
-    .A2_N(_04160_),
-    .B1(_04160_),
-    .B2(_04161_),
-    .X(_00337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08394_ (.A(_02039_),
+ sky130_fd_sc_hd__o21a_4 _09543_ (.A1(_04035_),
+    .A2(\u_m1_cmd_fifo.mem[2][17] ),
+    .B1(_04056_),
     .X(_04162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08395_ (.A(_02044_),
+ sky130_fd_sc_hd__a32o_4 _09544_ (.A1(_04027_),
+    .A2(_04159_),
+    .A3(_04160_),
+    .B1(_04161_),
+    .B2(_04162_),
     .X(_04163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08396_ (.A1(\u_spim_regs.cfg_m0_spi_seq[3] ),
-    .A2(_04162_),
-    .A3(_04157_),
-    .B1(\u_wb_if.spim_wb_addr[19] ),
+ sky130_fd_sc_hd__o22a_4 _09545_ (.A1(_04043_),
+    .A2(_04158_),
+    .B1(_04026_),
     .B2(_04163_),
     .X(_04164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08397_ (.A1_N(_02683_),
-    .A2_N(_04160_),
-    .B1(_04160_),
+ sky130_fd_sc_hd__o22a_4 _09546_ (.A1(_04149_),
+    .A2(_04156_),
+    .B1(_04157_),
     .B2(_04164_),
-    .X(_00336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08398_ (.A(_04155_),
     .X(_04165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08399_ (.A(_04146_),
+ sky130_fd_sc_hd__or2_4 _09547_ (.A(_04016_),
+    .B(_04165_),
     .X(_04166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08400_ (.A1(\u_spim_regs.cfg_m0_spi_seq[2] ),
-    .A2(_04162_),
-    .A3(_04166_),
-    .B1(\u_wb_if.spim_wb_addr[18] ),
-    .B2(_04163_),
+ sky130_fd_sc_hd__and3_4 _09548_ (.A(_03983_),
+    .B(_04148_),
+    .C(_04166_),
     .X(_04167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08401_ (.A1_N(_02748_),
-    .A2_N(_04165_),
-    .B1(_04165_),
-    .B2(_04167_),
-    .X(_00335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08402_ (.A1(\u_spim_regs.cfg_m0_spi_seq[1] ),
-    .A2(_04162_),
-    .A3(_04166_),
-    .B1(\u_wb_if.spim_wb_addr[17] ),
-    .B2(_04163_),
+ sky130_fd_sc_hd__a211o_4 _09549_ (.A1(\u_spictrl.spi_mode_cmd[1] ),
+    .A2(_03957_),
+    .B1(_04137_),
+    .C1(_04167_),
     .X(_04168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08403_ (.A1_N(_02797_),
-    .A2_N(_04165_),
-    .B1(_04165_),
-    .B2(_04168_),
-    .X(_00334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08404_ (.A(_04155_),
+ sky130_fd_sc_hd__and2_4 _09550_ (.A(_03954_),
+    .B(_04168_),
     .X(_04169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08405_ (.A1(\u_spim_regs.cfg_m0_spi_seq[0] ),
-    .A2(_04162_),
-    .A3(_04166_),
-    .B1(\u_wb_if.spim_wb_addr[16] ),
-    .B2(_04163_),
+ sky130_fd_sc_hd__a211o_4 _09551_ (.A1(_03923_),
+    .A2(_04123_),
+    .B1(_04126_),
+    .C1(_04169_),
     .X(_04170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08406_ (.A1_N(_02848_),
-    .A2_N(_04169_),
-    .B1(_04169_),
-    .B2(_04170_),
-    .X(_00333_),
+ sky130_fd_sc_hd__a32o_4 _09552_ (.A1(_03911_),
+    .A2(_03917_),
+    .A3(_04170_),
+    .B1(_03936_),
+    .B2(_04069_),
+    .X(_00993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08407_ (.A(_02038_),
+ sky130_fd_sc_hd__buf_2 _09553_ (.A(\u_spictrl.u_txreg.data_int[20] ),
     .X(_04171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08408_ (.A(_04171_),
+ sky130_fd_sc_hd__buf_2 _09554_ (.A(_03937_),
     .X(_04172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08409_ (.A(_02043_),
+ sky130_fd_sc_hd__buf_2 _09555_ (.A(_04172_),
     .X(_04173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08410_ (.A(_04173_),
+ sky130_fd_sc_hd__o22a_4 _09556_ (.A1(\u_spictrl.u_txreg.data_int[23] ),
+    .A2(_03935_),
+    .B1(_04071_),
+    .B2(_04173_),
     .X(_04174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08411_ (.A1(\u_spim_regs.cfg_m0_mode_reg[7] ),
-    .A2(_04172_),
-    .A3(_04166_),
-    .B1(\u_wb_if.spim_wb_addr[15] ),
+ sky130_fd_sc_hd__o22a_4 _09557_ (.A1(_04171_),
+    .A2(_03927_),
+    .B1(_03931_),
     .B2(_04174_),
     .X(_04175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08412_ (.A1_N(_02426_),
-    .A2_N(_04169_),
-    .B1(_04169_),
-    .B2(_04175_),
-    .X(_00332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08413_ (.A(_02021_),
+ sky130_fd_sc_hd__and2_4 _09558_ (.A(_04073_),
+    .B(_04125_),
     .X(_04176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08414_ (.A(_04176_),
+ sky130_fd_sc_hd__and2_4 _09559_ (.A(_03972_),
+    .B(\u_m1_cmd_fifo.mem[2][0] ),
     .X(_04177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08415_ (.A(_04146_),
+ sky130_fd_sc_hd__a211o_4 _09560_ (.A1(_03967_),
+    .A2(\u_m1_cmd_fifo.mem[3][0] ),
+    .B1(_03999_),
+    .C1(_04177_),
     .X(_04178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08416_ (.A1(\u_spim_regs.cfg_m0_mode_reg[6] ),
-    .A2(_04172_),
-    .A3(_04178_),
-    .B1(\u_wb_if.spim_wb_addr[14] ),
-    .B2(_04174_),
+ sky130_fd_sc_hd__and2_4 _09561_ (.A(_04130_),
+    .B(\u_m1_cmd_fifo.mem[0][0] ),
     .X(_04179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08417_ (.A1_N(_02538_),
-    .A2_N(_04177_),
-    .B1(_04177_),
-    .B2(_04179_),
-    .X(_00331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08418_ (.A1(\u_spim_regs.cfg_m0_mode_reg[5] ),
-    .A2(_04172_),
-    .A3(_04178_),
-    .B1(\u_wb_if.spim_wb_addr[13] ),
-    .B2(_04174_),
+ sky130_fd_sc_hd__a211o_4 _09562_ (.A1(_03970_),
+    .A2(\u_m1_cmd_fifo.mem[1][0] ),
+    .B1(_04129_),
+    .C1(_04179_),
     .X(_04180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08419_ (.A1_N(_02608_),
-    .A2_N(_04177_),
-    .B1(_04177_),
-    .B2(_04180_),
-    .X(_00330_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08420_ (.A(_04176_),
+ sky130_fd_sc_hd__or2_4 _09563_ (.A(_03991_),
+    .B(\u_m0_cmd_fifo.mem[1][0] ),
     .X(_04181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08421_ (.A1(\u_spim_regs.cfg_m0_mode_reg[4] ),
-    .A2(_04172_),
-    .A3(_04178_),
-    .B1(\u_wb_if.spim_wb_addr[12] ),
-    .B2(_04174_),
+ sky130_fd_sc_hd__o21a_4 _09564_ (.A1(_04134_),
+    .A2(\u_m0_cmd_fifo.mem[0][0] ),
+    .B1(_04099_),
     .X(_04182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08422_ (.A1_N(_02661_),
-    .A2_N(_04181_),
+ sky130_fd_sc_hd__a32o_4 _09565_ (.A1(_04178_),
+    .A2(_04180_),
+    .A3(_03990_),
     .B1(_04181_),
     .B2(_04182_),
-    .X(_00329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08423_ (.A(_04171_),
     .X(_04183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08424_ (.A(_04173_),
+ sky130_fd_sc_hd__and2_4 _09566_ (.A(_03961_),
+    .B(_04183_),
     .X(_04184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08425_ (.A1(\u_spim_regs.cfg_m0_mode_reg[3] ),
-    .A2(_04183_),
-    .A3(_04178_),
-    .B1(\u_wb_if.spim_wb_addr[11] ),
-    .B2(_04184_),
+ sky130_fd_sc_hd__o22a_4 _09567_ (.A1(_04097_),
+    .A2(\u_m0_cmd_fifo.mem[0][8] ),
+    .B1(_03517_),
+    .B2(\u_m0_cmd_fifo.mem[1][8] ),
     .X(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08426_ (.A1_N(_02715_),
-    .A2_N(_04181_),
-    .B1(_04181_),
-    .B2(_04185_),
-    .X(_00328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08427_ (.A(_04176_),
+ sky130_fd_sc_hd__or2_4 _09568_ (.A(_04089_),
+    .B(\u_m1_cmd_fifo.mem[0][8] ),
     .X(_04186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08428_ (.A(_04133_),
+ sky130_fd_sc_hd__or2_4 _09569_ (.A(_04003_),
+    .B(\u_m1_cmd_fifo.mem[1][8] ),
     .X(_04187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08429_ (.A1(\u_spim_regs.cfg_m0_mode_reg[2] ),
-    .A2(_04183_),
-    .A3(_04187_),
-    .B1(\u_wb_if.spim_wb_addr[10] ),
-    .B2(_04184_),
+ sky130_fd_sc_hd__or2_4 _09570_ (.A(_03291_),
+    .B(\u_m1_cmd_fifo.mem[3][8] ),
     .X(_04188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08430_ (.A1_N(_02778_),
-    .A2_N(_04186_),
-    .B1(_04186_),
-    .B2(_04188_),
-    .X(_00327_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08431_ (.A1(\u_spim_regs.cfg_m0_mode_reg[1] ),
-    .A2(_04183_),
-    .A3(_04187_),
-    .B1(\u_wb_if.spim_wb_addr[9] ),
-    .B2(_04184_),
+ sky130_fd_sc_hd__o21a_4 _09571_ (.A1(_04009_),
+    .A2(\u_m1_cmd_fifo.mem[2][8] ),
+    .B1(_04104_),
     .X(_04189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08432_ (.A1_N(_02825_),
-    .A2_N(_04186_),
-    .B1(_04186_),
+ sky130_fd_sc_hd__a32o_4 _09572_ (.A1(_03379_),
+    .A2(_04186_),
+    .A3(_04187_),
+    .B1(_04188_),
     .B2(_04189_),
-    .X(_00326_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08433_ (.A(_04176_),
     .X(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08434_ (.A1(\u_spim_regs.cfg_m0_mode_reg[0] ),
-    .A2(_04183_),
-    .A3(_04187_),
-    .B1(\u_wb_if.spim_wb_addr[8] ),
-    .B2(_04184_),
+ sky130_fd_sc_hd__o22a_4 _09573_ (.A1(_04140_),
+    .A2(_04185_),
+    .B1(_03979_),
+    .B2(_04190_),
     .X(_04191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08435_ (.A1_N(_02876_),
-    .A2_N(_04190_),
-    .B1(_04190_),
-    .B2(_04191_),
-    .X(_00325_),
+ sky130_fd_sc_hd__or2_4 _09574_ (.A(_03987_),
+    .B(_04191_),
+    .X(_04192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08436_ (.A(\u_m0_cmd_fifo.mem[1][7] ),
-    .Y(_04192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08437_ (.A(_04171_),
+ sky130_fd_sc_hd__o22a_4 _09575_ (.A1(_04022_),
+    .A2(\u_m0_cmd_fifo.mem[0][24] ),
+    .B1(_03516_),
+    .B2(\u_m0_cmd_fifo.mem[1][24] ),
     .X(_04193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08438_ (.A(_04173_),
+ sky130_fd_sc_hd__or2_4 _09576_ (.A(_04028_),
+    .B(\u_m1_cmd_fifo.mem[0][24] ),
     .X(_04194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08439_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[7] ),
-    .A2(_04193_),
-    .A3(_04187_),
-    .B1(\u_wb_if.spim_wb_addr[7] ),
-    .B2(_04194_),
+ sky130_fd_sc_hd__buf_2 _09577_ (.A(_03288_),
     .X(_04195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08440_ (.A1_N(_04192_),
-    .A2_N(_04190_),
-    .B1(_04190_),
-    .B2(_04195_),
-    .X(_00324_),
+ sky130_fd_sc_hd__buf_2 _09578_ (.A(_04195_),
+    .X(_04196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08441_ (.A(\u_m0_cmd_fifo.mem[1][6] ),
-    .Y(_04196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08442_ (.A(_02022_),
+ sky130_fd_sc_hd__or2_4 _09579_ (.A(_04196_),
+    .B(\u_m1_cmd_fifo.mem[1][24] ),
     .X(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08443_ (.A(_04133_),
+ sky130_fd_sc_hd__or2_4 _09580_ (.A(_04032_),
+    .B(\u_m1_cmd_fifo.mem[3][24] ),
     .X(_04198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08444_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[6] ),
-    .A2(_04193_),
-    .A3(_04198_),
-    .B1(\u_wb_if.spim_wb_addr[6] ),
-    .B2(_04194_),
+ sky130_fd_sc_hd__o21a_4 _09581_ (.A1(_04008_),
+    .A2(\u_m1_cmd_fifo.mem[2][24] ),
+    .B1(_04037_),
     .X(_04199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08445_ (.A1_N(_04196_),
-    .A2_N(_04197_),
-    .B1(_04197_),
+ sky130_fd_sc_hd__a32o_4 _09582_ (.A1(_03998_),
+    .A2(_04194_),
+    .A3(_04197_),
+    .B1(_04198_),
     .B2(_04199_),
-    .X(_00323_),
+    .X(_04200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08446_ (.A(\u_m0_cmd_fifo.mem[1][5] ),
-    .Y(_04200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08447_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[5] ),
+ sky130_fd_sc_hd__o22a_4 _09583_ (.A1(_03989_),
     .A2(_04193_),
-    .A3(_04198_),
-    .B1(_00942_),
-    .B2(_04194_),
+    .B1(_03995_),
+    .B2(_04200_),
     .X(_04201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08448_ (.A1_N(_04200_),
-    .A2_N(_04197_),
-    .B1(_04197_),
-    .B2(_04201_),
-    .X(_00322_),
+ sky130_fd_sc_hd__o22a_4 _09584_ (.A1(_04044_),
+    .A2(\u_m0_cmd_fifo.mem[0][16] ),
+    .B1(_04023_),
+    .B2(\u_m0_cmd_fifo.mem[1][16] ),
+    .X(_04202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08449_ (.A(\u_m0_cmd_fifo.mem[1][4] ),
-    .Y(_04202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08450_ (.A(_02022_),
+ sky130_fd_sc_hd__or2_4 _09585_ (.A(_04049_),
+    .B(\u_m1_cmd_fifo.mem[0][16] ),
     .X(_04203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08451_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[4] ),
-    .A2(_04193_),
-    .A3(_04198_),
-    .B1(_00950_),
-    .B2(_04194_),
+ sky130_fd_sc_hd__or2_4 _09586_ (.A(_04002_),
+    .B(\u_m1_cmd_fifo.mem[1][16] ),
     .X(_04204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08452_ (.A1_N(_04202_),
-    .A2_N(_04203_),
-    .B1(_04203_),
-    .B2(_04204_),
-    .X(_00321_),
+ sky130_fd_sc_hd__or2_4 _09587_ (.A(_03290_),
+    .B(\u_m1_cmd_fifo.mem[3][16] ),
+    .X(_04205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08453_ (.A(\u_m0_cmd_fifo.mem[1][3] ),
-    .Y(_04205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08454_ (.A(_04171_),
+ sky130_fd_sc_hd__o21a_4 _09588_ (.A1(_04035_),
+    .A2(\u_m1_cmd_fifo.mem[2][16] ),
+    .B1(_04037_),
     .X(_04206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08455_ (.A(_04173_),
+ sky130_fd_sc_hd__a32o_4 _09589_ (.A1(_04027_),
+    .A2(_04203_),
+    .A3(_04204_),
+    .B1(_04205_),
+    .B2(_04206_),
     .X(_04207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08456_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[3] ),
-    .A2(_04206_),
-    .A3(_04198_),
-    .B1(_00944_),
+ sky130_fd_sc_hd__o22a_4 _09590_ (.A1(_04043_),
+    .A2(_04202_),
+    .B1(_04026_),
     .B2(_04207_),
     .X(_04208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08457_ (.A1_N(_04205_),
-    .A2_N(_04203_),
-    .B1(_04203_),
+ sky130_fd_sc_hd__o22a_4 _09591_ (.A1(_04149_),
+    .A2(_04201_),
+    .B1(_04157_),
     .B2(_04208_),
-    .X(_00320_),
+    .X(_04209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08458_ (.A(\u_m0_cmd_fifo.mem[1][2] ),
-    .Y(_04209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08459_ (.A(_02022_),
+ sky130_fd_sc_hd__or2_4 _09592_ (.A(_04016_),
+    .B(_04209_),
     .X(_04210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08460_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[2] ),
-    .A2(_04206_),
-    .A3(_04134_),
-    .B1(_00946_),
-    .B2(_04207_),
+ sky130_fd_sc_hd__and3_4 _09593_ (.A(_03789_),
+    .B(_04192_),
+    .C(_04210_),
     .X(_04211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08461_ (.A1_N(_04209_),
-    .A2_N(_04210_),
-    .B1(_04210_),
-    .B2(_04211_),
-    .X(_00319_),
+ sky130_fd_sc_hd__a211o_4 _09594_ (.A1(\u_spictrl.spi_mode_cmd[0] ),
+    .A2(_03956_),
+    .B1(_04184_),
+    .C1(_04211_),
+    .X(_04212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08462_ (.A(\u_m0_cmd_fifo.mem[1][1] ),
-    .Y(_04212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08463_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[1] ),
-    .A2(_04206_),
-    .A3(_04134_),
-    .B1(\u_wb_if.spim_wb_addr[1] ),
-    .B2(_04207_),
+ sky130_fd_sc_hd__and2_4 _09595_ (.A(_03954_),
+    .B(_04212_),
     .X(_04213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08464_ (.A1_N(_04212_),
-    .A2_N(_04210_),
-    .B1(_04210_),
-    .B2(_04213_),
-    .X(_00318_),
+ sky130_fd_sc_hd__a211o_4 _09596_ (.A1(_03923_),
+    .A2(_04175_),
+    .B1(_04176_),
+    .C1(_04213_),
+    .X(_04214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08465_ (.A(\u_m0_cmd_fifo.mem[1][0] ),
-    .Y(_04214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08466_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[0] ),
-    .A2(_04206_),
-    .A3(_04134_),
-    .B1(\u_wb_if.spim_wb_addr[0] ),
-    .B2(_04207_),
+ sky130_fd_sc_hd__buf_2 _09597_ (.A(_04067_),
     .X(_04215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08467_ (.A1_N(_04214_),
-    .A2_N(_02023_),
-    .B1(_02023_),
-    .B2(_04215_),
-    .X(_00317_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08468_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
-    .B(_01983_),
+ sky130_fd_sc_hd__buf_2 _09598_ (.A(_04215_),
     .X(_04216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08469_ (.A(_04216_),
+ sky130_fd_sc_hd__a32o_4 _09599_ (.A1(_03911_),
+    .A2(_03917_),
+    .A3(_04214_),
+    .B1(_04073_),
+    .B2(_04216_),
+    .X(_00992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09600_ (.A(_03915_),
     .X(_04217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08470_ (.A(_04217_),
+ sky130_fd_sc_hd__buf_2 _09601_ (.A(_04217_),
     .X(_04218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08471_ (.A1_N(_04218_),
-    .A2_N(_03813_),
-    .B1(\u_m1_cmd_fifo.mem[1][31] ),
-    .B2(_04218_),
-    .X(_00316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08472_ (.A1_N(_04218_),
-    .A2_N(_03817_),
-    .B1(\u_m1_cmd_fifo.mem[1][30] ),
-    .B2(_04218_),
-    .X(_00315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08473_ (.A(_04217_),
+ sky130_fd_sc_hd__buf_2 _09602_ (.A(\u_spictrl.u_txreg.data_int[19] ),
     .X(_04219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08474_ (.A1_N(_04219_),
-    .A2_N(_03824_),
-    .B1(\u_m1_cmd_fifo.mem[1][29] ),
-    .B2(_04219_),
-    .X(_00314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08475_ (.A1_N(_04219_),
-    .A2_N(_03831_),
-    .B1(\u_m1_cmd_fifo.mem[1][28] ),
-    .B2(_04219_),
-    .X(_00313_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08476_ (.A(_04217_),
+ sky130_fd_sc_hd__o22a_4 _09603_ (.A1(\u_spictrl.u_txreg.data_int[22] ),
+    .A2(_03935_),
+    .B1(_04121_),
+    .B2(_04173_),
     .X(_04220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08477_ (.A1_N(_04220_),
-    .A2_N(_03840_),
-    .B1(\u_m1_cmd_fifo.mem[1][27] ),
+ sky130_fd_sc_hd__o22a_4 _09604_ (.A1(_04219_),
+    .A2(_03927_),
+    .B1(_03931_),
     .B2(_04220_),
-    .X(_00312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08478_ (.A1_N(_04220_),
-    .A2_N(_03844_),
-    .B1(\u_m1_cmd_fifo.mem[1][26] ),
-    .B2(_04220_),
-    .X(_00311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08479_ (.A(_04217_),
     .X(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08480_ (.A1_N(_04221_),
-    .A2_N(_03850_),
-    .B1(\u_m1_cmd_fifo.mem[1][25] ),
-    .B2(_04221_),
-    .X(_00310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08481_ (.A1_N(_04221_),
-    .A2_N(_03856_),
-    .B1(\u_m1_cmd_fifo.mem[1][24] ),
-    .B2(_04221_),
-    .X(_00309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08482_ (.A(_04216_),
+ sky130_fd_sc_hd__and2_4 _09605_ (.A(_03924_),
+    .B(_04125_),
     .X(_04222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08483_ (.A(_04222_),
+ sky130_fd_sc_hd__buf_2 _09606_ (.A(_03986_),
     .X(_04223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08484_ (.A1_N(_04223_),
-    .A2_N(_03867_),
-    .B1(\u_m1_cmd_fifo.mem[1][23] ),
-    .B2(_04223_),
-    .X(_00308_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08485_ (.A1_N(_04223_),
-    .A2_N(_03871_),
-    .B1(\u_m1_cmd_fifo.mem[1][22] ),
-    .B2(_04223_),
-    .X(_00307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08486_ (.A(_04222_),
+ sky130_fd_sc_hd__and2_4 _09607_ (.A(_04054_),
+    .B(\u_m1_cmd_fifo.mem[2][7] ),
     .X(_04224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08487_ (.A1_N(_04224_),
-    .A2_N(_03877_),
-    .B1(\u_m1_cmd_fifo.mem[1][21] ),
-    .B2(_04224_),
-    .X(_00306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08488_ (.A1_N(_04224_),
-    .A2_N(_03883_),
-    .B1(\u_m1_cmd_fifo.mem[1][20] ),
-    .B2(_04224_),
-    .X(_00305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08489_ (.A(_04222_),
+ sky130_fd_sc_hd__a211o_4 _09608_ (.A1(_03966_),
+    .A2(\u_m1_cmd_fifo.mem[3][7] ),
+    .B1(_04048_),
+    .C1(_04224_),
     .X(_04225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08490_ (.A1_N(_04225_),
-    .A2_N(_03890_),
-    .B1(\u_m1_cmd_fifo.mem[1][19] ),
-    .B2(_04225_),
-    .X(_00304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08491_ (.A1_N(_04225_),
-    .A2_N(_03895_),
-    .B1(\u_m1_cmd_fifo.mem[1][18] ),
-    .B2(_04225_),
-    .X(_00303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08492_ (.A(_04222_),
+ sky130_fd_sc_hd__and2_4 _09609_ (.A(_04054_),
+    .B(\u_m1_cmd_fifo.mem[0][7] ),
     .X(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08493_ (.A1_N(_04226_),
-    .A2_N(_03902_),
-    .B1(\u_m1_cmd_fifo.mem[1][17] ),
-    .B2(_04226_),
-    .X(_00302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08494_ (.A1_N(_04226_),
-    .A2_N(_03907_),
-    .B1(\u_m1_cmd_fifo.mem[1][16] ),
-    .B2(_04226_),
-    .X(_00301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08495_ (.A(_04216_),
+ sky130_fd_sc_hd__a211o_4 _09610_ (.A1(_03966_),
+    .A2(\u_m1_cmd_fifo.mem[1][7] ),
+    .B1(_03286_),
+    .C1(_04226_),
     .X(_04227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08496_ (.A(_04227_),
+ sky130_fd_sc_hd__or2_4 _09611_ (.A(_04046_),
+    .B(\u_m0_cmd_fifo.mem[1][7] ),
     .X(_04228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08497_ (.A1_N(_04228_),
-    .A2_N(_03915_),
-    .B1(\u_m1_cmd_fifo.mem[1][15] ),
-    .B2(_04228_),
-    .X(_00300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08498_ (.A1_N(_04228_),
-    .A2_N(_03920_),
-    .B1(\u_m1_cmd_fifo.mem[1][14] ),
-    .B2(_04228_),
-    .X(_00299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08499_ (.A(_04227_),
+ sky130_fd_sc_hd__o21a_4 _09612_ (.A1(_04097_),
+    .A2(\u_m0_cmd_fifo.mem[0][7] ),
+    .B1(_03978_),
     .X(_04229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08500_ (.A1_N(_04229_),
-    .A2_N(_03927_),
-    .B1(\u_m1_cmd_fifo.mem[1][13] ),
+ sky130_fd_sc_hd__a32o_4 _09613_ (.A1(_04225_),
+    .A2(_04227_),
+    .A3(_04043_),
+    .B1(_04228_),
     .B2(_04229_),
-    .X(_00298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08501_ (.A1_N(_04229_),
-    .A2_N(_03933_),
-    .B1(\u_m1_cmd_fifo.mem[1][12] ),
-    .B2(_04229_),
-    .X(_00297_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08502_ (.A(_04227_),
     .X(_04230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08503_ (.A1_N(_04230_),
-    .A2_N(_03939_),
-    .B1(\u_m1_cmd_fifo.mem[1][11] ),
-    .B2(_04230_),
-    .X(_00296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08504_ (.A1_N(_04230_),
-    .A2_N(_03944_),
-    .B1(\u_m1_cmd_fifo.mem[1][10] ),
-    .B2(_04230_),
-    .X(_00295_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08505_ (.A(_04227_),
+ sky130_fd_sc_hd__or2_4 _09614_ (.A(_04223_),
+    .B(_04230_),
     .X(_04231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08506_ (.A1_N(_04231_),
-    .A2_N(_03950_),
-    .B1(\u_m1_cmd_fifo.mem[1][9] ),
-    .B2(_04231_),
-    .X(_00294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08507_ (.A1_N(_04231_),
-    .A2_N(_03956_),
-    .B1(\u_m1_cmd_fifo.mem[1][8] ),
-    .B2(_04231_),
-    .X(_00293_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08508_ (.A(_04216_),
+ sky130_fd_sc_hd__buf_2 _09615_ (.A(_04015_),
     .X(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08509_ (.A(_04232_),
+ sky130_fd_sc_hd__buf_2 _09616_ (.A(_04149_),
     .X(_04233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08510_ (.A1_N(_04233_),
-    .A2_N(_03964_),
-    .B1(\u_m1_cmd_fifo.mem[1][7] ),
-    .B2(_04233_),
-    .X(_00292_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08511_ (.A1_N(_04233_),
-    .A2_N(_03969_),
-    .B1(\u_m1_cmd_fifo.mem[1][6] ),
-    .B2(_04233_),
-    .X(_00291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08512_ (.A(_04232_),
+ sky130_fd_sc_hd__buf_2 _09617_ (.A(_01287_),
     .X(_04234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08513_ (.A1_N(_04234_),
-    .A2_N(_03975_),
-    .B1(\u_m1_cmd_fifo.mem[1][5] ),
-    .B2(_04234_),
-    .X(_00290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08514_ (.A1_N(_04234_),
-    .A2_N(_03981_),
-    .B1(\u_m1_cmd_fifo.mem[1][4] ),
-    .B2(_04234_),
-    .X(_00289_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08515_ (.A(_04232_),
+ sky130_fd_sc_hd__o22a_4 _09618_ (.A1(_03508_),
+    .A2(\u_m0_cmd_fifo.mem[0][23] ),
+    .B1(_04234_),
+    .B2(\u_m0_cmd_fifo.mem[1][23] ),
     .X(_04235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08516_ (.A1_N(_04235_),
-    .A2_N(_03988_),
-    .B1(\u_m1_cmd_fifo.mem[1][3] ),
-    .B2(_04235_),
-    .X(_00288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08517_ (.A1_N(_04235_),
-    .A2_N(_03992_),
-    .B1(\u_m1_cmd_fifo.mem[1][2] ),
-    .B2(_04235_),
-    .X(_00287_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08518_ (.A(_04232_),
+ sky130_fd_sc_hd__buf_2 _09619_ (.A(_01837_),
     .X(_04236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08519_ (.A1_N(_04236_),
-    .A2_N(_03997_),
-    .B1(\u_m1_cmd_fifo.mem[1][1] ),
-    .B2(_04236_),
-    .X(_00286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08520_ (.A1_N(_04236_),
-    .A2_N(_04001_),
-    .B1(\u_m1_cmd_fifo.mem[1][0] ),
-    .B2(_04236_),
-    .X(_00285_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08521_ (.A1_N(_02398_),
-    .A2_N(_02027_),
-    .B1(_02027_),
-    .B2(_04136_),
-    .X(_00284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08522_ (.A(_02026_),
+ sky130_fd_sc_hd__or2_4 _09620_ (.A(_03963_),
+    .B(\u_m1_cmd_fifo.mem[0][23] ),
     .X(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08523_ (.A1_N(_02506_),
-    .A2_N(_02027_),
-    .B1(_04237_),
-    .B2(_04137_),
-    .X(_00283_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08524_ (.A1_N(_02580_),
-    .A2_N(_04237_),
-    .B1(_04237_),
-    .B2(_04139_),
-    .X(_00282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08525_ (.A(_02025_),
+ sky130_fd_sc_hd__or2_4 _09621_ (.A(_04052_),
+    .B(\u_m1_cmd_fifo.mem[1][23] ),
     .X(_04238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08526_ (.A(_04238_),
+ sky130_fd_sc_hd__buf_2 _09622_ (.A(_01833_),
     .X(_04239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08527_ (.A1(_02640_),
-    .A2(_04239_),
-    .B1(_02024_),
-    .B2(_04140_),
+ sky130_fd_sc_hd__buf_2 _09623_ (.A(_04239_),
     .X(_04240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08528_ (.A(_04240_),
-    .Y(_00281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08529_ (.A(_02026_),
+ sky130_fd_sc_hd__or2_4 _09624_ (.A(_04240_),
+    .B(\u_m1_cmd_fifo.mem[3][23] ),
     .X(_04241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08530_ (.A1_N(_02694_),
-    .A2_N(_04237_),
-    .B1(_04241_),
-    .B2(_04144_),
-    .X(_00280_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08531_ (.A1_N(_02758_),
-    .A2_N(_04241_),
-    .B1(_04241_),
-    .B2(_04148_),
-    .X(_00279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08532_ (.A(_02026_),
+ sky130_fd_sc_hd__o21a_4 _09625_ (.A1(_03963_),
+    .A2(\u_m1_cmd_fifo.mem[2][23] ),
+    .B1(_03284_),
     .X(_04242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08533_ (.A1_N(_02806_),
-    .A2_N(_04241_),
-    .B1(_04242_),
-    .B2(_04149_),
-    .X(_00278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08534_ (.A1_N(_02857_),
-    .A2_N(_04242_),
-    .B1(_04242_),
-    .B2(_04151_),
-    .X(_00277_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08535_ (.A(_02025_),
+ sky130_fd_sc_hd__a32o_4 _09626_ (.A1(_04236_),
+    .A2(_04237_),
+    .A3(_04238_),
+    .B1(_04241_),
+    .B2(_04242_),
     .X(_04243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08536_ (.A(_04243_),
+ sky130_fd_sc_hd__o22a_4 _09627_ (.A1(_04138_),
+    .A2(_04235_),
+    .B1(_03993_),
+    .B2(_04243_),
     .X(_04244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08537_ (.A1_N(_02373_),
-    .A2_N(_04242_),
-    .B1(_04244_),
-    .B2(_04154_),
-    .X(_00276_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08538_ (.A1_N(_02490_),
-    .A2_N(_04244_),
-    .B1(_04244_),
-    .B2(_04158_),
-    .X(_00275_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08539_ (.A(_04243_),
+ sky130_fd_sc_hd__buf_2 _09628_ (.A(_04157_),
     .X(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08540_ (.A1_N(_02567_),
-    .A2_N(_04244_),
-    .B1(_04245_),
-    .B2(_04159_),
-    .X(_00274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08541_ (.A1_N(_02630_),
-    .A2_N(_04245_),
-    .B1(_04245_),
-    .B2(_04161_),
-    .X(_00273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08542_ (.A(_04243_),
+ sky130_fd_sc_hd__o22a_4 _09629_ (.A1(_04021_),
+    .A2(\u_m0_cmd_fifo.mem[0][15] ),
+    .B1(_04045_),
+    .B2(\u_m0_cmd_fifo.mem[1][15] ),
     .X(_04246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08543_ (.A1_N(_02682_),
-    .A2_N(_04245_),
-    .B1(_04246_),
-    .B2(_04164_),
-    .X(_00272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08544_ (.A1_N(_02747_),
-    .A2_N(_04246_),
-    .B1(_04246_),
-    .B2(_04167_),
-    .X(_00271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08545_ (.A(_04243_),
+ sky130_fd_sc_hd__or2_4 _09630_ (.A(_04034_),
+    .B(\u_m1_cmd_fifo.mem[0][15] ),
     .X(_04247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08546_ (.A1_N(_02796_),
-    .A2_N(_04246_),
-    .B1(_04247_),
-    .B2(_04168_),
-    .X(_00270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08547_ (.A1_N(_02847_),
-    .A2_N(_04247_),
-    .B1(_04247_),
-    .B2(_04170_),
-    .X(_00269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08548_ (.A(_02025_),
+ sky130_fd_sc_hd__or2_4 _09631_ (.A(_04053_),
+    .B(\u_m1_cmd_fifo.mem[1][15] ),
     .X(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08549_ (.A(_04248_),
+ sky130_fd_sc_hd__or2_4 _09632_ (.A(_04053_),
+    .B(\u_m1_cmd_fifo.mem[3][15] ),
     .X(_04249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08550_ (.A1_N(_02425_),
-    .A2_N(_04247_),
-    .B1(_04249_),
-    .B2(_04175_),
-    .X(_00268_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08551_ (.A1_N(_02535_),
-    .A2_N(_04249_),
-    .B1(_04249_),
-    .B2(_04179_),
-    .X(_00267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08552_ (.A(_04248_),
+ sky130_fd_sc_hd__o21a_4 _09633_ (.A1(_04034_),
+    .A2(\u_m1_cmd_fifo.mem[2][15] ),
+    .B1(_04036_),
     .X(_04250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08553_ (.A1_N(_02607_),
-    .A2_N(_04249_),
-    .B1(_04250_),
-    .B2(_04180_),
-    .X(_00266_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08554_ (.A1_N(_02660_),
-    .A2_N(_04250_),
-    .B1(_04250_),
-    .B2(_04182_),
-    .X(_00265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08555_ (.A(_04248_),
+ sky130_fd_sc_hd__a32o_4 _09634_ (.A1(_03378_),
+    .A2(_04247_),
+    .A3(_04248_),
+    .B1(_04249_),
+    .B2(_04250_),
     .X(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08556_ (.A1_N(_02714_),
-    .A2_N(_04250_),
-    .B1(_04251_),
-    .B2(_04185_),
-    .X(_00264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08557_ (.A1_N(_02777_),
-    .A2_N(_04251_),
-    .B1(_04251_),
-    .B2(_04188_),
-    .X(_00263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08558_ (.A(_04248_),
+ sky130_fd_sc_hd__o22a_4 _09635_ (.A1(_01524_),
+    .A2(_04246_),
+    .B1(_04025_),
+    .B2(_04251_),
     .X(_04252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08559_ (.A1_N(_02824_),
-    .A2_N(_04251_),
-    .B1(_04252_),
-    .B2(_04189_),
-    .X(_00262_),
+ sky130_fd_sc_hd__o22a_4 _09636_ (.A1(_04233_),
+    .A2(_04244_),
+    .B1(_04245_),
+    .B2(_04252_),
+    .X(_04253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08560_ (.A1_N(_02875_),
-    .A2_N(_04252_),
-    .B1(_04252_),
-    .B2(_04191_),
-    .X(_00261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08561_ (.A(\u_m0_cmd_fifo.mem[0][7] ),
-    .Y(_04253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08562_ (.A(_04238_),
+ sky130_fd_sc_hd__or2_4 _09637_ (.A(_04232_),
+    .B(_04253_),
     .X(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08563_ (.A1_N(_04253_),
-    .A2_N(_04252_),
-    .B1(_04254_),
-    .B2(_04195_),
-    .X(_00260_),
+ sky130_fd_sc_hd__buf_2 _09638_ (.A(_03958_),
+    .X(_04255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08564_ (.A(\u_m0_cmd_fifo.mem[0][6] ),
-    .Y(_04255_),
+ sky130_fd_sc_hd__buf_2 _09639_ (.A(_04255_),
+    .X(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08565_ (.A1_N(_04255_),
-    .A2_N(_04254_),
-    .B1(_04254_),
-    .B2(_04199_),
-    .X(_00259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08566_ (.A(\u_m0_cmd_fifo.mem[0][5] ),
-    .Y(_04256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08567_ (.A(_04238_),
+ sky130_fd_sc_hd__a32o_4 _09640_ (.A1(_03790_),
+    .A2(_04231_),
+    .A3(_04254_),
+    .B1(_04256_),
+    .B2(_04252_),
     .X(_04257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08568_ (.A1_N(_04256_),
-    .A2_N(_04254_),
-    .B1(_04257_),
-    .B2(_04201_),
-    .X(_00258_),
+ sky130_fd_sc_hd__and2_4 _09641_ (.A(_03954_),
+    .B(_04257_),
+    .X(_04258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08569_ (.A(\u_m0_cmd_fifo.mem[0][4] ),
-    .Y(_04258_),
+ sky130_fd_sc_hd__a211o_4 _09642_ (.A1(_03923_),
+    .A2(_04221_),
+    .B1(_04222_),
+    .C1(_04258_),
+    .X(_04259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08570_ (.A1_N(_04258_),
-    .A2_N(_04257_),
-    .B1(_04257_),
-    .B2(_04204_),
-    .X(_00257_),
+ sky130_fd_sc_hd__a32o_4 _09643_ (.A1(_03911_),
+    .A2(_04218_),
+    .A3(_04259_),
+    .B1(_03924_),
+    .B2(_04216_),
+    .X(_00991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08571_ (.A(\u_m0_cmd_fifo.mem[0][3] ),
-    .Y(_04259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08572_ (.A(_04238_),
+ sky130_fd_sc_hd__buf_2 _09644_ (.A(_03909_),
     .X(_04260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08573_ (.A1_N(_04259_),
-    .A2_N(_04257_),
-    .B1(_04260_),
-    .B2(_04208_),
-    .X(_00256_),
+ sky130_fd_sc_hd__buf_2 _09645_ (.A(_04260_),
+    .X(_04261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08574_ (.A(\u_m0_cmd_fifo.mem[0][2] ),
-    .Y(_04261_),
+ sky130_fd_sc_hd__buf_2 _09646_ (.A(_03922_),
+    .X(_04262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08575_ (.A1_N(_04261_),
-    .A2_N(_04260_),
-    .B1(_04260_),
-    .B2(_04211_),
-    .X(_00255_),
+ sky130_fd_sc_hd__buf_2 _09647_ (.A(\u_spictrl.u_txreg.data_int[18] ),
+    .X(_04263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08576_ (.A(\u_m0_cmd_fifo.mem[0][1] ),
-    .Y(_04262_),
+ sky130_fd_sc_hd__buf_2 _09648_ (.A(_03926_),
+    .X(_04264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08577_ (.A1_N(_04262_),
-    .A2_N(_04260_),
-    .B1(_04239_),
-    .B2(_04213_),
-    .X(_00254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08578_ (.A(\u_m0_cmd_fifo.mem[0][0] ),
-    .Y(_04263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08579_ (.A1_N(_04263_),
-    .A2_N(_04239_),
-    .B1(_04239_),
-    .B2(_04215_),
-    .X(_00253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08580_ (.A(\u_m0_res_fifo.mem[0][31] ),
-    .Y(_04264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08581_ (.A(_03744_),
-    .B(\u_spictrl.res_fifo_wr ),
-    .C(_03774_),
-    .D(_03775_),
+ sky130_fd_sc_hd__buf_2 _09649_ (.A(_03930_),
     .X(_04265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08582_ (.A(_04265_),
+ sky130_fd_sc_hd__buf_2 _09650_ (.A(_03934_),
     .X(_04266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08583_ (.A(_04266_),
+ sky130_fd_sc_hd__o22a_4 _09651_ (.A1(\u_spictrl.u_txreg.data_int[21] ),
+    .A2(_04266_),
+    .B1(_04171_),
+    .B2(_04173_),
     .X(_04267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08584_ (.A1_N(_04264_),
-    .A2_N(_04267_),
-    .B1(_04004_),
+ sky130_fd_sc_hd__o22a_4 _09652_ (.A1(_04263_),
+    .A2(_04264_),
+    .B1(_04265_),
     .B2(_04267_),
-    .X(_00252_),
+    .X(_04268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08585_ (.A(\u_m0_res_fifo.mem[0][30] ),
-    .Y(_04268_),
+ sky130_fd_sc_hd__and2_4 _09653_ (.A(_04071_),
+    .B(_04125_),
+    .X(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08586_ (.A1_N(_04268_),
-    .A2_N(_04267_),
-    .B1(_04005_),
-    .B2(_04267_),
-    .X(_00251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08587_ (.A(\u_m0_res_fifo.mem[0][29] ),
-    .Y(_04269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08588_ (.A(_04266_),
+ sky130_fd_sc_hd__buf_2 _09654_ (.A(_03953_),
     .X(_04270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08589_ (.A1_N(_04269_),
-    .A2_N(_04270_),
-    .B1(_04008_),
-    .B2(_04270_),
-    .X(_00250_),
+ sky130_fd_sc_hd__and2_4 _09655_ (.A(_04030_),
+    .B(\u_m1_cmd_fifo.mem[2][6] ),
+    .X(_04271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08590_ (.A(\u_m0_res_fifo.mem[0][28] ),
-    .Y(_04271_),
+ sky130_fd_sc_hd__a211o_4 _09656_ (.A1(_04000_),
+    .A2(\u_m1_cmd_fifo.mem[3][6] ),
+    .B1(_03998_),
+    .C1(_04271_),
+    .X(_04272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08591_ (.A1_N(_04271_),
-    .A2_N(_04270_),
-    .B1(_04009_),
-    .B2(_04270_),
-    .X(_00249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08592_ (.A(\u_m0_res_fifo.mem[0][27] ),
-    .Y(_04272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08593_ (.A(_04266_),
+ sky130_fd_sc_hd__and2_4 _09657_ (.A(_04030_),
+    .B(\u_m1_cmd_fifo.mem[0][6] ),
     .X(_04273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08594_ (.A1_N(_04272_),
-    .A2_N(_04273_),
-    .B1(_04011_),
-    .B2(_04273_),
-    .X(_00248_),
+ sky130_fd_sc_hd__a211o_4 _09658_ (.A1(_03965_),
+    .A2(\u_m1_cmd_fifo.mem[1][6] ),
+    .B1(_04056_),
+    .C1(_04273_),
+    .X(_04274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08595_ (.A(\u_m0_res_fifo.mem[0][26] ),
-    .Y(_04274_),
+ sky130_fd_sc_hd__or2_4 _09659_ (.A(_04045_),
+    .B(\u_m0_cmd_fifo.mem[1][6] ),
+    .X(_04275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08596_ (.A1_N(_04274_),
-    .A2_N(_04273_),
-    .B1(_04013_),
-    .B2(_04273_),
-    .X(_00247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08597_ (.A(\u_m0_res_fifo.mem[0][25] ),
-    .Y(_04275_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08598_ (.A(_04266_),
+ sky130_fd_sc_hd__o21a_4 _09660_ (.A1(_04022_),
+    .A2(\u_m0_cmd_fifo.mem[0][6] ),
+    .B1(_03994_),
     .X(_04276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08599_ (.A1_N(_04275_),
-    .A2_N(_04276_),
-    .B1(_04017_),
+ sky130_fd_sc_hd__a32o_4 _09661_ (.A1(_04272_),
+    .A2(_04274_),
+    .A3(_04139_),
+    .B1(_04275_),
     .B2(_04276_),
-    .X(_00246_),
+    .X(_04277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08600_ (.A(\u_m0_res_fifo.mem[0][24] ),
-    .Y(_04277_),
+ sky130_fd_sc_hd__or2_4 _09662_ (.A(_04223_),
+    .B(_04277_),
+    .X(_04278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08601_ (.A1_N(_04277_),
-    .A2_N(_04276_),
-    .B1(_04019_),
-    .B2(_04276_),
-    .X(_00245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08602_ (.A(\u_m0_res_fifo.mem[0][23] ),
-    .Y(_04278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08603_ (.A(_04265_),
+ sky130_fd_sc_hd__o22a_4 _09663_ (.A1(_03507_),
+    .A2(\u_m0_cmd_fifo.mem[0][22] ),
+    .B1(_03514_),
+    .B2(\u_m0_cmd_fifo.mem[1][22] ),
     .X(_04279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08604_ (.A(_04279_),
+ sky130_fd_sc_hd__buf_2 _09664_ (.A(_03962_),
     .X(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08605_ (.A1_N(_04278_),
-    .A2_N(_04280_),
-    .B1(_04022_),
-    .B2(_04280_),
-    .X(_00244_),
+ sky130_fd_sc_hd__or2_4 _09665_ (.A(_04280_),
+    .B(\u_m1_cmd_fifo.mem[0][22] ),
+    .X(_04281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08606_ (.A(\u_m0_res_fifo.mem[0][22] ),
-    .Y(_04281_),
+ sky130_fd_sc_hd__or2_4 _09666_ (.A(_04239_),
+    .B(\u_m1_cmd_fifo.mem[1][22] ),
+    .X(_04282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08607_ (.A1_N(_04281_),
-    .A2_N(_04280_),
-    .B1(_04023_),
-    .B2(_04280_),
-    .X(_00243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08608_ (.A(\u_m0_res_fifo.mem[0][21] ),
-    .Y(_04282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08609_ (.A(_04279_),
+ sky130_fd_sc_hd__or2_4 _09667_ (.A(_03288_),
+    .B(\u_m1_cmd_fifo.mem[3][22] ),
     .X(_04283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08610_ (.A1_N(_04282_),
-    .A2_N(_04283_),
-    .B1(_04025_),
-    .B2(_04283_),
-    .X(_00242_),
+ sky130_fd_sc_hd__o21a_4 _09668_ (.A1(_04006_),
+    .A2(\u_m1_cmd_fifo.mem[2][22] ),
+    .B1(_03283_),
+    .X(_04284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08611_ (.A(\u_m0_res_fifo.mem[0][20] ),
-    .Y(_04284_),
+ sky130_fd_sc_hd__a32o_4 _09669_ (.A1(_03377_),
+    .A2(_04281_),
+    .A3(_04282_),
+    .B1(_04283_),
+    .B2(_04284_),
+    .X(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08612_ (.A1_N(_04284_),
-    .A2_N(_04283_),
-    .B1(_04027_),
-    .B2(_04283_),
-    .X(_00241_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08613_ (.A(\u_m0_res_fifo.mem[0][19] ),
-    .Y(_04285_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08614_ (.A(_04279_),
+ sky130_fd_sc_hd__o22a_4 _09670_ (.A1(_01523_),
+    .A2(_04279_),
+    .B1(_03976_),
+    .B2(_04285_),
     .X(_04286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08615_ (.A1_N(_04285_),
-    .A2_N(_04286_),
-    .B1(_04030_),
-    .B2(_04286_),
-    .X(_00240_),
+ sky130_fd_sc_hd__buf_2 _09671_ (.A(_03507_),
+    .X(_04287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08616_ (.A(\u_m0_res_fifo.mem[0][18] ),
-    .Y(_04287_),
+ sky130_fd_sc_hd__o22a_4 _09672_ (.A1(_04287_),
+    .A2(\u_m0_cmd_fifo.mem[0][14] ),
+    .B1(_04234_),
+    .B2(\u_m0_cmd_fifo.mem[1][14] ),
+    .X(_04288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08617_ (.A1_N(_04287_),
-    .A2_N(_04286_),
-    .B1(_04032_),
-    .B2(_04286_),
-    .X(_00239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08618_ (.A(\u_m0_res_fifo.mem[0][17] ),
-    .Y(_04288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08619_ (.A(_04279_),
+ sky130_fd_sc_hd__buf_2 _09673_ (.A(_04280_),
     .X(_04289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08620_ (.A1_N(_04288_),
-    .A2_N(_04289_),
-    .B1(_04036_),
-    .B2(_04289_),
-    .X(_00238_),
+ sky130_fd_sc_hd__or2_4 _09674_ (.A(_04289_),
+    .B(\u_m1_cmd_fifo.mem[0][14] ),
+    .X(_04290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08621_ (.A(\u_m0_res_fifo.mem[0][16] ),
-    .Y(_04290_),
+ sky130_fd_sc_hd__or2_4 _09675_ (.A(_04240_),
+    .B(\u_m1_cmd_fifo.mem[1][14] ),
+    .X(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08622_ (.A1_N(_04290_),
-    .A2_N(_04289_),
-    .B1(_04038_),
-    .B2(_04289_),
-    .X(_00237_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08623_ (.A(\u_m0_res_fifo.mem[0][15] ),
-    .Y(_04291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08624_ (.A(_04265_),
+ sky130_fd_sc_hd__or2_4 _09676_ (.A(_04195_),
+    .B(\u_m1_cmd_fifo.mem[3][14] ),
     .X(_04292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08625_ (.A(_04292_),
+ sky130_fd_sc_hd__buf_2 _09677_ (.A(_04280_),
     .X(_04293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08626_ (.A1_N(_04291_),
-    .A2_N(_04293_),
-    .B1(_04041_),
-    .B2(_04293_),
-    .X(_00236_),
+ sky130_fd_sc_hd__buf_2 _09678_ (.A(_03283_),
+    .X(_04294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08627_ (.A(\u_m0_res_fifo.mem[0][14] ),
-    .Y(_04294_),
+ sky130_fd_sc_hd__o21a_4 _09679_ (.A1(_04293_),
+    .A2(\u_m1_cmd_fifo.mem[2][14] ),
+    .B1(_04294_),
+    .X(_04295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08628_ (.A1_N(_04294_),
-    .A2_N(_04293_),
-    .B1(_04042_),
-    .B2(_04293_),
-    .X(_00235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08629_ (.A(\u_m0_res_fifo.mem[0][13] ),
-    .Y(_04295_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08630_ (.A(_04292_),
+ sky130_fd_sc_hd__a32o_4 _09680_ (.A1(_04236_),
+    .A2(_04290_),
+    .A3(_04291_),
+    .B1(_04292_),
+    .B2(_04295_),
     .X(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08631_ (.A1_N(_04295_),
-    .A2_N(_04296_),
-    .B1(_04044_),
+ sky130_fd_sc_hd__o22a_4 _09681_ (.A1(_04138_),
+    .A2(_04288_),
+    .B1(_03977_),
     .B2(_04296_),
-    .X(_00234_),
+    .X(_04297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08632_ (.A(\u_m0_res_fifo.mem[0][12] ),
-    .Y(_04297_),
+ sky130_fd_sc_hd__o22a_4 _09682_ (.A1(_04233_),
+    .A2(_04286_),
+    .B1(_04245_),
+    .B2(_04297_),
+    .X(_04298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08633_ (.A1_N(_04297_),
-    .A2_N(_04296_),
-    .B1(_04046_),
-    .B2(_04296_),
-    .X(_00233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08634_ (.A(\u_m0_res_fifo.mem[0][11] ),
-    .Y(_04298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08635_ (.A(_04292_),
+ sky130_fd_sc_hd__or2_4 _09683_ (.A(_04232_),
+    .B(_04298_),
     .X(_04299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08636_ (.A1_N(_04298_),
-    .A2_N(_04299_),
-    .B1(_04048_),
-    .B2(_04299_),
-    .X(_00232_),
+ sky130_fd_sc_hd__buf_2 _09684_ (.A(_04255_),
+    .X(_04300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08637_ (.A(\u_m0_res_fifo.mem[0][10] ),
-    .Y(_04300_),
+ sky130_fd_sc_hd__a32o_4 _09685_ (.A1(_03790_),
+    .A2(_04278_),
+    .A3(_04299_),
+    .B1(_04300_),
+    .B2(_04297_),
+    .X(_04301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08638_ (.A1_N(_04300_),
-    .A2_N(_04299_),
-    .B1(_04049_),
-    .B2(_04299_),
-    .X(_00231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08639_ (.A(\u_m0_res_fifo.mem[0][9] ),
-    .Y(_04301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08640_ (.A(_04292_),
+ sky130_fd_sc_hd__and2_4 _09686_ (.A(_04270_),
+    .B(_04301_),
     .X(_04302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08641_ (.A1_N(_04301_),
-    .A2_N(_04302_),
-    .B1(_04052_),
-    .B2(_04302_),
-    .X(_00230_),
+ sky130_fd_sc_hd__a211o_4 _09687_ (.A1(_04262_),
+    .A2(_04268_),
+    .B1(_04269_),
+    .C1(_04302_),
+    .X(_04303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08642_ (.A(\u_m0_res_fifo.mem[0][8] ),
-    .Y(_04303_),
+ sky130_fd_sc_hd__a32o_4 _09688_ (.A1(_04261_),
+    .A2(_04218_),
+    .A3(_04303_),
+    .B1(_04071_),
+    .B2(_04216_),
+    .X(_00990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08643_ (.A1_N(_04303_),
-    .A2_N(_04302_),
-    .B1(_04053_),
-    .B2(_04302_),
-    .X(_00229_),
+ sky130_fd_sc_hd__buf_2 _09689_ (.A(\u_spictrl.u_txreg.data_int[17] ),
+    .X(_04304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08644_ (.A(\u_m0_res_fifo.mem[0][7] ),
-    .Y(_04304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08645_ (.A(_04265_),
+ sky130_fd_sc_hd__o22a_4 _09690_ (.A1(\u_spictrl.u_txreg.data_int[20] ),
+    .A2(_04266_),
+    .B1(_04219_),
+    .B2(_04173_),
     .X(_04305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08646_ (.A(_04305_),
+ sky130_fd_sc_hd__o22a_4 _09691_ (.A1(_04304_),
+    .A2(_04264_),
+    .B1(_04265_),
+    .B2(_04305_),
     .X(_04306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08647_ (.A1_N(_04304_),
-    .A2_N(_04306_),
-    .B1(_04056_),
-    .B2(_04306_),
-    .X(_00228_),
+ sky130_fd_sc_hd__buf_2 _09692_ (.A(_04124_),
+    .X(_04307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08648_ (.A(\u_m0_res_fifo.mem[0][6] ),
-    .Y(_04307_),
+ sky130_fd_sc_hd__and2_4 _09693_ (.A(_04121_),
+    .B(_04307_),
+    .X(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08649_ (.A1_N(_04307_),
-    .A2_N(_04306_),
-    .B1(_04058_),
-    .B2(_04306_),
-    .X(_00227_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08650_ (.A(\u_m0_res_fifo.mem[0][5] ),
-    .Y(_04308_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08651_ (.A(_04305_),
+ sky130_fd_sc_hd__buf_2 _09694_ (.A(_04000_),
     .X(_04309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08652_ (.A1_N(_04308_),
-    .A2_N(_04309_),
-    .B1(_04061_),
-    .B2(_04309_),
-    .X(_00226_),
+ sky130_fd_sc_hd__and2_4 _09695_ (.A(_04130_),
+    .B(\u_m1_cmd_fifo.mem[2][5] ),
+    .X(_04310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08653_ (.A(\u_m0_res_fifo.mem[0][4] ),
-    .Y(_04310_),
+ sky130_fd_sc_hd__a211o_4 _09696_ (.A1(_04309_),
+    .A2(\u_m1_cmd_fifo.mem[3][5] ),
+    .B1(_03999_),
+    .C1(_04310_),
+    .X(_04311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08654_ (.A1_N(_04310_),
-    .A2_N(_04309_),
-    .B1(_04063_),
-    .B2(_04309_),
-    .X(_00225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08655_ (.A(\u_m0_res_fifo.mem[0][3] ),
-    .Y(_04311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08656_ (.A(_04305_),
+ sky130_fd_sc_hd__and2_4 _09697_ (.A(_04130_),
+    .B(\u_m1_cmd_fifo.mem[0][5] ),
     .X(_04312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08657_ (.A1_N(_04311_),
-    .A2_N(_04312_),
-    .B1(_04065_),
-    .B2(_04312_),
-    .X(_00224_),
+ sky130_fd_sc_hd__a211o_4 _09698_ (.A1(_04309_),
+    .A2(\u_m1_cmd_fifo.mem[1][5] ),
+    .B1(_04129_),
+    .C1(_04312_),
+    .X(_04313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08658_ (.A(\u_m0_res_fifo.mem[0][2] ),
-    .Y(_04313_),
+ sky130_fd_sc_hd__or2_4 _09699_ (.A(_03991_),
+    .B(\u_m0_cmd_fifo.mem[1][5] ),
+    .X(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08659_ (.A1_N(_04313_),
-    .A2_N(_04312_),
-    .B1(_04066_),
-    .B2(_04312_),
-    .X(_00223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08660_ (.A(\u_m0_res_fifo.mem[0][1] ),
-    .Y(_04314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08661_ (.A(_04305_),
+ sky130_fd_sc_hd__o21a_4 _09700_ (.A1(_04134_),
+    .A2(\u_m0_cmd_fifo.mem[0][5] ),
+    .B1(_04099_),
     .X(_04315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08662_ (.A1_N(_04314_),
-    .A2_N(_04315_),
-    .B1(_04068_),
+ sky130_fd_sc_hd__a32o_4 _09701_ (.A1(_04311_),
+    .A2(_04313_),
+    .A3(_04140_),
+    .B1(_04314_),
     .B2(_04315_),
-    .X(_00222_),
+    .X(_04316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08663_ (.A(\u_m0_res_fifo.mem[0][0] ),
-    .Y(_04316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08664_ (.A1_N(_04316_),
-    .A2_N(_04315_),
-    .B1(_04069_),
-    .B2(_04315_),
-    .X(_00221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08665_ (.A(_03774_),
+ sky130_fd_sc_hd__or2_4 _09702_ (.A(_04223_),
+    .B(_04316_),
     .X(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08666_ (.A(_04317_),
+ sky130_fd_sc_hd__buf_2 _09703_ (.A(_04149_),
     .X(_04318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08667_ (.A(_03787_),
+ sky130_fd_sc_hd__o22a_4 _09704_ (.A1(_04021_),
+    .A2(\u_m0_cmd_fifo.mem[0][21] ),
+    .B1(_03515_),
+    .B2(\u_m0_cmd_fifo.mem[1][21] ),
     .X(_04319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08668_ (.A(_01166_),
-    .B(_03776_),
+ sky130_fd_sc_hd__or2_4 _09705_ (.A(_04293_),
+    .B(\u_m1_cmd_fifo.mem[0][21] ),
     .X(_04320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08669_ (.A(_04320_),
+ sky130_fd_sc_hd__buf_2 _09706_ (.A(_03288_),
     .X(_04321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08670_ (.A(_04321_),
+ sky130_fd_sc_hd__or2_4 _09707_ (.A(_04321_),
+    .B(\u_m1_cmd_fifo.mem[1][21] ),
     .X(_04322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08671_ (.A1(_04318_),
-    .A2(_04319_),
-    .A3(\u_spictrl.res_fifo_wdata[31] ),
-    .B1(\u_m0_res_fifo.mem[1][31] ),
-    .B2(_04322_),
-    .X(_00220_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08672_ (.A1(_04318_),
-    .A2(_04319_),
-    .A3(\u_spictrl.res_fifo_wdata[30] ),
-    .B1(\u_m0_res_fifo.mem[1][30] ),
-    .B2(_04322_),
-    .X(_00219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08673_ (.A1(_04318_),
-    .A2(_04319_),
-    .A3(\u_spictrl.res_fifo_wdata[29] ),
-    .B1(\u_m0_res_fifo.mem[1][29] ),
-    .B2(_04322_),
-    .X(_00218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08674_ (.A1(_04318_),
-    .A2(_04319_),
-    .A3(\u_spictrl.res_fifo_wdata[28] ),
-    .B1(\u_m0_res_fifo.mem[1][28] ),
-    .B2(_04322_),
-    .X(_00217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08675_ (.A(_04317_),
+ sky130_fd_sc_hd__or2_4 _09708_ (.A(_04321_),
+    .B(\u_m1_cmd_fifo.mem[3][21] ),
     .X(_04323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08676_ (.A(_03787_),
+ sky130_fd_sc_hd__o21a_4 _09709_ (.A1(_04034_),
+    .A2(\u_m1_cmd_fifo.mem[2][21] ),
+    .B1(_04036_),
     .X(_04324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08677_ (.A(_04321_),
+ sky130_fd_sc_hd__a32o_4 _09710_ (.A1(_03997_),
+    .A2(_04320_),
+    .A3(_04322_),
+    .B1(_04323_),
+    .B2(_04324_),
     .X(_04325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08678_ (.A1(_04323_),
-    .A2(_04324_),
-    .A3(\u_spictrl.res_fifo_wdata[27] ),
-    .B1(\u_m0_res_fifo.mem[1][27] ),
+ sky130_fd_sc_hd__o22a_4 _09711_ (.A1(_03988_),
+    .A2(_04319_),
+    .B1(_04025_),
     .B2(_04325_),
-    .X(_00216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08679_ (.A1(_04323_),
-    .A2(_04324_),
-    .A3(\u_spictrl.res_fifo_wdata[26] ),
-    .B1(\u_m0_res_fifo.mem[1][26] ),
-    .B2(_04325_),
-    .X(_00215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08680_ (.A1(_04323_),
-    .A2(_04324_),
-    .A3(\u_spictrl.res_fifo_wdata[25] ),
-    .B1(\u_m0_res_fifo.mem[1][25] ),
-    .B2(_04325_),
-    .X(_00214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08681_ (.A1(_04323_),
-    .A2(_04324_),
-    .A3(\u_spictrl.res_fifo_wdata[24] ),
-    .B1(\u_m0_res_fifo.mem[1][24] ),
-    .B2(_04325_),
-    .X(_00213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08682_ (.A(_04317_),
     .X(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08683_ (.A(_03780_),
+ sky130_fd_sc_hd__buf_2 _09712_ (.A(_04157_),
     .X(_04327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08684_ (.A(_04327_),
+ sky130_fd_sc_hd__o22a_4 _09713_ (.A1(_03509_),
+    .A2(\u_m0_cmd_fifo.mem[0][13] ),
+    .B1(_03516_),
+    .B2(\u_m0_cmd_fifo.mem[1][13] ),
     .X(_04328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08685_ (.A(_04321_),
+ sky130_fd_sc_hd__or2_4 _09714_ (.A(_03964_),
+    .B(\u_m1_cmd_fifo.mem[0][13] ),
     .X(_04329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08686_ (.A1(_04326_),
-    .A2(_04328_),
-    .A3(\u_spictrl.res_fifo_wdata[23] ),
-    .B1(\u_m0_res_fifo.mem[1][23] ),
-    .B2(_04329_),
-    .X(_00212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08687_ (.A1(_04326_),
-    .A2(_04328_),
-    .A3(\u_spictrl.res_fifo_wdata[22] ),
-    .B1(\u_m0_res_fifo.mem[1][22] ),
-    .B2(_04329_),
-    .X(_00211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08688_ (.A1(_04326_),
-    .A2(_04328_),
-    .A3(\u_spictrl.res_fifo_wdata[21] ),
-    .B1(\u_m0_res_fifo.mem[1][21] ),
-    .B2(_04329_),
-    .X(_00210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08689_ (.A1(_04326_),
-    .A2(_04328_),
-    .A3(\u_spictrl.res_fifo_wdata[20] ),
-    .B1(\u_m0_res_fifo.mem[1][20] ),
-    .B2(_04329_),
-    .X(_00209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08690_ (.A(_04317_),
+ sky130_fd_sc_hd__or2_4 _09715_ (.A(_04196_),
+    .B(\u_m1_cmd_fifo.mem[1][13] ),
     .X(_04330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08691_ (.A(_04327_),
+ sky130_fd_sc_hd__or2_4 _09716_ (.A(_04002_),
+    .B(\u_m1_cmd_fifo.mem[3][13] ),
     .X(_04331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08692_ (.A(_04321_),
+ sky130_fd_sc_hd__o21a_4 _09717_ (.A1(_04008_),
+    .A2(\u_m1_cmd_fifo.mem[2][13] ),
+    .B1(_03285_),
     .X(_04332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08693_ (.A1(_04330_),
-    .A2(_04331_),
-    .A3(\u_spictrl.res_fifo_wdata[19] ),
-    .B1(\u_m0_res_fifo.mem[1][19] ),
+ sky130_fd_sc_hd__a32o_4 _09718_ (.A1(_03998_),
+    .A2(_04329_),
+    .A3(_04330_),
+    .B1(_04331_),
     .B2(_04332_),
-    .X(_00208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08694_ (.A1(_04330_),
-    .A2(_04331_),
-    .A3(\u_spictrl.res_fifo_wdata[18] ),
-    .B1(\u_m0_res_fifo.mem[1][18] ),
-    .B2(_04332_),
-    .X(_00207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08695_ (.A1(_04330_),
-    .A2(_04331_),
-    .A3(\u_spictrl.res_fifo_wdata[17] ),
-    .B1(\u_m0_res_fifo.mem[1][17] ),
-    .B2(_04332_),
-    .X(_00206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08696_ (.A1(_04330_),
-    .A2(_04331_),
-    .A3(\u_spictrl.res_fifo_wdata[16] ),
-    .B1(\u_m0_res_fifo.mem[1][16] ),
-    .B2(_04332_),
-    .X(_00205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08697_ (.A(_03774_),
     .X(_04333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08698_ (.A(_04333_),
+ sky130_fd_sc_hd__o22a_4 _09719_ (.A1(_04139_),
+    .A2(_04328_),
+    .B1(_03995_),
+    .B2(_04333_),
     .X(_04334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08699_ (.A(_04327_),
+ sky130_fd_sc_hd__o22a_4 _09720_ (.A1(_04318_),
+    .A2(_04326_),
+    .B1(_04327_),
+    .B2(_04334_),
     .X(_04335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08700_ (.A(_04320_),
+ sky130_fd_sc_hd__or2_4 _09721_ (.A(_04232_),
+    .B(_04335_),
     .X(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08701_ (.A(_04336_),
+ sky130_fd_sc_hd__a32o_4 _09722_ (.A1(_03790_),
+    .A2(_04317_),
+    .A3(_04336_),
+    .B1(_04300_),
+    .B2(_04334_),
     .X(_04337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08702_ (.A1(_04334_),
-    .A2(_04335_),
-    .A3(\u_spictrl.res_fifo_wdata[15] ),
-    .B1(\u_m0_res_fifo.mem[1][15] ),
-    .B2(_04337_),
-    .X(_00204_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08703_ (.A1(_04334_),
-    .A2(_04335_),
-    .A3(\u_spictrl.res_fifo_wdata[14] ),
-    .B1(\u_m0_res_fifo.mem[1][14] ),
-    .B2(_04337_),
-    .X(_00203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08704_ (.A1(_04334_),
-    .A2(_04335_),
-    .A3(\u_spictrl.res_fifo_wdata[13] ),
-    .B1(\u_m0_res_fifo.mem[1][13] ),
-    .B2(_04337_),
-    .X(_00202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08705_ (.A1(_04334_),
-    .A2(_04335_),
-    .A3(\u_spictrl.res_fifo_wdata[12] ),
-    .B1(\u_m0_res_fifo.mem[1][12] ),
-    .B2(_04337_),
-    .X(_00201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08706_ (.A(_04333_),
+ sky130_fd_sc_hd__and2_4 _09723_ (.A(_04270_),
+    .B(_04337_),
     .X(_04338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08707_ (.A(_04327_),
+ sky130_fd_sc_hd__a211o_4 _09724_ (.A1(_04262_),
+    .A2(_04306_),
+    .B1(_04308_),
+    .C1(_04338_),
     .X(_04339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08708_ (.A(_04336_),
+ sky130_fd_sc_hd__a32o_4 _09725_ (.A1(_04261_),
+    .A2(_04218_),
+    .A3(_04339_),
+    .B1(_04121_),
+    .B2(_04216_),
+    .X(_00989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09726_ (.A(\u_spictrl.u_txreg.data_int[16] ),
     .X(_04340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08709_ (.A1(_04338_),
-    .A2(_04339_),
-    .A3(\u_spictrl.res_fifo_wdata[11] ),
-    .B1(\u_m0_res_fifo.mem[1][11] ),
-    .B2(_04340_),
-    .X(_00200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08710_ (.A1(_04338_),
-    .A2(_04339_),
-    .A3(\u_spictrl.res_fifo_wdata[10] ),
-    .B1(\u_m0_res_fifo.mem[1][10] ),
-    .B2(_04340_),
-    .X(_00199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08711_ (.A1(_04338_),
-    .A2(_04339_),
-    .A3(\u_spictrl.res_fifo_wdata[9] ),
-    .B1(\u_m0_res_fifo.mem[1][9] ),
-    .B2(_04340_),
-    .X(_00198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08712_ (.A1(_04338_),
-    .A2(_04339_),
-    .A3(\u_spictrl.res_fifo_wdata[8] ),
-    .B1(\u_m0_res_fifo.mem[1][8] ),
-    .B2(_04340_),
-    .X(_00197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08713_ (.A(_04333_),
+ sky130_fd_sc_hd__buf_2 _09727_ (.A(_04172_),
     .X(_04341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08714_ (.A(_03780_),
+ sky130_fd_sc_hd__o22a_4 _09728_ (.A1(\u_spictrl.u_txreg.data_int[19] ),
+    .A2(_04266_),
+    .B1(_04263_),
+    .B2(_04341_),
     .X(_04342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08715_ (.A(_04342_),
+ sky130_fd_sc_hd__o22a_4 _09729_ (.A1(_04340_),
+    .A2(_04264_),
+    .B1(_04265_),
+    .B2(_04342_),
     .X(_04343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08716_ (.A(_04336_),
+ sky130_fd_sc_hd__and2_4 _09730_ (.A(_04171_),
+    .B(_04307_),
     .X(_04344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08717_ (.A1(_04341_),
-    .A2(_04343_),
-    .A3(\u_spictrl.res_fifo_wdata[7] ),
-    .B1(\u_m0_res_fifo.mem[1][7] ),
-    .B2(_04344_),
-    .X(_00196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08718_ (.A1(_04341_),
-    .A2(_04343_),
-    .A3(\u_spictrl.res_fifo_wdata[6] ),
-    .B1(\u_m0_res_fifo.mem[1][6] ),
-    .B2(_04344_),
-    .X(_00195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08719_ (.A1(_04341_),
-    .A2(_04343_),
-    .A3(\u_spictrl.res_fifo_wdata[5] ),
-    .B1(\u_m0_res_fifo.mem[1][5] ),
-    .B2(_04344_),
-    .X(_00194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08720_ (.A1(_04341_),
-    .A2(_04343_),
-    .A3(\u_spictrl.res_fifo_wdata[4] ),
-    .B1(\u_m0_res_fifo.mem[1][4] ),
-    .B2(_04344_),
-    .X(_00193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08721_ (.A(_04333_),
+ sky130_fd_sc_hd__buf_2 _09731_ (.A(_03789_),
     .X(_04345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08722_ (.A(_04342_),
+ sky130_fd_sc_hd__and2_4 _09732_ (.A(_03291_),
+    .B(\u_m1_cmd_fifo.mem[2][4] ),
     .X(_04346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08723_ (.A(_04336_),
+ sky130_fd_sc_hd__a211o_4 _09733_ (.A1(_04309_),
+    .A2(\u_m1_cmd_fifo.mem[3][4] ),
+    .B1(_03379_),
+    .C1(_04346_),
     .X(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08724_ (.A1(_04345_),
-    .A2(_04346_),
-    .A3(\u_spictrl.res_fifo_wdata[3] ),
-    .B1(\u_m0_res_fifo.mem[1][3] ),
-    .B2(_04347_),
-    .X(_00192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08725_ (.A1(_04345_),
-    .A2(_04346_),
-    .A3(\u_spictrl.res_fifo_wdata[2] ),
-    .B1(\u_m0_res_fifo.mem[1][2] ),
-    .B2(_04347_),
-    .X(_00191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08726_ (.A1(_04345_),
-    .A2(_04346_),
-    .A3(\u_spictrl.res_fifo_wdata[1] ),
-    .B1(\u_m0_res_fifo.mem[1][1] ),
-    .B2(_04347_),
-    .X(_00190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08727_ (.A1(_04345_),
-    .A2(_04346_),
-    .A3(\u_spictrl.res_fifo_wdata[0] ),
-    .B1(\u_m0_res_fifo.mem[1][0] ),
-    .B2(_04347_),
-    .X(_00189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08728_ (.A(_03786_),
+ sky130_fd_sc_hd__and2_4 _09734_ (.A(_03291_),
+    .B(\u_m1_cmd_fifo.mem[0][4] ),
     .X(_04348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08729_ (.A(_04342_),
+ sky130_fd_sc_hd__a211o_4 _09735_ (.A1(_04309_),
+    .A2(\u_m1_cmd_fifo.mem[1][4] ),
+    .B1(_04129_),
+    .C1(_04348_),
     .X(_04349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08730_ (.A1(_04348_),
-    .A2(_04349_),
-    .A3(\u_spictrl.res_fifo_wdata[31] ),
-    .B1(\u_m0_res_fifo.mem[3][31] ),
-    .B2(_03785_),
-    .X(_00188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08731_ (.A1(_04348_),
-    .A2(_04349_),
-    .A3(\u_spictrl.res_fifo_wdata[30] ),
-    .B1(\u_m0_res_fifo.mem[3][30] ),
-    .B2(_03785_),
-    .X(_00187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08732_ (.A1(_04348_),
-    .A2(_04349_),
-    .A3(\u_spictrl.res_fifo_wdata[29] ),
-    .B1(\u_m0_res_fifo.mem[3][29] ),
-    .B2(_03785_),
-    .X(_00186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08733_ (.A(_03778_),
+ sky130_fd_sc_hd__or2_4 _09736_ (.A(_03517_),
+    .B(\u_m0_cmd_fifo.mem[1][4] ),
     .X(_04350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08734_ (.A1(_04348_),
-    .A2(_04349_),
-    .A3(\u_spictrl.res_fifo_wdata[28] ),
-    .B1(\u_m0_res_fifo.mem[3][28] ),
-    .B2(_04350_),
-    .X(_00185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08735_ (.A(_03786_),
+ sky130_fd_sc_hd__o21a_4 _09737_ (.A1(_04134_),
+    .A2(\u_m0_cmd_fifo.mem[0][4] ),
+    .B1(_03978_),
     .X(_04351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08736_ (.A(_04342_),
+ sky130_fd_sc_hd__a32o_4 _09738_ (.A1(_04347_),
+    .A2(_04349_),
+    .A3(_01525_),
+    .B1(_04350_),
+    .B2(_04351_),
     .X(_04352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08737_ (.A1(_04351_),
-    .A2(_04352_),
-    .A3(\u_spictrl.res_fifo_wdata[27] ),
-    .B1(\u_m0_res_fifo.mem[3][27] ),
-    .B2(_04350_),
-    .X(_00184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08738_ (.A1(_04351_),
-    .A2(_04352_),
-    .A3(\u_spictrl.res_fifo_wdata[26] ),
-    .B1(\u_m0_res_fifo.mem[3][26] ),
-    .B2(_04350_),
-    .X(_00183_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08739_ (.A1(_04351_),
-    .A2(_04352_),
-    .A3(\u_spictrl.res_fifo_wdata[25] ),
-    .B1(\u_m0_res_fifo.mem[3][25] ),
-    .B2(_04350_),
-    .X(_00182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08740_ (.A(_03777_),
+ sky130_fd_sc_hd__or2_4 _09739_ (.A(_04223_),
+    .B(_04352_),
     .X(_04353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08741_ (.A(_04353_),
+ sky130_fd_sc_hd__o22a_4 _09740_ (.A1(_04287_),
+    .A2(\u_m0_cmd_fifo.mem[0][20] ),
+    .B1(_04234_),
+    .B2(\u_m0_cmd_fifo.mem[1][20] ),
     .X(_04354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08742_ (.A1(_04351_),
-    .A2(_04352_),
-    .A3(\u_spictrl.res_fifo_wdata[24] ),
-    .B1(\u_m0_res_fifo.mem[3][24] ),
-    .B2(_04354_),
-    .X(_00181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08743_ (.A(_03786_),
+ sky130_fd_sc_hd__or2_4 _09741_ (.A(_04289_),
+    .B(\u_m1_cmd_fifo.mem[0][20] ),
     .X(_04355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08744_ (.A(_03780_),
+ sky130_fd_sc_hd__or2_4 _09742_ (.A(_04240_),
+    .B(\u_m1_cmd_fifo.mem[1][20] ),
     .X(_04356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08745_ (.A(_04356_),
+ sky130_fd_sc_hd__or2_4 _09743_ (.A(_04195_),
+    .B(\u_m1_cmd_fifo.mem[3][20] ),
     .X(_04357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08746_ (.A1(_04355_),
-    .A2(_04357_),
-    .A3(\u_spictrl.res_fifo_wdata[23] ),
-    .B1(\u_m0_res_fifo.mem[3][23] ),
-    .B2(_04354_),
-    .X(_00180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08747_ (.A1(_04355_),
-    .A2(_04357_),
-    .A3(\u_spictrl.res_fifo_wdata[22] ),
-    .B1(\u_m0_res_fifo.mem[3][22] ),
-    .B2(_04354_),
-    .X(_00179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08748_ (.A1(_04355_),
-    .A2(_04357_),
-    .A3(\u_spictrl.res_fifo_wdata[21] ),
-    .B1(\u_m0_res_fifo.mem[3][21] ),
-    .B2(_04354_),
-    .X(_00178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08749_ (.A(_04353_),
+ sky130_fd_sc_hd__o21a_4 _09744_ (.A1(_04293_),
+    .A2(\u_m1_cmd_fifo.mem[2][20] ),
+    .B1(_04294_),
     .X(_04358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08750_ (.A1(_04355_),
-    .A2(_04357_),
-    .A3(\u_spictrl.res_fifo_wdata[20] ),
-    .B1(\u_m0_res_fifo.mem[3][20] ),
+ sky130_fd_sc_hd__a32o_4 _09745_ (.A1(_04236_),
+    .A2(_04355_),
+    .A3(_04356_),
+    .B1(_04357_),
     .B2(_04358_),
-    .X(_00177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08751_ (.A(_03779_),
     .X(_04359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08752_ (.A(_04359_),
+ sky130_fd_sc_hd__o22a_4 _09746_ (.A1(_03988_),
+    .A2(_04354_),
+    .B1(_03977_),
+    .B2(_04359_),
     .X(_04360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08753_ (.A(_04356_),
+ sky130_fd_sc_hd__o22a_4 _09747_ (.A1(_03509_),
+    .A2(\u_m0_cmd_fifo.mem[0][12] ),
+    .B1(_04045_),
+    .B2(\u_m0_cmd_fifo.mem[1][12] ),
     .X(_04361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08754_ (.A1(_04360_),
-    .A2(_04361_),
-    .A3(\u_spictrl.res_fifo_wdata[19] ),
-    .B1(\u_m0_res_fifo.mem[3][19] ),
-    .B2(_04358_),
-    .X(_00176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08755_ (.A1(_04360_),
-    .A2(_04361_),
-    .A3(\u_spictrl.res_fifo_wdata[18] ),
-    .B1(\u_m0_res_fifo.mem[3][18] ),
-    .B2(_04358_),
-    .X(_00175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08756_ (.A1(_04360_),
-    .A2(_04361_),
-    .A3(\u_spictrl.res_fifo_wdata[17] ),
-    .B1(\u_m0_res_fifo.mem[3][17] ),
-    .B2(_04358_),
-    .X(_00174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08757_ (.A(_04353_),
+ sky130_fd_sc_hd__or2_4 _09748_ (.A(_03964_),
+    .B(\u_m1_cmd_fifo.mem[0][12] ),
     .X(_04362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08758_ (.A1(_04360_),
-    .A2(_04361_),
-    .A3(\u_spictrl.res_fifo_wdata[16] ),
-    .B1(\u_m0_res_fifo.mem[3][16] ),
-    .B2(_04362_),
-    .X(_00173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08759_ (.A(_04359_),
+ sky130_fd_sc_hd__or2_4 _09749_ (.A(_04196_),
+    .B(\u_m1_cmd_fifo.mem[1][12] ),
     .X(_04363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08760_ (.A(_04356_),
+ sky130_fd_sc_hd__or2_4 _09750_ (.A(_04196_),
+    .B(\u_m1_cmd_fifo.mem[3][12] ),
     .X(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08761_ (.A1(_04363_),
-    .A2(_04364_),
-    .A3(\u_spictrl.res_fifo_wdata[15] ),
-    .B1(\u_m0_res_fifo.mem[3][15] ),
-    .B2(_04362_),
-    .X(_00172_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08762_ (.A1(_04363_),
-    .A2(_04364_),
-    .A3(\u_spictrl.res_fifo_wdata[14] ),
-    .B1(\u_m0_res_fifo.mem[3][14] ),
-    .B2(_04362_),
-    .X(_00171_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08763_ (.A1(_04363_),
-    .A2(_04364_),
-    .A3(\u_spictrl.res_fifo_wdata[13] ),
-    .B1(\u_m0_res_fifo.mem[3][13] ),
-    .B2(_04362_),
-    .X(_00170_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08764_ (.A(_04353_),
+ sky130_fd_sc_hd__o21a_4 _09751_ (.A1(_04049_),
+    .A2(\u_m1_cmd_fifo.mem[2][12] ),
+    .B1(_03285_),
     .X(_04365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08765_ (.A1(_04363_),
-    .A2(_04364_),
-    .A3(\u_spictrl.res_fifo_wdata[12] ),
-    .B1(\u_m0_res_fifo.mem[3][12] ),
+ sky130_fd_sc_hd__a32o_4 _09752_ (.A1(_03378_),
+    .A2(_04362_),
+    .A3(_04363_),
+    .B1(_04364_),
     .B2(_04365_),
-    .X(_00169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08766_ (.A(_04359_),
     .X(_04366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08767_ (.A(_04356_),
+ sky130_fd_sc_hd__o22a_4 _09753_ (.A1(_04139_),
+    .A2(_04361_),
+    .B1(_03978_),
+    .B2(_04366_),
     .X(_04367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08768_ (.A1(_04366_),
-    .A2(_04367_),
-    .A3(\u_spictrl.res_fifo_wdata[11] ),
-    .B1(\u_m0_res_fifo.mem[3][11] ),
-    .B2(_04365_),
-    .X(_00168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08769_ (.A1(_04366_),
-    .A2(_04367_),
-    .A3(\u_spictrl.res_fifo_wdata[10] ),
-    .B1(\u_m0_res_fifo.mem[3][10] ),
-    .B2(_04365_),
-    .X(_00167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08770_ (.A1(_04366_),
-    .A2(_04367_),
-    .A3(\u_spictrl.res_fifo_wdata[9] ),
-    .B1(\u_m0_res_fifo.mem[3][9] ),
-    .B2(_04365_),
-    .X(_00166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08771_ (.A(_03777_),
+ sky130_fd_sc_hd__o22a_4 _09754_ (.A1(_04318_),
+    .A2(_04360_),
+    .B1(_04327_),
+    .B2(_04367_),
     .X(_04368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08772_ (.A1(_04366_),
-    .A2(_04367_),
-    .A3(\u_spictrl.res_fifo_wdata[8] ),
-    .B1(\u_m0_res_fifo.mem[3][8] ),
-    .B2(_04368_),
-    .X(_00165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08773_ (.A(_04359_),
+ sky130_fd_sc_hd__or2_4 _09755_ (.A(_04232_),
+    .B(_04368_),
     .X(_04369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08774_ (.A(_03781_),
+ sky130_fd_sc_hd__a32o_4 _09756_ (.A1(_04345_),
+    .A2(_04353_),
+    .A3(_04369_),
+    .B1(_04300_),
+    .B2(_04367_),
     .X(_04370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08775_ (.A1(_04369_),
-    .A2(_04370_),
-    .A3(\u_spictrl.res_fifo_wdata[7] ),
-    .B1(\u_m0_res_fifo.mem[3][7] ),
-    .B2(_04368_),
-    .X(_00164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08776_ (.A1(_04369_),
-    .A2(_04370_),
-    .A3(\u_spictrl.res_fifo_wdata[6] ),
-    .B1(\u_m0_res_fifo.mem[3][6] ),
-    .B2(_04368_),
-    .X(_00163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08777_ (.A1(_04369_),
-    .A2(_04370_),
-    .A3(\u_spictrl.res_fifo_wdata[5] ),
-    .B1(\u_m0_res_fifo.mem[3][5] ),
-    .B2(_04368_),
-    .X(_00162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08778_ (.A(_03777_),
+ sky130_fd_sc_hd__and2_4 _09757_ (.A(_04270_),
+    .B(_04370_),
     .X(_04371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08779_ (.A1(_04369_),
-    .A2(_04370_),
-    .A3(\u_spictrl.res_fifo_wdata[4] ),
-    .B1(\u_m0_res_fifo.mem[3][4] ),
-    .B2(_04371_),
-    .X(_00161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08780_ (.A(_03779_),
+ sky130_fd_sc_hd__a211o_4 _09758_ (.A1(_04262_),
+    .A2(_04343_),
+    .B1(_04344_),
+    .C1(_04371_),
     .X(_04372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08781_ (.A(_03781_),
+ sky130_fd_sc_hd__buf_2 _09759_ (.A(_04215_),
     .X(_04373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08782_ (.A1(_04372_),
-    .A2(_04373_),
-    .A3(\u_spictrl.res_fifo_wdata[3] ),
-    .B1(\u_m0_res_fifo.mem[3][3] ),
-    .B2(_04371_),
-    .X(_00160_),
+ sky130_fd_sc_hd__a32o_4 _09760_ (.A1(_04261_),
+    .A2(_04218_),
+    .A3(_04372_),
+    .B1(_04171_),
+    .B2(_04373_),
+    .X(_00988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08783_ (.A1(_04372_),
-    .A2(_04373_),
-    .A3(\u_spictrl.res_fifo_wdata[2] ),
-    .B1(\u_m0_res_fifo.mem[3][2] ),
-    .B2(_04371_),
-    .X(_00159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08784_ (.A1(_04372_),
-    .A2(_04373_),
-    .A3(\u_spictrl.res_fifo_wdata[1] ),
-    .B1(\u_m0_res_fifo.mem[3][1] ),
-    .B2(_04371_),
-    .X(_00158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08785_ (.A1(_04372_),
-    .A2(_04373_),
-    .A3(\u_spictrl.res_fifo_wdata[0] ),
-    .B1(\u_m0_res_fifo.mem[3][0] ),
-    .B2(_03778_),
-    .X(_00157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08786_ (.A(_01985_),
+ sky130_fd_sc_hd__buf_2 _09761_ (.A(_04217_),
     .X(_04374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08787_ (.A1_N(_04374_),
-    .A2_N(_03813_),
-    .B1(\u_m1_cmd_fifo.mem[3][31] ),
-    .B2(_04374_),
-    .X(_00156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08788_ (.A1_N(_04374_),
-    .A2_N(_03817_),
-    .B1(\u_m1_cmd_fifo.mem[3][30] ),
-    .B2(_04374_),
-    .X(_00155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08789_ (.A(_01985_),
+ sky130_fd_sc_hd__buf_2 _09762_ (.A(\u_spictrl.u_txreg.data_int[15] ),
     .X(_04375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08790_ (.A1_N(_04375_),
-    .A2_N(_03824_),
-    .B1(\u_m1_cmd_fifo.mem[3][29] ),
-    .B2(_04375_),
-    .X(_00154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08791_ (.A1_N(_04375_),
-    .A2_N(_03831_),
-    .B1(\u_m1_cmd_fifo.mem[3][28] ),
-    .B2(_04375_),
-    .X(_00153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08792_ (.A(_01984_),
+ sky130_fd_sc_hd__o22a_4 _09763_ (.A1(\u_spictrl.u_txreg.data_int[18] ),
+    .A2(_04266_),
+    .B1(_04304_),
+    .B2(_04341_),
     .X(_04376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08793_ (.A(_04376_),
+ sky130_fd_sc_hd__o22a_4 _09764_ (.A1(_04375_),
+    .A2(_04264_),
+    .B1(_04265_),
+    .B2(_04376_),
     .X(_04377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08794_ (.A(_04377_),
+ sky130_fd_sc_hd__and2_4 _09765_ (.A(_04219_),
+    .B(_04307_),
     .X(_04378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08795_ (.A1_N(_04378_),
-    .A2_N(_03840_),
-    .B1(\u_m1_cmd_fifo.mem[3][27] ),
-    .B2(_04378_),
-    .X(_00152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08796_ (.A1_N(_04378_),
-    .A2_N(_03844_),
-    .B1(\u_m1_cmd_fifo.mem[3][26] ),
-    .B2(_04378_),
-    .X(_00151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08797_ (.A(_04377_),
+ sky130_fd_sc_hd__buf_2 _09766_ (.A(_03986_),
     .X(_04379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08798_ (.A1_N(_04379_),
-    .A2_N(_03850_),
-    .B1(\u_m1_cmd_fifo.mem[3][25] ),
-    .B2(_04379_),
-    .X(_00150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08799_ (.A1_N(_04379_),
-    .A2_N(_03856_),
-    .B1(\u_m1_cmd_fifo.mem[3][24] ),
-    .B2(_04379_),
-    .X(_00149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08800_ (.A(_04377_),
+ sky130_fd_sc_hd__or2_4 _09767_ (.A(_04379_),
+    .B(_03981_),
     .X(_04380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08801_ (.A1_N(_04380_),
-    .A2_N(_03867_),
-    .B1(\u_m1_cmd_fifo.mem[3][23] ),
-    .B2(_04380_),
-    .X(_00148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08802_ (.A1_N(_04380_),
-    .A2_N(_03871_),
-    .B1(\u_m1_cmd_fifo.mem[3][22] ),
-    .B2(_04380_),
-    .X(_00147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08803_ (.A(_04377_),
+ sky130_fd_sc_hd__buf_2 _09768_ (.A(_04015_),
     .X(_04381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08804_ (.A1_N(_04381_),
-    .A2_N(_03877_),
-    .B1(\u_m1_cmd_fifo.mem[3][21] ),
-    .B2(_04381_),
-    .X(_00146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08805_ (.A1_N(_04381_),
-    .A2_N(_03883_),
-    .B1(\u_m1_cmd_fifo.mem[3][20] ),
-    .B2(_04381_),
-    .X(_00145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08806_ (.A(_04376_),
+ sky130_fd_sc_hd__o22a_4 _09769_ (.A1(_04318_),
+    .A2(_04059_),
+    .B1(_04327_),
+    .B2(_04012_),
     .X(_04382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08807_ (.A(_04382_),
+ sky130_fd_sc_hd__or2_4 _09770_ (.A(_04381_),
+    .B(_04382_),
     .X(_04383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08808_ (.A1_N(_04383_),
-    .A2_N(_03890_),
-    .B1(\u_m1_cmd_fifo.mem[3][19] ),
-    .B2(_04383_),
-    .X(_00144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08809_ (.A1_N(_04383_),
-    .A2_N(_03895_),
-    .B1(\u_m1_cmd_fifo.mem[3][18] ),
-    .B2(_04383_),
-    .X(_00143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08810_ (.A(_04382_),
+ sky130_fd_sc_hd__a32o_4 _09771_ (.A1(_04345_),
+    .A2(_04380_),
+    .A3(_04383_),
+    .B1(_04300_),
+    .B2(_04012_),
     .X(_04384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08811_ (.A1_N(_04384_),
-    .A2_N(_03902_),
-    .B1(\u_m1_cmd_fifo.mem[3][17] ),
-    .B2(_04384_),
-    .X(_00142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08812_ (.A1_N(_04384_),
-    .A2_N(_03907_),
-    .B1(\u_m1_cmd_fifo.mem[3][16] ),
-    .B2(_04384_),
-    .X(_00141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08813_ (.A(_04382_),
+ sky130_fd_sc_hd__and2_4 _09772_ (.A(_04270_),
+    .B(_04384_),
     .X(_04385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08814_ (.A1_N(_04385_),
-    .A2_N(_03915_),
-    .B1(\u_m1_cmd_fifo.mem[3][15] ),
-    .B2(_04385_),
-    .X(_00140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08815_ (.A1_N(_04385_),
-    .A2_N(_03920_),
-    .B1(\u_m1_cmd_fifo.mem[3][14] ),
-    .B2(_04385_),
-    .X(_00139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08816_ (.A(_04382_),
+ sky130_fd_sc_hd__a211o_4 _09773_ (.A1(_04262_),
+    .A2(_04377_),
+    .B1(_04378_),
+    .C1(_04385_),
     .X(_04386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08817_ (.A1_N(_04386_),
-    .A2_N(_03927_),
-    .B1(\u_m1_cmd_fifo.mem[3][13] ),
-    .B2(_04386_),
-    .X(_00138_),
+ sky130_fd_sc_hd__a32o_4 _09774_ (.A1(_04261_),
+    .A2(_04374_),
+    .A3(_04386_),
+    .B1(_04219_),
+    .B2(_04373_),
+    .X(_00987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08818_ (.A1_N(_04386_),
-    .A2_N(_03933_),
-    .B1(\u_m1_cmd_fifo.mem[3][12] ),
-    .B2(_04386_),
-    .X(_00137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08819_ (.A(_01984_),
+ sky130_fd_sc_hd__buf_2 _09775_ (.A(_04260_),
     .X(_04387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08820_ (.A(_04387_),
+ sky130_fd_sc_hd__buf_2 _09776_ (.A(_03922_),
     .X(_04388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08821_ (.A1_N(_04388_),
-    .A2_N(_03939_),
-    .B1(\u_m1_cmd_fifo.mem[3][11] ),
-    .B2(_04388_),
-    .X(_00136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08822_ (.A1_N(_04388_),
-    .A2_N(_03944_),
-    .B1(\u_m1_cmd_fifo.mem[3][10] ),
-    .B2(_04388_),
-    .X(_00135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08823_ (.A(_04387_),
+ sky130_fd_sc_hd__buf_2 _09777_ (.A(\u_spictrl.u_txreg.data_int[14] ),
     .X(_04389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08824_ (.A1_N(_04389_),
-    .A2_N(_03950_),
-    .B1(\u_m1_cmd_fifo.mem[3][9] ),
-    .B2(_04389_),
-    .X(_00134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08825_ (.A1_N(_04389_),
-    .A2_N(_03956_),
-    .B1(\u_m1_cmd_fifo.mem[3][8] ),
-    .B2(_04389_),
-    .X(_00133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08826_ (.A(_04387_),
+ sky130_fd_sc_hd__buf_2 _09778_ (.A(_03926_),
     .X(_04390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08827_ (.A1_N(_04390_),
-    .A2_N(_03964_),
-    .B1(\u_m1_cmd_fifo.mem[3][7] ),
-    .B2(_04390_),
-    .X(_00132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08828_ (.A1_N(_04390_),
-    .A2_N(_03969_),
-    .B1(\u_m1_cmd_fifo.mem[3][6] ),
-    .B2(_04390_),
-    .X(_00131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08829_ (.A(_04387_),
+ sky130_fd_sc_hd__buf_2 _09779_ (.A(_03929_),
     .X(_04391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08830_ (.A1_N(_04391_),
-    .A2_N(_03975_),
-    .B1(\u_m1_cmd_fifo.mem[3][5] ),
-    .B2(_04391_),
-    .X(_00130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08831_ (.A1_N(_04391_),
-    .A2_N(_03981_),
-    .B1(\u_m1_cmd_fifo.mem[3][4] ),
-    .B2(_04391_),
-    .X(_00129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08832_ (.A(_04376_),
+ sky130_fd_sc_hd__buf_2 _09780_ (.A(_04391_),
     .X(_04392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08833_ (.A1_N(_04392_),
-    .A2_N(_03988_),
-    .B1(\u_m1_cmd_fifo.mem[3][3] ),
-    .B2(_04392_),
-    .X(_00128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08834_ (.A1_N(_04392_),
-    .A2_N(_03992_),
-    .B1(\u_m1_cmd_fifo.mem[3][2] ),
-    .B2(_04392_),
-    .X(_00127_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08835_ (.A(_04376_),
+ sky130_fd_sc_hd__buf_2 _09781_ (.A(_03934_),
     .X(_04393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08836_ (.A1_N(_04393_),
-    .A2_N(_03997_),
-    .B1(\u_m1_cmd_fifo.mem[3][1] ),
-    .B2(_04393_),
-    .X(_00126_),
+ sky130_fd_sc_hd__o22a_4 _09782_ (.A1(\u_spictrl.u_txreg.data_int[17] ),
+    .A2(_04393_),
+    .B1(_04340_),
+    .B2(_04341_),
+    .X(_04394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08837_ (.A1_N(_04393_),
-    .A2_N(_04001_),
-    .B1(\u_m1_cmd_fifo.mem[3][0] ),
-    .B2(_04393_),
-    .X(_00125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08838_ (.A(\u_m1_res_fifo.mem[0][31] ),
-    .Y(_04394_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08839_ (.A(\u_spictrl.res_fifo_wr ),
-    .B(\u_spictrl.gnt[1] ),
-    .C(_00922_),
+ sky130_fd_sc_hd__o22a_4 _09783_ (.A1(_04389_),
+    .A2(_04390_),
+    .B1(_04392_),
+    .B2(_04394_),
     .X(_04395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08840_ (.A(_04395_),
+ sky130_fd_sc_hd__and2_4 _09784_ (.A(_04263_),
+    .B(_04307_),
     .X(_04396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08841_ (.A(_04396_),
+ sky130_fd_sc_hd__buf_2 _09785_ (.A(_03953_),
     .X(_04397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08842_ (.A1_N(_04394_),
-    .A2_N(_04397_),
-    .B1(_04004_),
-    .B2(_04397_),
-    .X(_00124_),
+ sky130_fd_sc_hd__or2_4 _09786_ (.A(_04379_),
+    .B(_04086_),
+    .X(_04398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08843_ (.A1_N(_00971_),
-    .A2_N(_04397_),
-    .B1(_04005_),
-    .B2(_04397_),
-    .X(_00123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08844_ (.A(\u_m1_res_fifo.mem[0][29] ),
-    .Y(_04398_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08845_ (.A(_04396_),
+ sky130_fd_sc_hd__o22a_4 _09787_ (.A1(_04318_),
+    .A2(_04114_),
+    .B1(_04327_),
+    .B2(_04095_),
     .X(_04399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08846_ (.A1_N(_04398_),
-    .A2_N(_04399_),
-    .B1(_04008_),
-    .B2(_04399_),
-    .X(_00122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08847_ (.A1_N(_01113_),
-    .A2_N(_04399_),
-    .B1(_04009_),
-    .B2(_04399_),
-    .X(_00121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08848_ (.A(_04396_),
+ sky130_fd_sc_hd__or2_4 _09788_ (.A(_04381_),
+    .B(_04399_),
     .X(_04400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08849_ (.A1_N(_01136_),
-    .A2_N(_04400_),
-    .B1(_04011_),
-    .B2(_04400_),
-    .X(_00120_),
+ sky130_fd_sc_hd__buf_2 _09789_ (.A(_04255_),
+    .X(_04401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08850_ (.A(\u_m1_res_fifo.mem[0][26] ),
-    .Y(_04401_),
+ sky130_fd_sc_hd__a32o_4 _09790_ (.A1(_04345_),
+    .A2(_04398_),
+    .A3(_04400_),
+    .B1(_04401_),
+    .B2(_04095_),
+    .X(_04402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08851_ (.A1_N(_04401_),
-    .A2_N(_04400_),
-    .B1(_04013_),
-    .B2(_04400_),
-    .X(_00119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08852_ (.A(\u_m1_res_fifo.mem[0][25] ),
-    .Y(_04402_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08853_ (.A(_04396_),
+ sky130_fd_sc_hd__and2_4 _09791_ (.A(_04397_),
+    .B(_04402_),
     .X(_04403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08854_ (.A1_N(_04402_),
-    .A2_N(_04403_),
-    .B1(_04017_),
-    .B2(_04403_),
-    .X(_00118_),
+ sky130_fd_sc_hd__a211o_4 _09792_ (.A1(_04388_),
+    .A2(_04395_),
+    .B1(_04396_),
+    .C1(_04403_),
+    .X(_04404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08855_ (.A(\u_m1_res_fifo.mem[0][24] ),
-    .Y(_04404_),
+ sky130_fd_sc_hd__a32o_4 _09793_ (.A1(_04387_),
+    .A2(_04374_),
+    .A3(_04404_),
+    .B1(_04263_),
+    .B2(_04373_),
+    .X(_00986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08856_ (.A1_N(_04404_),
-    .A2_N(_04403_),
-    .B1(_04019_),
-    .B2(_04403_),
-    .X(_00117_),
+ sky130_fd_sc_hd__buf_2 _09794_ (.A(\u_spictrl.u_txreg.data_int[13] ),
+    .X(_04405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08857_ (.A(\u_m1_res_fifo.mem[0][23] ),
-    .Y(_04405_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08858_ (.A(_04395_),
+ sky130_fd_sc_hd__o22a_4 _09795_ (.A1(\u_spictrl.u_txreg.data_int[16] ),
+    .A2(_04393_),
+    .B1(_04375_),
+    .B2(_04341_),
     .X(_04406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08859_ (.A(_04406_),
+ sky130_fd_sc_hd__o22a_4 _09796_ (.A1(_04405_),
+    .A2(_04390_),
+    .B1(_04392_),
+    .B2(_04406_),
     .X(_04407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08860_ (.A1_N(_04405_),
-    .A2_N(_04407_),
-    .B1(_04022_),
-    .B2(_04407_),
-    .X(_00116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08861_ (.A1_N(_01228_),
-    .A2_N(_04407_),
-    .B1(_04023_),
-    .B2(_04407_),
-    .X(_00115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08862_ (.A(_04406_),
+ sky130_fd_sc_hd__buf_2 _09797_ (.A(_03947_),
     .X(_04408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08863_ (.A1_N(_01240_),
-    .A2_N(_04408_),
-    .B1(_04025_),
-    .B2(_04408_),
-    .X(_00114_),
+ sky130_fd_sc_hd__and2_4 _09798_ (.A(_04304_),
+    .B(_04408_),
+    .X(_04409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08864_ (.A(\u_m1_res_fifo.mem[0][20] ),
-    .Y(_04409_),
+ sky130_fd_sc_hd__or2_4 _09799_ (.A(_04379_),
+    .B(_04136_),
+    .X(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08865_ (.A1_N(_04409_),
-    .A2_N(_04408_),
-    .B1(_04027_),
-    .B2(_04408_),
-    .X(_00113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08866_ (.A(\u_m1_res_fifo.mem[0][19] ),
-    .Y(_04410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08867_ (.A(_04406_),
+ sky130_fd_sc_hd__o22a_4 _09800_ (.A1(_04020_),
+    .A2(_04164_),
+    .B1(_04042_),
+    .B2(_04147_),
     .X(_04411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08868_ (.A1_N(_04410_),
-    .A2_N(_04411_),
-    .B1(_04030_),
-    .B2(_04411_),
-    .X(_00112_),
+ sky130_fd_sc_hd__or2_4 _09801_ (.A(_04381_),
+    .B(_04411_),
+    .X(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08869_ (.A(\u_m1_res_fifo.mem[0][18] ),
-    .Y(_04412_),
+ sky130_fd_sc_hd__a32o_4 _09802_ (.A1(_04345_),
+    .A2(_04410_),
+    .A3(_04412_),
+    .B1(_04401_),
+    .B2(_04147_),
+    .X(_04413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08870_ (.A1_N(_04412_),
-    .A2_N(_04411_),
-    .B1(_04032_),
-    .B2(_04411_),
-    .X(_00111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08871_ (.A(\u_m1_res_fifo.mem[0][17] ),
-    .Y(_04413_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08872_ (.A(_04406_),
+ sky130_fd_sc_hd__and2_4 _09803_ (.A(_04397_),
+    .B(_04413_),
     .X(_04414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08873_ (.A1_N(_04413_),
-    .A2_N(_04414_),
-    .B1(_04036_),
-    .B2(_04414_),
-    .X(_00110_),
+ sky130_fd_sc_hd__a211o_4 _09804_ (.A1(_04388_),
+    .A2(_04407_),
+    .B1(_04409_),
+    .C1(_04414_),
+    .X(_04415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08874_ (.A(\u_m1_res_fifo.mem[0][16] ),
-    .Y(_04415_),
+ sky130_fd_sc_hd__a32o_4 _09805_ (.A1(_04387_),
+    .A2(_04374_),
+    .A3(_04415_),
+    .B1(_04304_),
+    .B2(_04373_),
+    .X(_00985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08875_ (.A1_N(_04415_),
-    .A2_N(_04414_),
-    .B1(_04038_),
-    .B2(_04414_),
-    .X(_00109_),
+ sky130_fd_sc_hd__buf_2 _09806_ (.A(\u_spictrl.u_txreg.data_int[12] ),
+    .X(_04416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08876_ (.A(\u_m1_res_fifo.mem[0][15] ),
-    .Y(_04416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08877_ (.A(_04395_),
+ sky130_fd_sc_hd__buf_2 _09807_ (.A(_04172_),
     .X(_04417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08878_ (.A(_04417_),
+ sky130_fd_sc_hd__o22a_4 _09808_ (.A1(\u_spictrl.u_txreg.data_int[15] ),
+    .A2(_04393_),
+    .B1(_04389_),
+    .B2(_04417_),
     .X(_04418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08879_ (.A1_N(_04416_),
-    .A2_N(_04418_),
-    .B1(_04041_),
+ sky130_fd_sc_hd__o22a_4 _09809_ (.A1(_04416_),
+    .A2(_04390_),
+    .B1(_04392_),
     .B2(_04418_),
-    .X(_00108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08880_ (.A1_N(_01338_),
-    .A2_N(_04418_),
-    .B1(_04042_),
-    .B2(_04418_),
-    .X(_00107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08881_ (.A(_04417_),
     .X(_04419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08882_ (.A1_N(_01352_),
-    .A2_N(_04419_),
-    .B1(_04044_),
-    .B2(_04419_),
-    .X(_00106_),
+ sky130_fd_sc_hd__and2_4 _09810_ (.A(_04340_),
+    .B(_04408_),
+    .X(_04420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08883_ (.A(\u_m1_res_fifo.mem[0][12] ),
-    .Y(_04420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08884_ (.A1_N(_04420_),
-    .A2_N(_04419_),
-    .B1(_04046_),
-    .B2(_04419_),
-    .X(_00105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08885_ (.A(_04417_),
+ sky130_fd_sc_hd__or2_4 _09811_ (.A(_04379_),
+    .B(_04183_),
     .X(_04421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08886_ (.A1_N(_01375_),
-    .A2_N(_04421_),
-    .B1(_04048_),
-    .B2(_04421_),
-    .X(_00104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08887_ (.A1_N(_01389_),
-    .A2_N(_04421_),
-    .B1(_04049_),
-    .B2(_04421_),
-    .X(_00103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08888_ (.A(_04417_),
+ sky130_fd_sc_hd__o22a_4 _09812_ (.A1(_04020_),
+    .A2(_04208_),
+    .B1(_04042_),
+    .B2(_04191_),
     .X(_04422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08889_ (.A1_N(_01407_),
-    .A2_N(_04422_),
-    .B1(_04052_),
-    .B2(_04422_),
-    .X(_00102_),
+ sky130_fd_sc_hd__or2_4 _09813_ (.A(_04381_),
+    .B(_04422_),
+    .X(_04423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08890_ (.A1_N(_01427_),
-    .A2_N(_04422_),
-    .B1(_04053_),
-    .B2(_04422_),
-    .X(_00101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08891_ (.A(\u_m1_res_fifo.mem[0][7] ),
-    .Y(_04423_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08892_ (.A(_04395_),
+ sky130_fd_sc_hd__a32o_4 _09814_ (.A1(_03983_),
+    .A2(_04421_),
+    .A3(_04423_),
+    .B1(_04401_),
+    .B2(_04191_),
     .X(_04424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08893_ (.A(_04424_),
+ sky130_fd_sc_hd__and2_4 _09815_ (.A(_04397_),
+    .B(_04424_),
     .X(_04425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08894_ (.A1_N(_04423_),
-    .A2_N(_04425_),
-    .B1(_04056_),
-    .B2(_04425_),
-    .X(_00100_),
+ sky130_fd_sc_hd__a211o_4 _09816_ (.A1(_04388_),
+    .A2(_04419_),
+    .B1(_04420_),
+    .C1(_04425_),
+    .X(_04426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08895_ (.A(\u_m1_res_fifo.mem[0][6] ),
-    .Y(_04426_),
+ sky130_fd_sc_hd__buf_2 _09817_ (.A(_04068_),
+    .X(_04427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08896_ (.A1_N(_04426_),
-    .A2_N(_04425_),
-    .B1(_04058_),
-    .B2(_04425_),
-    .X(_00099_),
+ sky130_fd_sc_hd__a32o_4 _09818_ (.A1(_04387_),
+    .A2(_04374_),
+    .A3(_04426_),
+    .B1(_04340_),
+    .B2(_04427_),
+    .X(_00984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08897_ (.A(\u_m1_res_fifo.mem[0][5] ),
-    .Y(_04427_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08898_ (.A(_04424_),
+ sky130_fd_sc_hd__buf_2 _09819_ (.A(_03916_),
     .X(_04428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08899_ (.A1_N(_04427_),
-    .A2_N(_04428_),
-    .B1(_04061_),
-    .B2(_04428_),
-    .X(_00098_),
+ sky130_fd_sc_hd__buf_2 _09820_ (.A(\u_spictrl.u_txreg.data_int[11] ),
+    .X(_04429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08900_ (.A(\u_m1_res_fifo.mem[0][4] ),
-    .Y(_04429_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08901_ (.A1_N(_04429_),
-    .A2_N(_04428_),
-    .B1(_04063_),
-    .B2(_04428_),
-    .X(_00097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08902_ (.A(_04424_),
+ sky130_fd_sc_hd__o22a_4 _09821_ (.A1(\u_spictrl.u_txreg.data_int[14] ),
+    .A2(_04393_),
+    .B1(_04405_),
+    .B2(_04417_),
     .X(_04430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08903_ (.A1_N(_01486_),
-    .A2_N(_04430_),
-    .B1(_04065_),
+ sky130_fd_sc_hd__o22a_4 _09822_ (.A1(_04429_),
+    .A2(_04390_),
+    .B1(_04392_),
     .B2(_04430_),
-    .X(_00096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08904_ (.A1_N(_01498_),
-    .A2_N(_04430_),
-    .B1(_04066_),
-    .B2(_04430_),
-    .X(_00095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08905_ (.A(_04424_),
     .X(_04431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08906_ (.A1_N(_01510_),
-    .A2_N(_04431_),
-    .B1(_04068_),
-    .B2(_04431_),
-    .X(_00094_),
+ sky130_fd_sc_hd__and2_4 _09823_ (.A(_04375_),
+    .B(_04408_),
+    .X(_04432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08907_ (.A1_N(_01515_),
-    .A2_N(_04431_),
-    .B1(_04069_),
-    .B2(_04431_),
-    .X(_00093_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08908_ (.A(\u_m0_res_fifo.mem[2][31] ),
-    .Y(_04432_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08909_ (.A(_03744_),
-    .B(\u_spictrl.res_fifo_wr ),
-    .C(_01166_),
-    .D(_03775_),
+ sky130_fd_sc_hd__buf_2 _09824_ (.A(_03805_),
     .X(_04433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08910_ (.A(_04433_),
+ sky130_fd_sc_hd__buf_2 _09825_ (.A(_04245_),
     .X(_04434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08911_ (.A(_04434_),
+ sky130_fd_sc_hd__or2_4 _09826_ (.A(_04434_),
+    .B(_04230_),
     .X(_04435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08912_ (.A1_N(_04432_),
-    .A2_N(_04435_),
-    .B1(_04004_),
-    .B2(_04435_),
-    .X(_00092_),
+ sky130_fd_sc_hd__buf_2 _09827_ (.A(_04233_),
+    .X(_04436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08913_ (.A(\u_m0_res_fifo.mem[2][30] ),
-    .Y(_04436_),
+ sky130_fd_sc_hd__or2_4 _09828_ (.A(_04436_),
+    .B(_04252_),
+    .X(_04437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08914_ (.A1_N(_04436_),
-    .A2_N(_04435_),
-    .B1(_04005_),
-    .B2(_04435_),
-    .X(_00091_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08915_ (.A(\u_m0_res_fifo.mem[2][29] ),
-    .Y(_04437_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08916_ (.A(_04434_),
+ sky130_fd_sc_hd__a32o_4 _09829_ (.A1(_04433_),
+    .A2(_04435_),
+    .A3(_04437_),
+    .B1(_04401_),
+    .B2(_04244_),
     .X(_04438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08917_ (.A1_N(_04437_),
-    .A2_N(_04438_),
-    .B1(_04008_),
-    .B2(_04438_),
-    .X(_00090_),
+ sky130_fd_sc_hd__and2_4 _09830_ (.A(_04397_),
+    .B(_04438_),
+    .X(_04439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08918_ (.A(\u_m0_res_fifo.mem[2][28] ),
-    .Y(_04439_),
+ sky130_fd_sc_hd__a211o_4 _09831_ (.A1(_04388_),
+    .A2(_04431_),
+    .B1(_04432_),
+    .C1(_04439_),
+    .X(_04440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08919_ (.A1_N(_04439_),
-    .A2_N(_04438_),
-    .B1(_04009_),
-    .B2(_04438_),
-    .X(_00089_),
+ sky130_fd_sc_hd__a32o_4 _09832_ (.A1(_04387_),
+    .A2(_04428_),
+    .A3(_04440_),
+    .B1(_04375_),
+    .B2(_04427_),
+    .X(_00983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08920_ (.A(\u_m0_res_fifo.mem[2][27] ),
-    .Y(_04440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08921_ (.A(_04434_),
+ sky130_fd_sc_hd__buf_2 _09833_ (.A(_04260_),
     .X(_04441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08922_ (.A1_N(_04440_),
-    .A2_N(_04441_),
-    .B1(_04011_),
-    .B2(_04441_),
-    .X(_00088_),
+ sky130_fd_sc_hd__buf_2 _09834_ (.A(_03922_),
+    .X(_04442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08923_ (.A(\u_m0_res_fifo.mem[2][26] ),
-    .Y(_04442_),
+ sky130_fd_sc_hd__buf_2 _09835_ (.A(\u_spictrl.u_txreg.data_int[10] ),
+    .X(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08924_ (.A1_N(_04442_),
-    .A2_N(_04441_),
-    .B1(_04013_),
-    .B2(_04441_),
-    .X(_00087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08925_ (.A(\u_m0_res_fifo.mem[2][25] ),
-    .Y(_04443_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08926_ (.A(_04434_),
+ sky130_fd_sc_hd__buf_2 _09836_ (.A(_03925_),
     .X(_04444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08927_ (.A1_N(_04443_),
-    .A2_N(_04444_),
-    .B1(_04017_),
-    .B2(_04444_),
-    .X(_00086_),
+ sky130_fd_sc_hd__buf_2 _09837_ (.A(_04444_),
+    .X(_04445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08928_ (.A(\u_m0_res_fifo.mem[2][24] ),
-    .Y(_04445_),
+ sky130_fd_sc_hd__buf_2 _09838_ (.A(_04391_),
+    .X(_04446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08929_ (.A1_N(_04445_),
-    .A2_N(_04444_),
-    .B1(_04019_),
-    .B2(_04444_),
-    .X(_00085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08930_ (.A(\u_m0_res_fifo.mem[2][23] ),
-    .Y(_04446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08931_ (.A(_04433_),
+ sky130_fd_sc_hd__buf_2 _09839_ (.A(_03933_),
     .X(_04447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08932_ (.A(_04447_),
+ sky130_fd_sc_hd__buf_2 _09840_ (.A(_04447_),
     .X(_04448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08933_ (.A1_N(_04446_),
-    .A2_N(_04448_),
-    .B1(_04022_),
-    .B2(_04448_),
-    .X(_00084_),
+ sky130_fd_sc_hd__o22a_4 _09841_ (.A1(\u_spictrl.u_txreg.data_int[13] ),
+    .A2(_04448_),
+    .B1(_04416_),
+    .B2(_04417_),
+    .X(_04449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08934_ (.A(\u_m0_res_fifo.mem[2][22] ),
-    .Y(_04449_),
+ sky130_fd_sc_hd__o22a_4 _09842_ (.A1(_04443_),
+    .A2(_04445_),
+    .B1(_04446_),
+    .B2(_04449_),
+    .X(_04450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08935_ (.A1_N(_04449_),
-    .A2_N(_04448_),
-    .B1(_04023_),
-    .B2(_04448_),
-    .X(_00083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08936_ (.A(\u_m0_res_fifo.mem[2][21] ),
-    .Y(_04450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08937_ (.A(_04447_),
+ sky130_fd_sc_hd__and2_4 _09843_ (.A(_04389_),
+    .B(_04408_),
     .X(_04451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08938_ (.A1_N(_04450_),
-    .A2_N(_04451_),
-    .B1(_04025_),
-    .B2(_04451_),
-    .X(_00082_),
+ sky130_fd_sc_hd__buf_2 _09844_ (.A(_03952_),
+    .X(_04452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08939_ (.A(\u_m0_res_fifo.mem[2][20] ),
-    .Y(_04452_),
+ sky130_fd_sc_hd__buf_2 _09845_ (.A(_04452_),
+    .X(_04453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08940_ (.A1_N(_04452_),
-    .A2_N(_04451_),
-    .B1(_04027_),
-    .B2(_04451_),
-    .X(_00081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08941_ (.A(\u_m0_res_fifo.mem[2][19] ),
-    .Y(_04453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08942_ (.A(_04447_),
+ sky130_fd_sc_hd__or2_4 _09846_ (.A(_04434_),
+    .B(_04277_),
     .X(_04454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08943_ (.A1_N(_04453_),
-    .A2_N(_04454_),
-    .B1(_04030_),
-    .B2(_04454_),
-    .X(_00080_),
+ sky130_fd_sc_hd__or2_4 _09847_ (.A(_04436_),
+    .B(_04297_),
+    .X(_04455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08944_ (.A(\u_m0_res_fifo.mem[2][18] ),
-    .Y(_04455_),
+ sky130_fd_sc_hd__buf_2 _09848_ (.A(_04255_),
+    .X(_04456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08945_ (.A1_N(_04455_),
-    .A2_N(_04454_),
-    .B1(_04032_),
-    .B2(_04454_),
-    .X(_00079_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08946_ (.A(\u_m0_res_fifo.mem[2][17] ),
-    .Y(_04456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08947_ (.A(_04447_),
+ sky130_fd_sc_hd__a32o_4 _09849_ (.A1(_04433_),
+    .A2(_04454_),
+    .A3(_04455_),
+    .B1(_04456_),
+    .B2(_04286_),
     .X(_04457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08948_ (.A1_N(_04456_),
-    .A2_N(_04457_),
-    .B1(_04036_),
-    .B2(_04457_),
-    .X(_00078_),
+ sky130_fd_sc_hd__and2_4 _09850_ (.A(_04453_),
+    .B(_04457_),
+    .X(_04458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08949_ (.A(\u_m0_res_fifo.mem[2][16] ),
-    .Y(_04458_),
+ sky130_fd_sc_hd__a211o_4 _09851_ (.A1(_04442_),
+    .A2(_04450_),
+    .B1(_04451_),
+    .C1(_04458_),
+    .X(_04459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08950_ (.A1_N(_04458_),
-    .A2_N(_04457_),
-    .B1(_04038_),
-    .B2(_04457_),
-    .X(_00077_),
+ sky130_fd_sc_hd__a32o_4 _09852_ (.A1(_04459_),
+    .A2(_04441_),
+    .A3(_04428_),
+    .B1(_04389_),
+    .B2(_04427_),
+    .X(_00982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08951_ (.A(\u_m0_res_fifo.mem[2][15] ),
-    .Y(_04459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08952_ (.A(_04433_),
+ sky130_fd_sc_hd__buf_2 _09853_ (.A(\u_spictrl.u_txreg.data_int[9] ),
     .X(_04460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08953_ (.A(_04460_),
+ sky130_fd_sc_hd__o22a_4 _09854_ (.A1(\u_spictrl.u_txreg.data_int[12] ),
+    .A2(_04448_),
+    .B1(_04429_),
+    .B2(_04417_),
     .X(_04461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08954_ (.A1_N(_04459_),
-    .A2_N(_04461_),
-    .B1(_04041_),
+ sky130_fd_sc_hd__o22a_4 _09855_ (.A1(_04460_),
+    .A2(_04445_),
+    .B1(_04446_),
     .B2(_04461_),
-    .X(_00076_),
+    .X(_04462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08955_ (.A(\u_m0_res_fifo.mem[2][14] ),
-    .Y(_04462_),
+ sky130_fd_sc_hd__buf_2 _09856_ (.A(_03947_),
+    .X(_04463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08956_ (.A1_N(_04462_),
-    .A2_N(_04461_),
-    .B1(_04042_),
-    .B2(_04461_),
-    .X(_00075_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08957_ (.A(\u_m0_res_fifo.mem[2][13] ),
-    .Y(_04463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08958_ (.A(_04460_),
+ sky130_fd_sc_hd__and2_4 _09857_ (.A(_04405_),
+    .B(_04463_),
     .X(_04464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08959_ (.A1_N(_04463_),
-    .A2_N(_04464_),
-    .B1(_04044_),
-    .B2(_04464_),
-    .X(_00074_),
+ sky130_fd_sc_hd__or2_4 _09858_ (.A(_04434_),
+    .B(_04316_),
+    .X(_04465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08960_ (.A(\u_m0_res_fifo.mem[2][12] ),
-    .Y(_04465_),
+ sky130_fd_sc_hd__or2_4 _09859_ (.A(_04436_),
+    .B(_04334_),
+    .X(_04466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08961_ (.A1_N(_04465_),
-    .A2_N(_04464_),
-    .B1(_04046_),
-    .B2(_04464_),
-    .X(_00073_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08962_ (.A(\u_m0_res_fifo.mem[2][11] ),
-    .Y(_04466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08963_ (.A(_04460_),
+ sky130_fd_sc_hd__a32o_4 _09860_ (.A1(_04433_),
+    .A2(_04465_),
+    .A3(_04466_),
+    .B1(_04456_),
+    .B2(_04326_),
     .X(_04467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08964_ (.A1_N(_04466_),
-    .A2_N(_04467_),
-    .B1(_04048_),
-    .B2(_04467_),
-    .X(_00072_),
+ sky130_fd_sc_hd__and2_4 _09861_ (.A(_04453_),
+    .B(_04467_),
+    .X(_04468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08965_ (.A(\u_m0_res_fifo.mem[2][10] ),
-    .Y(_04468_),
+ sky130_fd_sc_hd__a211o_4 _09862_ (.A1(_04442_),
+    .A2(_04462_),
+    .B1(_04464_),
+    .C1(_04468_),
+    .X(_04469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08966_ (.A1_N(_04468_),
-    .A2_N(_04467_),
-    .B1(_04049_),
-    .B2(_04467_),
-    .X(_00071_),
+ sky130_fd_sc_hd__a32o_4 _09863_ (.A1(_04441_),
+    .A2(_04428_),
+    .A3(_04469_),
+    .B1(_04405_),
+    .B2(_04427_),
+    .X(_00981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08967_ (.A(\u_m0_res_fifo.mem[2][9] ),
-    .Y(_04469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08968_ (.A(_04460_),
+ sky130_fd_sc_hd__buf_2 _09864_ (.A(\u_spictrl.u_txreg.data_int[8] ),
     .X(_04470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08969_ (.A1_N(_04469_),
-    .A2_N(_04470_),
-    .B1(_04052_),
-    .B2(_04470_),
-    .X(_00070_),
+ sky130_fd_sc_hd__buf_2 _09865_ (.A(_04172_),
+    .X(_04471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08970_ (.A(\u_m0_res_fifo.mem[2][8] ),
-    .Y(_04471_),
+ sky130_fd_sc_hd__o22a_4 _09866_ (.A1(\u_spictrl.u_txreg.data_int[11] ),
+    .A2(_04448_),
+    .B1(_04443_),
+    .B2(_04471_),
+    .X(_04472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08971_ (.A1_N(_04471_),
-    .A2_N(_04470_),
-    .B1(_04053_),
-    .B2(_04470_),
-    .X(_00069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08972_ (.A(\u_m0_res_fifo.mem[2][7] ),
-    .Y(_04472_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08973_ (.A(_04433_),
+ sky130_fd_sc_hd__o22a_4 _09867_ (.A1(_04470_),
+    .A2(_04445_),
+    .B1(_04446_),
+    .B2(_04472_),
     .X(_04473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08974_ (.A(_04473_),
+ sky130_fd_sc_hd__and2_4 _09868_ (.A(_04416_),
+    .B(_04463_),
     .X(_04474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08975_ (.A1_N(_04472_),
-    .A2_N(_04474_),
-    .B1(_04056_),
-    .B2(_04474_),
-    .X(_00068_),
+ sky130_fd_sc_hd__or2_4 _09869_ (.A(_04434_),
+    .B(_04352_),
+    .X(_04475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08976_ (.A(\u_m0_res_fifo.mem[2][6] ),
-    .Y(_04475_),
+ sky130_fd_sc_hd__or2_4 _09870_ (.A(_04436_),
+    .B(_04367_),
+    .X(_04476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08977_ (.A1_N(_04475_),
-    .A2_N(_04474_),
-    .B1(_04058_),
-    .B2(_04474_),
-    .X(_00067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08978_ (.A(\u_m0_res_fifo.mem[2][5] ),
-    .Y(_04476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08979_ (.A(_04473_),
+ sky130_fd_sc_hd__a32o_4 _09871_ (.A1(_04433_),
+    .A2(_04475_),
+    .A3(_04476_),
+    .B1(_04456_),
+    .B2(_04360_),
     .X(_04477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08980_ (.A1_N(_04476_),
-    .A2_N(_04477_),
-    .B1(_04061_),
-    .B2(_04477_),
-    .X(_00066_),
+ sky130_fd_sc_hd__and2_4 _09872_ (.A(_04453_),
+    .B(_04477_),
+    .X(_04478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08981_ (.A(\u_m0_res_fifo.mem[2][4] ),
-    .Y(_04478_),
+ sky130_fd_sc_hd__a211o_4 _09873_ (.A1(_04442_),
+    .A2(_04473_),
+    .B1(_04474_),
+    .C1(_04478_),
+    .X(_04479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08982_ (.A1_N(_04478_),
-    .A2_N(_04477_),
-    .B1(_04063_),
-    .B2(_04477_),
-    .X(_00065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08983_ (.A(\u_m0_res_fifo.mem[2][3] ),
-    .Y(_04479_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08984_ (.A(_04473_),
+ sky130_fd_sc_hd__buf_2 _09874_ (.A(_04068_),
     .X(_04480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08985_ (.A1_N(_04479_),
-    .A2_N(_04480_),
-    .B1(_04065_),
+ sky130_fd_sc_hd__a32o_4 _09875_ (.A1(_04441_),
+    .A2(_04428_),
+    .A3(_04479_),
+    .B1(_04416_),
     .B2(_04480_),
-    .X(_00064_),
+    .X(_00980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08986_ (.A(\u_m0_res_fifo.mem[2][2] ),
-    .Y(_04481_),
+ sky130_fd_sc_hd__buf_2 _09876_ (.A(_03916_),
+    .X(_04481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08987_ (.A1_N(_04481_),
-    .A2_N(_04480_),
-    .B1(_04066_),
-    .B2(_04480_),
-    .X(_00063_),
+ sky130_fd_sc_hd__buf_2 _09877_ (.A(\u_spictrl.u_txreg.data_int[7] ),
+    .X(_04482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08988_ (.A(\u_m0_res_fifo.mem[2][1] ),
-    .Y(_04482_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08989_ (.A(_04473_),
+ sky130_fd_sc_hd__o22a_4 _09878_ (.A1(\u_spictrl.u_txreg.data_int[10] ),
+    .A2(_04448_),
+    .B1(_04460_),
+    .B2(_04471_),
     .X(_04483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08990_ (.A1_N(_04482_),
-    .A2_N(_04483_),
-    .B1(_04068_),
+ sky130_fd_sc_hd__o22a_4 _09879_ (.A1(_04482_),
+    .A2(_04445_),
+    .B1(_04446_),
     .B2(_04483_),
-    .X(_00062_),
+    .X(_04484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08991_ (.A(\u_m0_res_fifo.mem[2][0] ),
-    .Y(_04484_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08992_ (.A1_N(_04484_),
-    .A2_N(_04483_),
-    .B1(_04069_),
-    .B2(_04483_),
-    .X(_00061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08993_ (.A(_01181_),
-    .Y(\u_m0_res_fifo.empty ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08994_ (.A(_01215_),
-    .B(_03143_),
+ sky130_fd_sc_hd__and2_4 _09880_ (.A(_04429_),
+    .B(_04463_),
     .X(_04485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08995_ (.A1(\u_m0_cmd_fifo.empty ),
-    .A2(_03146_),
-    .B1(\u_spictrl.gnt[1] ),
-    .B2(_04485_),
-    .X(_00000_),
+ sky130_fd_sc_hd__buf_2 _09881_ (.A(_03805_),
+    .X(_04486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08996_ (.A(_01971_),
-    .Y(_04486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _08997_ (.A1(\u_spim_regs.spi_init_state[3] ),
-    .A2(_04486_),
-    .B1(_02129_),
-    .X(_00009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08998_ (.A1(_03738_),
-    .A2(_01830_),
-    .A3(\u_spim_regs.spi_init_state[0] ),
-    .B1(_01967_),
-    .B2(\u_spim_regs.spi_init_state[4] ),
-    .X(_00010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08999_ (.A1(_03738_),
-    .A2(_01832_),
-    .A3(\u_spim_regs.spi_init_state[1] ),
-    .B1(_01967_),
-    .B2(\u_spim_regs.spi_init_state[5] ),
-    .X(_00011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09000_ (.A1(_03738_),
-    .A2(_01832_),
-    .A3(\u_spim_regs.spi_init_state[2] ),
-    .B1(_01967_),
-    .B2(\u_spim_regs.spi_init_state[6] ),
-    .X(_00012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _09001_ (.A1(\u_spim_regs.spi_init_state[3] ),
-    .A2(_01971_),
-    .B1(\u_spim_regs.spi_init_state[7] ),
-    .X(_00013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09002_ (.A1_N(_03699_),
-    .A2_N(_01883_),
-    .B1(\u_spim_regs.spi_init_state[2] ),
-    .B2(_04486_),
-    .X(_00008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _09003_ (.A1(\u_spim_regs.spi_init_state[1] ),
-    .A2(_04486_),
-    .B1(_01906_),
-    .X(_00007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09004_ (.A1(_03699_),
-    .A2(_01829_),
-    .B1(\u_spim_regs.spi_init_state[0] ),
-    .X(_00006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09005_ (.A(_03434_),
-    .B(_03129_),
-    .C(_03432_),
+ sky130_fd_sc_hd__buf_2 _09882_ (.A(_04245_),
     .X(_04487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09006_ (.A(_03428_),
+ sky130_fd_sc_hd__or2_4 _09883_ (.A(_04487_),
+    .B(_03981_),
     .X(_04488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09007_ (.A(_03419_),
-    .Y(_04489_),
+ sky130_fd_sc_hd__buf_2 _09884_ (.A(_04233_),
+    .X(_04489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09008_ (.A1(_03218_),
-    .A2(_04488_),
-    .B1(_04489_),
+ sky130_fd_sc_hd__or2_4 _09885_ (.A(_04489_),
+    .B(_04012_),
     .X(_04490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09009_ (.A1_N(_04487_),
-    .A2_N(_04490_),
-    .B1(_03419_),
-    .B2(_03448_),
-    .X(_00005_),
+ sky130_fd_sc_hd__a32o_4 _09886_ (.A1(_04486_),
+    .A2(_04488_),
+    .A3(_04490_),
+    .B1(_04456_),
+    .B2(_04059_),
+    .X(_04491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09010_ (.A(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .Y(_04491_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09011_ (.A(_03447_),
-    .B(_04487_),
+ sky130_fd_sc_hd__and2_4 _09887_ (.A(_04453_),
+    .B(_04491_),
     .X(_04492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09012_ (.A(_03434_),
+ sky130_fd_sc_hd__a211o_4 _09888_ (.A1(_04442_),
+    .A2(_04484_),
+    .B1(_04485_),
+    .C1(_04492_),
     .X(_04493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09013_ (.A(_04493_),
-    .B(psn_net_48),
-    .C(\u_spictrl.rx_done ),
-    .D(_04488_),
+ sky130_fd_sc_hd__a32o_4 _09889_ (.A1(_04441_),
+    .A2(_04481_),
+    .A3(_04493_),
+    .B1(_04429_),
+    .B2(_04480_),
+    .X(_00979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09890_ (.A(_04260_),
     .X(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09014_ (.A1(_04491_),
-    .A2(_04492_),
-    .B1(_03438_),
-    .B2(_04494_),
+ sky130_fd_sc_hd__buf_2 _09891_ (.A(_04077_),
     .X(_04495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09015_ (.A(_04495_),
-    .Y(_00003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09016_ (.A(_00005_),
-    .B(_00003_),
-    .X(_00057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09017_ (.A1(\u_spictrl.rx_done ),
-    .A2(_04488_),
-    .B1(_04493_),
-    .B2(_04491_),
+ sky130_fd_sc_hd__buf_2 _09892_ (.A(\u_spictrl.u_txreg.data_int[6] ),
     .X(_04496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09018_ (.A1_N(_03422_),
-    .A2_N(_04496_),
-    .B1(\u_spictrl.u_rxreg.rx_CS[2] ),
-    .B2(_03435_),
+ sky130_fd_sc_hd__buf_2 _09893_ (.A(_04444_),
     .X(_04497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09019_ (.A1(\u_spictrl.spi_rise ),
-    .A2(_03151_),
-    .A3(\u_spictrl.u_rxreg.rx_CS[0] ),
-    .B1(_03132_),
-    .B2(_04497_),
-    .X(_00004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09020_ (.A(_00005_),
-    .B(_00004_),
-    .X(_00056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09021_ (.A1(_04493_),
-    .A2(_03147_),
-    .B1(\u_spictrl.u_rxreg.rx_CS[0] ),
+ sky130_fd_sc_hd__buf_2 _09894_ (.A(_04391_),
     .X(_04498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09022_ (.A(_04493_),
-    .B(_04490_),
-    .C(_03422_),
+ sky130_fd_sc_hd__buf_2 _09895_ (.A(_04447_),
     .X(_04499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09023_ (.A(_04499_),
-    .Y(_04500_),
+ sky130_fd_sc_hd__o22a_4 _09896_ (.A1(\u_spictrl.u_txreg.data_int[9] ),
+    .A2(_04499_),
+    .B1(_04470_),
+    .B2(_04471_),
+    .X(_04500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09024_ (.A(_02246_),
-    .B(_04498_),
-    .C(_04500_),
-    .X(_00002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09025_ (.A1(\u_m0_cmd_fifo.empty ),
-    .A2(_03160_),
-    .B1(_03755_),
-    .B2(_04485_),
+ sky130_fd_sc_hd__o22a_4 _09897_ (.A1(_04496_),
+    .A2(_04497_),
+    .B1(_04498_),
+    .B2(_04500_),
     .X(_04501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09026_ (.A(_04501_),
-    .Y(_00001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09027_ (.A(_01110_),
-    .Y(\u_m0_cmd_fifo.full ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09028_ (.A(io_oeb[3]),
-    .B(_02345_),
-    .X(io_oeb[5]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09029_ (.A(_04488_),
-    .B(_03435_),
+ sky130_fd_sc_hd__and2_4 _09898_ (.A(_04443_),
+    .B(_04463_),
     .X(_04502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09030_ (.A(_04489_),
-    .B(_04491_),
-    .C(_04502_),
+ sky130_fd_sc_hd__buf_2 _09899_ (.A(_04452_),
     .X(_04503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09031_ (.A(_03063_),
-    .B(_04503_),
-    .Y(_00055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09032_ (.A(_03146_),
-    .B(_02272_),
-    .C(\u_spictrl.u_txreg.data_ready_f ),
-    .X(_00058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09033_ (.A(_03511_),
-    .B(_03517_),
-    .X(_00016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09034_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .B(\u_spictrl.u_clkgen.clk_cnt[1] ),
+ sky130_fd_sc_hd__or2_4 _09900_ (.A(_04487_),
+    .B(_04086_),
     .X(_04504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09035_ (.A(_03511_),
-    .B(_03508_),
+ sky130_fd_sc_hd__or2_4 _09901_ (.A(_04489_),
+    .B(_04095_),
     .X(_04505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09036_ (.A(_03516_),
+ sky130_fd_sc_hd__buf_2 _09902_ (.A(_03958_),
     .X(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09037_ (.A(_04504_),
-    .B(_04505_),
-    .C(_04506_),
-    .X(_00017_),
+ sky130_fd_sc_hd__a32o_4 _09903_ (.A1(_04486_),
+    .A2(_04504_),
+    .A3(_04505_),
+    .B1(_04506_),
+    .B2(_04114_),
+    .X(_04507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _09038_ (.A(_03513_),
-    .B(_04505_),
-    .Y(_04507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09039_ (.A(_03513_),
-    .B(_04505_),
+ sky130_fd_sc_hd__and2_4 _09904_ (.A(_04503_),
+    .B(_04507_),
     .X(_04508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09040_ (.A(_04507_),
-    .B(_04508_),
-    .C(_04506_),
-    .X(_00018_),
+ sky130_fd_sc_hd__a211o_4 _09905_ (.A1(_04495_),
+    .A2(_04501_),
+    .B1(_04502_),
+    .C1(_04508_),
+    .X(_04509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _09041_ (.A(_03501_),
-    .B(_04508_),
-    .Y(_04509_),
+ sky130_fd_sc_hd__a32o_4 _09906_ (.A1(_04494_),
+    .A2(_04481_),
+    .A3(_04509_),
+    .B1(_04443_),
+    .B2(_04480_),
+    .X(_00978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09042_ (.A(_03501_),
-    .B(_04508_),
+ sky130_fd_sc_hd__buf_2 _09907_ (.A(\u_spictrl.u_txreg.data_int[5] ),
     .X(_04510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09043_ (.A(_04509_),
-    .B(_04510_),
-    .C(_04506_),
-    .X(_00019_),
+ sky130_fd_sc_hd__o22a_4 _09908_ (.A1(\u_spictrl.u_txreg.data_int[8] ),
+    .A2(_04499_),
+    .B1(_04482_),
+    .B2(_04471_),
+    .X(_04511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _09044_ (.A(_03503_),
-    .B(_04510_),
-    .Y(_04511_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09045_ (.A(_03503_),
-    .B(_04510_),
+ sky130_fd_sc_hd__o22a_4 _09909_ (.A1(_04510_),
+    .A2(_04497_),
+    .B1(_04498_),
+    .B2(_04511_),
     .X(_04512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09046_ (.A(_04511_),
-    .B(_04512_),
-    .C(_03516_),
-    .X(_00020_),
+ sky130_fd_sc_hd__buf_2 _09910_ (.A(_03947_),
+    .X(_04513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09047_ (.A(_03506_),
-    .B(_04512_),
-    .Y(_04513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09048_ (.A1(_03506_),
-    .A2(_04512_),
-    .B1(_03517_),
-    .C1(_04513_),
+ sky130_fd_sc_hd__and2_4 _09911_ (.A(_04460_),
+    .B(_04513_),
     .X(_04514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09049_ (.A(_04514_),
-    .Y(_00021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09050_ (.A(_04506_),
-    .B(_00014_),
-    .Y(_00022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09051_ (.A1_N(\u_spictrl.cfg_m1_cs_reg[0] ),
-    .A2_N(_03753_),
-    .B1(_01522_),
-    .B2(_03753_),
+ sky130_fd_sc_hd__or2_4 _09912_ (.A(_04487_),
+    .B(_04136_),
     .X(_04515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09052_ (.A(_02246_),
-    .B(_04515_),
-    .X(_00015_),
+ sky130_fd_sc_hd__or2_4 _09913_ (.A(_04489_),
+    .B(_04147_),
+    .X(_04516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _09053_ (.A1(\u_spim_regs.spi_init_state[7] ),
-    .A2(_01830_),
-    .B1(_03699_),
-    .X(_00060_),
+ sky130_fd_sc_hd__a32o_4 _09914_ (.A1(_04486_),
+    .A2(_04515_),
+    .A3(_04516_),
+    .B1(_04506_),
+    .B2(_04164_),
+    .X(_04517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09054_ (.A(\u_spim_regs.spim_reg_rdata[31] ),
-    .Y(_04516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _09055_ (.A(\u_spim_regs.cfg_m1_addr[31] ),
-    .B(_01128_),
-    .Y(_04517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09056_ (.A1(_02172_),
-    .A2(_01332_),
-    .B1(_02089_),
-    .B2(_01085_),
+ sky130_fd_sc_hd__and2_4 _09915_ (.A(_04503_),
+    .B(_04517_),
     .X(_04518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09057_ (.A(_00970_),
-    .B(_04394_),
+ sky130_fd_sc_hd__a211o_4 _09916_ (.A1(_04495_),
+    .A2(_04512_),
+    .B1(_04514_),
+    .C1(_04518_),
     .X(_04519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09058_ (.A1(_00968_),
-    .A2(_04002_),
-    .B1(_04519_),
-    .C1(_01115_),
+ sky130_fd_sc_hd__a32o_4 _09917_ (.A1(_04494_),
+    .A2(_04481_),
+    .A3(_04519_),
+    .B1(_04460_),
+    .B2(_04480_),
+    .X(_00977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09918_ (.A(\u_spictrl.u_txreg.data_int[4] ),
     .X(_04520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09059_ (.A(_00952_),
-    .B(psn_net_2),
+ sky130_fd_sc_hd__buf_2 _09919_ (.A(_03938_),
     .X(_04521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _09060_ (.A(_04517_),
-    .B(_04518_),
-    .C(_04520_),
-    .D(_04521_),
+ sky130_fd_sc_hd__o22a_4 _09920_ (.A1(\u_spictrl.u_txreg.data_int[7] ),
+    .A2(_04499_),
+    .B1(_04496_),
+    .B2(_04521_),
     .X(_04522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09061_ (.A1(_04516_),
-    .A2(_01309_),
-    .B1(_00954_),
+ sky130_fd_sc_hd__o22a_4 _09921_ (.A1(_04520_),
+    .A2(_04497_),
+    .B1(_04498_),
     .B2(_04522_),
     .X(_04523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09062_ (.A(_04523_),
-    .Y(_00907_),
+ sky130_fd_sc_hd__and2_4 _09922_ (.A(_04470_),
+    .B(_04513_),
+    .X(_04524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09063_ (.LO(io_oeb[0]),
+ sky130_fd_sc_hd__or2_4 _09923_ (.A(_04487_),
+    .B(_04183_),
+    .X(_04525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09064_ (.LO(io_oeb[1]),
+ sky130_fd_sc_hd__or2_4 _09924_ (.A(_04489_),
+    .B(_04191_),
+    .X(_04526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09065_ (.LO(spi_debug[1]),
+ sky130_fd_sc_hd__a32o_4 _09925_ (.A1(_04486_),
+    .A2(_04525_),
+    .A3(_04526_),
+    .B1(_04506_),
+    .B2(_04208_),
+    .X(_04527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09066_ (.LO(spi_debug[2]),
+ sky130_fd_sc_hd__and2_4 _09926_ (.A(_04503_),
+    .B(_04527_),
+    .X(_04528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09067_ (.LO(spi_debug[3]),
+ sky130_fd_sc_hd__a211o_4 _09927_ (.A1(_04495_),
+    .A2(_04523_),
+    .B1(_04524_),
+    .C1(_04528_),
+    .X(_04529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09068_ (.LO(spi_debug[4]),
+ sky130_fd_sc_hd__buf_2 _09928_ (.A(_04068_),
+    .X(_04530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09069_ (.LO(spi_debug[5]),
+ sky130_fd_sc_hd__a32o_4 _09929_ (.A1(_04494_),
+    .A2(_04481_),
+    .A3(_04529_),
+    .B1(_04470_),
+    .B2(_04530_),
+    .X(_00976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09070_ (.LO(spi_debug[6]),
+ sky130_fd_sc_hd__buf_2 _09930_ (.A(_03916_),
+    .X(_04531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09071_ (.LO(spi_debug[7]),
+ sky130_fd_sc_hd__buf_2 _09931_ (.A(\u_spictrl.u_txreg.data_int[3] ),
+    .X(_04532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09072_ (.LO(spi_debug[8]),
+ sky130_fd_sc_hd__o22a_4 _09932_ (.A1(\u_spictrl.u_txreg.data_int[6] ),
+    .A2(_04499_),
+    .B1(_04510_),
+    .B2(_04521_),
+    .X(_04533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09073_ (.LO(spi_debug[12]),
+ sky130_fd_sc_hd__o22a_4 _09933_ (.A1(_04532_),
+    .A2(_04497_),
+    .B1(_04498_),
+    .B2(_04533_),
+    .X(_04534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09074_ (.LO(spi_debug[15]),
+ sky130_fd_sc_hd__and2_4 _09934_ (.A(_04482_),
+    .B(_04513_),
+    .X(_04535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09075_ (.LO(spi_debug[16]),
+ sky130_fd_sc_hd__buf_2 _09935_ (.A(_03804_),
+    .X(_04536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _09076_ (.LO(wbd_err_o),
+ sky130_fd_sc_hd__o22a_4 _09936_ (.A1(_03508_),
+    .A2(\u_m0_cmd_fifo.mem[0][31] ),
+    .B1(_03514_),
+    .B2(\u_m0_cmd_fifo.mem[1][31] ),
+    .X(_04537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09077_ (.A(psn_net_29),
+ sky130_fd_sc_hd__or2_4 _09937_ (.A(_04006_),
+    .B(\u_m1_cmd_fifo.mem[0][31] ),
+    .X(_04538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09938_ (.A(_04052_),
+    .B(\u_m1_cmd_fifo.mem[1][31] ),
+    .X(_04539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09939_ (.A(_04052_),
+    .B(\u_m1_cmd_fifo.mem[3][31] ),
+    .X(_04540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _09940_ (.A1(_03963_),
+    .A2(\u_m1_cmd_fifo.mem[2][31] ),
+    .B1(_03284_),
+    .X(_04541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09941_ (.A1(_03377_),
+    .A2(_04538_),
+    .A3(_04539_),
+    .B1(_04540_),
+    .B2(_04541_),
+    .X(_04542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09942_ (.A1(_01523_),
+    .A2(_04537_),
+    .B1(_03993_),
+    .B2(_04542_),
+    .X(_04543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09943_ (.A1(_03803_),
+    .A2(_04536_),
+    .A3(_04230_),
+    .B1(_04506_),
+    .B2(_04543_),
+    .X(_04544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09944_ (.A(_04503_),
+    .B(_04544_),
+    .X(_04545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09945_ (.A1(_04495_),
+    .A2(_04534_),
+    .B1(_04535_),
+    .C1(_04545_),
+    .X(_04546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09946_ (.A1(_04494_),
+    .A2(_04531_),
+    .A3(_04546_),
+    .B1(_04482_),
+    .B2(_04530_),
+    .X(_00975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09947_ (.A(_03909_),
+    .X(_04547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09948_ (.A(_04444_),
+    .X(_04548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09949_ (.A(_04391_),
+    .X(_04549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09950_ (.A(_04447_),
+    .X(_04550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09951_ (.A1(\u_spictrl.u_txreg.data_int[5] ),
+    .A2(_04550_),
+    .B1(_04520_),
+    .B2(_04521_),
+    .X(_04551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09952_ (.A1(\u_spictrl.u_txreg.data_int[2] ),
+    .A2(_04548_),
+    .B1(_04549_),
+    .B2(_04551_),
+    .X(_04552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09953_ (.A(_04496_),
+    .B(_04513_),
+    .X(_04553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09954_ (.A(_04452_),
+    .X(_04554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09955_ (.A(_03958_),
+    .X(_04555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09956_ (.A1(_03507_),
+    .A2(\u_m0_cmd_fifo.mem[0][30] ),
+    .B1(_01287_),
+    .B2(\u_m0_cmd_fifo.mem[1][30] ),
+    .X(_04556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09957_ (.A(_03962_),
+    .B(\u_m1_cmd_fifo.mem[0][30] ),
+    .X(_04557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09958_ (.A(_04239_),
+    .B(\u_m1_cmd_fifo.mem[1][30] ),
+    .X(_04558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09959_ (.A(_04239_),
+    .B(\u_m1_cmd_fifo.mem[3][30] ),
+    .X(_04559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _09960_ (.A1(_04280_),
+    .A2(\u_m1_cmd_fifo.mem[2][30] ),
+    .B1(_03283_),
+    .X(_04560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09961_ (.A1(_01837_),
+    .A2(_04557_),
+    .A3(_04558_),
+    .B1(_04559_),
+    .B2(_04560_),
+    .X(_04561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09962_ (.A1(_01522_),
+    .A2(_04556_),
+    .B1(_03976_),
+    .B2(_04561_),
+    .X(_04562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09963_ (.A1(_03803_),
+    .A2(_04536_),
+    .A3(_04277_),
+    .B1(_04555_),
+    .B2(_04562_),
+    .X(_04563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09964_ (.A(_04554_),
+    .B(_04563_),
+    .X(_04564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09965_ (.A1(_04078_),
+    .A2(_04552_),
+    .B1(_04553_),
+    .C1(_04564_),
+    .X(_04565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09966_ (.A1(_04547_),
+    .A2(_04531_),
+    .A3(_04565_),
+    .B1(_04496_),
+    .B2(_04530_),
+    .X(_00974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09967_ (.A1(\u_spictrl.u_txreg.data_int[4] ),
+    .A2(_04550_),
+    .B1(_04532_),
+    .B2(_04521_),
+    .X(_04566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09968_ (.A1(\u_spictrl.u_txreg.data_int[1] ),
+    .A2(_04548_),
+    .B1(_04549_),
+    .B2(_04566_),
+    .X(_04567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09969_ (.A(_04510_),
+    .B(_04124_),
+    .X(_04568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09970_ (.A1(_04287_),
+    .A2(\u_m0_cmd_fifo.mem[0][29] ),
+    .B1(_03515_),
+    .B2(\u_m0_cmd_fifo.mem[1][29] ),
+    .X(_04569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09971_ (.A(_04289_),
+    .B(\u_m1_cmd_fifo.mem[0][29] ),
+    .X(_04570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09972_ (.A(_04321_),
+    .B(\u_m1_cmd_fifo.mem[1][29] ),
+    .X(_04571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09973_ (.A(_04321_),
+    .B(\u_m1_cmd_fifo.mem[3][29] ),
+    .X(_04572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _09974_ (.A1(_04007_),
+    .A2(\u_m1_cmd_fifo.mem[2][29] ),
+    .B1(_04294_),
+    .X(_04573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09975_ (.A1(_03997_),
+    .A2(_04570_),
+    .A3(_04571_),
+    .B1(_04572_),
+    .B2(_04573_),
+    .X(_04574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09976_ (.A1(_03988_),
+    .A2(_04569_),
+    .B1(_03994_),
+    .B2(_04574_),
+    .X(_04575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09977_ (.A1(_03803_),
+    .A2(_04536_),
+    .A3(_04316_),
+    .B1(_04555_),
+    .B2(_04575_),
+    .X(_04576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09978_ (.A(_04554_),
+    .B(_04576_),
+    .X(_04577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09979_ (.A1(_04078_),
+    .A2(_04567_),
+    .B1(_04568_),
+    .C1(_04577_),
+    .X(_04578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09980_ (.A1(_04547_),
+    .A2(_04531_),
+    .A3(_04578_),
+    .B1(_04510_),
+    .B2(_04530_),
+    .X(_00973_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09981_ (.A1(\u_spictrl.u_txreg.data_int[3] ),
+    .A2(_04550_),
+    .B1(\u_spictrl.u_txreg.data_int[2] ),
+    .B2(_04074_),
+    .X(_04579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09982_ (.A1(\u_spictrl.u_txreg.data_int[0] ),
+    .A2(_04548_),
+    .B1(_04549_),
+    .B2(_04579_),
+    .X(_04580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09983_ (.A(_04520_),
+    .B(_04124_),
+    .X(_04581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09984_ (.A1(_04287_),
+    .A2(\u_m0_cmd_fifo.mem[0][28] ),
+    .B1(_04234_),
+    .B2(\u_m0_cmd_fifo.mem[1][28] ),
+    .X(_04582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09985_ (.A(_04289_),
+    .B(\u_m1_cmd_fifo.mem[0][28] ),
+    .X(_04583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09986_ (.A(_04240_),
+    .B(\u_m1_cmd_fifo.mem[1][28] ),
+    .X(_04584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09987_ (.A(_04195_),
+    .B(\u_m1_cmd_fifo.mem[3][28] ),
+    .X(_04585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _09988_ (.A1(_04293_),
+    .A2(\u_m1_cmd_fifo.mem[2][28] ),
+    .B1(_04294_),
+    .X(_04586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09989_ (.A1(_04236_),
+    .A2(_04583_),
+    .A3(_04584_),
+    .B1(_04585_),
+    .B2(_04586_),
+    .X(_04587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09990_ (.A1(_04138_),
+    .A2(_04582_),
+    .B1(_03977_),
+    .B2(_04587_),
+    .X(_04588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09991_ (.A1(_03802_),
+    .A2(_04536_),
+    .A3(_04352_),
+    .B1(_04555_),
+    .B2(_04588_),
+    .X(_04589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09992_ (.A(_04554_),
+    .B(_04589_),
+    .X(_04590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09993_ (.A1(_04078_),
+    .A2(_04580_),
+    .B1(_04581_),
+    .C1(_04590_),
+    .X(_04591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09994_ (.A1(_04547_),
+    .A2(_04531_),
+    .A3(_04591_),
+    .B1(_04520_),
+    .B2(_04215_),
+    .X(_00972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09995_ (.A(_03906_),
+    .X(_04592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09996_ (.A(_04592_),
+    .B(_03914_),
+    .X(_04593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _09997_ (.A(_04532_),
+    .B(_03948_),
+    .Y(_04594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09998_ (.A(\u_spictrl.u_txreg.data_int[2] ),
+    .Y(_04595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09999_ (.A(_04595_),
+    .B(_04074_),
+    .X(_04596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10000_ (.A(\u_spictrl.u_txreg.data_int[1] ),
+    .Y(_04597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10001_ (.A(_04597_),
+    .B(_04550_),
+    .X(_04598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10002_ (.A(_04549_),
+    .B(_04596_),
+    .C(_04598_),
+    .D(psn_net_151),
+    .X(_04599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10003_ (.A(_03802_),
+    .X(_04600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10004_ (.A1(_04600_),
+    .A2(_03806_),
+    .A3(_03981_),
+    .B1(_04256_),
+    .B2(_04040_),
+    .X(_04601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _10005_ (.A(_04079_),
+    .B(_04601_),
+    .Y(_04602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10006_ (.A(_04594_),
+    .B(_04599_),
+    .C(_04602_),
+    .X(_04603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10007_ (.A1_N(_04593_),
+    .A2_N(_04603_),
+    .B1(_04532_),
+    .B2(_04069_),
+    .X(_00971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10008_ (.A(_04595_),
+    .B(_03945_),
+    .X(_04604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10009_ (.A(_04597_),
+    .B(_04074_),
+    .X(_04605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10010_ (.A(\u_spictrl.u_txreg.data_int[0] ),
+    .B(_03939_),
+    .Y(_04606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10011_ (.A(_03930_),
+    .B(_04605_),
+    .C(_04606_),
+    .D(psn_net_151),
+    .X(_04607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10012_ (.A1(_04600_),
+    .A2(_03806_),
+    .A3(_04086_),
+    .B1(_04256_),
+    .B2(_04107_),
+    .X(_04608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _10013_ (.A(_04079_),
+    .B(_04608_),
+    .Y(_04609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10014_ (.A(_04604_),
+    .B(_04607_),
+    .C(_04609_),
+    .X(_04610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10015_ (.A1_N(_04593_),
+    .A2_N(_04610_),
+    .B1(\u_spictrl.u_txreg.data_int[2] ),
+    .B2(_04069_),
+    .X(_00970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10016_ (.A(\u_spictrl.u_txreg.data_int[0] ),
+    .B(_04548_),
+    .C(_03939_),
+    .D(_04077_),
+    .X(_04611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10017_ (.A1(_03802_),
+    .A2(_03805_),
+    .A3(_04136_),
+    .B1(_04555_),
+    .B2(_04156_),
+    .X(_04612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10018_ (.A(_04554_),
+    .B(_04612_),
+    .X(_04613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10019_ (.A1(\u_spictrl.u_txreg.data_int[1] ),
+    .A2(_03948_),
+    .B1(_04611_),
+    .C1(_04613_),
+    .X(_04614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10020_ (.A1(_04547_),
+    .A2(_04217_),
+    .A3(_04614_),
+    .B1(\u_spictrl.u_txreg.data_int[1] ),
+    .B2(_04215_),
+    .X(_00969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10021_ (.A1(_04600_),
+    .A2(_03806_),
+    .A3(_04183_),
+    .B1(_04256_),
+    .B2(_04201_),
+    .X(_04615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10022_ (.A1(_04217_),
+    .A2(_04615_),
+    .A3(_04079_),
+    .B1(\u_spictrl.u_txreg.data_int[0] ),
+    .B2(_04070_),
+    .X(_04616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10023_ (.A(_04066_),
+    .B(_04616_),
+    .X(_00968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10024_ (.A(_03913_),
+    .B(_03946_),
+    .X(_04617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10025_ (.A(_03960_),
+    .B(_04230_),
+    .X(_04618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10026_ (.A(_03985_),
+    .B(_04252_),
+    .X(_04619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10027_ (.A1(_04018_),
+    .A2(_04543_),
+    .B1(_04017_),
+    .B2(_04244_),
+    .X(_04620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10028_ (.A(_04014_),
+    .B(_04620_),
+    .X(_04621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10029_ (.A(_03788_),
+    .B(_04619_),
+    .C(_04621_),
+    .X(_04622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10030_ (.A1(\u_spictrl.spi_mode_cmd[7] ),
+    .A2(_03955_),
+    .B1(_04618_),
+    .C1(_04622_),
+    .X(_04623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10031_ (.A(_03952_),
+    .B(_04623_),
+    .X(_04624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10032_ (.A1(\u_spictrl.u_txreg.data_int[30] ),
+    .A2(_03933_),
+    .B1(\u_spictrl.u_txreg.data_int[29] ),
+    .B2(_03937_),
+    .X(_04625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10033_ (.A1(_03942_),
+    .A2(_03925_),
+    .B1(_03928_),
+    .B2(_04625_),
+    .X(_04626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10034_ (.A(_03921_),
+    .B(_04626_),
+    .X(_04627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10035_ (.A1(\u_spictrl.u_txreg.data_int[31] ),
+    .A2(_04617_),
+    .B1(_04624_),
+    .C1(_04627_),
+    .X(_04628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10036_ (.A(_04628_),
+    .Y(_04629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10037_ (.A(_03722_),
+    .X(_04630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10038_ (.A1_N(_03907_),
+    .A2_N(_04629_),
+    .B1(\u_spictrl.u_txreg.data_int[31] ),
+    .B2(_04630_),
+    .X(_00967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10039_ (.A(_03959_),
+    .B(_04277_),
+    .X(_04631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10040_ (.A(_03985_),
+    .B(_04297_),
+    .X(_04632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10041_ (.A1(_04018_),
+    .A2(_04562_),
+    .B1(_04017_),
+    .B2(_04286_),
+    .X(_04633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10042_ (.A(_04014_),
+    .B(_04633_),
+    .X(_04634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10043_ (.A(_03787_),
+    .B(_04632_),
+    .C(_04634_),
+    .X(_04635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10044_ (.A1(\u_spictrl.spi_mode_cmd[6] ),
+    .A2(_03955_),
+    .B1(_04631_),
+    .C1(_04635_),
+    .X(_04636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10045_ (.A(_03951_),
+    .B(_04636_),
+    .X(_04637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10046_ (.A1(\u_spictrl.u_txreg.data_int[29] ),
+    .A2(_03932_),
+    .B1(\u_spictrl.u_txreg.data_int[28] ),
+    .B2(_03825_),
+    .X(_04638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10047_ (.A1(\u_spictrl.u_txreg.data_int[26] ),
+    .A2(_03827_),
+    .B1(_03928_),
+    .B2(_04638_),
+    .X(_04639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10048_ (.A(psn_net_149),
+    .B(_04639_),
+    .X(_04640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10049_ (.A1(\u_spictrl.u_txreg.data_int[30] ),
+    .A2(_04617_),
+    .B1(_04637_),
+    .C1(_04640_),
+    .X(_04641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10050_ (.A(_04641_),
+    .Y(_04642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10051_ (.A1_N(_03907_),
+    .A2_N(_04642_),
+    .B1(\u_spictrl.u_txreg.data_int[30] ),
+    .B2(_04630_),
+    .X(_00966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10052_ (.A(_03835_),
+    .X(_04643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10053_ (.A(_03960_),
+    .B(_04316_),
+    .X(_04644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10054_ (.A(_03986_),
+    .B(_04334_),
+    .X(_04645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10055_ (.A1(_04019_),
+    .A2(_04575_),
+    .B1(_04041_),
+    .B2(_04326_),
+    .X(_04646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10056_ (.A(_04015_),
+    .B(_04646_),
+    .X(_04647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10057_ (.A(_03788_),
+    .B(_04645_),
+    .C(_04647_),
+    .X(_04648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10058_ (.A1(\u_spictrl.spi_mode_cmd[5] ),
+    .A2(_03956_),
+    .B1(_04644_),
+    .C1(_04648_),
+    .X(_04649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10059_ (.A(_04452_),
+    .B(_04649_),
+    .X(_04650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10060_ (.A1(\u_spictrl.u_txreg.data_int[28] ),
+    .A2(_04447_),
+    .B1(_03942_),
+    .B2(_03938_),
+    .X(_04651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10061_ (.A1(\u_spictrl.u_txreg.data_int[25] ),
+    .A2(_04444_),
+    .B1(_03929_),
+    .B2(_04651_),
+    .X(_04652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10062_ (.A(_04077_),
+    .B(_04652_),
+    .X(_04653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10063_ (.A1(\u_spictrl.u_txreg.data_int[29] ),
+    .A2(_04617_),
+    .B1(_04650_),
+    .C1(_04653_),
+    .X(_04654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10064_ (.A1(psn_net_189),
+    .A2(_04643_),
+    .A3(_03910_),
+    .B1(\u_spictrl.u_txreg.data_int[29] ),
+    .B2(_04630_),
+    .X(_00965_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10065_ (.A(_03960_),
+    .B(_04352_),
+    .X(_04655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10066_ (.A(_03985_),
+    .B(_04367_),
+    .X(_04656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10067_ (.A1(_04019_),
+    .A2(_04588_),
+    .B1(_04041_),
+    .B2(_04360_),
+    .X(_04657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10068_ (.A(_04014_),
+    .B(_04657_),
+    .X(_04658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10069_ (.A(_03788_),
+    .B(_04656_),
+    .C(_04658_),
+    .X(_04659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10070_ (.A1(\u_spictrl.spi_mode_cmd[4] ),
+    .A2(_03955_),
+    .B1(_04655_),
+    .C1(_04659_),
+    .X(_04660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10071_ (.A(_03952_),
+    .B(_04660_),
+    .X(_04661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10072_ (.A1(\u_spictrl.u_txreg.data_int[27] ),
+    .A2(_03933_),
+    .B1(\u_spictrl.u_txreg.data_int[26] ),
+    .B2(_03937_),
+    .X(_04662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10073_ (.A1(\u_spictrl.u_txreg.data_int[24] ),
+    .A2(_03925_),
+    .B1(_03929_),
+    .B2(_04662_),
+    .X(_04663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10074_ (.A(_03921_),
+    .B(_04663_),
+    .X(_04664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10075_ (.A1(\u_spictrl.u_txreg.data_int[28] ),
+    .A2(_04617_),
+    .B1(_04661_),
+    .C1(_04664_),
+    .X(_04665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10076_ (.A1(_04643_),
+    .A2(_03910_),
+    .A3(_04665_),
+    .B1(\u_spictrl.u_txreg.data_int[28] ),
+    .B2(_04630_),
+    .X(_00964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10077_ (.A1(psn_net_108),
+    .A2(_03912_),
+    .B1(_03850_),
+    .X(_04666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10078_ (.A(\u_spictrl.u_txreg.tx_CS[1] ),
+    .Y(_04667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10079_ (.A1(_03919_),
+    .A2(_03733_),
+    .B1(_04667_),
+    .B2(_03832_),
+    .Y(_04668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10080_ (.A1(_04666_),
+    .A2(_03734_),
+    .B1(_03913_),
+    .C1(_04668_),
+    .X(_04669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10081_ (.A(_04669_),
+    .Y(_04670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10082_ (.A1(_03815_),
+    .A2(psn_net_106),
+    .B1(_03835_),
+    .X(_04671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10083_ (.A(_03734_),
+    .B(_03913_),
+    .C(_03920_),
+    .X(_04672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10084_ (.A(_04672_),
+    .Y(_04673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10085_ (.A(_03707_),
+    .X(_04674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10086_ (.A1(_03721_),
+    .A2(_03943_),
+    .B1(_03817_),
+    .X(_00062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10087_ (.A(_00062_),
+    .Y(_04675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10088_ (.A1(_03824_),
+    .A2(_04673_),
+    .B1(_04674_),
+    .B2(_04675_),
+    .X(_04676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10089_ (.A1_N(_03826_),
+    .A2_N(_04673_),
+    .B1(_03706_),
+    .B2(_00062_),
+    .X(_04677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10090_ (.A(_04676_),
+    .B(_04677_),
+    .X(_04678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10091_ (.A(_04678_),
+    .Y(_04679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10092_ (.A(_04671_),
+    .Y(_04680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10093_ (.A(_03720_),
+    .B(_04680_),
+    .X(_04681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10094_ (.A(_04679_),
+    .B(_04681_),
+    .X(_04682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10095_ (.A1(\u_spictrl.spi_sdo3 ),
+    .A2(psn_net_203),
+    .B1(_04628_),
+    .B2(_04682_),
+    .X(_00963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10096_ (.A1(\u_spictrl.spi_sdo2 ),
+    .A2(psn_net_203),
+    .B1(_04641_),
+    .B2(_04682_),
+    .X(_00962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10097_ (.A(_04676_),
+    .B(_04677_),
+    .X(_04683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10098_ (.A1_N(_04629_),
+    .A2_N(_04683_),
+    .B1(_04678_),
+    .B2(_04654_),
+    .X(_04684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10099_ (.A1(_04684_),
+    .A2(_04066_),
+    .A3(psn_net_202),
+    .B1(\u_spictrl.spi_sdo1 ),
+    .B2(psn_net_200),
+    .X(_00961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10100_ (.A(_04681_),
+    .Y(_04685_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10101_ (.A(_04665_),
+    .B(_04679_),
+    .X(_04686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10102_ (.A(_04642_),
+    .B(_04683_),
+    .Y(_04687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10103_ (.A1(_04683_),
+    .A2(_04628_),
+    .B1(_04678_),
+    .C1(_04687_),
+    .X(_04688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10104_ (.A1(_04688_),
+    .A2(_04685_),
+    .A3(_04686_),
+    .B1(\u_spictrl.spi_sdo0 ),
+    .B2(psn_net_201),
+    .X(_00960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10105_ (.A1_N(_03826_),
+    .A2_N(_03756_),
+    .B1(_03706_),
+    .B2(_03737_),
+    .X(_00959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10106_ (.A1_N(_03824_),
+    .A2_N(_03756_),
+    .B1(\u_spictrl.s_spi_mode[0] ),
+    .B2(_03737_),
+    .X(_00958_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10107_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .Y(_04689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10108_ (.A(_03294_),
+    .X(_04690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10109_ (.A(_01934_),
+    .B(_04690_),
+    .C(_01537_),
+    .D(_02024_),
+    .X(_04691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10110_ (.A(_04691_),
+    .Y(_04692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10111_ (.A(\u_spictrl.spi_rise ),
+    .Y(_04693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10112_ (.A(_04693_),
+    .B(psn_net_233),
+    .X(_04694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10113_ (.A(_04694_),
+    .Y(_04695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10114_ (.A(_04692_),
+    .B(_04695_),
+    .X(_04696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10115_ (.A(_04692_),
+    .X(_04697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10116_ (.A(_04697_),
+    .X(_04698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10117_ (.A(\u_spictrl.cfg_data_cnt[7] ),
+    .B(_04698_),
+    .X(_04699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10118_ (.A1_N(_04689_),
+    .A2_N(_04696_),
+    .B1(_03716_),
+    .B2(_04699_),
+    .X(_00957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10119_ (.A(_03765_),
+    .B(_04699_),
+    .X(_04700_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10120_ (.A(_04697_),
+    .X(_04701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10121_ (.A(\u_spictrl.cfg_data_cnt[6] ),
+    .B(_04701_),
+    .X(_04702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10122_ (.A(_03812_),
+    .B(_04702_),
+    .X(_04703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10123_ (.A(_03714_),
+    .X(_04704_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10124_ (.A(_04704_),
+    .X(_04705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10125_ (.A(_04696_),
+    .Y(_04706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10126_ (.A(_04705_),
+    .B(_04706_),
+    .X(_04707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10127_ (.A(_04707_),
+    .Y(_04708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10128_ (.A(_04708_),
+    .X(_04709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10129_ (.A(_04706_),
+    .X(_04710_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10130_ (.A1(_04700_),
+    .A2(_04703_),
+    .A3(_04709_),
+    .B1(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .B2(_04710_),
+    .X(_00956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10131_ (.A(_04691_),
+    .X(_04711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10132_ (.A(_04711_),
+    .X(_04712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10133_ (.A(_04694_),
+    .X(_04713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10134_ (.A(_04713_),
+    .X(_04714_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10135_ (.A(_04699_),
+    .B(_04708_),
+    .X(_04715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10136_ (.A(\u_spictrl.cfg_data_cnt[5] ),
+    .X(_04716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10137_ (.A(_04716_),
+    .B(_04698_),
+    .C(_03753_),
+    .X(_04717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10138_ (.A1(_03812_),
+    .A2(_04702_),
+    .B1(_03810_),
+    .C1(_04717_),
+    .X(_04718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10139_ (.A1(_04712_),
+    .A2(_04714_),
+    .A3(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .B1(_04715_),
+    .B2(_04718_),
+    .X(_00955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10140_ (.A(\u_spictrl.cfg_data_cnt[4] ),
+    .X(_04719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10141_ (.A(_04719_),
+    .Y(_04720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10142_ (.A(_04720_),
+    .B(_04711_),
+    .C(_03748_),
+    .X(_04721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10143_ (.A(_04716_),
+    .Y(_04722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10144_ (.A(_04722_),
+    .B(_04711_),
+    .C(_03774_),
+    .X(_04723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10145_ (.A(_04702_),
+    .Y(_04724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10146_ (.A1(_03745_),
+    .A2(_04721_),
+    .A3(_04723_),
+    .B1(_04707_),
+    .B2(_04724_),
+    .X(_04725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _10147_ (.A1(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .A2(_04710_),
+    .B1_N(_04725_),
+    .X(_00954_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10148_ (.A(_04697_),
+    .X(_04726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10149_ (.A(_04726_),
+    .X(_04727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10150_ (.A1(_04716_),
+    .A2(_04727_),
+    .B1(_04709_),
+    .X(_04728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10151_ (.A(_04719_),
+    .B(_04701_),
+    .C(_03748_),
+    .X(_04729_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10152_ (.A(\u_spictrl.cfg_data_cnt[3] ),
+    .X(_04730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10153_ (.A(_04730_),
+    .B(_04698_),
+    .C(_03774_),
+    .X(_04731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10154_ (.A(_03810_),
+    .B(_04729_),
+    .C(_04731_),
+    .X(_04732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10155_ (.A1(_04712_),
+    .A2(_04714_),
+    .A3(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .B1(_04728_),
+    .B2(_04732_),
+    .X(_00953_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10156_ (.A(_04694_),
+    .X(_04733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10157_ (.A(_04701_),
+    .X(_04734_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10158_ (.A1(_04719_),
+    .A2(_04734_),
+    .B1(_04709_),
+    .X(_04735_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10159_ (.A(\u_spictrl.cfg_data_cnt[2] ),
+    .X(_04736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10160_ (.A(_04736_),
+    .B(_04701_),
+    .C(_03774_),
+    .X(_04737_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10161_ (.A(_04730_),
+    .B(_04698_),
+    .C(_03748_),
+    .X(_04738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10162_ (.A(_03810_),
+    .B(_04737_),
+    .C(_04738_),
+    .X(_04739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10163_ (.A1(_04712_),
+    .A2(_04733_),
+    .A3(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .B1(_04735_),
+    .B2(_04739_),
+    .X(_00952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10164_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .Y(_04740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10165_ (.A1(_04730_),
+    .A2(_04727_),
+    .B1(_04709_),
+    .X(_04741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10166_ (.A(\u_spictrl.cfg_data_cnt[1] ),
+    .X(_04742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10167_ (.A(_04742_),
+    .B(_04726_),
+    .C(_03753_),
+    .X(_04743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10168_ (.A(_04736_),
+    .B(_04726_),
+    .C(_03779_),
+    .X(_04744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10169_ (.A(_03783_),
+    .B(_04743_),
+    .C(_04744_),
+    .X(_04745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10170_ (.A1_N(_04740_),
+    .A2_N(_04696_),
+    .B1(_04741_),
+    .B2(_04745_),
+    .X(_00951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10171_ (.A(\u_spictrl.cfg_data_cnt[0] ),
+    .X(_04746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10172_ (.A1(_04746_),
+    .A2(_03765_),
+    .B1(_04742_),
+    .B2(_03812_),
+    .Y(_04747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10173_ (.A1_N(_03814_),
+    .A2_N(_04747_),
+    .B1(_04736_),
+    .B2(_03814_),
+    .X(_04748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10174_ (.A(_04695_),
+    .X(_04749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10175_ (.A(_04749_),
+    .X(_04750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10176_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .B(_04712_),
+    .X(_04751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10177_ (.A1(_04727_),
+    .A2(_04748_),
+    .B1(_04750_),
+    .C1(_04751_),
+    .X(_00950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10178_ (.A1(_04746_),
+    .A2(_04734_),
+    .B1(_04674_),
+    .X(_04752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10179_ (.A1(_04742_),
+    .A2(_04734_),
+    .B1(_04708_),
+    .X(_04753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10180_ (.A1(_03715_),
+    .A2(_04752_),
+    .A3(_04753_),
+    .B1(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .B2(_04710_),
+    .X(_00949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10181_ (.A(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .X(_04754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10182_ (.A1(_04746_),
+    .A2(_04727_),
+    .A3(_03814_),
+    .B1(_04754_),
+    .B2(_04710_),
+    .X(_00948_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10183_ (.A(_04733_),
+    .X(_04755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10184_ (.A(\u_spictrl.u_rxreg.counter[15] ),
+    .Y(_04756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10185_ (.A(\u_spictrl.u_rxreg.counter[14] ),
+    .Y(_04757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10186_ (.A(\u_spictrl.u_rxreg.counter[11] ),
+    .Y(_04758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10187_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .B(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .C(_04693_),
+    .D(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .X(_04759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10188_ (.A(\u_spictrl.u_rxreg.counter[2] ),
+    .Y(_04760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10189_ (.A(\u_spictrl.u_rxreg.counter[1] ),
+    .Y(_04761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10190_ (.A(\u_spictrl.u_rxreg.counter[0] ),
+    .Y(_04762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10191_ (.A(\u_spictrl.u_rxreg.counter[4] ),
+    .Y(_04763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10192_ (.A(\u_spictrl.u_rxreg.counter[3] ),
+    .Y(_04764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10193_ (.A(\u_spictrl.u_rxreg.counter[6] ),
+    .Y(_04765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10194_ (.A(\u_spictrl.u_rxreg.counter[5] ),
+    .Y(_04766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10195_ (.A(_04763_),
+    .B(_04764_),
+    .C(_04765_),
+    .D(_04766_),
+    .X(_04767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10196_ (.A(_04760_),
+    .B(_04761_),
+    .C(_04762_),
+    .D(_04767_),
+    .X(_04768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10197_ (.A(_04759_),
+    .B(_04768_),
+    .X(_04769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10198_ (.A(\u_spictrl.u_rxreg.counter[10] ),
+    .Y(_04770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10199_ (.A(\u_spictrl.u_rxreg.counter[9] ),
+    .Y(_04771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10200_ (.A(\u_spictrl.u_rxreg.counter[8] ),
+    .Y(_04772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10201_ (.A(\u_spictrl.u_rxreg.counter[7] ),
+    .Y(_04773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10202_ (.A(_04770_),
+    .B(_04771_),
+    .C(_04772_),
+    .D(_04773_),
+    .X(_04774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10203_ (.A(_04769_),
+    .B(_04774_),
+    .X(_04775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10204_ (.A(_04758_),
+    .B(_04775_),
+    .X(_04776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10205_ (.A(_04776_),
+    .Y(_04777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10206_ (.A(\u_spictrl.u_rxreg.counter[12] ),
+    .B(_04777_),
+    .X(_04778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10207_ (.A(_04778_),
+    .X(_04779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _10208_ (.A(\u_spictrl.u_rxreg.counter[13] ),
+    .B(_04779_),
+    .Y(_04780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10209_ (.A(_04757_),
+    .B(_04780_),
+    .X(_04781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10210_ (.A(\u_spictrl.rx_done ),
+    .Y(_04782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10211_ (.A(_04759_),
+    .X(_04783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10212_ (.A(_04782_),
+    .B(_04783_),
+    .X(_04784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10213_ (.A(_04784_),
+    .Y(_04785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10214_ (.A(_04785_),
+    .X(_04786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10215_ (.A(_04786_),
+    .X(_04787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10216_ (.A(_04787_),
+    .X(_04788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10217_ (.A(_04781_),
+    .Y(_04789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10218_ (.A(\u_spictrl.u_rxreg.counter[15] ),
+    .B(_04789_),
+    .X(_04790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10219_ (.A1(_04756_),
+    .A2(_04781_),
+    .B1(_04788_),
+    .C1(_04790_),
+    .X(_04791_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10220_ (.A(_04791_),
+    .Y(_04792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10221_ (.A(_04755_),
+    .B(_04792_),
+    .X(_00947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10222_ (.A(_04750_),
+    .X(_04793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10223_ (.A1(_04757_),
+    .A2(_04780_),
+    .B1(_04789_),
+    .C1(_04788_),
+    .X(_04794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10224_ (.A(_04793_),
+    .B(_04794_),
+    .Y(_00946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _10225_ (.A1(\u_spictrl.u_rxreg.counter[13] ),
+    .A2(_04779_),
+    .B1(_04780_),
+    .Y(_04795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10226_ (.A(_04788_),
+    .B(_04795_),
+    .X(_04796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10227_ (.A(_04793_),
+    .B(_04796_),
+    .Y(_00945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10228_ (.A(\u_spictrl.u_rxreg.counter[12] ),
+    .Y(_04797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10229_ (.A1(_04797_),
+    .A2(_04776_),
+    .B1(_04779_),
+    .C1(_04787_),
+    .X(_04798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10230_ (.A(_04793_),
+    .B(_04798_),
+    .Y(_00944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10231_ (.A1(_04758_),
+    .A2(_04775_),
+    .B1(_04777_),
+    .C1(_04787_),
+    .X(_04799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10232_ (.A(_04793_),
+    .B(_04799_),
+    .Y(_00943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10233_ (.A(_04773_),
+    .B(_04769_),
+    .X(_04800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10234_ (.A(_04772_),
+    .B(_04800_),
+    .X(_04801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10235_ (.A(_04801_),
+    .Y(_04802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10236_ (.A(\u_spictrl.u_rxreg.counter[9] ),
+    .B(_04802_),
+    .X(_04803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10237_ (.A(_04783_),
+    .X(_04804_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10238_ (.A(_04782_),
+    .X(_04805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10239_ (.A1(_04768_),
+    .A2(_04774_),
+    .B1(_04805_),
+    .X(_04806_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10240_ (.A1(\u_spictrl.u_rxreg.counter[10] ),
+    .A2(_04803_),
+    .B1(_04804_),
+    .B2(_04806_),
+    .X(_04807_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10241_ (.A(_04755_),
+    .B(_04807_),
+    .X(_00942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10242_ (.A(_04749_),
+    .X(_04808_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10243_ (.A1(_04771_),
+    .A2(_04801_),
+    .B1(_04788_),
+    .C1(_04803_),
+    .X(_04809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10244_ (.A(_04808_),
+    .B(_04809_),
+    .Y(_00941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10245_ (.A1(_04772_),
+    .A2(_04800_),
+    .B1(_04787_),
+    .C1(_04802_),
+    .X(_04810_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10246_ (.A(_04808_),
+    .B(_04810_),
+    .Y(_00940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10247_ (.A(_04800_),
+    .Y(_04811_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10248_ (.A1(_04773_),
+    .A2(_04769_),
+    .B1(_04811_),
+    .C1(_04786_),
+    .X(_04812_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10249_ (.A(_04808_),
+    .B(_04812_),
+    .Y(_00939_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10250_ (.A(_04762_),
+    .X(_04813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10251_ (.A(_04760_),
+    .B(_04761_),
+    .C(_04813_),
+    .D(_04759_),
+    .X(_04814_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10252_ (.A(_04764_),
+    .B(_04814_),
+    .X(_04815_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10253_ (.A(_04763_),
+    .B(_04815_),
+    .X(_04816_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10254_ (.A(_04816_),
+    .Y(_04817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10255_ (.A(\u_spictrl.u_rxreg.counter[5] ),
+    .B(_04817_),
+    .X(_04818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10256_ (.A(_04782_),
+    .B(_04768_),
+    .X(_04819_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10257_ (.A1(\u_spictrl.u_rxreg.counter[6] ),
+    .A2(_04818_),
+    .B1(_04783_),
+    .B2(_04819_),
+    .X(_04820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10258_ (.A(_04755_),
+    .B(_04820_),
+    .X(_00938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10259_ (.A1(_04766_),
+    .A2(_04816_),
+    .B1(_04818_),
+    .C1(_04786_),
+    .X(_04821_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10260_ (.A(_04808_),
+    .B(_04821_),
+    .Y(_00937_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10261_ (.A1(_04763_),
+    .A2(_04815_),
+    .B1(_04817_),
+    .C1(_04786_),
+    .X(_04822_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10262_ (.A(_04750_),
+    .B(_04822_),
+    .Y(_00936_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10263_ (.A(_04815_),
+    .Y(_04823_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10264_ (.A1(_04764_),
+    .A2(_04814_),
+    .B1(_04823_),
+    .C1(_04785_),
+    .X(_04824_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10265_ (.A(_04824_),
+    .Y(_04825_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10266_ (.A(_04755_),
+    .B(_04825_),
+    .X(_00935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10267_ (.A(_04813_),
+    .B(_04759_),
+    .X(_04826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10268_ (.A(_04826_),
+    .Y(_04827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10269_ (.A(\u_spictrl.u_rxreg.counter[1] ),
+    .B(_04827_),
+    .X(_04828_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10270_ (.A(\u_spictrl.u_rxreg.counter[2] ),
+    .B(_04828_),
+    .X(_04829_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10271_ (.A(_04814_),
+    .B(_04784_),
+    .C(_04829_),
+    .X(_04830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10272_ (.A(_04714_),
+    .B(_04830_),
+    .X(_00934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10273_ (.A1(_04761_),
+    .A2(_04826_),
+    .B1(_04785_),
+    .C1(_04828_),
+    .X(_04831_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10274_ (.A(_04831_),
+    .Y(_04832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10275_ (.A(_04714_),
+    .B(_04832_),
+    .X(_00933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10276_ (.A1(_04813_),
+    .A2(_04783_),
+    .B1(_04785_),
+    .C1(_04827_),
+    .X(_04833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10277_ (.A(_04750_),
+    .B(_04833_),
+    .Y(_00932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10278_ (.A(_04804_),
+    .Y(_04834_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10279_ (.A(_04834_),
+    .X(_04835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10280_ (.A(_04835_),
+    .X(_04836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10281_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
+    .B(_03744_),
+    .X(_04837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10282_ (.A(_03708_),
+    .X(_04838_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10283_ (.A1(\u_spictrl.u_rxreg.data_int[30] ),
+    .A2(_03711_),
+    .B1(\u_spictrl.u_rxreg.data_int[29] ),
+    .B2(_04838_),
+    .X(_04839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10284_ (.A(_04705_),
+    .B(_04839_),
+    .X(_04840_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10285_ (.A(_04804_),
+    .X(_04841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10286_ (.A1(_04836_),
+    .A2(_04837_),
+    .A3(_04840_),
+    .B1(\u_spictrl.u_rxreg.data_int[31] ),
+    .B2(_04841_),
+    .X(_04842_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10287_ (.A(_04733_),
+    .B(_04842_),
+    .X(_00055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10288_ (.A(_00055_),
+    .X(_00931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10289_ (.A(_04836_),
+    .X(_04843_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10290_ (.A(_03709_),
+    .X(_04844_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10291_ (.A(_04844_),
+    .X(_04845_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10292_ (.A1(\u_spictrl.u_rxreg.data_int[29] ),
+    .A2(_04845_),
+    .B1(\u_spictrl.u_rxreg.data_int[28] ),
+    .B2(_03752_),
+    .X(_04846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10293_ (.A1(_03758_),
+    .A2(_04846_),
+    .B1(\u_spictrl.u_rxreg.data_int[26] ),
+    .B2(_03745_),
+    .X(_04847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10294_ (.A(_04847_),
+    .Y(_04848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10295_ (.A(_04835_),
+    .X(_04849_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10296_ (.A(_04849_),
+    .X(_04850_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10297_ (.A(\u_spictrl.u_rxreg.data_int[30] ),
+    .B(_04850_),
+    .Y(_04851_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10298_ (.A1(_04843_),
+    .A2(_04848_),
+    .B1(_04749_),
+    .C1(_04851_),
+    .X(_04852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10299_ (.A(_04852_),
+    .Y(_00054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10300_ (.A(_00054_),
+    .X(_00930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10301_ (.A(_03757_),
+    .X(_04853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10302_ (.A(_03751_),
+    .X(_04854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10303_ (.A1(\u_spictrl.u_rxreg.data_int[28] ),
+    .A2(_04845_),
+    .B1(\u_spictrl.u_rxreg.data_int[27] ),
+    .B2(_04854_),
+    .X(_04855_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10304_ (.A(_03744_),
+    .X(_04856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10305_ (.A1(_04853_),
+    .A2(_04855_),
+    .B1(\u_spictrl.u_rxreg.data_int[25] ),
+    .B2(_04856_),
+    .X(_04857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10306_ (.A(_04857_),
+    .Y(_04858_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10307_ (.A(_04695_),
+    .X(_04859_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10308_ (.A(_04859_),
+    .X(_04860_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10309_ (.A(_04849_),
+    .X(_04861_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10310_ (.A(\u_spictrl.u_rxreg.data_int[29] ),
+    .B(_04861_),
+    .Y(_04862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10311_ (.A1(_04843_),
+    .A2(_04858_),
+    .B1(_04860_),
+    .C1(_04862_),
+    .X(_04863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10312_ (.A(_04863_),
+    .Y(_00053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10313_ (.A(_00053_),
+    .X(_00929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10314_ (.A1(\u_spictrl.u_rxreg.data_int[27] ),
+    .A2(_04845_),
+    .B1(\u_spictrl.u_rxreg.data_int[26] ),
+    .B2(_04854_),
+    .X(_04864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10315_ (.A1(_04853_),
+    .A2(_04864_),
+    .B1(\u_spictrl.u_rxreg.data_int[24] ),
+    .B2(_04856_),
+    .X(_04865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10316_ (.A(_04865_),
+    .Y(_04866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10317_ (.A(\u_spictrl.u_rxreg.data_int[28] ),
+    .B(_04861_),
+    .Y(_04867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10318_ (.A1(_04843_),
+    .A2(_04866_),
+    .B1(_04860_),
+    .C1(_04867_),
+    .X(_04868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10319_ (.A(_04868_),
+    .Y(_00052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10320_ (.A(_00052_),
+    .X(_00928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10321_ (.A1(\u_spictrl.u_rxreg.data_int[26] ),
+    .A2(_04845_),
+    .B1(\u_spictrl.u_rxreg.data_int[25] ),
+    .B2(_04854_),
+    .X(_04869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10322_ (.A1(_04853_),
+    .A2(_04869_),
+    .B1(\u_spictrl.u_rxreg.data_int[23] ),
+    .B2(_04856_),
+    .X(_04870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10323_ (.A(_04870_),
+    .Y(_04871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10324_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
+    .B(_04861_),
+    .Y(_04872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10325_ (.A1(_04843_),
+    .A2(_04871_),
+    .B1(_04860_),
+    .C1(_04872_),
+    .X(_04873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10326_ (.A(_04873_),
+    .Y(_00051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10327_ (.A(_00051_),
+    .X(_00927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10328_ (.A(_04835_),
+    .X(_04874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10329_ (.A(_04874_),
+    .X(_04875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10330_ (.A(_04844_),
+    .X(_04876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10331_ (.A1(\u_spictrl.u_rxreg.data_int[25] ),
+    .A2(_04876_),
+    .B1(\u_spictrl.u_rxreg.data_int[24] ),
+    .B2(_04854_),
+    .X(_04877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10332_ (.A1(_04853_),
+    .A2(_04877_),
+    .B1(\u_spictrl.u_rxreg.data_int[22] ),
+    .B2(_04856_),
+    .X(_04878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10333_ (.A(_04878_),
+    .Y(_04879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10334_ (.A(\u_spictrl.u_rxreg.data_int[26] ),
+    .B(_04861_),
+    .Y(_04880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10335_ (.A1(_04875_),
+    .A2(_04879_),
+    .B1(_04860_),
+    .C1(_04880_),
+    .X(_04881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10336_ (.A(_04881_),
+    .Y(_00048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10337_ (.A(_00048_),
+    .X(_00926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10338_ (.A(_03757_),
+    .X(_04882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10339_ (.A(_03751_),
+    .X(_04883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10340_ (.A1(\u_spictrl.u_rxreg.data_int[24] ),
+    .A2(_04876_),
+    .B1(\u_spictrl.u_rxreg.data_int[23] ),
+    .B2(_04883_),
+    .X(_04884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10341_ (.A(_03744_),
+    .X(_04885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10342_ (.A1(_04882_),
+    .A2(_04884_),
+    .B1(\u_spictrl.u_rxreg.data_int[21] ),
+    .B2(_04885_),
+    .X(_04886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10343_ (.A(_04886_),
+    .Y(_04887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10344_ (.A(_04859_),
+    .X(_04888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10345_ (.A(_04849_),
+    .X(_04889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10346_ (.A(\u_spictrl.u_rxreg.data_int[25] ),
+    .B(_04889_),
+    .Y(_04890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10347_ (.A1(_04875_),
+    .A2(_04887_),
+    .B1(_04888_),
+    .C1(_04890_),
+    .X(_04891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10348_ (.A(_04891_),
+    .Y(_00037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10349_ (.A(_00037_),
+    .X(_00925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10350_ (.A1(\u_spictrl.u_rxreg.data_int[23] ),
+    .A2(_04876_),
+    .B1(\u_spictrl.u_rxreg.data_int[22] ),
+    .B2(_04883_),
+    .X(_04892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10351_ (.A1(_04882_),
+    .A2(_04892_),
+    .B1(\u_spictrl.u_rxreg.data_int[20] ),
+    .B2(_04885_),
+    .X(_04893_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10352_ (.A(_04893_),
+    .Y(_04894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10353_ (.A(\u_spictrl.u_rxreg.data_int[24] ),
+    .B(_04889_),
+    .Y(_04895_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10354_ (.A1(_04875_),
+    .A2(_04894_),
+    .B1(_04888_),
+    .C1(_04895_),
+    .X(_04896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10355_ (.A(_04896_),
+    .Y(_00026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10356_ (.A(_00026_),
+    .X(_00924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10357_ (.A1(\u_spictrl.u_rxreg.data_int[22] ),
+    .A2(_04876_),
+    .B1(\u_spictrl.u_rxreg.data_int[21] ),
+    .B2(_04883_),
+    .X(_04897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10358_ (.A1(_04882_),
+    .A2(_04897_),
+    .B1(\u_spictrl.u_rxreg.data_int[19] ),
+    .B2(_04885_),
+    .X(_04898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10359_ (.A(_04898_),
+    .Y(_04899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10360_ (.A(\u_spictrl.u_rxreg.data_int[23] ),
+    .B(_04889_),
+    .Y(_04900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10361_ (.A1(_04875_),
+    .A2(_04899_),
+    .B1(_04888_),
+    .C1(_04900_),
+    .X(_04901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10362_ (.A(_04901_),
+    .Y(_00032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10363_ (.A(_00032_),
+    .X(_00923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10364_ (.A(_04874_),
+    .X(_04902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10365_ (.A(_04844_),
+    .X(_04903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10366_ (.A1(\u_spictrl.u_rxreg.data_int[21] ),
+    .A2(_04903_),
+    .B1(\u_spictrl.u_rxreg.data_int[20] ),
+    .B2(_04883_),
+    .X(_04904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10367_ (.A1(_04882_),
+    .A2(_04904_),
+    .B1(\u_spictrl.u_rxreg.data_int[18] ),
+    .B2(_04885_),
+    .X(_04905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10368_ (.A(_04905_),
+    .Y(_04906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10369_ (.A(\u_spictrl.u_rxreg.data_int[22] ),
+    .B(_04889_),
+    .Y(_04907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10370_ (.A1(_04902_),
+    .A2(_04906_),
+    .B1(_04888_),
+    .C1(_04907_),
+    .X(_04908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10371_ (.A(_04908_),
+    .Y(_00031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10372_ (.A(_00031_),
+    .X(_00922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10373_ (.A(_03757_),
+    .X(_04909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10374_ (.A(_03708_),
+    .X(_04910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10375_ (.A(_04910_),
+    .X(_04911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10376_ (.A1(\u_spictrl.u_rxreg.data_int[20] ),
+    .A2(_04903_),
+    .B1(\u_spictrl.u_rxreg.data_int[19] ),
+    .B2(_04911_),
+    .X(_04912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10377_ (.A(_03713_),
+    .X(_04913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10378_ (.A(_04913_),
+    .X(_04914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10379_ (.A1(_04909_),
+    .A2(_04912_),
+    .B1(\u_spictrl.u_rxreg.data_int[17] ),
+    .B2(_04914_),
+    .X(_04915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10380_ (.A(_04915_),
+    .Y(_04916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10381_ (.A(_04695_),
+    .X(_04917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10382_ (.A(_04917_),
+    .X(_04918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10383_ (.A(_04834_),
+    .X(_04919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10384_ (.A(_04919_),
+    .X(_04920_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10385_ (.A(\u_spictrl.u_rxreg.data_int[21] ),
+    .B(_04920_),
+    .Y(_04921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10386_ (.A1(_04902_),
+    .A2(_04916_),
+    .B1(_04918_),
+    .C1(_04921_),
+    .X(_04922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10387_ (.A(_04922_),
+    .Y(_00030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10388_ (.A(_00030_),
+    .X(_00921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10389_ (.A1(\u_spictrl.u_rxreg.data_int[19] ),
+    .A2(_04903_),
+    .B1(\u_spictrl.u_rxreg.data_int[18] ),
+    .B2(_04911_),
+    .X(_04923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10390_ (.A1(_04909_),
+    .A2(_04923_),
+    .B1(\u_spictrl.u_rxreg.data_int[16] ),
+    .B2(_04914_),
+    .X(_04924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10391_ (.A(_04924_),
+    .Y(_04925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10392_ (.A(\u_spictrl.u_rxreg.data_int[20] ),
+    .B(_04920_),
+    .Y(_04926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10393_ (.A1(_04902_),
+    .A2(_04925_),
+    .B1(_04918_),
+    .C1(_04926_),
+    .X(_04927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10394_ (.A(_04927_),
+    .Y(_00029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10395_ (.A(_00029_),
+    .X(_00920_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10396_ (.A1(\u_spictrl.u_rxreg.data_int[18] ),
+    .A2(_04903_),
+    .B1(\u_spictrl.u_rxreg.data_int[17] ),
+    .B2(_04911_),
+    .X(_04928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10397_ (.A1(_04909_),
+    .A2(_04928_),
+    .B1(\u_spictrl.u_rxreg.data_int[15] ),
+    .B2(_04914_),
+    .X(_04929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10398_ (.A(_04929_),
+    .Y(_04930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10399_ (.A(\u_spictrl.u_rxreg.data_int[19] ),
+    .B(_04920_),
+    .Y(_04931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10400_ (.A1(_04902_),
+    .A2(_04930_),
+    .B1(_04918_),
+    .C1(_04931_),
+    .X(_04932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10401_ (.A(_04932_),
+    .Y(_00028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10402_ (.A(_00028_),
+    .X(_00919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10403_ (.A(_04874_),
+    .X(_04933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10404_ (.A(_04844_),
+    .X(_04934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10405_ (.A1(\u_spictrl.u_rxreg.data_int[17] ),
+    .A2(_04934_),
+    .B1(\u_spictrl.u_rxreg.data_int[16] ),
+    .B2(_04911_),
+    .X(_04935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10406_ (.A1(_04909_),
+    .A2(_04935_),
+    .B1(\u_spictrl.u_rxreg.data_int[14] ),
+    .B2(_04914_),
+    .X(_04936_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10407_ (.A(_04936_),
+    .Y(_04937_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10408_ (.A(\u_spictrl.u_rxreg.data_int[18] ),
+    .B(_04920_),
+    .Y(_04938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10409_ (.A1(_04933_),
+    .A2(_04937_),
+    .B1(_04918_),
+    .C1(_04938_),
+    .X(_04939_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10410_ (.A(_04939_),
+    .Y(_00027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10411_ (.A(_00027_),
+    .X(_00918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10412_ (.A(_04704_),
+    .X(_04940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10413_ (.A(_04910_),
+    .X(_04941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10414_ (.A1(\u_spictrl.u_rxreg.data_int[16] ),
+    .A2(_04934_),
+    .B1(\u_spictrl.u_rxreg.data_int[15] ),
+    .B2(_04941_),
+    .X(_04942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10415_ (.A(_04913_),
+    .X(_04943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10416_ (.A1(_04940_),
+    .A2(_04942_),
+    .B1(\u_spictrl.u_rxreg.data_int[13] ),
+    .B2(_04943_),
+    .X(_04944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10417_ (.A(_04944_),
+    .Y(_04945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10418_ (.A(_04917_),
+    .X(_04946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10419_ (.A(_04919_),
+    .X(_04947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10420_ (.A(\u_spictrl.u_rxreg.data_int[17] ),
+    .B(_04947_),
+    .Y(_04948_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10421_ (.A1(_04933_),
+    .A2(_04945_),
+    .B1(_04946_),
+    .C1(_04948_),
+    .X(_04949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10422_ (.A(_04949_),
+    .Y(_00057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10423_ (.A(_00057_),
+    .X(_00917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10424_ (.A1(\u_spictrl.u_rxreg.data_int[15] ),
+    .A2(_04934_),
+    .B1(\u_spictrl.u_rxreg.data_int[14] ),
+    .B2(_04941_),
+    .X(_04950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10425_ (.A1(_04940_),
+    .A2(_04950_),
+    .B1(\u_spictrl.u_rxreg.data_int[12] ),
+    .B2(_04943_),
+    .X(_04951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10426_ (.A(_04951_),
+    .Y(_04952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10427_ (.A(\u_spictrl.u_rxreg.data_int[16] ),
+    .B(_04947_),
+    .Y(_04953_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10428_ (.A1(_04933_),
+    .A2(_04952_),
+    .B1(_04946_),
+    .C1(_04953_),
+    .X(_04954_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10429_ (.A(_04954_),
+    .Y(_00056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10430_ (.A(_00056_),
+    .X(_00916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10431_ (.A1(\u_spictrl.u_rxreg.data_int[14] ),
+    .A2(_04934_),
+    .B1(\u_spictrl.u_rxreg.data_int[13] ),
+    .B2(_04941_),
+    .X(_04955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10432_ (.A1(_04940_),
+    .A2(_04955_),
+    .B1(\u_spictrl.u_rxreg.data_int[11] ),
+    .B2(_04943_),
+    .X(_04956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10433_ (.A(_04956_),
+    .Y(_04957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10434_ (.A(\u_spictrl.u_rxreg.data_int[15] ),
+    .B(_04947_),
+    .Y(_04958_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10435_ (.A1(_04933_),
+    .A2(_04957_),
+    .B1(_04946_),
+    .C1(_04958_),
+    .X(_04959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10436_ (.A(_04959_),
+    .Y(_00041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10437_ (.A(_00041_),
+    .X(_00915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10438_ (.A(_04874_),
+    .X(_04960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10439_ (.A(_03710_),
+    .X(_04961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10440_ (.A1(\u_spictrl.u_rxreg.data_int[13] ),
+    .A2(_04961_),
+    .B1(\u_spictrl.u_rxreg.data_int[12] ),
+    .B2(_04941_),
+    .X(_04962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10441_ (.A1(_04940_),
+    .A2(_04962_),
+    .B1(\u_spictrl.u_rxreg.data_int[10] ),
+    .B2(_04943_),
+    .X(_04963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10442_ (.A(_04963_),
+    .Y(_04964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10443_ (.A(\u_spictrl.u_rxreg.data_int[14] ),
+    .B(_04947_),
+    .Y(_04965_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10444_ (.A1(_04960_),
+    .A2(_04964_),
+    .B1(_04946_),
+    .C1(_04965_),
+    .X(_04966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10445_ (.A(_04966_),
+    .Y(_00040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10446_ (.A(_00040_),
+    .X(_00914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10447_ (.A(_04704_),
+    .X(_04967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10448_ (.A(_04910_),
+    .X(_04968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10449_ (.A1(\u_spictrl.u_rxreg.data_int[12] ),
+    .A2(_04961_),
+    .B1(\u_spictrl.u_rxreg.data_int[11] ),
+    .B2(_04968_),
+    .X(_04969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10450_ (.A(_04913_),
+    .X(_04970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10451_ (.A1(_04967_),
+    .A2(_04969_),
+    .B1(\u_spictrl.u_rxreg.data_int[9] ),
+    .B2(_04970_),
+    .X(_04971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10452_ (.A(_04971_),
+    .Y(_04972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10453_ (.A(_04917_),
+    .X(_04973_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10454_ (.A(_04919_),
+    .X(_04974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10455_ (.A(\u_spictrl.u_rxreg.data_int[13] ),
+    .B(_04974_),
+    .Y(_04975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10456_ (.A1(_04960_),
+    .A2(_04972_),
+    .B1(_04973_),
+    .C1(_04975_),
+    .X(_04976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10457_ (.A(_04976_),
+    .Y(_00039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10458_ (.A(_00039_),
+    .X(_00913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10459_ (.A1(\u_spictrl.u_rxreg.data_int[11] ),
+    .A2(_04961_),
+    .B1(\u_spictrl.u_rxreg.data_int[10] ),
+    .B2(_04968_),
+    .X(_04977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10460_ (.A1(_04967_),
+    .A2(_04977_),
+    .B1(\u_spictrl.u_rxreg.data_int[8] ),
+    .B2(_04970_),
+    .X(_04978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10461_ (.A(_04978_),
+    .Y(_04979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10462_ (.A(\u_spictrl.u_rxreg.data_int[12] ),
+    .B(_04974_),
+    .Y(_04980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10463_ (.A1(_04960_),
+    .A2(_04979_),
+    .B1(_04973_),
+    .C1(_04980_),
+    .X(_04981_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10464_ (.A(_04981_),
+    .Y(_00038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10465_ (.A(_00038_),
+    .X(_00912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10466_ (.A1(\u_spictrl.u_rxreg.data_int[10] ),
+    .A2(_04961_),
+    .B1(\u_spictrl.u_rxreg.data_int[9] ),
+    .B2(_04968_),
+    .X(_04982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10467_ (.A1(_04967_),
+    .A2(_04982_),
+    .B1(\u_spictrl.u_rxreg.data_int[7] ),
+    .B2(_04970_),
+    .X(_04983_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10468_ (.A(_04983_),
+    .Y(_04984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10469_ (.A(\u_spictrl.u_rxreg.data_int[11] ),
+    .B(_04974_),
+    .Y(_04985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10470_ (.A1(_04960_),
+    .A2(_04984_),
+    .B1(_04973_),
+    .C1(_04985_),
+    .X(_04986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10471_ (.A(_04986_),
+    .Y(_00036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10472_ (.A(_00036_),
+    .X(_00911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10473_ (.A(_04849_),
+    .X(_04987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10474_ (.A(_03710_),
+    .X(_04988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10475_ (.A1(\u_spictrl.u_rxreg.data_int[9] ),
+    .A2(_04988_),
+    .B1(\u_spictrl.u_rxreg.data_int[8] ),
+    .B2(_04968_),
+    .X(_04989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10476_ (.A1(_04967_),
+    .A2(_04989_),
+    .B1(\u_spictrl.u_rxreg.data_int[6] ),
+    .B2(_04970_),
+    .X(_04990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10477_ (.A(_04990_),
+    .Y(_04991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10478_ (.A(\u_spictrl.u_rxreg.data_int[10] ),
+    .B(_04974_),
+    .Y(_04992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10479_ (.A1(_04987_),
+    .A2(_04991_),
+    .B1(_04973_),
+    .C1(_04992_),
+    .X(_04993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10480_ (.A(_04993_),
+    .Y(_00035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10481_ (.A(_00035_),
+    .X(_00910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10482_ (.A(_04704_),
+    .X(_04994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10483_ (.A(_04910_),
+    .X(_04995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10484_ (.A1(\u_spictrl.u_rxreg.data_int[8] ),
+    .A2(_04988_),
+    .B1(\u_spictrl.u_rxreg.data_int[7] ),
+    .B2(_04995_),
+    .X(_04996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10485_ (.A(_04913_),
+    .X(_04997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10486_ (.A1(_04994_),
+    .A2(_04996_),
+    .B1(\u_spictrl.u_rxreg.data_int[5] ),
+    .B2(_04997_),
+    .X(_04998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10487_ (.A(_04998_),
+    .Y(_04999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10488_ (.A(_04917_),
+    .X(_05000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10489_ (.A(_04919_),
+    .X(_05001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10490_ (.A(\u_spictrl.u_rxreg.data_int[9] ),
+    .B(_05001_),
+    .Y(_05002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10491_ (.A1(_04987_),
+    .A2(_04999_),
+    .B1(_05000_),
+    .C1(_05002_),
+    .X(_05003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10492_ (.A(_05003_),
+    .Y(_00034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10493_ (.A(_00034_),
+    .X(_00909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10494_ (.A1(\u_spictrl.u_rxreg.data_int[7] ),
+    .A2(_04988_),
+    .B1(\u_spictrl.u_rxreg.data_int[6] ),
+    .B2(_04995_),
+    .X(_05004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10495_ (.A1(_04994_),
+    .A2(_05004_),
+    .B1(\u_spictrl.u_rxreg.data_int[4] ),
+    .B2(_04997_),
+    .X(_05005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10496_ (.A(_05005_),
+    .Y(_05006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10497_ (.A(\u_spictrl.u_rxreg.data_int[8] ),
+    .B(_05001_),
+    .Y(_05007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10498_ (.A1(_04987_),
+    .A2(_05006_),
+    .B1(_05000_),
+    .C1(_05007_),
+    .X(_05008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10499_ (.A(_05008_),
+    .Y(_00033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10500_ (.A(_00033_),
+    .X(_00908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10501_ (.A1(\u_spictrl.u_rxreg.data_int[6] ),
+    .A2(_04988_),
+    .B1(\u_spictrl.u_rxreg.data_int[5] ),
+    .B2(_04995_),
+    .X(_05009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10502_ (.A1(_04994_),
+    .A2(_05009_),
+    .B1(\u_spictrl.u_rxreg.data_int[3] ),
+    .B2(_04997_),
+    .X(_05010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10503_ (.A(_05010_),
+    .Y(_05011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10504_ (.A(\u_spictrl.u_rxreg.data_int[7] ),
+    .B(_05001_),
+    .Y(_05012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10505_ (.A1(_04987_),
+    .A2(_05011_),
+    .B1(_05000_),
+    .C1(_05012_),
+    .X(_05013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10506_ (.A(_05013_),
+    .Y(_00050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10507_ (.A(_00050_),
+    .X(_00907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10508_ (.A(_03710_),
+    .X(_05014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10509_ (.A1(\u_spictrl.u_rxreg.data_int[5] ),
+    .A2(_05014_),
+    .B1(\u_spictrl.u_rxreg.data_int[4] ),
+    .B2(_04995_),
+    .X(_05015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10510_ (.A1(_04994_),
+    .A2(_05015_),
+    .B1(\u_spictrl.u_rxreg.data_int[2] ),
+    .B2(_04997_),
+    .X(_05016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10511_ (.A(_05016_),
+    .Y(_05017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10512_ (.A(\u_spictrl.u_rxreg.data_int[6] ),
+    .B(_05001_),
+    .Y(_05018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10513_ (.A1(_04850_),
+    .A2(_05017_),
+    .B1(_05000_),
+    .C1(_05018_),
+    .X(_05019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10514_ (.A(_05019_),
+    .Y(_00049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10515_ (.A(_00049_),
+    .X(_00906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10516_ (.A1(\u_spictrl.u_rxreg.data_int[4] ),
+    .A2(_05014_),
+    .B1(\u_spictrl.u_rxreg.data_int[3] ),
+    .B2(_04838_),
+    .X(_05020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10517_ (.A(_03713_),
+    .X(_05021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10518_ (.A1(_04705_),
+    .A2(_05020_),
+    .B1(\u_spictrl.u_rxreg.data_int[1] ),
+    .B2(_05021_),
+    .X(_05022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10519_ (.A(_05022_),
+    .Y(_05023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10520_ (.A(_04835_),
+    .X(_05024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10521_ (.A(\u_spictrl.u_rxreg.data_int[5] ),
+    .B(_05024_),
+    .Y(_05025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10522_ (.A1(_04850_),
+    .A2(_05023_),
+    .B1(_04859_),
+    .C1(_05025_),
+    .X(_05026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10523_ (.A(_05026_),
+    .Y(_00047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10524_ (.A(_00047_),
+    .X(_00905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10525_ (.A1(\u_spictrl.u_rxreg.data_int[3] ),
+    .A2(_05014_),
+    .B1(\u_spictrl.u_rxreg.data_int[2] ),
+    .B2(_04838_),
+    .X(_05027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10526_ (.A1(_04705_),
+    .A2(_05027_),
+    .B1(\u_spictrl.u_rxreg.data_int[0] ),
+    .B2(_05021_),
+    .X(_05028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10527_ (.A(_05028_),
+    .Y(_05029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10528_ (.A(\u_spictrl.u_rxreg.data_int[4] ),
+    .B(_05024_),
+    .Y(_05030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10529_ (.A1(_04850_),
+    .A2(_05029_),
+    .B1(_04859_),
+    .C1(_05030_),
+    .X(_05031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10530_ (.A(_05031_),
+    .Y(_00046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10531_ (.A(_00046_),
+    .X(_00904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10532_ (.A(\u_spictrl.u_rxreg.data_int[3] ),
+    .B(_05024_),
+    .X(_05032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10533_ (.A(\u_spictrl.u_rxreg.data_int[2] ),
+    .B(_05014_),
+    .X(_05033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10534_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
+    .B(_04838_),
+    .X(_05034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10535_ (.A(_05021_),
+    .B(_05033_),
+    .C(_05034_),
+    .X(_05035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10536_ (.A1(io_in[3]),
+    .A2(_03759_),
+    .B1(_04841_),
+    .C1(_05035_),
+    .X(_05036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10537_ (.A(_04733_),
+    .B(_05032_),
+    .C(_05036_),
+    .X(_00045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10538_ (.A(_00045_),
+    .X(_00903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10539_ (.A(\u_spictrl.u_rxreg.data_int[2] ),
+    .B(_05024_),
+    .X(_05037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10540_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
+    .B(_03711_),
+    .X(_05038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10541_ (.A(\u_spictrl.u_rxreg.data_int[0] ),
+    .B(_03751_),
+    .X(_05039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10542_ (.A(_05021_),
+    .B(_05038_),
+    .C(_05039_),
+    .X(_05040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10543_ (.A1(io_in[2]),
+    .A2(_03758_),
+    .B1(_04841_),
+    .C1(_05040_),
+    .X(_05041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10544_ (.A(_04713_),
+    .B(_05037_),
+    .C(_05041_),
+    .X(_00044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10545_ (.A(_00044_),
+    .X(_00902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10546_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
+    .B(_04836_),
+    .X(_05042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10547_ (.A1(io_in[1]),
+    .A2(_03716_),
+    .B1(\u_spictrl.u_rxreg.data_int[0] ),
+    .B2(_03715_),
+    .X(_05043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10548_ (.A(_04841_),
+    .B(_05043_),
+    .X(_05044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10549_ (.A(_04713_),
+    .B(_05042_),
+    .C(_05044_),
+    .X(_00043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10550_ (.A(_00043_),
+    .X(_00901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10551_ (.A(\u_spictrl.u_rxreg.data_int[0] ),
+    .B(_04836_),
+    .X(_05045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10552_ (.A1(io_in[0]),
+    .A2(_03716_),
+    .B1(io_in[1]),
+    .B2(_03715_),
+    .X(_05046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10553_ (.A(_04804_),
+    .B(_05046_),
+    .X(_05047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10554_ (.A(_04713_),
+    .B(_05045_),
+    .C(_05047_),
+    .X(_00042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10555_ (.A(_00042_),
+    .X(_00900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10556_ (.A(\u_spictrl.spi_rise ),
+    .X(_05048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10557_ (.A(_06370_),
+    .Y(_05049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10558_ (.A(_05049_),
+    .B(_06371_),
+    .X(_05050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10559_ (.A(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .X(_05051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10560_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .B(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .C(_05051_),
+    .X(_05052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10561_ (.A(_05052_),
+    .Y(_05053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10562_ (.A(_04691_),
+    .B(_05050_),
+    .C(_05053_),
+    .X(_05054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10563_ (.A(_04693_),
+    .X(_05055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10564_ (.A(_04782_),
+    .B(_05055_),
+    .X(_05056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10565_ (.A(_05051_),
+    .B(_06371_),
+    .C(_05056_),
+    .X(_05057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10566_ (.A(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .Y(_05058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10567_ (.A(_01892_),
+    .Y(\u_m1_res_fifo.full ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10568_ (.A(_01767_),
+    .Y(\u_m0_res_fifo.full ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10569_ (.A1(_03994_),
+    .A2(\u_m1_res_fifo.full ),
+    .B1(_01524_),
+    .B2(\u_m0_res_fifo.full ),
+    .X(_05059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10570_ (.A(_05059_),
+    .X(_05060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10571_ (.A(_05058_),
+    .B(_05060_),
+    .X(_05061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10572_ (.A(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .Y(_05062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10573_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .Y(_05063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10574_ (.A(_05059_),
+    .Y(_05064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10575_ (.A(_05063_),
+    .B(_05064_),
+    .X(_05065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10576_ (.A(_05062_),
+    .B(_05058_),
+    .C(_05065_),
+    .X(_05066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10577_ (.A(\u_spictrl.u_rxreg.counter[4] ),
+    .B(\u_spictrl.u_rxreg.counter[3] ),
+    .C(_03712_),
+    .D(_04674_),
+    .X(_05067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10578_ (.A1(\u_spictrl.u_rxreg.counter[3] ),
+    .A2(_03709_),
+    .B1(_03714_),
+    .C1(_05067_),
+    .X(_05068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10579_ (.A(_05068_),
+    .Y(_05069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10580_ (.A(_04760_),
+    .B(_04761_),
+    .C(_04813_),
+    .D(_05069_),
+    .X(_05070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10581_ (.A(_05064_),
+    .B(_05070_),
+    .X(_05071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _10582_ (.A(_04805_),
+    .B(_05071_),
+    .Y(_05072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10583_ (.A(\u_spictrl.spi_rise ),
+    .B(_05051_),
+    .C(_05072_),
+    .X(_05073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10584_ (.A(_05049_),
+    .B(_06371_),
+    .C(_05066_),
+    .D(_05073_),
+    .X(_05074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10585_ (.A(_05052_),
+    .B(_05061_),
+    .C(_05074_),
+    .X(_05075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10586_ (.A(_05054_),
+    .B(_05057_),
+    .C(_05075_),
+    .X(_05076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10587_ (.A(_05076_),
+    .Y(_05077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10588_ (.A(_05055_),
+    .X(_05078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10589_ (.A1(_05048_),
+    .A2(_03910_),
+    .A3(_05077_),
+    .B1(\u_spictrl.rx_clk_en ),
+    .B2(_05078_),
+    .X(_00899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10590_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .Y(_05079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10591_ (.A(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .B(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .Y(_05080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10592_ (.A(_05079_),
+    .B(_05080_),
+    .X(_05081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10593_ (.A(_05081_),
+    .Y(_05082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10594_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .B(_05082_),
+    .X(_05083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10595_ (.A(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .B(_05083_),
+    .X(_05084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10596_ (.A(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .B(_05084_),
+    .X(_05085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10597_ (.A(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .B(_05085_),
+    .X(_05086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10598_ (.A(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .B(_05086_),
+    .X(_05087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _10599_ (.A1(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .A2(_05086_),
+    .B1_N(_05087_),
+    .X(_05088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10600_ (.A1_N(_04810_),
+    .A2_N(_05088_),
+    .B1(_04810_),
+    .B2(_05088_),
+    .X(_05089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _10601_ (.A1(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .A2(_05083_),
+    .B1_N(_05084_),
+    .X(_05090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10602_ (.A1_N(_04821_),
+    .A2_N(_05090_),
+    .B1(_04821_),
+    .B2(_05090_),
+    .X(_05091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10603_ (.A(_04820_),
+    .Y(_05092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _10604_ (.A1(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .A2(_05084_),
+    .B1_N(_05085_),
+    .X(_05093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10605_ (.A1_N(_05092_),
+    .A2_N(_05093_),
+    .B1(_05092_),
+    .B2(_05093_),
+    .X(_05094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _10606_ (.A1(_04740_),
+    .A2(_05081_),
+    .B1(_05083_),
+    .Y(_05095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10607_ (.A1_N(_04822_),
+    .A2_N(_05095_),
+    .B1(_04822_),
+    .B2(_05095_),
+    .X(_05096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10608_ (.A(_04693_),
+    .B(psn_net_234),
+    .X(_05097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _10609_ (.A1(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .A2(_04754_),
+    .B1(_05080_),
+    .Y(_05098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10610_ (.A(_04830_),
+    .B(_05098_),
+    .X(_05099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10611_ (.A1(_05079_),
+    .A2(_05080_),
+    .B1(_05082_),
+    .X(_05100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10612_ (.A(_05100_),
+    .Y(_05101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10613_ (.A1(_04824_),
+    .A2(_05100_),
+    .B1(_04825_),
+    .B2(_05101_),
+    .X(_05102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10614_ (.A(_04833_),
+    .B(_05097_),
+    .C(_05099_),
+    .D(_05102_),
+    .X(_05103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10615_ (.A(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .B(_05087_),
+    .X(_05104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10616_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .B(_05104_),
+    .X(_05105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10617_ (.A(_05105_),
+    .Y(_05106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10618_ (.A1(_04754_),
+    .A2(_04832_),
+    .B1(_04830_),
+    .B2(_05098_),
+    .X(_05107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _10619_ (.A1(_04754_),
+    .A2(_04832_),
+    .B1_N(_05107_),
+    .X(_05108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10620_ (.A(_05096_),
+    .B(_05103_),
+    .C(_05106_),
+    .D(_05108_),
+    .X(_05109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _10621_ (.A1(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .A2(_05085_),
+    .B1_N(_05086_),
+    .X(_05110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10622_ (.A1_N(_04812_),
+    .A2_N(_05110_),
+    .B1(_04812_),
+    .B2(_05110_),
+    .X(_05111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10623_ (.A(_05091_),
+    .B(_05094_),
+    .C(_05109_),
+    .D(_05111_),
+    .X(_05112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10624_ (.A(_05104_),
+    .Y(_05113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10625_ (.A1(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .A2(_05087_),
+    .B1(_05113_),
+    .X(_05114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10626_ (.A1_N(_04809_),
+    .A2_N(_05114_),
+    .B1(_04809_),
+    .B2(_05114_),
+    .X(_05115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10627_ (.A(_04689_),
+    .B(_05113_),
+    .X(_05116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10628_ (.A1_N(_04807_),
+    .A2_N(_05116_),
+    .B1(_04807_),
+    .B2(_05116_),
+    .X(_05117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10629_ (.A(_05089_),
+    .B(_05112_),
+    .C(_05115_),
+    .D(_05117_),
+    .X(_05118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10630_ (.A(_05118_),
+    .Y(_05119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10631_ (.A(_04798_),
+    .B(_04799_),
+    .C(_05105_),
+    .D(_04796_),
+    .X(_05120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10632_ (.A(_04799_),
+    .B(_05105_),
+    .C(_04795_),
+    .D(_04798_),
+    .X(_05121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10633_ (.A(_04794_),
+    .B(_05121_),
+    .Y(_05122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10634_ (.A1(_04794_),
+    .A2(_05120_),
+    .A3(_04791_),
+    .B1(_04792_),
+    .B2(_05122_),
+    .X(_05123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10635_ (.A1(_05077_),
+    .A2(_05119_),
+    .A3(_05123_),
+    .B1(\u_spictrl.rx_done ),
+    .B2(_05078_),
+    .X(_00898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10636_ (.A(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .Y(_05124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10637_ (.A(\u_spictrl.u_clkgen.clk_cnt[4] ),
+    .Y(_05125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10638_ (.A(_05125_),
+    .X(_05126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10639_ (.A1_N(\u_spictrl.spi_clk_div[3] ),
+    .A2_N(_05124_),
+    .B1(\u_spictrl.spi_clk_div[4] ),
+    .B2(_05126_),
+    .X(_05127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10640_ (.A(\u_spictrl.u_clkgen.clk_cnt[5] ),
+    .Y(_05128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10641_ (.A(_05128_),
+    .X(_05129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10642_ (.A1_N(\u_spictrl.spi_clk_div[5] ),
+    .A2_N(_05129_),
+    .B1(\u_spictrl.spi_clk_div[5] ),
+    .B2(_05128_),
+    .X(_05130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10643_ (.A(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .Y(_05131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10644_ (.A1_N(\u_spictrl.spi_clk_div[4] ),
+    .A2_N(_05125_),
+    .B1(\u_spictrl.spi_clk_div[1] ),
+    .B2(_05131_),
+    .X(_05132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10645_ (.A1_N(_02210_),
+    .A2_N(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .B1(_02262_),
+    .B2(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .X(_05133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10646_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .Y(_05134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10647_ (.A1(\u_spictrl.spi_clk_div[0] ),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .B1(_02293_),
+    .B2(_05134_),
+    .X(_05135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10648_ (.A(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .Y(_05136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10649_ (.A1(\u_spictrl.spi_clk_div[2] ),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .B1(_02239_),
+    .B2(_05136_),
+    .X(_05137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10650_ (.A(_05132_),
+    .B(_05133_),
+    .C(_05135_),
+    .D(_05137_),
+    .X(_05138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10651_ (.A(_05127_),
+    .B(_05130_),
+    .C(_05138_),
+    .X(_05139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10652_ (.A(_05139_),
+    .Y(_05140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10653_ (.A(\u_spictrl.spi_clk ),
+    .B(_05140_),
+    .Y(_05141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10654_ (.A1(_02262_),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .B1(\u_spictrl.spi_clk_div[2] ),
+    .B2(_05131_),
+    .X(_05142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10655_ (.A1(_02239_),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .B1(\u_spictrl.spi_clk_div[3] ),
+    .B2(_05136_),
+    .X(_05143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10656_ (.A(_02176_),
+    .B(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .X(_05144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10657_ (.A(\u_spictrl.spi_clk_div[4] ),
+    .B(_05124_),
+    .X(_05145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10658_ (.A(\u_spictrl.spi_clk_div[1] ),
+    .B(_05134_),
+    .X(_05146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10659_ (.A(_05144_),
+    .B(_05145_),
+    .C(_05129_),
+    .D(_05146_),
+    .X(_05147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10660_ (.A1(_02210_),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .B1(_02156_),
+    .B2(\u_spictrl.u_clkgen.clk_cnt[4] ),
+    .X(_05148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10661_ (.A1(\u_spictrl.spi_clk_div[5] ),
+    .A2(_05126_),
+    .B1(_05148_),
+    .X(_05149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10662_ (.A(_05142_),
+    .B(_05143_),
+    .C(_05147_),
+    .D(_05149_),
+    .X(_05150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10663_ (.A(_05150_),
+    .X(_00017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10664_ (.A(\u_spictrl.rx_clk_en ),
+    .B(_04670_),
+    .X(_05151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _10665_ (.A1(_05141_),
+    .A2(_00017_),
+    .B1(psn_net_105),
+    .Y(_00897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10666_ (.A(\u_spictrl.cnt[0] ),
+    .Y(_05152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10667_ (.A1(_02465_),
+    .A2(\u_spictrl.cnt[0] ),
+    .B1(\u_spictrl.cfg_cs_late[0] ),
+    .B2(_05152_),
+    .X(_05153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10668_ (.A(\u_spictrl.cnt[1] ),
+    .Y(_05154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10669_ (.A1(_02436_),
+    .A2(\u_spictrl.cnt[1] ),
+    .B1(\u_spictrl.cfg_cs_late[1] ),
+    .B2(_05154_),
+    .X(_05155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10670_ (.A(_01529_),
+    .B(_02024_),
+    .C(_01536_),
+    .D(_03294_),
+    .X(_05156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10671_ (.A(_02515_),
+    .B(\u_spictrl.cnt[0] ),
+    .X(_05157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10672_ (.A1(\u_spictrl.cfg_cs_early[1] ),
+    .A2(\u_spictrl.cnt[1] ),
+    .B1(_02490_),
+    .B2(_05154_),
+    .X(_05158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10673_ (.A1(\u_spictrl.cfg_cs_early[0] ),
+    .A2(_05152_),
+    .B1(_05157_),
+    .C1(_05158_),
+    .X(_05159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10674_ (.A(_05159_),
+    .Y(_05160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10675_ (.A1(_05153_),
+    .A2(_05155_),
+    .A3(_05156_),
+    .B1(psn_net_236),
+    .B2(_05160_),
+    .X(_05161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10676_ (.A(_05161_),
+    .Y(_05162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10677_ (.A(psn_net_236),
+    .B(_05156_),
+    .X(_05163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10678_ (.A1(_01935_),
+    .A2(_04690_),
+    .B1(_03795_),
+    .C1(_02521_),
+    .X(_05164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10679_ (.A(_03762_),
+    .B(_04692_),
+    .C(_05163_),
+    .D(_05164_),
+    .X(_05165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _10680_ (.A(_05162_),
+    .B(_05165_),
+    .Y(_05166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10681_ (.A(_05152_),
+    .B(_05166_),
+    .X(_05167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10682_ (.A(_05167_),
+    .Y(_05168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10683_ (.A1(_05154_),
+    .A2(_05167_),
+    .B1(_05163_),
+    .B2(_05166_),
+    .X(_05169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10684_ (.A1(\u_spictrl.cnt[1] ),
+    .A2(_05168_),
+    .B1(_05169_),
+    .X(_00896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10685_ (.A(_05162_),
+    .B(_05163_),
+    .X(_05170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10686_ (.A1(\u_spictrl.cnt[0] ),
+    .A2(_05170_),
+    .B1(_05167_),
+    .X(_00895_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10687_ (.A(_01537_),
+    .B(_01538_),
+    .X(_05171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10688_ (.A(_01536_),
+    .B(_04690_),
+    .X(_05172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10689_ (.A(_03301_),
+    .B(_04692_),
+    .C(_05056_),
+    .X(_05173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10690_ (.A(_02569_),
+    .B(\u_m1_cmd_fifo.empty ),
+    .X(_05174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10691_ (.A(_03729_),
+    .B(_03703_),
+    .C(_03727_),
+    .D(_03725_),
+    .X(_05175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10692_ (.A(_03293_),
+    .B(psn_net_165),
+    .X(_05176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10693_ (.A1(_02521_),
+    .A2(_05174_),
+    .B1(_05170_),
+    .C1(_05176_),
+    .X(_05177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10694_ (.A(_01934_),
+    .B(_04690_),
+    .X(_05178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10695_ (.A(_01993_),
+    .B(_02025_),
+    .C(_01536_),
+    .D(_01963_),
+    .X(_05179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10696_ (.A1(_01538_),
+    .A2(_05178_),
+    .B1(_05179_),
+    .X(_05180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10697_ (.A(_03370_),
+    .B(_05180_),
+    .Y(_05181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10698_ (.A(_05151_),
+    .B(_01539_),
+    .X(_05182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10699_ (.A(_05173_),
+    .B(_05177_),
+    .C(_05181_),
+    .D(_05182_),
+    .X(_05183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10700_ (.A1(_05171_),
+    .A2(_05172_),
+    .B1(_05183_),
+    .X(_05184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10701_ (.A(_05184_),
+    .Y(_05185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10702_ (.A(_05185_),
+    .X(_05186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10703_ (.A(\u_spictrl.cfg_spi_seq[3] ),
+    .Y(_05187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10704_ (.A(_05187_),
+    .B(\u_spictrl.cfg_spi_seq[2] ),
+    .X(_05188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10705_ (.A(\u_spictrl.cfg_spi_seq[2] ),
+    .Y(_05189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10706_ (.A(\u_spictrl.cfg_spi_seq[1] ),
+    .Y(_05190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10707_ (.A(\u_spictrl.cfg_spi_seq[0] ),
+    .Y(_05191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10708_ (.A(\u_spictrl.cfg_spi_seq[3] ),
+    .B(_05189_),
+    .C(_05190_),
+    .D(_05191_),
+    .X(_05192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10709_ (.A(_05188_),
+    .B(_05192_),
+    .X(_05193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10710_ (.A(_05193_),
+    .Y(_05194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10711_ (.A(_05178_),
+    .Y(_05195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10712_ (.A(_05179_),
+    .Y(_05196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10713_ (.A(\u_spictrl.cfg_spi_seq[0] ),
+    .X(_05197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10714_ (.A(\u_spictrl.cfg_spi_seq[2] ),
+    .X(_05198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10715_ (.A(_05187_),
+    .B(_05198_),
+    .X(_05199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10716_ (.A1(_05197_),
+    .A2(_05199_),
+    .B1(_03801_),
+    .X(_05200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10717_ (.A(\u_spictrl.cfg_spi_seq[3] ),
+    .X(_05201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10718_ (.A(_05189_),
+    .X(_05202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10719_ (.A(_05201_),
+    .B(_05202_),
+    .C(\u_spictrl.cfg_spi_seq[0] ),
+    .X(_05203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10720_ (.A1(_05190_),
+    .A2(_05203_),
+    .B1(_03957_),
+    .X(_05204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10721_ (.A(_05195_),
+    .B(_05196_),
+    .C(_05200_),
+    .D(_05204_),
+    .X(_05205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10722_ (.A(_05201_),
+    .X(_05206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10723_ (.A(_05206_),
+    .B(_05198_),
+    .C(_05190_),
+    .X(_05207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10724_ (.A(\u_spictrl.cfg_spi_seq[1] ),
+    .X(_05208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10725_ (.A(_05190_),
+    .B(_05191_),
+    .X(_05209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10726_ (.A1(_05208_),
+    .A2(_05197_),
+    .B1(_05209_),
+    .X(_05210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10727_ (.A(_05201_),
+    .B(\u_spictrl.cfg_spi_seq[2] ),
+    .C(\u_spictrl.cfg_spi_seq[1] ),
+    .D(_05191_),
+    .X(_05211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10728_ (.A1(_05199_),
+    .A2(_05210_),
+    .B1(_05211_),
+    .X(_05212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10729_ (.A(_05194_),
+    .B(_05207_),
+    .C(_05212_),
+    .D(psn_net_168),
+    .X(_05213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10730_ (.A1(_03796_),
+    .A2(_05194_),
+    .B1(_05205_),
+    .C1(_05213_),
+    .X(_05214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10731_ (.A1_N(_01935_),
+    .A2_N(_05186_),
+    .B1(_05186_),
+    .B2(_05214_),
+    .X(_00894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10732_ (.A(_03731_),
+    .B(_04711_),
+    .X(_05215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10733_ (.A1(_01935_),
+    .A2(_01963_),
+    .B1(_01993_),
+    .C1(_05172_),
+    .X(_05216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10734_ (.A(_05216_),
+    .Y(_05217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10735_ (.A(_05191_),
+    .X(_05218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10736_ (.A(_05187_),
+    .B(_05198_),
+    .C(\u_spictrl.cfg_spi_seq[1] ),
+    .D(_05218_),
+    .X(_05219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10737_ (.A(_05201_),
+    .B(_05202_),
+    .C(_05208_),
+    .D(_05218_),
+    .X(_05220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10738_ (.A1(_03801_),
+    .A2(_05203_),
+    .A3(_05219_),
+    .B1(_03956_),
+    .B2(_05220_),
+    .X(_05221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10739_ (.A(psn_net_171),
+    .B(_05212_),
+    .Y(_05222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10740_ (.A(_03796_),
+    .B(_05193_),
+    .X(_05223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10741_ (.A(_05217_),
+    .B(_05221_),
+    .C(_05222_),
+    .D(_05223_),
+    .X(_05224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10742_ (.A(_05224_),
+    .Y(_05225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10743_ (.A1(psn_net_157),
+    .A2(_05215_),
+    .A3(_05225_),
+    .B1(_01963_),
+    .B2(psn_net_104),
+    .X(_05226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10744_ (.A(_05226_),
+    .Y(_00893_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10745_ (.A(_05218_),
+    .B(_05207_),
+    .X(_05227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10746_ (.A1(_05208_),
+    .A2(_05197_),
+    .A3(_05188_),
+    .B1(_05194_),
+    .B2(_05227_),
+    .X(_05228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10747_ (.A(_05206_),
+    .B(_05202_),
+    .C(_05218_),
+    .D(_03801_),
+    .X(_05229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10748_ (.A1(_05199_),
+    .A2(_05209_),
+    .B1(psn_net_169),
+    .X(_05230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10749_ (.A(psn_net_237),
+    .B(_03763_),
+    .C(_05229_),
+    .D(_05230_),
+    .X(_05231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10750_ (.A(_03731_),
+    .B(_04726_),
+    .X(_05232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10751_ (.A1(_03796_),
+    .A2(_05228_),
+    .B1(_05231_),
+    .C1(_05232_),
+    .X(_05233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10752_ (.A1(_01537_),
+    .A2(_05186_),
+    .B1(_05233_),
+    .B2(psn_net_103),
+    .X(_00892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10753_ (.A(_05206_),
+    .B(_05202_),
+    .C(_05210_),
+    .D(_03296_),
+    .X(_05234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10754_ (.A(_05234_),
+    .Y(_05235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _10755_ (.A1(_05194_),
+    .A2(_05207_),
+    .B1(psn_net_173),
+    .Y(_05236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10756_ (.A(_02520_),
+    .B(_03763_),
+    .C(_05235_),
+    .D(_05236_),
+    .X(_05237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10757_ (.A(_05232_),
+    .B(_05237_),
+    .Y(_05238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10758_ (.A1(_02025_),
+    .A2(_05186_),
+    .B1(psn_net_103),
+    .B2(_05238_),
+    .X(_05239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10759_ (.A(_05239_),
+    .Y(_00891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10760_ (.A(_02523_),
+    .B(_05174_),
+    .X(_05240_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10761_ (.A(_05240_),
+    .X(_05241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10762_ (.A(_05241_),
+    .X(_05242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10763_ (.A(_01730_),
+    .X(_05243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10764_ (.A(_05243_),
+    .X(_05244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10765_ (.A(_02570_),
+    .X(\u_m0_cmd_fifo.empty ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10766_ (.A1(_05244_),
+    .A2(_04246_),
+    .B1(\u_m0_cmd_fifo.empty ),
+    .B2(_04251_),
+    .Y(_05245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10767_ (.A1_N(_05242_),
+    .A2_N(_05245_),
+    .B1(\u_spictrl.spi_mode_cmd[7] ),
+    .B2(_05242_),
+    .X(_00890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10768_ (.A1(_05244_),
+    .A2(_04288_),
+    .B1(\u_m0_cmd_fifo.empty ),
+    .B2(_04296_),
+    .Y(_05246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10769_ (.A1_N(_05242_),
+    .A2_N(_05246_),
+    .B1(\u_spictrl.spi_mode_cmd[6] ),
+    .B2(_05242_),
+    .X(_00889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10770_ (.A(_05241_),
+    .X(_05247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10771_ (.A(_02570_),
+    .X(_05248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10772_ (.A(_05248_),
+    .X(_05249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10773_ (.A1(_05244_),
+    .A2(_04328_),
+    .B1(_05249_),
+    .B2(_04333_),
+    .Y(_05250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10774_ (.A1_N(_05247_),
+    .A2_N(_05250_),
+    .B1(\u_spictrl.spi_mode_cmd[5] ),
+    .B2(_05247_),
+    .X(_00888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10775_ (.A1(_05244_),
+    .A2(_04361_),
+    .B1(_05249_),
+    .B2(_04366_),
+    .Y(_05251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10776_ (.A1_N(_05247_),
+    .A2_N(_05251_),
+    .B1(\u_spictrl.spi_mode_cmd[4] ),
+    .B2(_05247_),
+    .X(_00887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10777_ (.A(_05241_),
+    .X(_05252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10778_ (.A(_05243_),
+    .X(_05253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10779_ (.A1(_05253_),
+    .A2(_03992_),
+    .B1(_05249_),
+    .B2(_04011_),
+    .Y(_05254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10780_ (.A1_N(_05252_),
+    .A2_N(_05254_),
+    .B1(\u_spictrl.spi_mode_cmd[3] ),
+    .B2(_05252_),
+    .X(_00886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10781_ (.A1(_05253_),
+    .A2(_04088_),
+    .B1(_05249_),
+    .B2(_04094_),
+    .Y(_05255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10782_ (.A1_N(_05252_),
+    .A2_N(_05255_),
+    .B1(\u_spictrl.spi_mode_cmd[2] ),
+    .B2(_05252_),
+    .X(_00885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10783_ (.A(_05241_),
+    .X(_05256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10784_ (.A(_02570_),
+    .X(_05257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10785_ (.A(_05257_),
+    .X(_05258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10786_ (.A1(_05253_),
+    .A2(_04141_),
+    .B1(_05258_),
+    .B2(_04146_),
+    .Y(_05259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10787_ (.A1_N(_05256_),
+    .A2_N(_05259_),
+    .B1(\u_spictrl.spi_mode_cmd[1] ),
+    .B2(_05256_),
+    .X(_00884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10788_ (.A1(_05253_),
+    .A2(_04185_),
+    .B1(_05258_),
+    .B2(_04190_),
+    .Y(_05260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10789_ (.A1_N(_05256_),
+    .A2_N(_05260_),
+    .B1(\u_spictrl.spi_mode_cmd[0] ),
+    .B2(_05256_),
+    .X(_00883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10790_ (.A(_05240_),
+    .X(_05261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10791_ (.A(_05261_),
+    .X(_05262_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10792_ (.A(_05243_),
+    .X(_05263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10793_ (.A1(_05263_),
+    .A2(_04047_),
+    .B1(_05258_),
+    .B2(_04058_),
+    .Y(_05264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10794_ (.A1_N(_05262_),
+    .A2_N(_05264_),
+    .B1(_05206_),
+    .B2(_05262_),
+    .X(_00882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10795_ (.A1(_05263_),
+    .A2(_04108_),
+    .B1(_05258_),
+    .B2(_04113_),
+    .Y(_05265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10796_ (.A1_N(_05262_),
+    .A2_N(_05265_),
+    .B1(_05198_),
+    .B2(_05262_),
+    .X(_00881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10797_ (.A(_05261_),
+    .X(_05266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10798_ (.A(_05257_),
+    .X(_05267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10799_ (.A1(_05263_),
+    .A2(_04158_),
+    .B1(_05267_),
+    .B2(_04163_),
+    .Y(_05268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10800_ (.A1_N(_05266_),
+    .A2_N(_05268_),
+    .B1(_05208_),
+    .B2(_05266_),
+    .X(_00880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10801_ (.A1(_05263_),
+    .A2(_04202_),
+    .B1(_05267_),
+    .B2(_04207_),
+    .Y(_05269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10802_ (.A1_N(_05266_),
+    .A2_N(_05269_),
+    .B1(_05197_),
+    .B2(_05266_),
+    .X(_00879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10803_ (.A(wbd_stb_i),
+    .Y(_05270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10804_ (.A(\u_spim_regs.spim_reg_ack ),
+    .B(_01626_),
+    .C(wbd_ack_o),
+    .D(_05270_),
+    .X(_05271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10805_ (.A1(_02672_),
+    .A2(_01464_),
+    .B1(_01426_),
+    .B2(_05271_),
+    .X(_05272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10806_ (.A(_05272_),
+    .Y(_00878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10807_ (.A(_02618_),
+    .X(_05273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10808_ (.A(_05273_),
+    .X(_05274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10809_ (.A(_02567_),
+    .X(_05275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10810_ (.A1(_05274_),
+    .A2(\u_spim_regs.spim_reg_wdata[31] ),
+    .B1(_05275_),
+    .B2(wbd_dat_i[31]),
+    .X(_00877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10811_ (.A(_01625_),
+    .X(_05276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10812_ (.A(_05276_),
+    .X(_05277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10813_ (.A(_05277_),
+    .X(_05278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10814_ (.A1(_05274_),
+    .A2(\u_spim_regs.spim_reg_wdata[30] ),
+    .B1(_05278_),
+    .B2(wbd_dat_i[30]),
+    .X(_00876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10815_ (.A1(_05274_),
+    .A2(\u_spim_regs.spim_reg_wdata[29] ),
+    .B1(_05278_),
+    .B2(wbd_dat_i[29]),
+    .X(_00875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10816_ (.A1(_05274_),
+    .A2(\u_spim_regs.spim_reg_wdata[28] ),
+    .B1(_05278_),
+    .B2(wbd_dat_i[28]),
+    .X(_00874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10817_ (.A(_05273_),
+    .X(_05279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10818_ (.A1(_05279_),
+    .A2(\u_spim_regs.spim_reg_wdata[27] ),
+    .B1(_05278_),
+    .B2(wbd_dat_i[27]),
+    .X(_00873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10819_ (.A(_05277_),
+    .X(_05280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10820_ (.A1(_05279_),
+    .A2(\u_spim_regs.spim_reg_wdata[26] ),
+    .B1(_05280_),
+    .B2(wbd_dat_i[26]),
+    .X(_00872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10821_ (.A1(_05279_),
+    .A2(\u_spim_regs.spim_reg_wdata[25] ),
+    .B1(_05280_),
+    .B2(wbd_dat_i[25]),
+    .X(_00871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10822_ (.A1(_05279_),
+    .A2(\u_spim_regs.spim_reg_wdata[24] ),
+    .B1(_05280_),
+    .B2(wbd_dat_i[24]),
+    .X(_00870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10823_ (.A(_05273_),
+    .X(_05281_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10824_ (.A1(\u_spim_regs.spim_reg_wdata[23] ),
+    .A2(_05281_),
+    .B1(_05280_),
+    .B2(wbd_dat_i[23]),
+    .X(_00869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10825_ (.A(_05273_),
+    .X(_05282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10826_ (.A(_05277_),
+    .X(_05283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10827_ (.A1(_05282_),
+    .A2(\u_spim_regs.spim_reg_wdata[22] ),
+    .B1(_05283_),
+    .B2(wbd_dat_i[22]),
+    .X(_00868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10828_ (.A1(_05282_),
+    .A2(\u_spim_regs.spim_reg_wdata[21] ),
+    .B1(_05283_),
+    .B2(wbd_dat_i[21]),
+    .X(_00867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10829_ (.A1(_05282_),
+    .A2(\u_spim_regs.spim_reg_wdata[20] ),
+    .B1(_05283_),
+    .B2(wbd_dat_i[20]),
+    .X(_00866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10830_ (.A1(_05282_),
+    .A2(\u_spim_regs.spim_reg_wdata[19] ),
+    .B1(_05283_),
+    .B2(wbd_dat_i[19]),
+    .X(_00865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10831_ (.A(_02618_),
+    .X(_05284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10832_ (.A(_05284_),
+    .X(_05285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10833_ (.A(_05277_),
+    .X(_05286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10834_ (.A1(_05285_),
+    .A2(\u_spim_regs.spim_reg_wdata[18] ),
+    .B1(_05286_),
+    .B2(wbd_dat_i[18]),
+    .X(_00864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10835_ (.A1(_05285_),
+    .A2(\u_spim_regs.spim_reg_wdata[17] ),
+    .B1(_05286_),
+    .B2(wbd_dat_i[17]),
+    .X(_00863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10836_ (.A1(_05285_),
+    .A2(\u_spim_regs.spim_reg_wdata[16] ),
+    .B1(_05286_),
+    .B2(wbd_dat_i[16]),
+    .X(_00862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10837_ (.A1(_05285_),
+    .A2(_02620_),
+    .B1(_05286_),
+    .B2(wbd_dat_i[15]),
+    .X(_00861_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10838_ (.A(_05284_),
+    .X(_05287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10839_ (.A(_05276_),
+    .X(_05288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10840_ (.A(_05288_),
+    .X(_05289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10841_ (.A1(_05287_),
+    .A2(_02630_),
+    .B1(_05289_),
+    .B2(wbd_dat_i[14]),
+    .X(_00860_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10842_ (.A1(_05287_),
+    .A2(_02632_),
+    .B1(_05289_),
+    .B2(wbd_dat_i[13]),
+    .X(_00859_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10843_ (.A1(_05287_),
+    .A2(_02635_),
+    .B1(_05289_),
+    .B2(wbd_dat_i[12]),
+    .X(_00858_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10844_ (.A1(_05287_),
+    .A2(_02638_),
+    .B1(_05289_),
+    .B2(wbd_dat_i[11]),
+    .X(_00857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10845_ (.A(_05284_),
+    .X(_05290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10846_ (.A(_05288_),
+    .X(_05291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10847_ (.A1(_05290_),
+    .A2(_02641_),
+    .B1(_05291_),
+    .B2(wbd_dat_i[10]),
+    .X(_00856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10848_ (.A1(_05290_),
+    .A2(_02643_),
+    .B1(_05291_),
+    .B2(wbd_dat_i[9]),
+    .X(_00855_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10849_ (.A1(_05290_),
+    .A2(_03614_),
+    .B1(_05291_),
+    .B2(wbd_dat_i[8]),
+    .X(_00854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10850_ (.A1(_05290_),
+    .A2(_02585_),
+    .B1(_05291_),
+    .B2(wbd_dat_i[7]),
+    .X(_00853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10851_ (.A(_05284_),
+    .X(_05292_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10852_ (.A(_05288_),
+    .X(_05293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10853_ (.A1(_05292_),
+    .A2(_02602_),
+    .B1(_05293_),
+    .B2(wbd_dat_i[6]),
+    .X(_00852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10854_ (.A1(_05292_),
+    .A2(_02606_),
+    .B1(_05293_),
+    .B2(wbd_dat_i[5]),
+    .X(_00851_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10855_ (.A1(_05292_),
+    .A2(_02608_),
+    .B1(_05293_),
+    .B2(wbd_dat_i[4]),
+    .X(_00850_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10856_ (.A1(_05292_),
+    .A2(_03621_),
+    .B1(_05293_),
+    .B2(wbd_dat_i[3]),
+    .X(_00849_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10857_ (.A(_02614_),
+    .X(_05294_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10858_ (.A(_05294_),
+    .X(_05295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10859_ (.A(_05288_),
+    .X(_05296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10860_ (.A1(_05295_),
+    .A2(_03622_),
+    .B1(_05296_),
+    .B2(wbd_dat_i[2]),
+    .X(_00848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10861_ (.A1(_05295_),
+    .A2(_03624_),
+    .B1(_05296_),
+    .B2(wbd_dat_i[1]),
+    .X(_00847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10862_ (.A1(_05295_),
+    .A2(_03625_),
+    .B1(_05296_),
+    .B2(wbd_dat_i[0]),
+    .X(_00846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10863_ (.A1(_05295_),
+    .A2(\u_wb_if.spim_wb_addr[31] ),
+    .B1(_05296_),
+    .B2(wbd_adr_i[31]),
+    .X(_00845_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10864_ (.A(_05294_),
+    .X(_05297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10865_ (.A(_05276_),
+    .X(_05298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10866_ (.A(_05298_),
+    .X(_05299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10867_ (.A1(_05297_),
+    .A2(\u_wb_if.spim_wb_addr[30] ),
+    .B1(_05299_),
+    .B2(wbd_adr_i[30]),
+    .X(_00844_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10868_ (.A1(_05297_),
+    .A2(\u_wb_if.spim_wb_addr[29] ),
+    .B1(_05299_),
+    .B2(wbd_adr_i[29]),
+    .X(_00843_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10869_ (.A1(_05297_),
+    .A2(_01282_),
+    .B1(_05299_),
+    .B2(wbd_adr_i[28]),
+    .X(_00842_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10870_ (.A1(_05297_),
+    .A2(\u_wb_if.spim_wb_addr[27] ),
+    .B1(_05299_),
+    .B2(wbd_adr_i[27]),
+    .X(_00841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10871_ (.A(_05294_),
+    .X(_05300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10872_ (.A(_05298_),
+    .X(_05301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10873_ (.A1(_05300_),
+    .A2(\u_wb_if.spim_wb_addr[26] ),
+    .B1(_05301_),
+    .B2(wbd_adr_i[26]),
+    .X(_00840_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10874_ (.A1(_05300_),
+    .A2(\u_wb_if.spim_wb_addr[25] ),
+    .B1(_05301_),
+    .B2(wbd_adr_i[25]),
+    .X(_00839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10875_ (.A1(_05300_),
+    .A2(\u_wb_if.spim_wb_addr[24] ),
+    .B1(_05301_),
+    .B2(wbd_adr_i[24]),
+    .X(_00838_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10876_ (.A1(_05300_),
+    .A2(\u_wb_if.spim_wb_addr[23] ),
+    .B1(_05301_),
+    .B2(wbd_adr_i[23]),
+    .X(_00837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10877_ (.A(_05294_),
+    .X(_05302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10878_ (.A(_05298_),
+    .X(_05303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10879_ (.A1(_05302_),
+    .A2(\u_wb_if.spim_wb_addr[22] ),
+    .B1(_05303_),
+    .B2(wbd_adr_i[22]),
+    .X(_00836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10880_ (.A1(_05302_),
+    .A2(\u_wb_if.spim_wb_addr[21] ),
+    .B1(_05303_),
+    .B2(wbd_adr_i[21]),
+    .X(_00835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10881_ (.A1(_05302_),
+    .A2(\u_wb_if.spim_wb_addr[20] ),
+    .B1(_05303_),
+    .B2(wbd_adr_i[20]),
+    .X(_00834_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10882_ (.A1(_05302_),
+    .A2(\u_wb_if.spim_wb_addr[19] ),
+    .B1(_05303_),
+    .B2(wbd_adr_i[19]),
+    .X(_00833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10883_ (.A(_02614_),
+    .X(_05304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10884_ (.A(_05304_),
+    .X(_05305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10885_ (.A(_05298_),
+    .X(_05306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10886_ (.A1(_05305_),
+    .A2(\u_wb_if.spim_wb_addr[18] ),
+    .B1(_05306_),
+    .B2(wbd_adr_i[18]),
+    .X(_00832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10887_ (.A1(_05305_),
+    .A2(\u_wb_if.spim_wb_addr[17] ),
+    .B1(_05306_),
+    .B2(wbd_adr_i[17]),
+    .X(_00831_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10888_ (.A1(_05305_),
+    .A2(\u_wb_if.spim_wb_addr[16] ),
+    .B1(_05306_),
+    .B2(wbd_adr_i[16]),
+    .X(_00830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10889_ (.A1(_05305_),
+    .A2(\u_wb_if.spim_wb_addr[15] ),
+    .B1(_05306_),
+    .B2(wbd_adr_i[15]),
+    .X(_00829_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10890_ (.A(_05304_),
+    .X(_05307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10891_ (.A(_05276_),
+    .X(_05308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10892_ (.A(_05308_),
+    .X(_05309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10893_ (.A1(_05307_),
+    .A2(\u_wb_if.spim_wb_addr[14] ),
+    .B1(_05309_),
+    .B2(wbd_adr_i[14]),
+    .X(_00828_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10894_ (.A1(_05307_),
+    .A2(\u_wb_if.spim_wb_addr[13] ),
+    .B1(_05309_),
+    .B2(wbd_adr_i[13]),
+    .X(_00827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10895_ (.A1(_05307_),
+    .A2(\u_wb_if.spim_wb_addr[12] ),
+    .B1(_05309_),
+    .B2(wbd_adr_i[12]),
+    .X(_00826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10896_ (.A1(_05307_),
+    .A2(\u_wb_if.spim_wb_addr[11] ),
+    .B1(_05309_),
+    .B2(wbd_adr_i[11]),
+    .X(_00825_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10897_ (.A(_05304_),
+    .X(_05310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10898_ (.A(_05308_),
+    .X(_05311_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10899_ (.A1(_05310_),
+    .A2(\u_wb_if.spim_wb_addr[10] ),
+    .B1(_05311_),
+    .B2(wbd_adr_i[10]),
+    .X(_00824_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10900_ (.A1(_05310_),
+    .A2(\u_wb_if.spim_wb_addr[9] ),
+    .B1(_05311_),
+    .B2(wbd_adr_i[9]),
+    .X(_00823_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10901_ (.A1(_05310_),
+    .A2(\u_wb_if.spim_wb_addr[8] ),
+    .B1(_05311_),
+    .B2(wbd_adr_i[8]),
+    .X(_00822_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10902_ (.A1(_05310_),
+    .A2(\u_wb_if.spim_wb_addr[7] ),
+    .B1(_05311_),
+    .B2(wbd_adr_i[7]),
+    .X(_00821_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10903_ (.A(_05304_),
+    .X(_05312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10904_ (.A(_05308_),
+    .X(_05313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10905_ (.A1(_05312_),
+    .A2(\u_wb_if.spim_wb_addr[6] ),
+    .B1(_05313_),
+    .B2(wbd_adr_i[6]),
+    .X(_00820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10906_ (.A1(_05312_),
+    .A2(_02086_),
+    .B1(_05313_),
+    .B2(wbd_adr_i[5]),
+    .X(_00819_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10907_ (.A1(_05312_),
+    .A2(_02087_),
+    .B1(_05313_),
+    .B2(wbd_adr_i[4]),
+    .X(_00818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10908_ (.A1(_05312_),
+    .A2(_02085_),
+    .B1(_05313_),
+    .B2(wbd_adr_i[3]),
+    .X(_00817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10909_ (.A(_02629_),
+    .X(_05314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10910_ (.A(_05308_),
+    .X(_05315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10911_ (.A1(_05314_),
+    .A2(_01517_),
+    .B1(_05315_),
+    .B2(wbd_adr_i[2]),
+    .X(_00816_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10912_ (.A1(_05314_),
+    .A2(\u_wb_if.spim_wb_addr[1] ),
+    .B1(_05315_),
+    .B2(wbd_adr_i[1]),
+    .X(_00815_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10913_ (.A1(_05314_),
+    .A2(\u_wb_if.spim_wb_addr[0] ),
+    .B1(_05315_),
+    .B2(wbd_adr_i[0]),
+    .X(_00814_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10914_ (.A(_02732_),
+    .B(_02733_),
+    .Y(_05316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10915_ (.A1_N(_05275_),
+    .A2_N(_05316_),
+    .B1(_05275_),
+    .B2(wbd_ack_o),
+    .X(_00813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10916_ (.A1(_05314_),
+    .A2(\u_spim_regs.spim_reg_we ),
+    .B1(_05315_),
+    .B2(wbd_we_i),
+    .X(_00812_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10917_ (.A(_02629_),
+    .X(_05317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10918_ (.A(_02587_),
+    .X(_05318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10919_ (.A1(_05317_),
+    .A2(\u_spim_regs.spim_reg_be[3] ),
+    .B1(_05318_),
+    .B2(wbd_sel_i[3]),
+    .X(_00811_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10920_ (.A1(_05317_),
+    .A2(\u_spim_regs.spim_reg_be[2] ),
+    .B1(_05318_),
+    .B2(wbd_sel_i[2]),
+    .X(_00810_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10921_ (.A1(_05317_),
+    .A2(\u_spim_regs.spim_reg_be[1] ),
+    .B1(_05318_),
+    .B2(wbd_sel_i[1]),
+    .X(_00809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10922_ (.A1(_05317_),
+    .A2(\u_spim_regs.spim_reg_be[0] ),
+    .B1(_05318_),
+    .B2(wbd_sel_i[0]),
+    .X(_00808_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10923_ (.A(_05261_),
+    .X(_05319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10924_ (.A(_05243_),
+    .X(_05320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10925_ (.A1(_05320_),
+    .A2(_04537_),
+    .B1(_05267_),
+    .B2(_04542_),
+    .Y(_05321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10926_ (.A1_N(_05319_),
+    .A2_N(_05321_),
+    .B1(\u_spictrl.cfg_data_cnt[7] ),
+    .B2(_05319_),
+    .X(_00807_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10927_ (.A1(_05320_),
+    .A2(_04556_),
+    .B1(_05267_),
+    .B2(_04561_),
+    .Y(_05322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10928_ (.A1_N(_05319_),
+    .A2_N(_05322_),
+    .B1(\u_spictrl.cfg_data_cnt[6] ),
+    .B2(_05319_),
+    .X(_00806_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10929_ (.A(_05261_),
+    .X(_05323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10930_ (.A(_05257_),
+    .X(_05324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10931_ (.A1(_05320_),
+    .A2(_04569_),
+    .B1(_05324_),
+    .B2(_04574_),
+    .Y(_05325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10932_ (.A1_N(_05323_),
+    .A2_N(_05325_),
+    .B1(_04716_),
+    .B2(_05323_),
+    .X(_00805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10933_ (.A1(_05320_),
+    .A2(_04582_),
+    .B1(_05324_),
+    .B2(_04587_),
+    .Y(_05326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10934_ (.A1_N(_05323_),
+    .A2_N(_05326_),
+    .B1(_04719_),
+    .B2(_05323_),
+    .X(_00804_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10935_ (.A(_05240_),
+    .X(_05327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10936_ (.A(_05327_),
+    .X(_05328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10937_ (.A(_01730_),
+    .X(_05329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10938_ (.A1(_05329_),
+    .A2(_04024_),
+    .B1(_05324_),
+    .B2(_04039_),
+    .Y(_05330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10939_ (.A1_N(_05328_),
+    .A2_N(_05330_),
+    .B1(_04730_),
+    .B2(_05328_),
+    .X(_00803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10940_ (.A1(_05329_),
+    .A2(_04098_),
+    .B1(_05324_),
+    .B2(_04106_),
+    .Y(_05331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10941_ (.A1_N(_05328_),
+    .A2_N(_05331_),
+    .B1(_04736_),
+    .B2(_05328_),
+    .X(_00802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10942_ (.A(_05327_),
+    .X(_05332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10943_ (.A(_05257_),
+    .X(_05333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10944_ (.A1(_05329_),
+    .A2(_04150_),
+    .B1(_05333_),
+    .B2(_04155_),
+    .Y(_05334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10945_ (.A1_N(_05332_),
+    .A2_N(_05334_),
+    .B1(_04742_),
+    .B2(_05332_),
+    .X(_00801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10946_ (.A1(_05329_),
+    .A2(_04193_),
+    .B1(_05333_),
+    .B2(_04200_),
+    .Y(_05335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10947_ (.A1_N(_05332_),
+    .A2_N(_05335_),
+    .B1(_04746_),
+    .B2(_05332_),
+    .X(_00800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10948_ (.A(_05327_),
+    .X(_05336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10949_ (.A(_01730_),
+    .X(_05337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10950_ (.A1(_05337_),
+    .A2(_04235_),
+    .B1(_05333_),
+    .B2(_04243_),
+    .Y(_05338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10951_ (.A1_N(_05336_),
+    .A2_N(_05338_),
+    .B1(\u_spictrl.cfg_dummy_cnt[1] ),
+    .B2(_05336_),
+    .X(_00799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10952_ (.A1(_05337_),
+    .A2(_04279_),
+    .B1(_05333_),
+    .B2(_04285_),
+    .Y(_05339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10953_ (.A1_N(_05336_),
+    .A2_N(_05339_),
+    .B1(\u_spictrl.cfg_dummy_cnt[0] ),
+    .B2(_05336_),
+    .X(_00798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10954_ (.A(_05327_),
+    .X(_05340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10955_ (.A1(_05337_),
+    .A2(_04319_),
+    .B1(_05248_),
+    .B2(_04325_),
+    .Y(_05341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10956_ (.A1_N(_05340_),
+    .A2_N(_05341_),
+    .B1(\u_spictrl.cfg_addr_cnt[1] ),
+    .B2(_05340_),
+    .X(_00797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10957_ (.A1(_05337_),
+    .A2(_04354_),
+    .B1(_05248_),
+    .B2(_04359_),
+    .Y(_05342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10958_ (.A1_N(_05340_),
+    .A2_N(_05342_),
+    .B1(_04600_),
+    .B2(_05340_),
+    .X(_00796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10959_ (.A(_03996_),
+    .X(_05343_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10960_ (.A1(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .A2(_05343_),
+    .B1(\u_spictrl.cfg_m0_spi_switch[1] ),
+    .B2(_01526_),
+    .X(_05344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10961_ (.A1_N(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .A2_N(_05343_),
+    .B1(_02364_),
+    .B2(_05343_),
+    .X(_05345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10962_ (.A(_05344_),
+    .B(_05345_),
+    .C(_03296_),
+    .X(_05346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10963_ (.A(_05346_),
+    .Y(_05347_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10964_ (.A(_03762_),
+    .B(_04697_),
+    .X(_05348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10965_ (.A(_05344_),
+    .B(_05345_),
+    .C(_05348_),
+    .X(_05349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10966_ (.A(_02522_),
+    .B(_05347_),
+    .C(_05349_),
+    .X(_05350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10967_ (.A(_05343_),
+    .X(_05351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10968_ (.A(_05351_),
+    .X(_05352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10969_ (.A(_05352_),
+    .X(_05353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10970_ (.A(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .B(_05353_),
+    .Y(_05354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10971_ (.A(_02522_),
+    .X(spi_ctrl_status),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10972_ (.A1(_02389_),
+    .A2(_05353_),
+    .B1(_05354_),
+    .C1(spi_ctrl_status),
+    .X(_05355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10973_ (.A(_05350_),
+    .Y(_05356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10974_ (.A1(_05350_),
+    .A2(_05355_),
+    .B1(_03712_),
+    .B2(_05356_),
+    .Y(_00795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10975_ (.A(_05351_),
+    .X(_05357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10976_ (.A(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .B(_05357_),
+    .Y(_05358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10977_ (.A1(_02412_),
+    .A2(_05353_),
+    .B1(_05358_),
+    .C1(spi_ctrl_status),
+    .X(_05359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10978_ (.A1(_05350_),
+    .A2(_05359_),
+    .B1(_04674_),
+    .B2(_05356_),
+    .Y(_00794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10979_ (.A(psn_net_242),
+    .X(_05360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10980_ (.A(_01481_),
+    .X(_05361_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10981_ (.A(_01487_),
+    .X(_05362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10982_ (.A(\u_spictrl.res_fifo_wr ),
+    .Y(_05363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10983_ (.A(_05363_),
+    .B(_01602_),
+    .X(_05364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10984_ (.A(_05362_),
+    .B(_05364_),
+    .X(_05365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10985_ (.A(_01490_),
+    .B(_05365_),
+    .X(_05366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10986_ (.A(_05361_),
+    .B(_05366_),
+    .X(_05367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10987_ (.A(_05367_),
+    .Y(_05368_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10988_ (.A(\u_m1_res_fifo.wr_ptr[3] ),
+    .B(_05368_),
+    .X(_05369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10989_ (.A(_01483_),
+    .B(_05367_),
+    .X(_05370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10990_ (.A(_05360_),
+    .B(_05369_),
+    .C(_05370_),
+    .X(_00793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10991_ (.A(_01603_),
+    .Y(\u_m1_res_fifo.flush ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10992_ (.A(_05368_),
+    .X(_05371_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10993_ (.A1(_05361_),
+    .A2(_05366_),
+    .B1(\u_m1_res_fifo.flush ),
+    .C1(_05371_),
+    .X(_05372_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10994_ (.A(_05372_),
+    .Y(_00792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10995_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
+    .X(_05373_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10996_ (.A(_05365_),
+    .Y(_05374_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10997_ (.A(_05373_),
+    .B(_05374_),
+    .X(_05375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10998_ (.A(_05366_),
+    .B(_05375_),
+    .C(psn_net_242),
+    .X(_00791_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10999_ (.A(_05364_),
+    .X(_05376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11000_ (.A(_05376_),
+    .X(_05377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11001_ (.A1(_05362_),
+    .A2(_05377_),
+    .B1(_05374_),
+    .C1(\u_m1_res_fifo.flush ),
+    .X(_05378_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11002_ (.A(_05378_),
+    .Y(_00790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11003_ (.A(_01565_),
+    .B(_01500_),
+    .X(_05379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11004_ (.A(_01552_),
+    .B(_05379_),
+    .X(_05380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11005_ (.A(_01574_),
+    .B(_05380_),
+    .X(_05381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _11006_ (.A1(_01484_),
+    .A2(_05381_),
+    .B1(\u_m1_res_fifo.flush ),
+    .Y(_05382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _11007_ (.A1(_01484_),
+    .A2(_05381_),
+    .B1(_05382_),
+    .X(_00789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _11008_ (.A(_01574_),
+    .B(_05380_),
+    .Y(_05383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11009_ (.A(_05360_),
+    .B(_05381_),
+    .C(_05383_),
+    .X(_00788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _11010_ (.A(_01552_),
+    .B(_05379_),
+    .Y(_05384_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11011_ (.A(_05360_),
+    .B(_05380_),
+    .C(_05384_),
+    .X(_00787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11012_ (.A(_01568_),
+    .B(_01594_),
+    .X(_05385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11013_ (.A(_05360_),
+    .B(_05379_),
+    .C(_05385_),
+    .X(_00786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11014_ (.A(psn_net_229),
+    .X(_05386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11015_ (.A(_01407_),
+    .X(_05387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11016_ (.A(_01413_),
+    .X(_05388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11017_ (.A(_04140_),
+    .B(_05363_),
+    .X(_05389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11018_ (.A(_05388_),
+    .B(_05389_),
+    .X(_05390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11019_ (.A(_01416_),
+    .B(_05390_),
+    .X(_05391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11020_ (.A(_05387_),
+    .B(_05391_),
+    .X(_05392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11021_ (.A(_05392_),
+    .Y(_05393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11022_ (.A(\u_m0_res_fifo.wr_ptr[3] ),
+    .B(_05393_),
+    .X(_05394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11023_ (.A(_01409_),
+    .B(_05392_),
+    .X(_05395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11024_ (.A(_05386_),
+    .B(_05394_),
+    .C(_05395_),
+    .X(_00785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11025_ (.A(_01543_),
+    .Y(\u_m0_res_fifo.flush ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11026_ (.A(_05393_),
+    .X(_05396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11027_ (.A1(_05387_),
+    .A2(_05391_),
+    .B1(psn_net_227),
+    .C1(_05396_),
+    .X(_05397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11028_ (.A(_05397_),
+    .Y(_00784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11029_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
+    .X(_05398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11030_ (.A(_05390_),
+    .Y(_05399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11031_ (.A(_05398_),
+    .B(_05399_),
+    .X(_05400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11032_ (.A(_05391_),
+    .B(_05400_),
+    .C(psn_net_229),
+    .X(_00783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11033_ (.A(_05389_),
+    .X(_05401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11034_ (.A(_05401_),
+    .X(_05402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11035_ (.A1(_05388_),
+    .A2(_05402_),
+    .B1(_05399_),
+    .C1(psn_net_227),
+    .X(_05403_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11036_ (.A(_05403_),
+    .Y(_00782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11037_ (.A(_02779_),
+    .B(_01425_),
+    .X(_05404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11038_ (.A(_02778_),
+    .B(_05404_),
+    .X(_05405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11039_ (.A(_02742_),
+    .B(_05405_),
+    .X(_05406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _11040_ (.A1(_01410_),
+    .A2(_05406_),
+    .B1(psn_net_226),
+    .Y(_05407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _11041_ (.A1(_01410_),
+    .A2(_05406_),
+    .B1(_05407_),
+    .X(_00781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _11042_ (.A(_02742_),
+    .B(_05405_),
+    .Y(_05408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11043_ (.A(_05386_),
+    .B(_05406_),
+    .C(_05408_),
+    .X(_00780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _11044_ (.A(_02778_),
+    .B(_05404_),
+    .Y(_05409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11045_ (.A(_05386_),
+    .B(_05405_),
+    .C(_05409_),
+    .X(_00779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11046_ (.A(_02777_),
+    .B(_01426_),
+    .X(_05410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11047_ (.A(_05386_),
+    .B(_05404_),
+    .C(_05410_),
+    .X(_00778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11048_ (.A(_01450_),
+    .X(_05411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11049_ (.A1_N(_01791_),
+    .A2_N(_05411_),
+    .B1(\u_wb_if.NextPreDCnt[1] ),
+    .B2(_05411_),
+    .X(_00777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11050_ (.A1_N(_01823_),
+    .A2_N(_05411_),
+    .B1(\u_wb_if.NextPreDCnt[0] ),
+    .B2(_05411_),
+    .X(_00776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11051_ (.A(_05367_),
+    .X(_05412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11052_ (.A(_05412_),
+    .X(_05413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11053_ (.A(\u_spictrl.gnt[1] ),
+    .X(_05414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11054_ (.A(_05414_),
+    .B(\u_spictrl.res_fifo_wdata[31] ),
+    .X(_05415_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11055_ (.A(_05415_),
+    .X(_05416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11056_ (.A(_05371_),
+    .X(_05417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11057_ (.A1(_05413_),
+    .A2(_05416_),
+    .B1(\u_m1_res_fifo.mem[7][31] ),
+    .B2(_05417_),
+    .X(_00775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11058_ (.A(_05414_),
+    .B(\u_spictrl.res_fifo_wdata[30] ),
+    .X(_05418_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11059_ (.A(_05418_),
+    .X(_05419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11060_ (.A1(_05413_),
+    .A2(_05419_),
+    .B1(\u_m1_res_fifo.mem[7][30] ),
+    .B2(_05417_),
+    .X(_00774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11061_ (.A(_05414_),
+    .B(\u_spictrl.res_fifo_wdata[29] ),
+    .X(_05420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11062_ (.A(_05420_),
+    .X(_05421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11063_ (.A1(_05413_),
+    .A2(_05421_),
+    .B1(\u_m1_res_fifo.mem[7][29] ),
+    .B2(_05417_),
+    .X(_00773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11064_ (.A(\u_spictrl.gnt[1] ),
+    .X(_05422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11065_ (.A(_05422_),
+    .X(_05423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11066_ (.A(_05423_),
+    .B(\u_spictrl.res_fifo_wdata[28] ),
+    .X(_05424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11067_ (.A(_05424_),
+    .X(_05425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11068_ (.A1(_05413_),
+    .A2(_05425_),
+    .B1(\u_m1_res_fifo.mem[7][28] ),
+    .B2(_05417_),
+    .X(_00772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11069_ (.A(_05412_),
+    .X(_05426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11070_ (.A(_05423_),
+    .B(\u_spictrl.res_fifo_wdata[27] ),
+    .X(_05427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11071_ (.A(_05427_),
+    .X(_05428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11072_ (.A(_05371_),
+    .X(_05429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11073_ (.A1(_05426_),
+    .A2(_05428_),
+    .B1(\u_m1_res_fifo.mem[7][27] ),
+    .B2(_05429_),
+    .X(_00771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11074_ (.A(_05423_),
+    .B(\u_spictrl.res_fifo_wdata[26] ),
+    .X(_05430_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11075_ (.A(_05430_),
+    .X(_05431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11076_ (.A1(_05426_),
+    .A2(_05431_),
+    .B1(\u_m1_res_fifo.mem[7][26] ),
+    .B2(_05429_),
+    .X(_00770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11077_ (.A(_05423_),
+    .B(\u_spictrl.res_fifo_wdata[25] ),
+    .X(_05432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11078_ (.A(_05432_),
+    .X(_05433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11079_ (.A1(_05426_),
+    .A2(_05433_),
+    .B1(\u_m1_res_fifo.mem[7][25] ),
+    .B2(_05429_),
+    .X(_00769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11080_ (.A(_05422_),
+    .X(_05434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11081_ (.A(_05434_),
+    .B(\u_spictrl.res_fifo_wdata[24] ),
+    .X(_05435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11082_ (.A(_05435_),
+    .X(_05436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11083_ (.A1(_05426_),
+    .A2(_05436_),
+    .B1(\u_m1_res_fifo.mem[7][24] ),
+    .B2(_05429_),
+    .X(_00768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11084_ (.A(_05412_),
+    .X(_05437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11085_ (.A(_05434_),
+    .B(\u_spictrl.res_fifo_wdata[23] ),
+    .X(_05438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11086_ (.A(_05438_),
+    .X(_05439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11087_ (.A(_05371_),
+    .X(_05440_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11088_ (.A1(_05437_),
+    .A2(_05439_),
+    .B1(\u_m1_res_fifo.mem[7][23] ),
+    .B2(_05440_),
+    .X(_00767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11089_ (.A(_05434_),
+    .B(\u_spictrl.res_fifo_wdata[22] ),
+    .X(_05441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11090_ (.A(_05441_),
+    .X(_05442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11091_ (.A1(_05437_),
+    .A2(_05442_),
+    .B1(\u_m1_res_fifo.mem[7][22] ),
+    .B2(_05440_),
+    .X(_00766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11092_ (.A(_05434_),
+    .B(\u_spictrl.res_fifo_wdata[21] ),
+    .X(_05443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11093_ (.A(_05443_),
+    .X(_05444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11094_ (.A1(_05437_),
+    .A2(_05444_),
+    .B1(\u_m1_res_fifo.mem[7][21] ),
+    .B2(_05440_),
+    .X(_00765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11095_ (.A(_05422_),
+    .X(_05445_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11096_ (.A(_05445_),
+    .B(\u_spictrl.res_fifo_wdata[20] ),
+    .X(_05446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11097_ (.A(_05446_),
+    .X(_05447_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11098_ (.A1(_05437_),
+    .A2(_05447_),
+    .B1(\u_m1_res_fifo.mem[7][20] ),
+    .B2(_05440_),
+    .X(_00764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11099_ (.A(_05412_),
+    .X(_05448_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11100_ (.A(_05445_),
+    .B(\u_spictrl.res_fifo_wdata[19] ),
+    .X(_05449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11101_ (.A(_05449_),
+    .X(_05450_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11102_ (.A(_05368_),
+    .X(_05451_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11103_ (.A(_05451_),
+    .X(_05452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11104_ (.A1(_05448_),
+    .A2(_05450_),
+    .B1(\u_m1_res_fifo.mem[7][19] ),
+    .B2(_05452_),
+    .X(_00763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11105_ (.A(_05445_),
+    .B(\u_spictrl.res_fifo_wdata[18] ),
+    .X(_05453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11106_ (.A(_05453_),
+    .X(_05454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11107_ (.A1(_05448_),
+    .A2(_05454_),
+    .B1(\u_m1_res_fifo.mem[7][18] ),
+    .B2(_05452_),
+    .X(_00762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11108_ (.A(_05445_),
+    .B(\u_spictrl.res_fifo_wdata[17] ),
+    .X(_05455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11109_ (.A(_05455_),
+    .X(_05456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11110_ (.A1(_05448_),
+    .A2(_05456_),
+    .B1(\u_m1_res_fifo.mem[7][17] ),
+    .B2(_05452_),
+    .X(_00761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11111_ (.A(\u_spictrl.gnt[1] ),
+    .X(_05457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11112_ (.A(_05457_),
+    .X(_05458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11113_ (.A(_05458_),
+    .B(\u_spictrl.res_fifo_wdata[16] ),
+    .X(_05459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11114_ (.A(_05459_),
+    .X(_05460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11115_ (.A1(_05448_),
+    .A2(_05460_),
+    .B1(\u_m1_res_fifo.mem[7][16] ),
+    .B2(_05452_),
+    .X(_00760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11116_ (.A(_05367_),
+    .X(_05461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11117_ (.A(_05461_),
+    .X(_05462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11118_ (.A(_05458_),
+    .B(\u_spictrl.res_fifo_wdata[15] ),
+    .X(_05463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11119_ (.A(_05463_),
+    .X(_05464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11120_ (.A(_05451_),
+    .X(_05465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11121_ (.A1(_05462_),
+    .A2(_05464_),
+    .B1(\u_m1_res_fifo.mem[7][15] ),
+    .B2(_05465_),
+    .X(_00759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11122_ (.A(_05458_),
+    .B(\u_spictrl.res_fifo_wdata[14] ),
+    .X(_05466_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11123_ (.A(_05466_),
+    .X(_05467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11124_ (.A1(_05462_),
+    .A2(_05467_),
+    .B1(\u_m1_res_fifo.mem[7][14] ),
+    .B2(_05465_),
+    .X(_00758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11125_ (.A(_05458_),
+    .B(\u_spictrl.res_fifo_wdata[13] ),
+    .X(_05468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11126_ (.A(_05468_),
+    .X(_05469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11127_ (.A1(_05462_),
+    .A2(_05469_),
+    .B1(\u_m1_res_fifo.mem[7][13] ),
+    .B2(_05465_),
+    .X(_00757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11128_ (.A(_05457_),
+    .X(_05470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11129_ (.A(_05470_),
+    .B(\u_spictrl.res_fifo_wdata[12] ),
+    .X(_05471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11130_ (.A(_05471_),
+    .X(_05472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11131_ (.A1(_05462_),
+    .A2(_05472_),
+    .B1(\u_m1_res_fifo.mem[7][12] ),
+    .B2(_05465_),
+    .X(_00756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11132_ (.A(_05461_),
+    .X(_05473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11133_ (.A(_05470_),
+    .B(\u_spictrl.res_fifo_wdata[11] ),
+    .X(_05474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11134_ (.A(_05474_),
+    .X(_05475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11135_ (.A(_05451_),
+    .X(_05476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11136_ (.A1(_05473_),
+    .A2(_05475_),
+    .B1(\u_m1_res_fifo.mem[7][11] ),
+    .B2(_05476_),
+    .X(_00755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11137_ (.A(_05470_),
+    .B(\u_spictrl.res_fifo_wdata[10] ),
+    .X(_05477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11138_ (.A(_05477_),
+    .X(_05478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11139_ (.A1(_05473_),
+    .A2(_05478_),
+    .B1(\u_m1_res_fifo.mem[7][10] ),
+    .B2(_05476_),
+    .X(_00754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11140_ (.A(_05470_),
+    .B(\u_spictrl.res_fifo_wdata[9] ),
+    .X(_05479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11141_ (.A(_05479_),
+    .X(_05480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11142_ (.A1(_05473_),
+    .A2(_05480_),
+    .B1(\u_m1_res_fifo.mem[7][9] ),
+    .B2(_05476_),
+    .X(_00753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11143_ (.A(_05457_),
+    .X(_05481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11144_ (.A(_05481_),
+    .B(\u_spictrl.res_fifo_wdata[8] ),
+    .X(_05482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11145_ (.A(_05482_),
+    .X(_05483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11146_ (.A1(_05473_),
+    .A2(_05483_),
+    .B1(\u_m1_res_fifo.mem[7][8] ),
+    .B2(_05476_),
+    .X(_00752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11147_ (.A(_05461_),
+    .X(_05484_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11148_ (.A(_05481_),
+    .B(\u_spictrl.res_fifo_wdata[7] ),
+    .X(_05485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11149_ (.A(_05485_),
+    .X(_05486_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11150_ (.A(_05451_),
+    .X(_05487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11151_ (.A1(_05484_),
+    .A2(_05486_),
+    .B1(\u_m1_res_fifo.mem[7][7] ),
+    .B2(_05487_),
+    .X(_00751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11152_ (.A(_05481_),
+    .B(\u_spictrl.res_fifo_wdata[6] ),
+    .X(_05488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11153_ (.A(_05488_),
+    .X(_05489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11154_ (.A1(_05484_),
+    .A2(_05489_),
+    .B1(\u_m1_res_fifo.mem[7][6] ),
+    .B2(_05487_),
+    .X(_00750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11155_ (.A(_05481_),
+    .B(\u_spictrl.res_fifo_wdata[5] ),
+    .X(_05490_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11156_ (.A(_05490_),
+    .X(_05491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11157_ (.A1(_05484_),
+    .A2(_05491_),
+    .B1(\u_m1_res_fifo.mem[7][5] ),
+    .B2(_05487_),
+    .X(_00749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11158_ (.A(_05457_),
+    .X(_05492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11159_ (.A(_05492_),
+    .B(\u_spictrl.res_fifo_wdata[4] ),
+    .X(_05493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11160_ (.A(_05493_),
+    .X(_05494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11161_ (.A1(_05484_),
+    .A2(_05494_),
+    .B1(\u_m1_res_fifo.mem[7][4] ),
+    .B2(_05487_),
+    .X(_00748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11162_ (.A(_05461_),
+    .X(_05495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11163_ (.A(_05492_),
+    .B(\u_spictrl.res_fifo_wdata[3] ),
+    .X(_05496_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11164_ (.A(_05496_),
+    .X(_05497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11165_ (.A(_05368_),
+    .X(_05498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11166_ (.A1(_05495_),
+    .A2(_05497_),
+    .B1(\u_m1_res_fifo.mem[7][3] ),
+    .B2(_05498_),
+    .X(_00747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11167_ (.A(_05492_),
+    .B(\u_spictrl.res_fifo_wdata[2] ),
+    .X(_05499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11168_ (.A(_05499_),
+    .X(_05500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11169_ (.A1(_05495_),
+    .A2(_05500_),
+    .B1(\u_m1_res_fifo.mem[7][2] ),
+    .B2(_05498_),
+    .X(_00746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11170_ (.A(_05492_),
+    .B(\u_spictrl.res_fifo_wdata[1] ),
+    .X(_05501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11171_ (.A(_05501_),
+    .X(_05502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11172_ (.A1(_05495_),
+    .A2(_05502_),
+    .B1(\u_m1_res_fifo.mem[7][1] ),
+    .B2(_05498_),
+    .X(_00745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11173_ (.A(_05422_),
+    .B(\u_spictrl.res_fifo_wdata[0] ),
+    .X(_05503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11174_ (.A(_05503_),
+    .X(_05504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11175_ (.A1(_05495_),
+    .A2(_05504_),
+    .B1(\u_m1_res_fifo.mem[7][0] ),
+    .B2(_05498_),
+    .X(_00744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11176_ (.A(\u_m0_res_fifo.wr_ptr[0] ),
+    .X(_05505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11177_ (.A(\u_m0_res_fifo.wr_ptr[2] ),
+    .X(_05506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _11178_ (.A(_05505_),
+    .B(_05402_),
+    .C(_05506_),
+    .D(_05398_),
+    .X(_05507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11179_ (.A(_05507_),
+    .X(_05508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11180_ (.A(_05508_),
+    .X(_05509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11181_ (.A(_05357_),
+    .B(\u_spictrl.res_fifo_wdata[31] ),
+    .X(_05510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11182_ (.A(_05510_),
+    .X(_05511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11183_ (.A(_05507_),
+    .Y(_05512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11184_ (.A(_05512_),
+    .X(_05513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11185_ (.A(_05513_),
+    .X(_05514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11186_ (.A1(_05509_),
+    .A2(_05511_),
+    .B1(\u_m0_res_fifo.mem[0][31] ),
+    .B2(_05514_),
+    .X(_00743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11187_ (.A(_05357_),
+    .B(\u_spictrl.res_fifo_wdata[30] ),
+    .X(_05515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11188_ (.A(_05515_),
+    .X(_05516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11189_ (.A1(_05509_),
+    .A2(_05516_),
+    .B1(\u_m0_res_fifo.mem[0][30] ),
+    .B2(_05514_),
+    .X(_00742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11190_ (.A(_05357_),
+    .B(\u_spictrl.res_fifo_wdata[29] ),
+    .X(_05517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11191_ (.A(_05517_),
+    .X(_05518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11192_ (.A1(_05509_),
+    .A2(_05518_),
+    .B1(\u_m0_res_fifo.mem[0][29] ),
+    .B2(_05514_),
+    .X(_00741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11193_ (.A(_05352_),
+    .X(_05519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11194_ (.A(_05519_),
+    .B(\u_spictrl.res_fifo_wdata[28] ),
+    .X(_05520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11195_ (.A(_05520_),
+    .X(_05521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11196_ (.A1(_05509_),
+    .A2(_05521_),
+    .B1(\u_m0_res_fifo.mem[0][28] ),
+    .B2(_05514_),
+    .X(_00740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11197_ (.A(_05508_),
+    .X(_05522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11198_ (.A(_05519_),
+    .B(\u_spictrl.res_fifo_wdata[27] ),
+    .X(_05523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11199_ (.A(_05523_),
+    .X(_05524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11200_ (.A(_05513_),
+    .X(_05525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11201_ (.A1(_05522_),
+    .A2(_05524_),
+    .B1(\u_m0_res_fifo.mem[0][27] ),
+    .B2(_05525_),
+    .X(_00739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11202_ (.A(_05519_),
+    .B(\u_spictrl.res_fifo_wdata[26] ),
+    .X(_05526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11203_ (.A(_05526_),
+    .X(_05527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11204_ (.A1(_05522_),
+    .A2(_05527_),
+    .B1(\u_m0_res_fifo.mem[0][26] ),
+    .B2(_05525_),
+    .X(_00738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11205_ (.A(_05519_),
+    .B(\u_spictrl.res_fifo_wdata[25] ),
+    .X(_05528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11206_ (.A(_05528_),
+    .X(_05529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11207_ (.A1(_05522_),
+    .A2(_05529_),
+    .B1(\u_m0_res_fifo.mem[0][25] ),
+    .B2(_05525_),
+    .X(_00737_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11208_ (.A(_05352_),
+    .X(_05530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11209_ (.A(_05530_),
+    .B(\u_spictrl.res_fifo_wdata[24] ),
+    .X(_05531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11210_ (.A(_05531_),
+    .X(_05532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11211_ (.A1(_05522_),
+    .A2(_05532_),
+    .B1(\u_m0_res_fifo.mem[0][24] ),
+    .B2(_05525_),
+    .X(_00736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11212_ (.A(_05508_),
+    .X(_05533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11213_ (.A(_05530_),
+    .B(\u_spictrl.res_fifo_wdata[23] ),
+    .X(_05534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11214_ (.A(_05534_),
+    .X(_05535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11215_ (.A(_05513_),
+    .X(_05536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11216_ (.A1(_05533_),
+    .A2(_05535_),
+    .B1(\u_m0_res_fifo.mem[0][23] ),
+    .B2(_05536_),
+    .X(_00735_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11217_ (.A(_05530_),
+    .B(\u_spictrl.res_fifo_wdata[22] ),
+    .X(_05537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11218_ (.A(_05537_),
+    .X(_05538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11219_ (.A1(_05533_),
+    .A2(_05538_),
+    .B1(\u_m0_res_fifo.mem[0][22] ),
+    .B2(_05536_),
+    .X(_00734_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11220_ (.A(_05530_),
+    .B(\u_spictrl.res_fifo_wdata[21] ),
+    .X(_05539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11221_ (.A(_05539_),
+    .X(_05540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11222_ (.A1(_05533_),
+    .A2(_05540_),
+    .B1(\u_m0_res_fifo.mem[0][21] ),
+    .B2(_05536_),
+    .X(_00733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11223_ (.A(_05351_),
+    .X(_05541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11224_ (.A(_05541_),
+    .X(_05542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11225_ (.A(_05542_),
+    .B(\u_spictrl.res_fifo_wdata[20] ),
+    .X(_05543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11226_ (.A(_05543_),
+    .X(_05544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11227_ (.A1(_05533_),
+    .A2(_05544_),
+    .B1(\u_m0_res_fifo.mem[0][20] ),
+    .B2(_05536_),
+    .X(_00732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11228_ (.A(_05508_),
+    .X(_05545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11229_ (.A(_05542_),
+    .B(\u_spictrl.res_fifo_wdata[19] ),
+    .X(_05546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11230_ (.A(_05546_),
+    .X(_05547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11231_ (.A(_05513_),
+    .X(_05548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11232_ (.A1(_05545_),
+    .A2(_05547_),
+    .B1(\u_m0_res_fifo.mem[0][19] ),
+    .B2(_05548_),
+    .X(_00731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11233_ (.A(_05542_),
+    .B(\u_spictrl.res_fifo_wdata[18] ),
+    .X(_05549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11234_ (.A(_05549_),
+    .X(_05550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11235_ (.A1(_05545_),
+    .A2(_05550_),
+    .B1(\u_m0_res_fifo.mem[0][18] ),
+    .B2(_05548_),
+    .X(_00730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11236_ (.A(_05542_),
+    .B(\u_spictrl.res_fifo_wdata[17] ),
+    .X(_05551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11237_ (.A(_05551_),
+    .X(_05552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11238_ (.A1(_05545_),
+    .A2(_05552_),
+    .B1(\u_m0_res_fifo.mem[0][17] ),
+    .B2(_05548_),
+    .X(_00729_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11239_ (.A(_05541_),
+    .X(_05553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11240_ (.A(_05553_),
+    .B(\u_spictrl.res_fifo_wdata[16] ),
+    .X(_05554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11241_ (.A(_05554_),
+    .X(_05555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11242_ (.A1(_05545_),
+    .A2(_05555_),
+    .B1(\u_m0_res_fifo.mem[0][16] ),
+    .B2(_05548_),
+    .X(_00728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11243_ (.A(_05507_),
+    .X(_05556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11244_ (.A(_05556_),
+    .X(_05557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11245_ (.A(_05553_),
+    .B(\u_spictrl.res_fifo_wdata[15] ),
+    .X(_05558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11246_ (.A(_05558_),
+    .X(_05559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11247_ (.A(_05512_),
+    .X(_05560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11248_ (.A(_05560_),
+    .X(_05561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11249_ (.A1(_05557_),
+    .A2(_05559_),
+    .B1(\u_m0_res_fifo.mem[0][15] ),
+    .B2(_05561_),
+    .X(_00727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11250_ (.A(_05553_),
+    .B(\u_spictrl.res_fifo_wdata[14] ),
+    .X(_05562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11251_ (.A(_05562_),
+    .X(_05563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11252_ (.A1(_05557_),
+    .A2(_05563_),
+    .B1(\u_m0_res_fifo.mem[0][14] ),
+    .B2(_05561_),
+    .X(_00726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11253_ (.A(_05553_),
+    .B(\u_spictrl.res_fifo_wdata[13] ),
+    .X(_05564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11254_ (.A(_05564_),
+    .X(_05565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11255_ (.A1(_05557_),
+    .A2(_05565_),
+    .B1(\u_m0_res_fifo.mem[0][13] ),
+    .B2(_05561_),
+    .X(_00725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11256_ (.A(_05541_),
+    .X(_05566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11257_ (.A(_05566_),
+    .B(\u_spictrl.res_fifo_wdata[12] ),
+    .X(_05567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11258_ (.A(_05567_),
+    .X(_05568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11259_ (.A1(_05557_),
+    .A2(_05568_),
+    .B1(\u_m0_res_fifo.mem[0][12] ),
+    .B2(_05561_),
+    .X(_00724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11260_ (.A(_05556_),
+    .X(_05569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11261_ (.A(_05566_),
+    .B(\u_spictrl.res_fifo_wdata[11] ),
+    .X(_05570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11262_ (.A(_05570_),
+    .X(_05571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11263_ (.A(_05560_),
+    .X(_05572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11264_ (.A1(_05569_),
+    .A2(_05571_),
+    .B1(\u_m0_res_fifo.mem[0][11] ),
+    .B2(_05572_),
+    .X(_00723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11265_ (.A(_05566_),
+    .B(\u_spictrl.res_fifo_wdata[10] ),
+    .X(_05573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11266_ (.A(_05573_),
+    .X(_05574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11267_ (.A1(_05569_),
+    .A2(_05574_),
+    .B1(\u_m0_res_fifo.mem[0][10] ),
+    .B2(_05572_),
+    .X(_00722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11268_ (.A(_05566_),
+    .B(\u_spictrl.res_fifo_wdata[9] ),
+    .X(_05575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11269_ (.A(_05575_),
+    .X(_05576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11270_ (.A1(_05569_),
+    .A2(_05576_),
+    .B1(\u_m0_res_fifo.mem[0][9] ),
+    .B2(_05572_),
+    .X(_00721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11271_ (.A(_05541_),
+    .X(_05577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11272_ (.A(_05577_),
+    .B(\u_spictrl.res_fifo_wdata[8] ),
+    .X(_05578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11273_ (.A(_05578_),
+    .X(_05579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11274_ (.A1(_05569_),
+    .A2(_05579_),
+    .B1(\u_m0_res_fifo.mem[0][8] ),
+    .B2(_05572_),
+    .X(_00720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11275_ (.A(_05556_),
+    .X(_05580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11276_ (.A(_05577_),
+    .B(\u_spictrl.res_fifo_wdata[7] ),
+    .X(_05581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11277_ (.A(_05581_),
+    .X(_05582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11278_ (.A(_05560_),
+    .X(_05583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11279_ (.A1(_05580_),
+    .A2(_05582_),
+    .B1(\u_m0_res_fifo.mem[0][7] ),
+    .B2(_05583_),
+    .X(_00719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11280_ (.A(_05577_),
+    .B(\u_spictrl.res_fifo_wdata[6] ),
+    .X(_05584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11281_ (.A(_05584_),
+    .X(_05585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11282_ (.A1(_05580_),
+    .A2(_05585_),
+    .B1(\u_m0_res_fifo.mem[0][6] ),
+    .B2(_05583_),
+    .X(_00718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11283_ (.A(_05577_),
+    .B(\u_spictrl.res_fifo_wdata[5] ),
+    .X(_05586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11284_ (.A(_05586_),
+    .X(_05587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11285_ (.A1(_05580_),
+    .A2(_05587_),
+    .B1(\u_m0_res_fifo.mem[0][5] ),
+    .B2(_05583_),
+    .X(_00717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11286_ (.A(_05351_),
+    .X(_05588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11287_ (.A(_05588_),
+    .B(\u_spictrl.res_fifo_wdata[4] ),
+    .X(_05589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11288_ (.A(_05589_),
+    .X(_05590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11289_ (.A1(_05580_),
+    .A2(_05590_),
+    .B1(\u_m0_res_fifo.mem[0][4] ),
+    .B2(_05583_),
+    .X(_00716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11290_ (.A(_05556_),
+    .X(_05591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11291_ (.A(_05588_),
+    .B(\u_spictrl.res_fifo_wdata[3] ),
+    .X(_05592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11292_ (.A(_05592_),
+    .X(_05593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11293_ (.A(_05560_),
+    .X(_05594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11294_ (.A1(_05591_),
+    .A2(_05593_),
+    .B1(\u_m0_res_fifo.mem[0][3] ),
+    .B2(_05594_),
+    .X(_00715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11295_ (.A(_05588_),
+    .B(\u_spictrl.res_fifo_wdata[2] ),
+    .X(_05595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11296_ (.A(_05595_),
+    .X(_05596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11297_ (.A1(_05591_),
+    .A2(_05596_),
+    .B1(\u_m0_res_fifo.mem[0][2] ),
+    .B2(_05594_),
+    .X(_00714_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11298_ (.A(_05588_),
+    .B(\u_spictrl.res_fifo_wdata[1] ),
+    .X(_05597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11299_ (.A(_05597_),
+    .X(_05598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11300_ (.A1(_05591_),
+    .A2(_05598_),
+    .B1(\u_m0_res_fifo.mem[0][1] ),
+    .B2(_05594_),
+    .X(_00713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _11301_ (.A(_05352_),
+    .B(\u_spictrl.res_fifo_wdata[0] ),
+    .X(_05599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11302_ (.A(_05599_),
+    .X(_05600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11303_ (.A1(_05591_),
+    .A2(_05600_),
+    .B1(\u_m0_res_fifo.mem[0][0] ),
+    .B2(_05594_),
+    .X(_00712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _11304_ (.A(_05388_),
+    .B(_05402_),
+    .C(_05506_),
+    .D(_05398_),
+    .X(_05601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11305_ (.A(_05601_),
+    .X(_05602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11306_ (.A(_05602_),
+    .X(_05603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11307_ (.A(_05601_),
+    .Y(_05604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11308_ (.A(_05604_),
+    .X(_05605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11309_ (.A(_05605_),
+    .X(_05606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11310_ (.A1(_05511_),
+    .A2(_05603_),
+    .B1(\u_m0_res_fifo.mem[1][31] ),
+    .B2(_05606_),
+    .X(_00711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11311_ (.A1(_05516_),
+    .A2(_05603_),
+    .B1(\u_m0_res_fifo.mem[1][30] ),
+    .B2(_05606_),
+    .X(_00710_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11312_ (.A1(_05518_),
+    .A2(_05603_),
+    .B1(\u_m0_res_fifo.mem[1][29] ),
+    .B2(_05606_),
+    .X(_00709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11313_ (.A1(_05521_),
+    .A2(_05603_),
+    .B1(\u_m0_res_fifo.mem[1][28] ),
+    .B2(_05606_),
+    .X(_00708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11314_ (.A(_05602_),
+    .X(_05607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11315_ (.A(_05605_),
+    .X(_05608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11316_ (.A1(_05524_),
+    .A2(_05607_),
+    .B1(\u_m0_res_fifo.mem[1][27] ),
+    .B2(_05608_),
+    .X(_00707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11317_ (.A1(_05527_),
+    .A2(_05607_),
+    .B1(\u_m0_res_fifo.mem[1][26] ),
+    .B2(_05608_),
+    .X(_00706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11318_ (.A1(_05529_),
+    .A2(_05607_),
+    .B1(\u_m0_res_fifo.mem[1][25] ),
+    .B2(_05608_),
+    .X(_00705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11319_ (.A1(_05532_),
+    .A2(_05607_),
+    .B1(\u_m0_res_fifo.mem[1][24] ),
+    .B2(_05608_),
+    .X(_00704_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11320_ (.A(_05602_),
+    .X(_05609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11321_ (.A(_05605_),
+    .X(_05610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11322_ (.A1(_05535_),
+    .A2(_05609_),
+    .B1(\u_m0_res_fifo.mem[1][23] ),
+    .B2(_05610_),
+    .X(_00703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11323_ (.A1(_05538_),
+    .A2(_05609_),
+    .B1(\u_m0_res_fifo.mem[1][22] ),
+    .B2(_05610_),
+    .X(_00702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11324_ (.A1(_05540_),
+    .A2(_05609_),
+    .B1(\u_m0_res_fifo.mem[1][21] ),
+    .B2(_05610_),
+    .X(_00701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11325_ (.A1(_05544_),
+    .A2(_05609_),
+    .B1(\u_m0_res_fifo.mem[1][20] ),
+    .B2(_05610_),
+    .X(_00700_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11326_ (.A(_05602_),
+    .X(_05611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11327_ (.A(_05605_),
+    .X(_05612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11328_ (.A1(_05547_),
+    .A2(_05611_),
+    .B1(\u_m0_res_fifo.mem[1][19] ),
+    .B2(_05612_),
+    .X(_00699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11329_ (.A1(_05550_),
+    .A2(_05611_),
+    .B1(\u_m0_res_fifo.mem[1][18] ),
+    .B2(_05612_),
+    .X(_00698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11330_ (.A1(_05552_),
+    .A2(_05611_),
+    .B1(\u_m0_res_fifo.mem[1][17] ),
+    .B2(_05612_),
+    .X(_00697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11331_ (.A1(_05555_),
+    .A2(_05611_),
+    .B1(\u_m0_res_fifo.mem[1][16] ),
+    .B2(_05612_),
+    .X(_00696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11332_ (.A(_05601_),
+    .X(_05613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11333_ (.A(_05613_),
+    .X(_05614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11334_ (.A(_05604_),
+    .X(_05615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11335_ (.A(_05615_),
+    .X(_05616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11336_ (.A1(_05559_),
+    .A2(_05614_),
+    .B1(\u_m0_res_fifo.mem[1][15] ),
+    .B2(_05616_),
+    .X(_00695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11337_ (.A1(_05563_),
+    .A2(_05614_),
+    .B1(\u_m0_res_fifo.mem[1][14] ),
+    .B2(_05616_),
+    .X(_00694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11338_ (.A1(_05565_),
+    .A2(_05614_),
+    .B1(\u_m0_res_fifo.mem[1][13] ),
+    .B2(_05616_),
+    .X(_00693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11339_ (.A1(_05568_),
+    .A2(_05614_),
+    .B1(\u_m0_res_fifo.mem[1][12] ),
+    .B2(_05616_),
+    .X(_00692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11340_ (.A(_05613_),
+    .X(_05617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11341_ (.A(_05615_),
+    .X(_05618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11342_ (.A1(_05571_),
+    .A2(_05617_),
+    .B1(\u_m0_res_fifo.mem[1][11] ),
+    .B2(_05618_),
+    .X(_00691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11343_ (.A1(_05574_),
+    .A2(_05617_),
+    .B1(\u_m0_res_fifo.mem[1][10] ),
+    .B2(_05618_),
+    .X(_00690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11344_ (.A1(_05576_),
+    .A2(_05617_),
+    .B1(\u_m0_res_fifo.mem[1][9] ),
+    .B2(_05618_),
+    .X(_00689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11345_ (.A1(_05579_),
+    .A2(_05617_),
+    .B1(\u_m0_res_fifo.mem[1][8] ),
+    .B2(_05618_),
+    .X(_00688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11346_ (.A(_05613_),
+    .X(_05619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11347_ (.A(_05615_),
+    .X(_05620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11348_ (.A1(_05582_),
+    .A2(_05619_),
+    .B1(\u_m0_res_fifo.mem[1][7] ),
+    .B2(_05620_),
+    .X(_00687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11349_ (.A1(_05585_),
+    .A2(_05619_),
+    .B1(\u_m0_res_fifo.mem[1][6] ),
+    .B2(_05620_),
+    .X(_00686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11350_ (.A1(_05587_),
+    .A2(_05619_),
+    .B1(\u_m0_res_fifo.mem[1][5] ),
+    .B2(_05620_),
+    .X(_00685_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11351_ (.A1(_05590_),
+    .A2(_05619_),
+    .B1(\u_m0_res_fifo.mem[1][4] ),
+    .B2(_05620_),
+    .X(_00684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11352_ (.A(_05613_),
+    .X(_05621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11353_ (.A(_05615_),
+    .X(_05622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11354_ (.A1(_05593_),
+    .A2(_05621_),
+    .B1(\u_m0_res_fifo.mem[1][3] ),
+    .B2(_05622_),
+    .X(_00683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11355_ (.A1(_05596_),
+    .A2(_05621_),
+    .B1(\u_m0_res_fifo.mem[1][2] ),
+    .B2(_05622_),
+    .X(_00682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11356_ (.A1(_05598_),
+    .A2(_05621_),
+    .B1(\u_m0_res_fifo.mem[1][1] ),
+    .B2(_05622_),
+    .X(_00681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11357_ (.A1(_05600_),
+    .A2(_05621_),
+    .B1(\u_m0_res_fifo.mem[1][0] ),
+    .B2(_05622_),
+    .X(_00680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11358_ (.A(_02623_),
+    .B(_02650_),
+    .X(_05623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11359_ (.A(_05623_),
+    .X(_05624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11360_ (.A(_05623_),
+    .Y(_05625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11361_ (.A(_05625_),
+    .X(_05626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11362_ (.A1(_02621_),
+    .A2(_05624_),
+    .B1(\u_spim_regs.cfg_m1_addr[15] ),
+    .B2(_05626_),
+    .X(_00679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11363_ (.A1(_02631_),
+    .A2(_05624_),
+    .B1(\u_spim_regs.cfg_m1_addr[14] ),
+    .B2(_05626_),
+    .X(_00678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11364_ (.A1(_02633_),
+    .A2(_05624_),
+    .B1(\u_spim_regs.cfg_m1_addr[13] ),
+    .B2(_05626_),
+    .X(_00677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11365_ (.A1(_02636_),
+    .A2(_05624_),
+    .B1(\u_spim_regs.cfg_m1_addr[12] ),
+    .B2(_05626_),
+    .X(_00676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11366_ (.A(_05623_),
+    .X(_05627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11367_ (.A(_05625_),
+    .X(_05628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11368_ (.A1(_02639_),
+    .A2(_05627_),
+    .B1(\u_spim_regs.cfg_m1_addr[11] ),
+    .B2(_05628_),
+    .X(_00675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11369_ (.A1(_02642_),
+    .A2(_05627_),
+    .B1(\u_spim_regs.cfg_m1_addr[10] ),
+    .B2(_05628_),
+    .X(_00674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11370_ (.A1(_02644_),
+    .A2(_05627_),
+    .B1(\u_spim_regs.cfg_m1_addr[9] ),
+    .B2(_05628_),
+    .X(_00673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11371_ (.A1(_02646_),
+    .A2(_05627_),
+    .B1(\u_spim_regs.cfg_m1_addr[8] ),
+    .B2(_05628_),
+    .X(_00672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _11372_ (.A(_05505_),
+    .B(_05402_),
+    .C(_01416_),
+    .D(_05506_),
+    .X(_05629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11373_ (.A(_05629_),
+    .X(_05630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11374_ (.A(_05630_),
+    .X(_05631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11375_ (.A(_05629_),
+    .Y(_05632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11376_ (.A(_05632_),
+    .X(_05633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11377_ (.A(_05633_),
+    .X(_05634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11378_ (.A1(_05511_),
+    .A2(_05631_),
+    .B1(\u_m0_res_fifo.mem[2][31] ),
+    .B2(_05634_),
+    .X(_00671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11379_ (.A1(_05516_),
+    .A2(_05631_),
+    .B1(\u_m0_res_fifo.mem[2][30] ),
+    .B2(_05634_),
+    .X(_00670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11380_ (.A1(_05518_),
+    .A2(_05631_),
+    .B1(\u_m0_res_fifo.mem[2][29] ),
+    .B2(_05634_),
+    .X(_00669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11381_ (.A1(_05521_),
+    .A2(_05631_),
+    .B1(\u_m0_res_fifo.mem[2][28] ),
+    .B2(_05634_),
+    .X(_00668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11382_ (.A(_05630_),
+    .X(_05635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11383_ (.A(_05633_),
+    .X(_05636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11384_ (.A1(_05524_),
+    .A2(_05635_),
+    .B1(\u_m0_res_fifo.mem[2][27] ),
+    .B2(_05636_),
+    .X(_00667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11385_ (.A1(_05527_),
+    .A2(_05635_),
+    .B1(\u_m0_res_fifo.mem[2][26] ),
+    .B2(_05636_),
+    .X(_00666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11386_ (.A1(_05529_),
+    .A2(_05635_),
+    .B1(\u_m0_res_fifo.mem[2][25] ),
+    .B2(_05636_),
+    .X(_00665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11387_ (.A1(_05532_),
+    .A2(_05635_),
+    .B1(\u_m0_res_fifo.mem[2][24] ),
+    .B2(_05636_),
+    .X(_00664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11388_ (.A(_05630_),
+    .X(_05637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11389_ (.A(_05633_),
+    .X(_05638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11390_ (.A1(_05535_),
+    .A2(_05637_),
+    .B1(\u_m0_res_fifo.mem[2][23] ),
+    .B2(_05638_),
+    .X(_00663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11391_ (.A1(_05538_),
+    .A2(_05637_),
+    .B1(\u_m0_res_fifo.mem[2][22] ),
+    .B2(_05638_),
+    .X(_00662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11392_ (.A1(_05540_),
+    .A2(_05637_),
+    .B1(\u_m0_res_fifo.mem[2][21] ),
+    .B2(_05638_),
+    .X(_00661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11393_ (.A1(_05544_),
+    .A2(_05637_),
+    .B1(\u_m0_res_fifo.mem[2][20] ),
+    .B2(_05638_),
+    .X(_00660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11394_ (.A(_05630_),
+    .X(_05639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11395_ (.A(_05633_),
+    .X(_05640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11396_ (.A1(_05547_),
+    .A2(_05639_),
+    .B1(\u_m0_res_fifo.mem[2][19] ),
+    .B2(_05640_),
+    .X(_00659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11397_ (.A1(_05550_),
+    .A2(_05639_),
+    .B1(\u_m0_res_fifo.mem[2][18] ),
+    .B2(_05640_),
+    .X(_00658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11398_ (.A1(_05552_),
+    .A2(_05639_),
+    .B1(\u_m0_res_fifo.mem[2][17] ),
+    .B2(_05640_),
+    .X(_00657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11399_ (.A1(_05555_),
+    .A2(_05639_),
+    .B1(\u_m0_res_fifo.mem[2][16] ),
+    .B2(_05640_),
+    .X(_00656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11400_ (.A(_05629_),
+    .X(_05641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11401_ (.A(_05641_),
+    .X(_05642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11402_ (.A(_05632_),
+    .X(_05643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11403_ (.A(_05643_),
+    .X(_05644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11404_ (.A1(_05559_),
+    .A2(_05642_),
+    .B1(\u_m0_res_fifo.mem[2][15] ),
+    .B2(_05644_),
+    .X(_00655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11405_ (.A1(_05563_),
+    .A2(_05642_),
+    .B1(\u_m0_res_fifo.mem[2][14] ),
+    .B2(_05644_),
+    .X(_00654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11406_ (.A1(_05565_),
+    .A2(_05642_),
+    .B1(\u_m0_res_fifo.mem[2][13] ),
+    .B2(_05644_),
+    .X(_00653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11407_ (.A1(_05568_),
+    .A2(_05642_),
+    .B1(\u_m0_res_fifo.mem[2][12] ),
+    .B2(_05644_),
+    .X(_00652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11408_ (.A(_05641_),
+    .X(_05645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11409_ (.A(_05643_),
+    .X(_05646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11410_ (.A1(_05571_),
+    .A2(_05645_),
+    .B1(\u_m0_res_fifo.mem[2][11] ),
+    .B2(_05646_),
+    .X(_00651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11411_ (.A1(_05574_),
+    .A2(_05645_),
+    .B1(\u_m0_res_fifo.mem[2][10] ),
+    .B2(_05646_),
+    .X(_00650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11412_ (.A1(_05576_),
+    .A2(_05645_),
+    .B1(\u_m0_res_fifo.mem[2][9] ),
+    .B2(_05646_),
+    .X(_00649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11413_ (.A1(_05579_),
+    .A2(_05645_),
+    .B1(\u_m0_res_fifo.mem[2][8] ),
+    .B2(_05646_),
+    .X(_00648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11414_ (.A(_05641_),
+    .X(_05647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11415_ (.A(_05643_),
+    .X(_05648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11416_ (.A1(_05582_),
+    .A2(_05647_),
+    .B1(\u_m0_res_fifo.mem[2][7] ),
+    .B2(_05648_),
+    .X(_00647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11417_ (.A1(_05585_),
+    .A2(_05647_),
+    .B1(\u_m0_res_fifo.mem[2][6] ),
+    .B2(_05648_),
+    .X(_00646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11418_ (.A1(_05587_),
+    .A2(_05647_),
+    .B1(\u_m0_res_fifo.mem[2][5] ),
+    .B2(_05648_),
+    .X(_00645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11419_ (.A1(_05590_),
+    .A2(_05647_),
+    .B1(\u_m0_res_fifo.mem[2][4] ),
+    .B2(_05648_),
+    .X(_00644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11420_ (.A(_05641_),
+    .X(_05649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11421_ (.A(_05643_),
+    .X(_05650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11422_ (.A1(_05593_),
+    .A2(_05649_),
+    .B1(\u_m0_res_fifo.mem[2][3] ),
+    .B2(_05650_),
+    .X(_00643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11423_ (.A1(_05596_),
+    .A2(_05649_),
+    .B1(\u_m0_res_fifo.mem[2][2] ),
+    .B2(_05650_),
+    .X(_00642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11424_ (.A1(_05598_),
+    .A2(_05649_),
+    .B1(\u_m0_res_fifo.mem[2][1] ),
+    .B2(_05650_),
+    .X(_00641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11425_ (.A1(_05600_),
+    .A2(_05649_),
+    .B1(\u_m0_res_fifo.mem[2][0] ),
+    .B2(_05650_),
+    .X(_00640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11426_ (.A(_01280_),
+    .X(_05651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11427_ (.A(_05651_),
+    .X(_05652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11428_ (.A(_05652_),
+    .X(_05653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11429_ (.A(_01398_),
+    .X(_05654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11430_ (.A(_05654_),
+    .X(_05655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11431_ (.A1(_01300_),
+    .A2(_05653_),
+    .B1(_01505_),
+    .B2(_05655_),
+    .X(_05656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11432_ (.A1_N(_03385_),
+    .A2_N(_05656_),
+    .B1(\u_m0_cmd_fifo.mem[1][31] ),
+    .B2(_03385_),
+    .X(_00639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11433_ (.A1(_01313_),
+    .A2(_05653_),
+    .B1(_01278_),
+    .B2(_05655_),
+    .X(_05657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11434_ (.A(_03382_),
+    .X(_05658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11435_ (.A(_05658_),
+    .X(_05659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11436_ (.A(_05659_),
+    .X(_05660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11437_ (.A1_N(_03385_),
+    .A2_N(_05657_),
+    .B1(\u_m0_cmd_fifo.mem[1][30] ),
+    .B2(_05660_),
+    .X(_00638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11438_ (.A1(_01379_),
+    .A2(_05653_),
+    .B1(_01441_),
+    .B2(_05655_),
+    .X(_05661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11439_ (.A1_N(_05660_),
+    .A2_N(_05661_),
+    .B1(\u_m0_cmd_fifo.mem[1][29] ),
+    .B2(_05660_),
+    .X(_00637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _11440_ (.A1(_01446_),
+    .A2(_05655_),
+    .B1(_01465_),
+    .X(_05662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11441_ (.A(_05659_),
+    .X(_05663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11442_ (.A1_N(_05660_),
+    .A2_N(_05662_),
+    .B1(\u_m0_cmd_fifo.mem[1][28] ),
+    .B2(_05663_),
+    .X(_00636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11443_ (.A(_01398_),
+    .X(_05664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11444_ (.A(_05664_),
+    .X(_05665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11445_ (.A1(_01337_),
+    .A2(_05653_),
+    .B1(_01451_),
+    .B2(_05665_),
+    .X(_05666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11446_ (.A1_N(_05663_),
+    .A2_N(_05666_),
+    .B1(\u_m0_cmd_fifo.mem[1][27] ),
+    .B2(_05663_),
+    .X(_00635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11447_ (.A(_05651_),
+    .X(_05667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11448_ (.A(_05667_),
+    .X(_05668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11449_ (.A1(_01371_),
+    .A2(_05668_),
+    .B1(_01758_),
+    .B2(_05665_),
+    .X(_05669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11450_ (.A(_05659_),
+    .X(_05670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11451_ (.A1_N(_05663_),
+    .A2_N(_05669_),
+    .B1(\u_m0_cmd_fifo.mem[1][26] ),
+    .B2(_05670_),
+    .X(_00634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11452_ (.A1(_01305_),
+    .A2(_05668_),
+    .B1(_01791_),
+    .B2(_05665_),
+    .X(_05671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11453_ (.A1_N(_05670_),
+    .A2_N(_05671_),
+    .B1(\u_m0_cmd_fifo.mem[1][25] ),
+    .B2(_05670_),
+    .X(_00633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11454_ (.A1(_01343_),
+    .A2(_05668_),
+    .B1(_01823_),
+    .B2(_05665_),
+    .X(_05672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11455_ (.A(_05659_),
+    .X(_05673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11456_ (.A1_N(_05670_),
+    .A2_N(_05672_),
+    .B1(\u_m0_cmd_fifo.mem[1][24] ),
+    .B2(_05673_),
+    .X(_00632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11457_ (.A(_05664_),
+    .X(_05674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11458_ (.A1(_01388_),
+    .A2(_05668_),
+    .B1(_01862_),
+    .B2(_05674_),
+    .X(_05675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11459_ (.A1_N(_05673_),
+    .A2_N(_05675_),
+    .B1(\u_m0_cmd_fifo.mem[1][23] ),
+    .B2(_05673_),
+    .X(_00631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11460_ (.A(_05667_),
+    .X(_05676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11461_ (.A1(_01372_),
+    .A2(_05676_),
+    .B1(_01886_),
+    .B2(_05674_),
+    .X(_05677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11462_ (.A(_03382_),
+    .X(_05678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11463_ (.A(_05678_),
+    .X(_05679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11464_ (.A1_N(_05673_),
+    .A2_N(_05677_),
+    .B1(\u_m0_cmd_fifo.mem[1][22] ),
+    .B2(_05679_),
+    .X(_00630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11465_ (.A1(_01312_),
+    .A2(_05676_),
+    .B1(_01911_),
+    .B2(_05674_),
+    .X(_05680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11466_ (.A1_N(_05679_),
+    .A2_N(_05680_),
+    .B1(\u_m0_cmd_fifo.mem[1][21] ),
+    .B2(_05679_),
+    .X(_00629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11467_ (.A1(_01392_),
+    .A2(_05676_),
+    .B1(_01938_),
+    .B2(_05674_),
+    .X(_05681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11468_ (.A(_05678_),
+    .X(_05682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11469_ (.A1_N(_05679_),
+    .A2_N(_05681_),
+    .B1(\u_m0_cmd_fifo.mem[1][20] ),
+    .B2(_05682_),
+    .X(_00628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11470_ (.A(_05664_),
+    .X(_05683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11471_ (.A1(_01291_),
+    .A2(_05676_),
+    .B1(_01968_),
+    .B2(_05683_),
+    .X(_05684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11472_ (.A1_N(_05682_),
+    .A2_N(_05684_),
+    .B1(\u_m0_cmd_fifo.mem[1][19] ),
+    .B2(_05682_),
+    .X(_00627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11473_ (.A(_05667_),
+    .X(_05685_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11474_ (.A1(_01365_),
+    .A2(_05685_),
+    .B1(_01998_),
+    .B2(_05683_),
+    .X(_05686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11475_ (.A(_05678_),
+    .X(_05687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11476_ (.A1_N(_05682_),
+    .A2_N(_05686_),
+    .B1(\u_m0_cmd_fifo.mem[1][18] ),
+    .B2(_05687_),
+    .X(_00626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11477_ (.A1(_01357_),
+    .A2(_05685_),
+    .B1(_02029_),
+    .B2(_05683_),
+    .X(_05688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11478_ (.A1_N(_05687_),
+    .A2_N(_05688_),
+    .B1(\u_m0_cmd_fifo.mem[1][17] ),
+    .B2(_05687_),
+    .X(_00625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11479_ (.A1(_01303_),
+    .A2(_05685_),
+    .B1(_02051_),
+    .B2(_05683_),
+    .X(_05689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11480_ (.A(_05678_),
+    .X(_05690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11481_ (.A1_N(_05687_),
+    .A2_N(_05689_),
+    .B1(\u_m0_cmd_fifo.mem[1][16] ),
+    .B2(_05690_),
+    .X(_00624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11482_ (.A(_05664_),
+    .X(_05691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11483_ (.A1(_01327_),
+    .A2(_05685_),
+    .B1(_02095_),
+    .B2(_05691_),
+    .X(_05692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11484_ (.A1_N(_05690_),
+    .A2_N(_05692_),
+    .B1(\u_m0_cmd_fifo.mem[1][15] ),
+    .B2(_05690_),
+    .X(_00623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11485_ (.A(_05667_),
+    .X(_05693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11486_ (.A1(_01389_),
+    .A2(_05693_),
+    .B1(_02120_),
+    .B2(_05691_),
+    .X(_05694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11487_ (.A(_03382_),
+    .X(_05695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11488_ (.A(_05695_),
+    .X(_05696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11489_ (.A1_N(_05690_),
+    .A2_N(_05694_),
+    .B1(\u_m0_cmd_fifo.mem[1][14] ),
+    .B2(_05696_),
+    .X(_00622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11490_ (.A1(_01310_),
+    .A2(_05693_),
+    .B1(_02152_),
+    .B2(_05691_),
+    .X(_05697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11491_ (.A1_N(_05696_),
+    .A2_N(_05697_),
+    .B1(\u_m0_cmd_fifo.mem[1][13] ),
+    .B2(_05696_),
+    .X(_00621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11492_ (.A1(_01368_),
+    .A2(_05693_),
+    .B1(_02180_),
+    .B2(_05691_),
+    .X(_05698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11493_ (.A(_05695_),
+    .X(_05699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11494_ (.A1_N(_05696_),
+    .A2_N(_05698_),
+    .B1(\u_m0_cmd_fifo.mem[1][12] ),
+    .B2(_05699_),
+    .X(_00620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11495_ (.A(_05654_),
+    .X(_05700_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11496_ (.A1(_01347_),
+    .A2(_05693_),
+    .B1(_02206_),
+    .B2(_05700_),
+    .X(_05701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11497_ (.A1_N(_05699_),
+    .A2_N(_05701_),
+    .B1(\u_m0_cmd_fifo.mem[1][11] ),
+    .B2(_05699_),
+    .X(_00619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11498_ (.A(_05651_),
+    .X(_05702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11499_ (.A1(_01298_),
+    .A2(_05702_),
+    .B1(_02235_),
+    .B2(_05700_),
+    .X(_05703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11500_ (.A(_05695_),
+    .X(_05704_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11501_ (.A1_N(_05699_),
+    .A2_N(_05703_),
+    .B1(\u_m0_cmd_fifo.mem[1][10] ),
+    .B2(_05704_),
+    .X(_00618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11502_ (.A1(_01341_),
+    .A2(_05702_),
+    .B1(_02270_),
+    .B2(_05700_),
+    .X(_05705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11503_ (.A1_N(_05704_),
+    .A2_N(_05705_),
+    .B1(\u_m0_cmd_fifo.mem[1][9] ),
+    .B2(_05704_),
+    .X(_00617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11504_ (.A1(_01353_),
+    .A2(_05702_),
+    .B1(_02300_),
+    .B2(_05700_),
+    .X(_05706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11505_ (.A(_05695_),
+    .X(_05707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11506_ (.A1_N(_05704_),
+    .A2_N(_05706_),
+    .B1(\u_m0_cmd_fifo.mem[1][8] ),
+    .B2(_05707_),
+    .X(_00616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11507_ (.A(_05654_),
+    .X(_05708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11508_ (.A1(_01348_),
+    .A2(_05702_),
+    .B1(_02331_),
+    .B2(_05708_),
+    .X(_05709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11509_ (.A1_N(_05707_),
+    .A2_N(_05709_),
+    .B1(\u_m0_cmd_fifo.mem[1][7] ),
+    .B2(_05707_),
+    .X(_00615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11510_ (.A(_05651_),
+    .X(_05710_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11511_ (.A1(_01294_),
+    .A2(_05710_),
+    .B1(_02366_),
+    .B2(_05708_),
+    .X(_05711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11512_ (.A(_05658_),
+    .X(_05712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11513_ (.A1_N(_05707_),
+    .A2_N(_05711_),
+    .B1(\u_m0_cmd_fifo.mem[1][6] ),
+    .B2(_05712_),
+    .X(_00614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11514_ (.A1(_01391_),
+    .A2(_05710_),
+    .B1(_02391_),
+    .B2(_05708_),
+    .X(_05713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11515_ (.A1_N(_05712_),
+    .A2_N(_05713_),
+    .B1(\u_m0_cmd_fifo.mem[1][5] ),
+    .B2(_05712_),
+    .X(_00613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11516_ (.A1(_01334_),
+    .A2(_05710_),
+    .B1(_02414_),
+    .B2(_05708_),
+    .X(_05714_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11517_ (.A(_05658_),
+    .X(_05715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11518_ (.A1_N(_05712_),
+    .A2_N(_05714_),
+    .B1(\u_m0_cmd_fifo.mem[1][4] ),
+    .B2(_05715_),
+    .X(_00612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11519_ (.A(_05654_),
+    .X(_05716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11520_ (.A1(_01467_),
+    .A2(_05710_),
+    .B1(_02442_),
+    .B2(_05716_),
+    .X(_05717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11521_ (.A1_N(_05715_),
+    .A2_N(_05717_),
+    .B1(\u_m0_cmd_fifo.mem[1][3] ),
+    .B2(_05715_),
+    .X(_00611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11522_ (.A1(_02297_),
+    .A2(_05652_),
+    .B1(_02470_),
+    .B2(_05716_),
+    .X(_05718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11523_ (.A(_05658_),
+    .X(_05719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11524_ (.A1_N(_05715_),
+    .A2_N(_05718_),
+    .B1(\u_m0_cmd_fifo.mem[1][2] ),
+    .B2(_05719_),
+    .X(_00610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11525_ (.A1(_01315_),
+    .A2(_05652_),
+    .B1(_02495_),
+    .B2(_05716_),
+    .X(_05720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11526_ (.A1_N(_05719_),
+    .A2_N(_05720_),
+    .B1(\u_m0_cmd_fifo.mem[1][1] ),
+    .B2(_05719_),
+    .X(_00609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11527_ (.A1(_01290_),
+    .A2(_05652_),
+    .B1(_02524_),
+    .B2(_05716_),
+    .X(_05721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11528_ (.A1_N(_05719_),
+    .A2_N(_05721_),
+    .B1(\u_m0_cmd_fifo.mem[1][0] ),
+    .B2(_03383_),
+    .X(_00608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11529_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .B(_01400_),
+    .X(_05722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11530_ (.A(_05722_),
+    .X(_05723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11531_ (.A(_05723_),
+    .X(_05724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11532_ (.A1_N(_05724_),
+    .A2_N(_05656_),
+    .B1(\u_m0_cmd_fifo.mem[0][31] ),
+    .B2(_05724_),
+    .X(_00607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11533_ (.A1_N(_05724_),
+    .A2_N(_05657_),
+    .B1(\u_m0_cmd_fifo.mem[0][30] ),
+    .B2(_05724_),
+    .X(_00606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11534_ (.A(_05723_),
+    .X(_05725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11535_ (.A1_N(_05725_),
+    .A2_N(_05661_),
+    .B1(\u_m0_cmd_fifo.mem[0][29] ),
+    .B2(_05725_),
+    .X(_00605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11536_ (.A1_N(_05725_),
+    .A2_N(_05662_),
+    .B1(\u_m0_cmd_fifo.mem[0][28] ),
+    .B2(_05725_),
+    .X(_00604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11537_ (.A(_05723_),
+    .X(_05726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11538_ (.A1_N(_05726_),
+    .A2_N(_05666_),
+    .B1(\u_m0_cmd_fifo.mem[0][27] ),
+    .B2(_05726_),
+    .X(_00603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11539_ (.A1_N(_05726_),
+    .A2_N(_05669_),
+    .B1(\u_m0_cmd_fifo.mem[0][26] ),
+    .B2(_05726_),
+    .X(_00602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11540_ (.A(_05723_),
+    .X(_05727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11541_ (.A1_N(_05727_),
+    .A2_N(_05671_),
+    .B1(\u_m0_cmd_fifo.mem[0][25] ),
+    .B2(_05727_),
+    .X(_00601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11542_ (.A1_N(_05727_),
+    .A2_N(_05672_),
+    .B1(\u_m0_cmd_fifo.mem[0][24] ),
+    .B2(_05727_),
+    .X(_00600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11543_ (.A(_05722_),
+    .X(_05728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11544_ (.A(_05728_),
+    .X(_05729_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11545_ (.A1_N(_05729_),
+    .A2_N(_05675_),
+    .B1(\u_m0_cmd_fifo.mem[0][23] ),
+    .B2(_05729_),
+    .X(_00599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11546_ (.A1_N(_05729_),
+    .A2_N(_05677_),
+    .B1(\u_m0_cmd_fifo.mem[0][22] ),
+    .B2(_05729_),
+    .X(_00598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11547_ (.A(_05728_),
+    .X(_05730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11548_ (.A1_N(_05730_),
+    .A2_N(_05680_),
+    .B1(\u_m0_cmd_fifo.mem[0][21] ),
+    .B2(_05730_),
+    .X(_00597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11549_ (.A1_N(_05730_),
+    .A2_N(_05681_),
+    .B1(\u_m0_cmd_fifo.mem[0][20] ),
+    .B2(_05730_),
+    .X(_00596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11550_ (.A(_05728_),
+    .X(_05731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11551_ (.A1_N(_05731_),
+    .A2_N(_05684_),
+    .B1(\u_m0_cmd_fifo.mem[0][19] ),
+    .B2(_05731_),
+    .X(_00595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11552_ (.A1_N(_05731_),
+    .A2_N(_05686_),
+    .B1(\u_m0_cmd_fifo.mem[0][18] ),
+    .B2(_05731_),
+    .X(_00594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11553_ (.A(_05728_),
+    .X(_05732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11554_ (.A1_N(_05732_),
+    .A2_N(_05688_),
+    .B1(\u_m0_cmd_fifo.mem[0][17] ),
+    .B2(_05732_),
+    .X(_00593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11555_ (.A1_N(_05732_),
+    .A2_N(_05689_),
+    .B1(\u_m0_cmd_fifo.mem[0][16] ),
+    .B2(_05732_),
+    .X(_00592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11556_ (.A(_05722_),
+    .X(_05733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11557_ (.A(_05733_),
+    .X(_05734_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11558_ (.A1_N(_05734_),
+    .A2_N(_05692_),
+    .B1(\u_m0_cmd_fifo.mem[0][15] ),
+    .B2(_05734_),
+    .X(_00591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11559_ (.A1_N(_05734_),
+    .A2_N(_05694_),
+    .B1(\u_m0_cmd_fifo.mem[0][14] ),
+    .B2(_05734_),
+    .X(_00590_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11560_ (.A(_05733_),
+    .X(_05735_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11561_ (.A1_N(_05735_),
+    .A2_N(_05697_),
+    .B1(\u_m0_cmd_fifo.mem[0][13] ),
+    .B2(_05735_),
+    .X(_00589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11562_ (.A1_N(_05735_),
+    .A2_N(_05698_),
+    .B1(\u_m0_cmd_fifo.mem[0][12] ),
+    .B2(_05735_),
+    .X(_00588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11563_ (.A(_05733_),
+    .X(_05736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11564_ (.A1_N(_05736_),
+    .A2_N(_05701_),
+    .B1(\u_m0_cmd_fifo.mem[0][11] ),
+    .B2(_05736_),
+    .X(_00587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11565_ (.A1_N(_05736_),
+    .A2_N(_05703_),
+    .B1(\u_m0_cmd_fifo.mem[0][10] ),
+    .B2(_05736_),
+    .X(_00586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11566_ (.A(_05733_),
+    .X(_05737_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11567_ (.A1_N(_05737_),
+    .A2_N(_05705_),
+    .B1(\u_m0_cmd_fifo.mem[0][9] ),
+    .B2(_05737_),
+    .X(_00585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11568_ (.A1_N(_05737_),
+    .A2_N(_05706_),
+    .B1(\u_m0_cmd_fifo.mem[0][8] ),
+    .B2(_05737_),
+    .X(_00584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11569_ (.A(_05722_),
+    .X(_05738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11570_ (.A(_05738_),
+    .X(_05739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11571_ (.A1_N(_05739_),
+    .A2_N(_05709_),
+    .B1(\u_m0_cmd_fifo.mem[0][7] ),
+    .B2(_05739_),
+    .X(_00583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11572_ (.A1_N(_05739_),
+    .A2_N(_05711_),
+    .B1(\u_m0_cmd_fifo.mem[0][6] ),
+    .B2(_05739_),
+    .X(_00582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11573_ (.A(_05738_),
+    .X(_05740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11574_ (.A1_N(_05740_),
+    .A2_N(_05713_),
+    .B1(\u_m0_cmd_fifo.mem[0][5] ),
+    .B2(_05740_),
+    .X(_00581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11575_ (.A1_N(_05740_),
+    .A2_N(_05714_),
+    .B1(\u_m0_cmd_fifo.mem[0][4] ),
+    .B2(_05740_),
+    .X(_00580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11576_ (.A(_05738_),
+    .X(_05741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11577_ (.A1_N(_05741_),
+    .A2_N(_05717_),
+    .B1(\u_m0_cmd_fifo.mem[0][3] ),
+    .B2(_05741_),
+    .X(_00579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11578_ (.A1_N(_05741_),
+    .A2_N(_05718_),
+    .B1(\u_m0_cmd_fifo.mem[0][2] ),
+    .B2(_05741_),
+    .X(_00578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11579_ (.A(_05738_),
+    .X(_05742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11580_ (.A1_N(_05742_),
+    .A2_N(_05720_),
+    .B1(\u_m0_cmd_fifo.mem[0][1] ),
+    .B2(_05742_),
+    .X(_00577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11581_ (.A1_N(_05742_),
+    .A2_N(_05721_),
+    .B1(\u_m0_cmd_fifo.mem[0][0] ),
+    .B2(_05742_),
+    .X(_00576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11582_ (.A(_05510_),
+    .X(_05743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _11583_ (.A(_05505_),
+    .B(_05401_),
+    .C(_01416_),
+    .D(_05387_),
+    .X(_05744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11584_ (.A(_05744_),
+    .X(_05745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11585_ (.A(_05745_),
+    .X(_05746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11586_ (.A(_05744_),
+    .Y(_05747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11587_ (.A(_05747_),
+    .X(_05748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11588_ (.A(_05748_),
+    .X(_05749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11589_ (.A1(_05743_),
+    .A2(_05746_),
+    .B1(\u_m0_res_fifo.mem[6][31] ),
+    .B2(_05749_),
+    .X(_00575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11590_ (.A(_05515_),
+    .X(_05750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11591_ (.A1(_05750_),
+    .A2(_05746_),
+    .B1(\u_m0_res_fifo.mem[6][30] ),
+    .B2(_05749_),
+    .X(_00574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11592_ (.A(_05517_),
+    .X(_05751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11593_ (.A1(_05751_),
+    .A2(_05746_),
+    .B1(\u_m0_res_fifo.mem[6][29] ),
+    .B2(_05749_),
+    .X(_00573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11594_ (.A(_05520_),
+    .X(_05752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11595_ (.A1(_05752_),
+    .A2(_05746_),
+    .B1(\u_m0_res_fifo.mem[6][28] ),
+    .B2(_05749_),
+    .X(_00572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11596_ (.A(_05523_),
+    .X(_05753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11597_ (.A(_05745_),
+    .X(_05754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11598_ (.A(_05748_),
+    .X(_05755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11599_ (.A1(_05753_),
+    .A2(_05754_),
+    .B1(\u_m0_res_fifo.mem[6][27] ),
+    .B2(_05755_),
+    .X(_00571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11600_ (.A(_05526_),
+    .X(_05756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11601_ (.A1(_05756_),
+    .A2(_05754_),
+    .B1(\u_m0_res_fifo.mem[6][26] ),
+    .B2(_05755_),
+    .X(_00570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11602_ (.A(_05528_),
+    .X(_05757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11603_ (.A1(_05757_),
+    .A2(_05754_),
+    .B1(\u_m0_res_fifo.mem[6][25] ),
+    .B2(_05755_),
+    .X(_00569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11604_ (.A(_05531_),
+    .X(_05758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11605_ (.A1(_05758_),
+    .A2(_05754_),
+    .B1(\u_m0_res_fifo.mem[6][24] ),
+    .B2(_05755_),
+    .X(_00568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11606_ (.A(_05534_),
+    .X(_05759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11607_ (.A(_05745_),
+    .X(_05760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11608_ (.A(_05748_),
+    .X(_05761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11609_ (.A1(_05759_),
+    .A2(_05760_),
+    .B1(\u_m0_res_fifo.mem[6][23] ),
+    .B2(_05761_),
+    .X(_00567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11610_ (.A(_05537_),
+    .X(_05762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11611_ (.A1(_05762_),
+    .A2(_05760_),
+    .B1(\u_m0_res_fifo.mem[6][22] ),
+    .B2(_05761_),
+    .X(_00566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11612_ (.A(_05539_),
+    .X(_05763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11613_ (.A1(_05763_),
+    .A2(_05760_),
+    .B1(\u_m0_res_fifo.mem[6][21] ),
+    .B2(_05761_),
+    .X(_00565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11614_ (.A(_05543_),
+    .X(_05764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11615_ (.A1(_05764_),
+    .A2(_05760_),
+    .B1(\u_m0_res_fifo.mem[6][20] ),
+    .B2(_05761_),
+    .X(_00564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11616_ (.A(_05546_),
+    .X(_05765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11617_ (.A(_05745_),
+    .X(_05766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11618_ (.A(_05748_),
+    .X(_05767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11619_ (.A1(_05765_),
+    .A2(_05766_),
+    .B1(\u_m0_res_fifo.mem[6][19] ),
+    .B2(_05767_),
+    .X(_00563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11620_ (.A(_05549_),
+    .X(_05768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11621_ (.A1(_05768_),
+    .A2(_05766_),
+    .B1(\u_m0_res_fifo.mem[6][18] ),
+    .B2(_05767_),
+    .X(_00562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11622_ (.A(_05551_),
+    .X(_05769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11623_ (.A1(_05769_),
+    .A2(_05766_),
+    .B1(\u_m0_res_fifo.mem[6][17] ),
+    .B2(_05767_),
+    .X(_00561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11624_ (.A(_05554_),
+    .X(_05770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11625_ (.A1(_05770_),
+    .A2(_05766_),
+    .B1(\u_m0_res_fifo.mem[6][16] ),
+    .B2(_05767_),
+    .X(_00560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11626_ (.A(_05558_),
+    .X(_05771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11627_ (.A(_05744_),
+    .X(_05772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11628_ (.A(_05772_),
+    .X(_05773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11629_ (.A(_05747_),
+    .X(_05774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11630_ (.A(_05774_),
+    .X(_05775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11631_ (.A1(_05771_),
+    .A2(_05773_),
+    .B1(\u_m0_res_fifo.mem[6][15] ),
+    .B2(_05775_),
+    .X(_00559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11632_ (.A(_05562_),
+    .X(_05776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11633_ (.A1(_05776_),
+    .A2(_05773_),
+    .B1(\u_m0_res_fifo.mem[6][14] ),
+    .B2(_05775_),
+    .X(_00558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11634_ (.A(_05564_),
+    .X(_05777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11635_ (.A1(_05777_),
+    .A2(_05773_),
+    .B1(\u_m0_res_fifo.mem[6][13] ),
+    .B2(_05775_),
+    .X(_00557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11636_ (.A(_05567_),
+    .X(_05778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11637_ (.A1(_05778_),
+    .A2(_05773_),
+    .B1(\u_m0_res_fifo.mem[6][12] ),
+    .B2(_05775_),
+    .X(_00556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11638_ (.A(_05570_),
+    .X(_05779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11639_ (.A(_05772_),
+    .X(_05780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11640_ (.A(_05774_),
+    .X(_05781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11641_ (.A1(_05779_),
+    .A2(_05780_),
+    .B1(\u_m0_res_fifo.mem[6][11] ),
+    .B2(_05781_),
+    .X(_00555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11642_ (.A(_05573_),
+    .X(_05782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11643_ (.A1(_05782_),
+    .A2(_05780_),
+    .B1(\u_m0_res_fifo.mem[6][10] ),
+    .B2(_05781_),
+    .X(_00554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11644_ (.A(_05575_),
+    .X(_05783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11645_ (.A1(_05783_),
+    .A2(_05780_),
+    .B1(\u_m0_res_fifo.mem[6][9] ),
+    .B2(_05781_),
+    .X(_00553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11646_ (.A(_05578_),
+    .X(_05784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11647_ (.A1(_05784_),
+    .A2(_05780_),
+    .B1(\u_m0_res_fifo.mem[6][8] ),
+    .B2(_05781_),
+    .X(_00552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11648_ (.A(_05581_),
+    .X(_05785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11649_ (.A(_05772_),
+    .X(_05786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11650_ (.A(_05774_),
+    .X(_05787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11651_ (.A1(_05785_),
+    .A2(_05786_),
+    .B1(\u_m0_res_fifo.mem[6][7] ),
+    .B2(_05787_),
+    .X(_00551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11652_ (.A(_05584_),
+    .X(_05788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11653_ (.A1(_05788_),
+    .A2(_05786_),
+    .B1(\u_m0_res_fifo.mem[6][6] ),
+    .B2(_05787_),
+    .X(_00550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11654_ (.A(_05586_),
+    .X(_05789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11655_ (.A1(_05789_),
+    .A2(_05786_),
+    .B1(\u_m0_res_fifo.mem[6][5] ),
+    .B2(_05787_),
+    .X(_00549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11656_ (.A(_05589_),
+    .X(_05790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11657_ (.A1(_05790_),
+    .A2(_05786_),
+    .B1(\u_m0_res_fifo.mem[6][4] ),
+    .B2(_05787_),
+    .X(_00548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11658_ (.A(_05592_),
+    .X(_05791_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11659_ (.A(_05772_),
+    .X(_05792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11660_ (.A(_05774_),
+    .X(_05793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11661_ (.A1(_05791_),
+    .A2(_05792_),
+    .B1(\u_m0_res_fifo.mem[6][3] ),
+    .B2(_05793_),
+    .X(_00547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11662_ (.A(_05595_),
+    .X(_05794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11663_ (.A1(_05794_),
+    .A2(_05792_),
+    .B1(\u_m0_res_fifo.mem[6][2] ),
+    .B2(_05793_),
+    .X(_00546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11664_ (.A(_05597_),
+    .X(_05795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11665_ (.A1(_05795_),
+    .A2(_05792_),
+    .B1(\u_m0_res_fifo.mem[6][1] ),
+    .B2(_05793_),
+    .X(_00545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11666_ (.A(_05599_),
+    .X(_05796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11667_ (.A1(_05796_),
+    .A2(_05792_),
+    .B1(\u_m0_res_fifo.mem[6][0] ),
+    .B2(_05793_),
+    .X(_00544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11668_ (.A(_03280_),
+    .X(_05797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _11669_ (.A(_02560_),
+    .B(_03587_),
+    .C(_01840_),
+    .D(_03695_),
+    .X(_05798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11670_ (.A(_05798_),
+    .X(_05799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11671_ (.A(_05799_),
+    .X(_05800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11672_ (.A(_02552_),
+    .Y(_05801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11673_ (.A(_05801_),
+    .X(_05802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11674_ (.A(_05802_),
+    .X(_05803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11675_ (.A(_02674_),
+    .B(\u_spim_regs.spim_reg_wdata[31] ),
+    .C(_05803_),
+    .X(_05804_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11676_ (.A(_03674_),
+    .X(_05805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11677_ (.A(_02562_),
+    .Y(_05806_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11678_ (.A(_05806_),
+    .X(_05807_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11679_ (.A(_05807_),
+    .X(_05808_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11680_ (.A(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .B(_05805_),
+    .C(_05808_),
+    .X(_05809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11681_ (.A1(\u_spim_regs.cfg_m1_addr[31] ),
+    .A2(_05800_),
+    .B1(_05804_),
+    .C1(_05809_),
+    .X(_05810_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11682_ (.A(_05810_),
+    .Y(_05811_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11683_ (.A1_N(_05797_),
+    .A2_N(_05811_),
+    .B1(\u_m1_cmd_fifo.mem[3][31] ),
+    .B2(_05797_),
+    .X(_00543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11684_ (.A(_02674_),
+    .B(\u_spim_regs.spim_reg_wdata[30] ),
+    .C(_05803_),
+    .X(_05812_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11685_ (.A(_01600_),
+    .B(_05805_),
+    .C(_05808_),
+    .X(_05813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11686_ (.A1(\u_spim_regs.cfg_m1_addr[30] ),
+    .A2(_05800_),
+    .B1(_05812_),
+    .C1(_05813_),
+    .X(_05814_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11687_ (.A(_05814_),
+    .Y(_05815_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11688_ (.A1_N(_05797_),
+    .A2_N(_05815_),
+    .B1(\u_m1_cmd_fifo.mem[3][30] ),
+    .B2(_05797_),
+    .X(_00542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11689_ (.A(_03280_),
+    .X(_05816_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11690_ (.A(_05801_),
+    .X(_05817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11691_ (.A(_05817_),
+    .X(_05818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11692_ (.A(_02674_),
+    .B(\u_spim_regs.spim_reg_wdata[29] ),
+    .C(_05818_),
+    .X(_05819_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11693_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .B(_05805_),
+    .C(_05808_),
+    .X(_05820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11694_ (.A1(\u_spim_regs.cfg_m1_addr[29] ),
+    .A2(_05800_),
+    .B1(_05819_),
+    .C1(_05820_),
+    .X(_05821_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11695_ (.A(_05821_),
+    .Y(_05822_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11696_ (.A1_N(_05816_),
+    .A2_N(_05822_),
+    .B1(\u_m1_cmd_fifo.mem[3][29] ),
+    .B2(_05816_),
+    .X(_00541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11697_ (.A(_02548_),
+    .X(_05823_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11698_ (.A(_05823_),
+    .X(_05824_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11699_ (.A(_05824_),
+    .B(\u_spim_regs.spim_reg_wdata[28] ),
+    .C(_05818_),
+    .X(_05825_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11700_ (.A(_03674_),
+    .X(_05826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11701_ (.A(_05826_),
+    .X(_05827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11702_ (.A(_05806_),
+    .X(_05828_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11703_ (.A(_05828_),
+    .X(_05829_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11704_ (.A(_01679_),
+    .B(_05827_),
+    .C(_05829_),
+    .X(_05830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11705_ (.A1(\u_spim_regs.cfg_m1_addr[28] ),
+    .A2(_05800_),
+    .B1(_05825_),
+    .C1(_05830_),
+    .X(_05831_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11706_ (.A(_05831_),
+    .Y(_05832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11707_ (.A1_N(_05816_),
+    .A2_N(_05832_),
+    .B1(\u_m1_cmd_fifo.mem[3][28] ),
+    .B2(_05816_),
+    .X(_00540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11708_ (.A(_03279_),
+    .X(_05833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11709_ (.A(_05833_),
+    .X(_05834_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11710_ (.A(_05834_),
+    .X(_05835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11711_ (.A(_05799_),
+    .X(_05836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11712_ (.A(_05824_),
+    .B(\u_spim_regs.spim_reg_wdata[27] ),
+    .C(_05818_),
+    .X(_05837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11713_ (.A(_01728_),
+    .B(_05827_),
+    .C(_05829_),
+    .X(_05838_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11714_ (.A1(\u_spim_regs.cfg_m1_addr[27] ),
+    .A2(_05836_),
+    .B1(_05837_),
+    .C1(_05838_),
+    .X(_05839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11715_ (.A(_05839_),
+    .Y(_05840_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11716_ (.A1_N(_05835_),
+    .A2_N(_05840_),
+    .B1(\u_m1_cmd_fifo.mem[3][27] ),
+    .B2(_05835_),
+    .X(_00539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11717_ (.A(_05824_),
+    .B(\u_spim_regs.spim_reg_wdata[26] ),
+    .C(_05818_),
+    .X(_05841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11718_ (.A(_01762_),
+    .B(_05827_),
+    .C(_05829_),
+    .X(_05842_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11719_ (.A1(\u_spim_regs.cfg_m1_addr[26] ),
+    .A2(_05836_),
+    .B1(_05841_),
+    .C1(_05842_),
+    .X(_05843_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11720_ (.A(_05843_),
+    .Y(_05844_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11721_ (.A1_N(_05835_),
+    .A2_N(_05844_),
+    .B1(\u_m1_cmd_fifo.mem[3][26] ),
+    .B2(_05835_),
+    .X(_00538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11722_ (.A(_05834_),
+    .X(_05845_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11723_ (.A(_05817_),
+    .X(_05846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11724_ (.A(_05824_),
+    .B(\u_spim_regs.spim_reg_wdata[25] ),
+    .C(_05846_),
+    .X(_05847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11725_ (.A(_01795_),
+    .B(_05827_),
+    .C(_05829_),
+    .X(_05848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11726_ (.A1(\u_spim_regs.cfg_m1_addr[25] ),
+    .A2(_05836_),
+    .B1(_05847_),
+    .C1(_05848_),
+    .X(_05849_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11727_ (.A(_05849_),
+    .Y(_05850_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11728_ (.A1_N(_05845_),
+    .A2_N(_05850_),
+    .B1(\u_m1_cmd_fifo.mem[3][25] ),
+    .B2(_05845_),
+    .X(_00537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11729_ (.A(_05823_),
+    .X(_05851_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11730_ (.A(_05851_),
+    .B(\u_spim_regs.spim_reg_wdata[24] ),
+    .C(_05846_),
+    .X(_05852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11731_ (.A(_05826_),
+    .X(_05853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11732_ (.A(_05828_),
+    .X(_05854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11733_ (.A(_01826_),
+    .B(_05853_),
+    .C(_05854_),
+    .X(_05855_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11734_ (.A1(\u_spim_regs.cfg_m1_addr[24] ),
+    .A2(_05836_),
+    .B1(_05852_),
+    .C1(_05855_),
+    .X(_05856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11735_ (.A(_05856_),
+    .Y(_05857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11736_ (.A1_N(_05845_),
+    .A2_N(_05857_),
+    .B1(\u_m1_cmd_fifo.mem[3][24] ),
+    .B2(_05845_),
+    .X(_00536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11737_ (.A(_05834_),
+    .X(_05858_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11738_ (.A(_05799_),
+    .X(_05859_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11739_ (.A(_05823_),
+    .X(_05860_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11740_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
+    .B(_05860_),
+    .C(_05846_),
+    .X(_05861_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11741_ (.A(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
+    .B(_05853_),
+    .C(_05854_),
+    .X(_05862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11742_ (.A1(\u_spim_regs.cfg_m1_addr[23] ),
+    .A2(_05859_),
+    .B1(_05861_),
+    .C1(_05862_),
+    .X(_05863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11743_ (.A(_05863_),
+    .Y(_05864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11744_ (.A1_N(_05858_),
+    .A2_N(_05864_),
+    .B1(\u_m1_cmd_fifo.mem[3][23] ),
+    .B2(_05858_),
+    .X(_00535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11745_ (.A(\u_spim_regs.spim_reg_wdata[22] ),
+    .B(_05860_),
+    .C(_05846_),
+    .X(_05865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11746_ (.A(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .B(_05853_),
+    .C(_05854_),
+    .X(_05866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11747_ (.A1(\u_spim_regs.cfg_m1_addr[22] ),
+    .A2(_05859_),
+    .B1(_05865_),
+    .C1(_05866_),
+    .X(_05867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11748_ (.A(_05867_),
+    .Y(_05868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11749_ (.A1_N(_05858_),
+    .A2_N(_05868_),
+    .B1(\u_m1_cmd_fifo.mem[3][22] ),
+    .B2(_05858_),
+    .X(_00534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11750_ (.A(_05834_),
+    .X(_05869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11751_ (.A(_02673_),
+    .X(_05870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11752_ (.A(_05817_),
+    .X(_05871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11753_ (.A(\u_spim_regs.spim_reg_wdata[21] ),
+    .B(_05870_),
+    .C(_05871_),
+    .X(_05872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11754_ (.A(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .B(_05853_),
+    .C(_05854_),
+    .X(_05873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11755_ (.A1(\u_spim_regs.cfg_m1_addr[21] ),
+    .A2(_05859_),
+    .B1(_05872_),
+    .C1(_05873_),
+    .X(_05874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11756_ (.A(_05874_),
+    .Y(_05875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11757_ (.A1_N(_05869_),
+    .A2_N(_05875_),
+    .B1(\u_m1_cmd_fifo.mem[3][21] ),
+    .B2(_05869_),
+    .X(_00533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11758_ (.A(\u_spim_regs.spim_reg_wdata[20] ),
+    .B(_05870_),
+    .C(_05871_),
+    .X(_05876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11759_ (.A(_03674_),
+    .X(_05877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11760_ (.A(_05877_),
+    .X(_05878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11761_ (.A(_05828_),
+    .X(_05879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11762_ (.A(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .B(_05878_),
+    .C(_05879_),
+    .X(_05880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11763_ (.A1(\u_spim_regs.cfg_m1_addr[20] ),
+    .A2(_05859_),
+    .B1(_05876_),
+    .C1(_05880_),
+    .X(_05881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11764_ (.A(_05881_),
+    .Y(_05882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11765_ (.A1_N(_05869_),
+    .A2_N(_05882_),
+    .B1(\u_m1_cmd_fifo.mem[3][20] ),
+    .B2(_05869_),
+    .X(_00532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11766_ (.A(_05833_),
+    .X(_05883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11767_ (.A(_05883_),
+    .X(_05884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11768_ (.A(_05799_),
+    .X(_05885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11769_ (.A(_05807_),
+    .X(_05886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11770_ (.A(_02553_),
+    .B(_01996_),
+    .C(_05886_),
+    .X(_05887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11771_ (.A(\u_spim_regs.spim_reg_wdata[19] ),
+    .B(_05860_),
+    .C(_05803_),
+    .X(_05888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11772_ (.A1(\u_spim_regs.cfg_m1_addr[19] ),
+    .A2(_05885_),
+    .B1(_05887_),
+    .C1(_05888_),
+    .X(_05889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11773_ (.A(_05889_),
+    .Y(_05890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11774_ (.A1_N(_05884_),
+    .A2_N(_05890_),
+    .B1(\u_m1_cmd_fifo.mem[3][19] ),
+    .B2(_05884_),
+    .X(_00531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11775_ (.A(_01967_),
+    .B(_02554_),
+    .C(_05886_),
+    .X(_05891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11776_ (.A(\u_spim_regs.spim_reg_wdata[18] ),
+    .B(_05860_),
+    .C(_05803_),
+    .X(_05892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11777_ (.A1(\u_spim_regs.cfg_m1_addr[18] ),
+    .A2(_05885_),
+    .B1(_05891_),
+    .C1(_05892_),
+    .X(_05893_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11778_ (.A(_05893_),
+    .Y(_05894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11779_ (.A1_N(_05884_),
+    .A2_N(_05894_),
+    .B1(\u_m1_cmd_fifo.mem[3][18] ),
+    .B2(_05884_),
+    .X(_00530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11780_ (.A(_05883_),
+    .X(_05895_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11781_ (.A(\u_spim_regs.spim_reg_wdata[17] ),
+    .B(_05870_),
+    .C(_05871_),
+    .X(_05896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11782_ (.A(_02556_),
+    .B(_05878_),
+    .C(_05879_),
+    .X(_05897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11783_ (.A1(\u_spim_regs.cfg_m1_addr[17] ),
+    .A2(_05885_),
+    .B1(_05896_),
+    .C1(_05897_),
+    .X(_05898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11784_ (.A(_05898_),
+    .Y(_05899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11785_ (.A1_N(_05895_),
+    .A2_N(_05899_),
+    .B1(\u_m1_cmd_fifo.mem[3][17] ),
+    .B2(_05895_),
+    .X(_00529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11786_ (.A(\u_spim_regs.spim_reg_wdata[16] ),
+    .B(_05870_),
+    .C(_05871_),
+    .X(_05900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11787_ (.A(_02050_),
+    .B(_05878_),
+    .C(_05879_),
+    .X(_05901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11788_ (.A1(\u_spim_regs.cfg_m1_addr[16] ),
+    .A2(_05885_),
+    .B1(_05900_),
+    .C1(_05901_),
+    .X(_05902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11789_ (.A(_05902_),
+    .Y(_05903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11790_ (.A1_N(_05895_),
+    .A2_N(_05903_),
+    .B1(\u_m1_cmd_fifo.mem[3][16] ),
+    .B2(_05895_),
+    .X(_00528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11791_ (.A(_05883_),
+    .X(_05904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11792_ (.A(_05798_),
+    .X(_05905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11793_ (.A(_05905_),
+    .X(_05906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11794_ (.A(_05817_),
+    .X(_05907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11795_ (.A(_05851_),
+    .B(\u_spim_regs.spim_reg_wdata[15] ),
+    .C(_05907_),
+    .X(_05908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11796_ (.A(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .B(_05878_),
+    .C(_05879_),
+    .X(_05909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11797_ (.A1(\u_spim_regs.cfg_m1_addr[15] ),
+    .A2(_05906_),
+    .B1(_05908_),
+    .C1(_05909_),
+    .X(_05910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11798_ (.A(_05910_),
+    .Y(_05911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11799_ (.A1_N(_05904_),
+    .A2_N(_05911_),
+    .B1(\u_m1_cmd_fifo.mem[3][15] ),
+    .B2(_05904_),
+    .X(_00527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11800_ (.A(_05851_),
+    .B(\u_spim_regs.spim_reg_wdata[14] ),
+    .C(_05907_),
+    .X(_05912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11801_ (.A(_05877_),
+    .X(_05913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11802_ (.A(_05828_),
+    .X(_05914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11803_ (.A(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .B(_05913_),
+    .C(_05914_),
+    .X(_05915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11804_ (.A1(\u_spim_regs.cfg_m1_addr[14] ),
+    .A2(_05906_),
+    .B1(_05912_),
+    .C1(_05915_),
+    .X(_05916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11805_ (.A(_05916_),
+    .Y(_05917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11806_ (.A1_N(_05904_),
+    .A2_N(_05917_),
+    .B1(\u_m1_cmd_fifo.mem[3][14] ),
+    .B2(_05904_),
+    .X(_00526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11807_ (.A(_05883_),
+    .X(_05918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11808_ (.A(_05851_),
+    .B(\u_spim_regs.spim_reg_wdata[13] ),
+    .C(_05907_),
+    .X(_05919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11809_ (.A(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .B(_05913_),
+    .C(_05914_),
+    .X(_05920_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11810_ (.A1(\u_spim_regs.cfg_m1_addr[13] ),
+    .A2(_05906_),
+    .B1(_05919_),
+    .C1(_05920_),
+    .X(_05921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11811_ (.A(_05921_),
+    .Y(_05922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11812_ (.A1_N(_05918_),
+    .A2_N(_05922_),
+    .B1(\u_m1_cmd_fifo.mem[3][13] ),
+    .B2(_05918_),
+    .X(_00525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11813_ (.A(_05823_),
+    .X(_05923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11814_ (.A(_05923_),
+    .B(\u_spim_regs.spim_reg_wdata[12] ),
+    .C(_05907_),
+    .X(_05924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11815_ (.A(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .B(_05913_),
+    .C(_05914_),
+    .X(_05925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11816_ (.A1(\u_spim_regs.cfg_m1_addr[12] ),
+    .A2(_05906_),
+    .B1(_05924_),
+    .C1(_05925_),
+    .X(_05926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11817_ (.A(_05926_),
+    .Y(_05927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11818_ (.A1_N(_05918_),
+    .A2_N(_05927_),
+    .B1(\u_m1_cmd_fifo.mem[3][12] ),
+    .B2(_05918_),
+    .X(_00524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11819_ (.A(_03279_),
+    .X(_05928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11820_ (.A(_05928_),
+    .X(_05929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11821_ (.A(_05905_),
+    .X(_05930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11822_ (.A(_05801_),
+    .X(_05931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11823_ (.A(_05923_),
+    .B(\u_spim_regs.spim_reg_wdata[11] ),
+    .C(_05931_),
+    .X(_05932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11824_ (.A(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .B(_05913_),
+    .C(_05914_),
+    .X(_05933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11825_ (.A1(\u_spim_regs.cfg_m1_addr[11] ),
+    .A2(_05930_),
+    .B1(_05932_),
+    .C1(_05933_),
+    .X(_05934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11826_ (.A(_05934_),
+    .Y(_05935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11827_ (.A1_N(_05929_),
+    .A2_N(_05935_),
+    .B1(\u_m1_cmd_fifo.mem[3][11] ),
+    .B2(_05929_),
+    .X(_00523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11828_ (.A(_05923_),
+    .B(\u_spim_regs.spim_reg_wdata[10] ),
+    .C(_05931_),
+    .X(_05936_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11829_ (.A(_05877_),
+    .X(_05937_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11830_ (.A(_05807_),
+    .X(_05938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11831_ (.A(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .B(_05937_),
+    .C(_05938_),
+    .X(_05939_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11832_ (.A1(\u_spim_regs.cfg_m1_addr[10] ),
+    .A2(_05930_),
+    .B1(_05936_),
+    .C1(_05939_),
+    .X(_05940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11833_ (.A(_05940_),
+    .Y(_05941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11834_ (.A1_N(_05929_),
+    .A2_N(_05941_),
+    .B1(\u_m1_cmd_fifo.mem[3][10] ),
+    .B2(_05929_),
+    .X(_00522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11835_ (.A(_05928_),
+    .X(_05942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11836_ (.A1_N(\u_spim_regs.cfg_m1_req ),
+    .A2_N(\u_spim_regs.spim_reg_wdata[9] ),
+    .B1(_02574_),
+    .B2(\u_spim_regs.cfg_m1_req ),
+    .X(_05943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11837_ (.A(_05905_),
+    .X(_05944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _11838_ (.A1(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .A2(_05805_),
+    .A3(_05808_),
+    .B1(\u_spim_regs.cfg_m1_addr[9] ),
+    .B2(_05944_),
+    .X(_05945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11839_ (.A(_05945_),
+    .Y(_05946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _11840_ (.A1(_02552_),
+    .A2(_05943_),
+    .B1(_05946_),
+    .X(_05947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11841_ (.A1_N(_05942_),
+    .A2_N(_05947_),
+    .B1(\u_m1_cmd_fifo.mem[3][9] ),
+    .B2(_05942_),
+    .X(_00521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11842_ (.A(_05923_),
+    .B(\u_spim_regs.spim_reg_wdata[8] ),
+    .C(_05931_),
+    .X(_05948_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11843_ (.A(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .B(_05937_),
+    .C(_05938_),
+    .X(_05949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11844_ (.A1(\u_spim_regs.cfg_m1_addr[8] ),
+    .A2(_05930_),
+    .B1(_05948_),
+    .C1(_05949_),
+    .X(_05950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11845_ (.A(_05950_),
+    .Y(_05951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11846_ (.A1_N(_05942_),
+    .A2_N(_05951_),
+    .B1(\u_m1_cmd_fifo.mem[3][8] ),
+    .B2(_05942_),
+    .X(_00520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11847_ (.A(_05928_),
+    .X(_05952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11848_ (.A(_02673_),
+    .X(_05953_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11849_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
+    .B(_05953_),
+    .C(_05931_),
+    .X(_05954_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11850_ (.A(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .B(_05937_),
+    .C(_05938_),
+    .X(_05955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11851_ (.A1(\u_spim_regs.cfg_m1_addr[7] ),
+    .A2(_05930_),
+    .B1(_05954_),
+    .C1(_05955_),
+    .X(_05956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11852_ (.A(_05956_),
+    .Y(_05957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11853_ (.A1_N(_05952_),
+    .A2_N(_05957_),
+    .B1(\u_m1_cmd_fifo.mem[3][7] ),
+    .B2(_05952_),
+    .X(_00519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11854_ (.A(_05905_),
+    .X(_05958_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11855_ (.A(_05801_),
+    .X(_05959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11856_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
+    .B(_05953_),
+    .C(_05959_),
+    .X(_05960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11857_ (.A(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .B(_05937_),
+    .C(_05938_),
+    .X(_05961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11858_ (.A1(\u_spim_regs.cfg_m1_addr[6] ),
+    .A2(_05958_),
+    .B1(_05960_),
+    .C1(_05961_),
+    .X(_05962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11859_ (.A(_05962_),
+    .Y(_05963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11860_ (.A1_N(_05952_),
+    .A2_N(_05963_),
+    .B1(\u_m1_cmd_fifo.mem[3][6] ),
+    .B2(_05952_),
+    .X(_00518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11861_ (.A(_05928_),
+    .X(_05964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11862_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
+    .B(_05953_),
+    .C(_05959_),
+    .X(_05965_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11863_ (.A(_05877_),
+    .X(_05966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11864_ (.A(_05807_),
+    .X(_05967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11865_ (.A(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .B(_05966_),
+    .C(_05967_),
+    .X(_05968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11866_ (.A1(\u_spim_regs.cfg_m1_addr[5] ),
+    .A2(_05958_),
+    .B1(_05965_),
+    .C1(_05968_),
+    .X(_05969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11867_ (.A(_05969_),
+    .Y(_05970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11868_ (.A1_N(_05964_),
+    .A2_N(_05970_),
+    .B1(\u_m1_cmd_fifo.mem[3][5] ),
+    .B2(_05964_),
+    .X(_00517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11869_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
+    .B(_05953_),
+    .C(_05959_),
+    .X(_05971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11870_ (.A(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .B(_05966_),
+    .C(_05967_),
+    .X(_05972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11871_ (.A1(\u_spim_regs.cfg_m1_addr[4] ),
+    .A2(_05958_),
+    .B1(_05971_),
+    .C1(_05972_),
+    .X(_05973_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11872_ (.A(_05973_),
+    .Y(_05974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11873_ (.A1_N(_05964_),
+    .A2_N(_05974_),
+    .B1(\u_m1_cmd_fifo.mem[3][4] ),
+    .B2(_05964_),
+    .X(_00516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11874_ (.A(_05833_),
+    .X(_05975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11875_ (.A(_02673_),
+    .X(_05976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11876_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
+    .B(_05976_),
+    .C(_05959_),
+    .X(_05977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11877_ (.A(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .B(_05966_),
+    .C(_05967_),
+    .X(_05978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11878_ (.A1(\u_spim_regs.cfg_m1_addr[3] ),
+    .A2(_05958_),
+    .B1(_05977_),
+    .C1(_05978_),
+    .X(_05979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11879_ (.A(_05979_),
+    .Y(_05980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11880_ (.A1_N(_05975_),
+    .A2_N(_05980_),
+    .B1(\u_m1_cmd_fifo.mem[3][3] ),
+    .B2(_05975_),
+    .X(_00515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11881_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
+    .B(_05976_),
+    .C(_05802_),
+    .X(_05981_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11882_ (.A(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .B(_05966_),
+    .C(_05967_),
+    .X(_05982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11883_ (.A1(\u_spim_regs.cfg_m1_addr[2] ),
+    .A2(_05944_),
+    .B1(_05981_),
+    .C1(_05982_),
+    .X(_05983_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11884_ (.A(_05983_),
+    .Y(_05984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11885_ (.A1_N(_05975_),
+    .A2_N(_05984_),
+    .B1(\u_m1_cmd_fifo.mem[3][2] ),
+    .B2(_05975_),
+    .X(_00514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11886_ (.A(_05833_),
+    .X(_05985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11887_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
+    .B(_05976_),
+    .C(_05802_),
+    .X(_05986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11888_ (.A(\u_spim_regs.cfg_m1_cmd_reg[1] ),
+    .B(_05826_),
+    .C(_05886_),
+    .X(_05987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11889_ (.A1(\u_spim_regs.cfg_m1_addr[1] ),
+    .A2(_05944_),
+    .B1(_05986_),
+    .C1(_05987_),
+    .X(_05988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11890_ (.A(_05988_),
+    .Y(_05989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11891_ (.A1_N(_05985_),
+    .A2_N(_05989_),
+    .B1(\u_m1_cmd_fifo.mem[3][1] ),
+    .B2(_05985_),
+    .X(_00513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11892_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
+    .B(_05976_),
+    .C(_05802_),
+    .X(_05990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11893_ (.A(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .B(_05826_),
+    .C(_05886_),
+    .X(_05991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11894_ (.A1(\u_spim_regs.cfg_m1_addr[0] ),
+    .A2(_05944_),
+    .B1(_05990_),
+    .C1(_05991_),
+    .X(_05992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11895_ (.A(_05992_),
+    .Y(_05993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11896_ (.A1_N(_05985_),
+    .A2_N(_05993_),
+    .B1(\u_m1_cmd_fifo.mem[3][0] ),
+    .B2(_05985_),
+    .X(_00512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11897_ (.A(\u_m1_res_fifo.wr_ptr[0] ),
+    .X(_05994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11898_ (.A(\u_m1_res_fifo.wr_ptr[2] ),
+    .X(_05995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _11899_ (.A(_05994_),
+    .B(_05377_),
+    .C(_05995_),
+    .D(_05373_),
+    .X(_05996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11900_ (.A(_05996_),
+    .X(_05997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11901_ (.A(_05997_),
+    .X(_05998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11902_ (.A(_05996_),
+    .Y(_05999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11903_ (.A(_05999_),
+    .X(_06000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11904_ (.A(_06000_),
+    .X(_06001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11905_ (.A1(_05416_),
+    .A2(_05998_),
+    .B1(\u_m1_res_fifo.mem[0][31] ),
+    .B2(_06001_),
+    .X(_00511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11906_ (.A1(_05419_),
+    .A2(_05998_),
+    .B1(\u_m1_res_fifo.mem[0][30] ),
+    .B2(_06001_),
+    .X(_00510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11907_ (.A1(_05421_),
+    .A2(_05998_),
+    .B1(\u_m1_res_fifo.mem[0][29] ),
+    .B2(_06001_),
+    .X(_00509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11908_ (.A1(_05425_),
+    .A2(_05998_),
+    .B1(\u_m1_res_fifo.mem[0][28] ),
+    .B2(_06001_),
+    .X(_00508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11909_ (.A(_05997_),
+    .X(_06002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11910_ (.A(_06000_),
+    .X(_06003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11911_ (.A1(_05428_),
+    .A2(_06002_),
+    .B1(\u_m1_res_fifo.mem[0][27] ),
+    .B2(_06003_),
+    .X(_00507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11912_ (.A1(_05431_),
+    .A2(_06002_),
+    .B1(\u_m1_res_fifo.mem[0][26] ),
+    .B2(_06003_),
+    .X(_00506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11913_ (.A1(_05433_),
+    .A2(_06002_),
+    .B1(\u_m1_res_fifo.mem[0][25] ),
+    .B2(_06003_),
+    .X(_00505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11914_ (.A1(_05436_),
+    .A2(_06002_),
+    .B1(\u_m1_res_fifo.mem[0][24] ),
+    .B2(_06003_),
+    .X(_00504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11915_ (.A(_05997_),
+    .X(_06004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11916_ (.A(_06000_),
+    .X(_06005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11917_ (.A1(_05439_),
+    .A2(_06004_),
+    .B1(\u_m1_res_fifo.mem[0][23] ),
+    .B2(_06005_),
+    .X(_00503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11918_ (.A1(_05442_),
+    .A2(_06004_),
+    .B1(\u_m1_res_fifo.mem[0][22] ),
+    .B2(_06005_),
+    .X(_00502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11919_ (.A1(_05444_),
+    .A2(_06004_),
+    .B1(\u_m1_res_fifo.mem[0][21] ),
+    .B2(_06005_),
+    .X(_00501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11920_ (.A1(_05447_),
+    .A2(_06004_),
+    .B1(\u_m1_res_fifo.mem[0][20] ),
+    .B2(_06005_),
+    .X(_00500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11921_ (.A(_05997_),
+    .X(_06006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11922_ (.A(_06000_),
+    .X(_06007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11923_ (.A1(_05450_),
+    .A2(_06006_),
+    .B1(\u_m1_res_fifo.mem[0][19] ),
+    .B2(_06007_),
+    .X(_00499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11924_ (.A1(_05454_),
+    .A2(_06006_),
+    .B1(\u_m1_res_fifo.mem[0][18] ),
+    .B2(_06007_),
+    .X(_00498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11925_ (.A1(_05456_),
+    .A2(_06006_),
+    .B1(\u_m1_res_fifo.mem[0][17] ),
+    .B2(_06007_),
+    .X(_00497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11926_ (.A1(_05460_),
+    .A2(_06006_),
+    .B1(\u_m1_res_fifo.mem[0][16] ),
+    .B2(_06007_),
+    .X(_00496_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11927_ (.A(_05996_),
+    .X(_06008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11928_ (.A(_06008_),
+    .X(_06009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11929_ (.A(_05999_),
+    .X(_06010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11930_ (.A(_06010_),
+    .X(_06011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11931_ (.A1(_05464_),
+    .A2(_06009_),
+    .B1(\u_m1_res_fifo.mem[0][15] ),
+    .B2(_06011_),
+    .X(_00495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11932_ (.A1(_05467_),
+    .A2(_06009_),
+    .B1(\u_m1_res_fifo.mem[0][14] ),
+    .B2(_06011_),
+    .X(_00494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11933_ (.A1(_05469_),
+    .A2(_06009_),
+    .B1(\u_m1_res_fifo.mem[0][13] ),
+    .B2(_06011_),
+    .X(_00493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11934_ (.A1(_05472_),
+    .A2(_06009_),
+    .B1(\u_m1_res_fifo.mem[0][12] ),
+    .B2(_06011_),
+    .X(_00492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11935_ (.A(_06008_),
+    .X(_06012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11936_ (.A(_06010_),
+    .X(_06013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11937_ (.A1(_05475_),
+    .A2(_06012_),
+    .B1(\u_m1_res_fifo.mem[0][11] ),
+    .B2(_06013_),
+    .X(_00491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11938_ (.A1(_05478_),
+    .A2(_06012_),
+    .B1(\u_m1_res_fifo.mem[0][10] ),
+    .B2(_06013_),
+    .X(_00490_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11939_ (.A1(_05480_),
+    .A2(_06012_),
+    .B1(\u_m1_res_fifo.mem[0][9] ),
+    .B2(_06013_),
+    .X(_00489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11940_ (.A1(_05483_),
+    .A2(_06012_),
+    .B1(\u_m1_res_fifo.mem[0][8] ),
+    .B2(_06013_),
+    .X(_00488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11941_ (.A(_06008_),
+    .X(_06014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11942_ (.A(_06010_),
+    .X(_06015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11943_ (.A1(_05486_),
+    .A2(_06014_),
+    .B1(\u_m1_res_fifo.mem[0][7] ),
+    .B2(_06015_),
+    .X(_00487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11944_ (.A1(_05489_),
+    .A2(_06014_),
+    .B1(\u_m1_res_fifo.mem[0][6] ),
+    .B2(_06015_),
+    .X(_00486_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11945_ (.A1(_05491_),
+    .A2(_06014_),
+    .B1(\u_m1_res_fifo.mem[0][5] ),
+    .B2(_06015_),
+    .X(_00485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11946_ (.A1(_05494_),
+    .A2(_06014_),
+    .B1(\u_m1_res_fifo.mem[0][4] ),
+    .B2(_06015_),
+    .X(_00484_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11947_ (.A(_06008_),
+    .X(_06016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11948_ (.A(_06010_),
+    .X(_06017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11949_ (.A1(_05497_),
+    .A2(_06016_),
+    .B1(\u_m1_res_fifo.mem[0][3] ),
+    .B2(_06017_),
+    .X(_00483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11950_ (.A1(_05500_),
+    .A2(_06016_),
+    .B1(\u_m1_res_fifo.mem[0][2] ),
+    .B2(_06017_),
+    .X(_00482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11951_ (.A1(_05502_),
+    .A2(_06016_),
+    .B1(\u_m1_res_fifo.mem[0][1] ),
+    .B2(_06017_),
+    .X(_00481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11952_ (.A1(_05504_),
+    .A2(_06016_),
+    .B1(\u_m1_res_fifo.mem[0][0] ),
+    .B2(_06017_),
+    .X(_00480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _11953_ (.A(_05362_),
+    .B(_05377_),
+    .C(_05995_),
+    .D(_05373_),
+    .X(_06018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11954_ (.A(_06018_),
+    .X(_06019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11955_ (.A(_06019_),
+    .X(_06020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11956_ (.A(_06018_),
+    .Y(_06021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11957_ (.A(_06021_),
+    .X(_06022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11958_ (.A(_06022_),
+    .X(_06023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11959_ (.A1(_05416_),
+    .A2(_06020_),
+    .B1(\u_m1_res_fifo.mem[1][31] ),
+    .B2(_06023_),
+    .X(_00479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11960_ (.A1(_05419_),
+    .A2(_06020_),
+    .B1(\u_m1_res_fifo.mem[1][30] ),
+    .B2(_06023_),
+    .X(_00478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11961_ (.A1(_05421_),
+    .A2(_06020_),
+    .B1(\u_m1_res_fifo.mem[1][29] ),
+    .B2(_06023_),
+    .X(_00477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11962_ (.A1(_05425_),
+    .A2(_06020_),
+    .B1(\u_m1_res_fifo.mem[1][28] ),
+    .B2(_06023_),
+    .X(_00476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11963_ (.A(_06019_),
+    .X(_06024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11964_ (.A(_06022_),
+    .X(_06025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11965_ (.A1(_05428_),
+    .A2(_06024_),
+    .B1(\u_m1_res_fifo.mem[1][27] ),
+    .B2(_06025_),
+    .X(_00475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11966_ (.A1(_05431_),
+    .A2(_06024_),
+    .B1(\u_m1_res_fifo.mem[1][26] ),
+    .B2(_06025_),
+    .X(_00474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11967_ (.A1(_05433_),
+    .A2(_06024_),
+    .B1(\u_m1_res_fifo.mem[1][25] ),
+    .B2(_06025_),
+    .X(_00473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11968_ (.A1(_05436_),
+    .A2(_06024_),
+    .B1(\u_m1_res_fifo.mem[1][24] ),
+    .B2(_06025_),
+    .X(_00472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11969_ (.A(_06019_),
+    .X(_06026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11970_ (.A(_06022_),
+    .X(_06027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11971_ (.A1(_05439_),
+    .A2(_06026_),
+    .B1(\u_m1_res_fifo.mem[1][23] ),
+    .B2(_06027_),
+    .X(_00471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11972_ (.A1(_05442_),
+    .A2(_06026_),
+    .B1(\u_m1_res_fifo.mem[1][22] ),
+    .B2(_06027_),
+    .X(_00470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11973_ (.A1(_05444_),
+    .A2(_06026_),
+    .B1(\u_m1_res_fifo.mem[1][21] ),
+    .B2(_06027_),
+    .X(_00469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11974_ (.A1(_05447_),
+    .A2(_06026_),
+    .B1(\u_m1_res_fifo.mem[1][20] ),
+    .B2(_06027_),
+    .X(_00468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11975_ (.A(_06019_),
+    .X(_06028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11976_ (.A(_06022_),
+    .X(_06029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11977_ (.A1(_05450_),
+    .A2(_06028_),
+    .B1(\u_m1_res_fifo.mem[1][19] ),
+    .B2(_06029_),
+    .X(_00467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11978_ (.A1(_05454_),
+    .A2(_06028_),
+    .B1(\u_m1_res_fifo.mem[1][18] ),
+    .B2(_06029_),
+    .X(_00466_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11979_ (.A1(_05456_),
+    .A2(_06028_),
+    .B1(\u_m1_res_fifo.mem[1][17] ),
+    .B2(_06029_),
+    .X(_00465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11980_ (.A1(_05460_),
+    .A2(_06028_),
+    .B1(\u_m1_res_fifo.mem[1][16] ),
+    .B2(_06029_),
+    .X(_00464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11981_ (.A(_06018_),
+    .X(_06030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11982_ (.A(_06030_),
+    .X(_06031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11983_ (.A(_06021_),
+    .X(_06032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11984_ (.A(_06032_),
+    .X(_06033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11985_ (.A1(_05464_),
+    .A2(_06031_),
+    .B1(\u_m1_res_fifo.mem[1][15] ),
+    .B2(_06033_),
+    .X(_00463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11986_ (.A1(_05467_),
+    .A2(_06031_),
+    .B1(\u_m1_res_fifo.mem[1][14] ),
+    .B2(_06033_),
+    .X(_00462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11987_ (.A1(_05469_),
+    .A2(_06031_),
+    .B1(\u_m1_res_fifo.mem[1][13] ),
+    .B2(_06033_),
+    .X(_00461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11988_ (.A1(_05472_),
+    .A2(_06031_),
+    .B1(\u_m1_res_fifo.mem[1][12] ),
+    .B2(_06033_),
+    .X(_00460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11989_ (.A(_06030_),
+    .X(_06034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11990_ (.A(_06032_),
+    .X(_06035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11991_ (.A1(_05475_),
+    .A2(_06034_),
+    .B1(\u_m1_res_fifo.mem[1][11] ),
+    .B2(_06035_),
+    .X(_00459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11992_ (.A1(_05478_),
+    .A2(_06034_),
+    .B1(\u_m1_res_fifo.mem[1][10] ),
+    .B2(_06035_),
+    .X(_00458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11993_ (.A1(_05480_),
+    .A2(_06034_),
+    .B1(\u_m1_res_fifo.mem[1][9] ),
+    .B2(_06035_),
+    .X(_00457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11994_ (.A1(_05483_),
+    .A2(_06034_),
+    .B1(\u_m1_res_fifo.mem[1][8] ),
+    .B2(_06035_),
+    .X(_00456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11995_ (.A(_06030_),
+    .X(_06036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11996_ (.A(_06032_),
+    .X(_06037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11997_ (.A1(_05486_),
+    .A2(_06036_),
+    .B1(\u_m1_res_fifo.mem[1][7] ),
+    .B2(_06037_),
+    .X(_00455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11998_ (.A1(_05489_),
+    .A2(_06036_),
+    .B1(\u_m1_res_fifo.mem[1][6] ),
+    .B2(_06037_),
+    .X(_00454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11999_ (.A1(_05491_),
+    .A2(_06036_),
+    .B1(\u_m1_res_fifo.mem[1][5] ),
+    .B2(_06037_),
+    .X(_00453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12000_ (.A1(_05494_),
+    .A2(_06036_),
+    .B1(\u_m1_res_fifo.mem[1][4] ),
+    .B2(_06037_),
+    .X(_00452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12001_ (.A(_06030_),
+    .X(_06038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12002_ (.A(_06032_),
+    .X(_06039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12003_ (.A1(_05497_),
+    .A2(_06038_),
+    .B1(\u_m1_res_fifo.mem[1][3] ),
+    .B2(_06039_),
+    .X(_00451_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12004_ (.A1(_05500_),
+    .A2(_06038_),
+    .B1(\u_m1_res_fifo.mem[1][2] ),
+    .B2(_06039_),
+    .X(_00450_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12005_ (.A1(_05502_),
+    .A2(_06038_),
+    .B1(\u_m1_res_fifo.mem[1][1] ),
+    .B2(_06039_),
+    .X(_00449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12006_ (.A1(_05504_),
+    .A2(_06038_),
+    .B1(\u_m1_res_fifo.mem[1][0] ),
+    .B2(_06039_),
+    .X(_00448_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _12007_ (.A(_05994_),
+    .B(_05377_),
+    .C(_01490_),
+    .D(_05995_),
+    .X(_06040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12008_ (.A(_06040_),
+    .X(_06041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12009_ (.A(_06041_),
+    .X(_06042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12010_ (.A(_06040_),
+    .Y(_06043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12011_ (.A(_06043_),
+    .X(_06044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12012_ (.A(_06044_),
+    .X(_06045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12013_ (.A1(_05416_),
+    .A2(_06042_),
+    .B1(\u_m1_res_fifo.mem[2][31] ),
+    .B2(_06045_),
+    .X(_00447_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12014_ (.A1(_05419_),
+    .A2(_06042_),
+    .B1(\u_m1_res_fifo.mem[2][30] ),
+    .B2(_06045_),
+    .X(_00446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12015_ (.A1(_05421_),
+    .A2(_06042_),
+    .B1(\u_m1_res_fifo.mem[2][29] ),
+    .B2(_06045_),
+    .X(_00445_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12016_ (.A1(_05425_),
+    .A2(_06042_),
+    .B1(\u_m1_res_fifo.mem[2][28] ),
+    .B2(_06045_),
+    .X(_00444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12017_ (.A(_06041_),
+    .X(_06046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12018_ (.A(_06044_),
+    .X(_06047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12019_ (.A1(_05428_),
+    .A2(_06046_),
+    .B1(\u_m1_res_fifo.mem[2][27] ),
+    .B2(_06047_),
+    .X(_00443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12020_ (.A1(_05431_),
+    .A2(_06046_),
+    .B1(\u_m1_res_fifo.mem[2][26] ),
+    .B2(_06047_),
+    .X(_00442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12021_ (.A1(_05433_),
+    .A2(_06046_),
+    .B1(\u_m1_res_fifo.mem[2][25] ),
+    .B2(_06047_),
+    .X(_00441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12022_ (.A1(_05436_),
+    .A2(_06046_),
+    .B1(\u_m1_res_fifo.mem[2][24] ),
+    .B2(_06047_),
+    .X(_00440_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12023_ (.A(_06041_),
+    .X(_06048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12024_ (.A(_06044_),
+    .X(_06049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12025_ (.A1(_05439_),
+    .A2(_06048_),
+    .B1(\u_m1_res_fifo.mem[2][23] ),
+    .B2(_06049_),
+    .X(_00439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12026_ (.A1(_05442_),
+    .A2(_06048_),
+    .B1(\u_m1_res_fifo.mem[2][22] ),
+    .B2(_06049_),
+    .X(_00438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12027_ (.A1(_05444_),
+    .A2(_06048_),
+    .B1(\u_m1_res_fifo.mem[2][21] ),
+    .B2(_06049_),
+    .X(_00437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12028_ (.A1(_05447_),
+    .A2(_06048_),
+    .B1(\u_m1_res_fifo.mem[2][20] ),
+    .B2(_06049_),
+    .X(_00436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12029_ (.A(_06041_),
+    .X(_06050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12030_ (.A(_06044_),
+    .X(_06051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12031_ (.A1(_05450_),
+    .A2(_06050_),
+    .B1(\u_m1_res_fifo.mem[2][19] ),
+    .B2(_06051_),
+    .X(_00435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12032_ (.A1(_05454_),
+    .A2(_06050_),
+    .B1(\u_m1_res_fifo.mem[2][18] ),
+    .B2(_06051_),
+    .X(_00434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12033_ (.A1(_05456_),
+    .A2(_06050_),
+    .B1(\u_m1_res_fifo.mem[2][17] ),
+    .B2(_06051_),
+    .X(_00433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12034_ (.A1(_05460_),
+    .A2(_06050_),
+    .B1(\u_m1_res_fifo.mem[2][16] ),
+    .B2(_06051_),
+    .X(_00432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12035_ (.A(_06040_),
+    .X(_06052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12036_ (.A(_06052_),
+    .X(_06053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12037_ (.A(_06043_),
+    .X(_06054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12038_ (.A(_06054_),
+    .X(_06055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12039_ (.A1(_05464_),
+    .A2(_06053_),
+    .B1(\u_m1_res_fifo.mem[2][15] ),
+    .B2(_06055_),
+    .X(_00431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12040_ (.A1(_05467_),
+    .A2(_06053_),
+    .B1(\u_m1_res_fifo.mem[2][14] ),
+    .B2(_06055_),
+    .X(_00430_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12041_ (.A1(_05469_),
+    .A2(_06053_),
+    .B1(\u_m1_res_fifo.mem[2][13] ),
+    .B2(_06055_),
+    .X(_00429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12042_ (.A1(_05472_),
+    .A2(_06053_),
+    .B1(\u_m1_res_fifo.mem[2][12] ),
+    .B2(_06055_),
+    .X(_00428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12043_ (.A(_06052_),
+    .X(_06056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12044_ (.A(_06054_),
+    .X(_06057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12045_ (.A1(_05475_),
+    .A2(_06056_),
+    .B1(\u_m1_res_fifo.mem[2][11] ),
+    .B2(_06057_),
+    .X(_00427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12046_ (.A1(_05478_),
+    .A2(_06056_),
+    .B1(\u_m1_res_fifo.mem[2][10] ),
+    .B2(_06057_),
+    .X(_00426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12047_ (.A1(_05480_),
+    .A2(_06056_),
+    .B1(\u_m1_res_fifo.mem[2][9] ),
+    .B2(_06057_),
+    .X(_00425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12048_ (.A1(_05483_),
+    .A2(_06056_),
+    .B1(\u_m1_res_fifo.mem[2][8] ),
+    .B2(_06057_),
+    .X(_00424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12049_ (.A(_06052_),
+    .X(_06058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12050_ (.A(_06054_),
+    .X(_06059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12051_ (.A1(_05486_),
+    .A2(_06058_),
+    .B1(\u_m1_res_fifo.mem[2][7] ),
+    .B2(_06059_),
+    .X(_00423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12052_ (.A1(_05489_),
+    .A2(_06058_),
+    .B1(\u_m1_res_fifo.mem[2][6] ),
+    .B2(_06059_),
+    .X(_00422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12053_ (.A1(_05491_),
+    .A2(_06058_),
+    .B1(\u_m1_res_fifo.mem[2][5] ),
+    .B2(_06059_),
+    .X(_00421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12054_ (.A1(_05494_),
+    .A2(_06058_),
+    .B1(\u_m1_res_fifo.mem[2][4] ),
+    .B2(_06059_),
+    .X(_00420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12055_ (.A(_06052_),
+    .X(_06060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12056_ (.A(_06054_),
+    .X(_06061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12057_ (.A1(_05497_),
+    .A2(_06060_),
+    .B1(\u_m1_res_fifo.mem[2][3] ),
+    .B2(_06061_),
+    .X(_00419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12058_ (.A1(_05500_),
+    .A2(_06060_),
+    .B1(\u_m1_res_fifo.mem[2][2] ),
+    .B2(_06061_),
+    .X(_00418_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12059_ (.A1(_05502_),
+    .A2(_06060_),
+    .B1(\u_m1_res_fifo.mem[2][1] ),
+    .B2(_06061_),
+    .X(_00417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12060_ (.A1(_05504_),
+    .A2(_06060_),
+    .B1(\u_m1_res_fifo.mem[2][0] ),
+    .B2(_06061_),
+    .X(_00416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12061_ (.A(_05415_),
+    .X(_06062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12062_ (.A(_05995_),
+    .B(_05366_),
+    .X(_06063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12063_ (.A(_06063_),
+    .X(_06064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12064_ (.A(_06064_),
+    .X(_06065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12065_ (.A(_06063_),
+    .Y(_06066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12066_ (.A(_06066_),
+    .X(_06067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12067_ (.A(_06067_),
+    .X(_06068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12068_ (.A1(_06062_),
+    .A2(_06065_),
+    .B1(\u_m1_res_fifo.mem[3][31] ),
+    .B2(_06068_),
+    .X(_00415_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12069_ (.A(_05418_),
+    .X(_06069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12070_ (.A1(_06069_),
+    .A2(_06065_),
+    .B1(\u_m1_res_fifo.mem[3][30] ),
+    .B2(_06068_),
+    .X(_00414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12071_ (.A(_05420_),
+    .X(_06070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12072_ (.A1(_06070_),
+    .A2(_06065_),
+    .B1(\u_m1_res_fifo.mem[3][29] ),
+    .B2(_06068_),
+    .X(_00413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12073_ (.A(_05424_),
+    .X(_06071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12074_ (.A1(_06071_),
+    .A2(_06065_),
+    .B1(\u_m1_res_fifo.mem[3][28] ),
+    .B2(_06068_),
+    .X(_00412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12075_ (.A(_05427_),
+    .X(_06072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12076_ (.A(_06064_),
+    .X(_06073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12077_ (.A(_06067_),
+    .X(_06074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12078_ (.A1(_06072_),
+    .A2(_06073_),
+    .B1(\u_m1_res_fifo.mem[3][27] ),
+    .B2(_06074_),
+    .X(_00411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12079_ (.A(_05430_),
+    .X(_06075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12080_ (.A1(_06075_),
+    .A2(_06073_),
+    .B1(\u_m1_res_fifo.mem[3][26] ),
+    .B2(_06074_),
+    .X(_00410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12081_ (.A(_05432_),
+    .X(_06076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12082_ (.A1(_06076_),
+    .A2(_06073_),
+    .B1(\u_m1_res_fifo.mem[3][25] ),
+    .B2(_06074_),
+    .X(_00409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12083_ (.A(_05435_),
+    .X(_06077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12084_ (.A1(_06077_),
+    .A2(_06073_),
+    .B1(\u_m1_res_fifo.mem[3][24] ),
+    .B2(_06074_),
+    .X(_00408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12085_ (.A(_05438_),
+    .X(_06078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12086_ (.A(_06064_),
+    .X(_06079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12087_ (.A(_06067_),
+    .X(_06080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12088_ (.A1(_06078_),
+    .A2(_06079_),
+    .B1(\u_m1_res_fifo.mem[3][23] ),
+    .B2(_06080_),
+    .X(_00407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12089_ (.A(_05441_),
+    .X(_06081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12090_ (.A1(_06081_),
+    .A2(_06079_),
+    .B1(\u_m1_res_fifo.mem[3][22] ),
+    .B2(_06080_),
+    .X(_00406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12091_ (.A(_05443_),
+    .X(_06082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12092_ (.A1(_06082_),
+    .A2(_06079_),
+    .B1(\u_m1_res_fifo.mem[3][21] ),
+    .B2(_06080_),
+    .X(_00405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12093_ (.A(_05446_),
+    .X(_06083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12094_ (.A1(_06083_),
+    .A2(_06079_),
+    .B1(\u_m1_res_fifo.mem[3][20] ),
+    .B2(_06080_),
+    .X(_00404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12095_ (.A(_05449_),
+    .X(_06084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12096_ (.A(_06064_),
+    .X(_06085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12097_ (.A(_06067_),
+    .X(_06086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12098_ (.A1(_06084_),
+    .A2(_06085_),
+    .B1(\u_m1_res_fifo.mem[3][19] ),
+    .B2(_06086_),
+    .X(_00403_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12099_ (.A(_05453_),
+    .X(_06087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12100_ (.A1(_06087_),
+    .A2(_06085_),
+    .B1(\u_m1_res_fifo.mem[3][18] ),
+    .B2(_06086_),
+    .X(_00402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12101_ (.A(_05455_),
+    .X(_06088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12102_ (.A1(_06088_),
+    .A2(_06085_),
+    .B1(\u_m1_res_fifo.mem[3][17] ),
+    .B2(_06086_),
+    .X(_00401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12103_ (.A(_05459_),
+    .X(_06089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12104_ (.A1(_06089_),
+    .A2(_06085_),
+    .B1(\u_m1_res_fifo.mem[3][16] ),
+    .B2(_06086_),
+    .X(_00400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12105_ (.A(_05463_),
+    .X(_06090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12106_ (.A(_06063_),
+    .X(_06091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12107_ (.A(_06091_),
+    .X(_06092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12108_ (.A(_06066_),
+    .X(_06093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12109_ (.A(_06093_),
+    .X(_06094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12110_ (.A1(_06090_),
+    .A2(_06092_),
+    .B1(\u_m1_res_fifo.mem[3][15] ),
+    .B2(_06094_),
+    .X(_00399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12111_ (.A(_05466_),
+    .X(_06095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12112_ (.A1(_06095_),
+    .A2(_06092_),
+    .B1(\u_m1_res_fifo.mem[3][14] ),
+    .B2(_06094_),
+    .X(_00398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12113_ (.A(_05468_),
+    .X(_06096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12114_ (.A1(_06096_),
+    .A2(_06092_),
+    .B1(\u_m1_res_fifo.mem[3][13] ),
+    .B2(_06094_),
+    .X(_00397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12115_ (.A(_05471_),
+    .X(_06097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12116_ (.A1(_06097_),
+    .A2(_06092_),
+    .B1(\u_m1_res_fifo.mem[3][12] ),
+    .B2(_06094_),
+    .X(_00396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12117_ (.A(_05474_),
+    .X(_06098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12118_ (.A(_06091_),
+    .X(_06099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12119_ (.A(_06093_),
+    .X(_06100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12120_ (.A1(_06098_),
+    .A2(_06099_),
+    .B1(\u_m1_res_fifo.mem[3][11] ),
+    .B2(_06100_),
+    .X(_00395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12121_ (.A(_05477_),
+    .X(_06101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12122_ (.A1(_06101_),
+    .A2(_06099_),
+    .B1(\u_m1_res_fifo.mem[3][10] ),
+    .B2(_06100_),
+    .X(_00394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12123_ (.A(_05479_),
+    .X(_06102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12124_ (.A1(_06102_),
+    .A2(_06099_),
+    .B1(\u_m1_res_fifo.mem[3][9] ),
+    .B2(_06100_),
+    .X(_00393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12125_ (.A(_05482_),
+    .X(_06103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12126_ (.A1(_06103_),
+    .A2(_06099_),
+    .B1(\u_m1_res_fifo.mem[3][8] ),
+    .B2(_06100_),
+    .X(_00392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12127_ (.A(_05485_),
+    .X(_06104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12128_ (.A(_06091_),
+    .X(_06105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12129_ (.A(_06093_),
+    .X(_06106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12130_ (.A1(_06104_),
+    .A2(_06105_),
+    .B1(\u_m1_res_fifo.mem[3][7] ),
+    .B2(_06106_),
+    .X(_00391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12131_ (.A(_05488_),
+    .X(_06107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12132_ (.A1(_06107_),
+    .A2(_06105_),
+    .B1(\u_m1_res_fifo.mem[3][6] ),
+    .B2(_06106_),
+    .X(_00390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12133_ (.A(_05490_),
+    .X(_06108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12134_ (.A1(_06108_),
+    .A2(_06105_),
+    .B1(\u_m1_res_fifo.mem[3][5] ),
+    .B2(_06106_),
+    .X(_00389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12135_ (.A(_05493_),
+    .X(_06109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12136_ (.A1(_06109_),
+    .A2(_06105_),
+    .B1(\u_m1_res_fifo.mem[3][4] ),
+    .B2(_06106_),
+    .X(_00388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12137_ (.A(_05496_),
+    .X(_06110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12138_ (.A(_06091_),
+    .X(_06111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12139_ (.A(_06093_),
+    .X(_06112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12140_ (.A1(_06110_),
+    .A2(_06111_),
+    .B1(\u_m1_res_fifo.mem[3][3] ),
+    .B2(_06112_),
+    .X(_00387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12141_ (.A(_05499_),
+    .X(_06113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12142_ (.A1(_06113_),
+    .A2(_06111_),
+    .B1(\u_m1_res_fifo.mem[3][2] ),
+    .B2(_06112_),
+    .X(_00386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12143_ (.A(_05501_),
+    .X(_06114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12144_ (.A1(_06114_),
+    .A2(_06111_),
+    .B1(\u_m1_res_fifo.mem[3][1] ),
+    .B2(_06112_),
+    .X(_00385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12145_ (.A(_05503_),
+    .X(_06115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12146_ (.A1(_06115_),
+    .A2(_06111_),
+    .B1(\u_m1_res_fifo.mem[3][0] ),
+    .B2(_06112_),
+    .X(_00384_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _12147_ (.A(_05994_),
+    .B(_05376_),
+    .C(_05361_),
+    .D(_05373_),
+    .X(_06116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12148_ (.A(_06116_),
+    .X(_06117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12149_ (.A(_06117_),
+    .X(_06118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12150_ (.A(_06116_),
+    .Y(_06119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12151_ (.A(_06119_),
+    .X(_06120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12152_ (.A(_06120_),
+    .X(_06121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12153_ (.A1(_06062_),
+    .A2(_06118_),
+    .B1(\u_m1_res_fifo.mem[4][31] ),
+    .B2(_06121_),
+    .X(_00383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12154_ (.A1(_06069_),
+    .A2(_06118_),
+    .B1(\u_m1_res_fifo.mem[4][30] ),
+    .B2(_06121_),
+    .X(_00382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12155_ (.A1(_06070_),
+    .A2(_06118_),
+    .B1(\u_m1_res_fifo.mem[4][29] ),
+    .B2(_06121_),
+    .X(_00381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12156_ (.A1(_06071_),
+    .A2(_06118_),
+    .B1(\u_m1_res_fifo.mem[4][28] ),
+    .B2(_06121_),
+    .X(_00380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12157_ (.A(_06117_),
+    .X(_06122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12158_ (.A(_06120_),
+    .X(_06123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12159_ (.A1(_06072_),
+    .A2(_06122_),
+    .B1(\u_m1_res_fifo.mem[4][27] ),
+    .B2(_06123_),
+    .X(_00379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12160_ (.A1(_06075_),
+    .A2(_06122_),
+    .B1(\u_m1_res_fifo.mem[4][26] ),
+    .B2(_06123_),
+    .X(_00378_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12161_ (.A1(_06076_),
+    .A2(_06122_),
+    .B1(\u_m1_res_fifo.mem[4][25] ),
+    .B2(_06123_),
+    .X(_00377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12162_ (.A1(_06077_),
+    .A2(_06122_),
+    .B1(\u_m1_res_fifo.mem[4][24] ),
+    .B2(_06123_),
+    .X(_00376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12163_ (.A(_06117_),
+    .X(_06124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12164_ (.A(_06120_),
+    .X(_06125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12165_ (.A1(_06078_),
+    .A2(_06124_),
+    .B1(\u_m1_res_fifo.mem[4][23] ),
+    .B2(_06125_),
+    .X(_00375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12166_ (.A1(_06081_),
+    .A2(_06124_),
+    .B1(\u_m1_res_fifo.mem[4][22] ),
+    .B2(_06125_),
+    .X(_00374_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12167_ (.A1(_06082_),
+    .A2(_06124_),
+    .B1(\u_m1_res_fifo.mem[4][21] ),
+    .B2(_06125_),
+    .X(_00373_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12168_ (.A1(_06083_),
+    .A2(_06124_),
+    .B1(\u_m1_res_fifo.mem[4][20] ),
+    .B2(_06125_),
+    .X(_00372_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12169_ (.A(_06117_),
+    .X(_06126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12170_ (.A(_06120_),
+    .X(_06127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12171_ (.A1(_06084_),
+    .A2(_06126_),
+    .B1(\u_m1_res_fifo.mem[4][19] ),
+    .B2(_06127_),
+    .X(_00371_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12172_ (.A1(_06087_),
+    .A2(_06126_),
+    .B1(\u_m1_res_fifo.mem[4][18] ),
+    .B2(_06127_),
+    .X(_00370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12173_ (.A1(_06088_),
+    .A2(_06126_),
+    .B1(\u_m1_res_fifo.mem[4][17] ),
+    .B2(_06127_),
+    .X(_00369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12174_ (.A1(_06089_),
+    .A2(_06126_),
+    .B1(\u_m1_res_fifo.mem[4][16] ),
+    .B2(_06127_),
+    .X(_00368_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12175_ (.A(_06116_),
+    .X(_06128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12176_ (.A(_06128_),
+    .X(_06129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12177_ (.A(_06119_),
+    .X(_06130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12178_ (.A(_06130_),
+    .X(_06131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12179_ (.A1(_06090_),
+    .A2(_06129_),
+    .B1(\u_m1_res_fifo.mem[4][15] ),
+    .B2(_06131_),
+    .X(_00367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12180_ (.A1(_06095_),
+    .A2(_06129_),
+    .B1(\u_m1_res_fifo.mem[4][14] ),
+    .B2(_06131_),
+    .X(_00366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12181_ (.A1(_06096_),
+    .A2(_06129_),
+    .B1(\u_m1_res_fifo.mem[4][13] ),
+    .B2(_06131_),
+    .X(_00365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12182_ (.A1(_06097_),
+    .A2(_06129_),
+    .B1(\u_m1_res_fifo.mem[4][12] ),
+    .B2(_06131_),
+    .X(_00364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12183_ (.A(_06128_),
+    .X(_06132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12184_ (.A(_06130_),
+    .X(_06133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12185_ (.A1(_06098_),
+    .A2(_06132_),
+    .B1(\u_m1_res_fifo.mem[4][11] ),
+    .B2(_06133_),
+    .X(_00363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12186_ (.A1(_06101_),
+    .A2(_06132_),
+    .B1(\u_m1_res_fifo.mem[4][10] ),
+    .B2(_06133_),
+    .X(_00362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12187_ (.A1(_06102_),
+    .A2(_06132_),
+    .B1(\u_m1_res_fifo.mem[4][9] ),
+    .B2(_06133_),
+    .X(_00361_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12188_ (.A1(_06103_),
+    .A2(_06132_),
+    .B1(\u_m1_res_fifo.mem[4][8] ),
+    .B2(_06133_),
+    .X(_00360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12189_ (.A(_06128_),
+    .X(_06134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12190_ (.A(_06130_),
+    .X(_06135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12191_ (.A1(_06104_),
+    .A2(_06134_),
+    .B1(\u_m1_res_fifo.mem[4][7] ),
+    .B2(_06135_),
+    .X(_00359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12192_ (.A1(_06107_),
+    .A2(_06134_),
+    .B1(\u_m1_res_fifo.mem[4][6] ),
+    .B2(_06135_),
+    .X(_00358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12193_ (.A1(_06108_),
+    .A2(_06134_),
+    .B1(\u_m1_res_fifo.mem[4][5] ),
+    .B2(_06135_),
+    .X(_00357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12194_ (.A1(_06109_),
+    .A2(_06134_),
+    .B1(\u_m1_res_fifo.mem[4][4] ),
+    .B2(_06135_),
+    .X(_00356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12195_ (.A(_06128_),
+    .X(_06136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12196_ (.A(_06130_),
+    .X(_06137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12197_ (.A1(_06110_),
+    .A2(_06136_),
+    .B1(\u_m1_res_fifo.mem[4][3] ),
+    .B2(_06137_),
+    .X(_00355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12198_ (.A1(_06113_),
+    .A2(_06136_),
+    .B1(\u_m1_res_fifo.mem[4][2] ),
+    .B2(_06137_),
+    .X(_00354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12199_ (.A1(_06114_),
+    .A2(_06136_),
+    .B1(\u_m1_res_fifo.mem[4][1] ),
+    .B2(_06137_),
+    .X(_00353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12200_ (.A1(_06115_),
+    .A2(_06136_),
+    .B1(\u_m1_res_fifo.mem[4][0] ),
+    .B2(_06137_),
+    .X(_00352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _12201_ (.A(_05362_),
+    .B(_05376_),
+    .C(_01481_),
+    .D(\u_m1_res_fifo.wr_ptr[1] ),
+    .X(_06138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12202_ (.A(_06138_),
+    .X(_06139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12203_ (.A(_06139_),
+    .X(_06140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12204_ (.A(_06138_),
+    .Y(_06141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12205_ (.A(_06141_),
+    .X(_06142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12206_ (.A(_06142_),
+    .X(_06143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12207_ (.A1(_06062_),
+    .A2(_06140_),
+    .B1(\u_m1_res_fifo.mem[5][31] ),
+    .B2(_06143_),
+    .X(_00351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12208_ (.A1(_06069_),
+    .A2(_06140_),
+    .B1(\u_m1_res_fifo.mem[5][30] ),
+    .B2(_06143_),
+    .X(_00350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12209_ (.A1(_06070_),
+    .A2(_06140_),
+    .B1(\u_m1_res_fifo.mem[5][29] ),
+    .B2(_06143_),
+    .X(_00349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12210_ (.A1(_06071_),
+    .A2(_06140_),
+    .B1(\u_m1_res_fifo.mem[5][28] ),
+    .B2(_06143_),
+    .X(_00348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12211_ (.A(_06139_),
+    .X(_06144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12212_ (.A(_06142_),
+    .X(_06145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12213_ (.A1(_06072_),
+    .A2(_06144_),
+    .B1(\u_m1_res_fifo.mem[5][27] ),
+    .B2(_06145_),
+    .X(_00347_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12214_ (.A1(_06075_),
+    .A2(_06144_),
+    .B1(\u_m1_res_fifo.mem[5][26] ),
+    .B2(_06145_),
+    .X(_00346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12215_ (.A1(_06076_),
+    .A2(_06144_),
+    .B1(\u_m1_res_fifo.mem[5][25] ),
+    .B2(_06145_),
+    .X(_00345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12216_ (.A1(_06077_),
+    .A2(_06144_),
+    .B1(\u_m1_res_fifo.mem[5][24] ),
+    .B2(_06145_),
+    .X(_00344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12217_ (.A(_06139_),
+    .X(_06146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12218_ (.A(_06142_),
+    .X(_06147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12219_ (.A1(_06078_),
+    .A2(_06146_),
+    .B1(\u_m1_res_fifo.mem[5][23] ),
+    .B2(_06147_),
+    .X(_00343_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12220_ (.A1(_06081_),
+    .A2(_06146_),
+    .B1(\u_m1_res_fifo.mem[5][22] ),
+    .B2(_06147_),
+    .X(_00342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12221_ (.A1(_06082_),
+    .A2(_06146_),
+    .B1(\u_m1_res_fifo.mem[5][21] ),
+    .B2(_06147_),
+    .X(_00341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12222_ (.A1(_06083_),
+    .A2(_06146_),
+    .B1(\u_m1_res_fifo.mem[5][20] ),
+    .B2(_06147_),
+    .X(_00340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12223_ (.A(_06139_),
+    .X(_06148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12224_ (.A(_06142_),
+    .X(_06149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12225_ (.A1(_06084_),
+    .A2(_06148_),
+    .B1(\u_m1_res_fifo.mem[5][19] ),
+    .B2(_06149_),
+    .X(_00339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12226_ (.A1(_06087_),
+    .A2(_06148_),
+    .B1(\u_m1_res_fifo.mem[5][18] ),
+    .B2(_06149_),
+    .X(_00338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12227_ (.A1(_06088_),
+    .A2(_06148_),
+    .B1(\u_m1_res_fifo.mem[5][17] ),
+    .B2(_06149_),
+    .X(_00337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12228_ (.A1(_06089_),
+    .A2(_06148_),
+    .B1(\u_m1_res_fifo.mem[5][16] ),
+    .B2(_06149_),
+    .X(_00336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12229_ (.A(_06138_),
+    .X(_06150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12230_ (.A(_06150_),
+    .X(_06151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12231_ (.A(_06141_),
+    .X(_06152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12232_ (.A(_06152_),
+    .X(_06153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12233_ (.A1(_06090_),
+    .A2(_06151_),
+    .B1(\u_m1_res_fifo.mem[5][15] ),
+    .B2(_06153_),
+    .X(_00335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12234_ (.A1(_06095_),
+    .A2(_06151_),
+    .B1(\u_m1_res_fifo.mem[5][14] ),
+    .B2(_06153_),
+    .X(_00334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12235_ (.A1(_06096_),
+    .A2(_06151_),
+    .B1(\u_m1_res_fifo.mem[5][13] ),
+    .B2(_06153_),
+    .X(_00333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12236_ (.A1(_06097_),
+    .A2(_06151_),
+    .B1(\u_m1_res_fifo.mem[5][12] ),
+    .B2(_06153_),
+    .X(_00332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12237_ (.A(_06150_),
+    .X(_06154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12238_ (.A(_06152_),
+    .X(_06155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12239_ (.A1(_06098_),
+    .A2(_06154_),
+    .B1(\u_m1_res_fifo.mem[5][11] ),
+    .B2(_06155_),
+    .X(_00331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12240_ (.A1(_06101_),
+    .A2(_06154_),
+    .B1(\u_m1_res_fifo.mem[5][10] ),
+    .B2(_06155_),
+    .X(_00330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12241_ (.A1(_06102_),
+    .A2(_06154_),
+    .B1(\u_m1_res_fifo.mem[5][9] ),
+    .B2(_06155_),
+    .X(_00329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12242_ (.A1(_06103_),
+    .A2(_06154_),
+    .B1(\u_m1_res_fifo.mem[5][8] ),
+    .B2(_06155_),
+    .X(_00328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12243_ (.A(_06150_),
+    .X(_06156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12244_ (.A(_06152_),
+    .X(_06157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12245_ (.A1(_06104_),
+    .A2(_06156_),
+    .B1(\u_m1_res_fifo.mem[5][7] ),
+    .B2(_06157_),
+    .X(_00327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12246_ (.A1(_06107_),
+    .A2(_06156_),
+    .B1(\u_m1_res_fifo.mem[5][6] ),
+    .B2(_06157_),
+    .X(_00326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12247_ (.A1(_06108_),
+    .A2(_06156_),
+    .B1(\u_m1_res_fifo.mem[5][5] ),
+    .B2(_06157_),
+    .X(_00325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12248_ (.A1(_06109_),
+    .A2(_06156_),
+    .B1(\u_m1_res_fifo.mem[5][4] ),
+    .B2(_06157_),
+    .X(_00324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12249_ (.A(_06150_),
+    .X(_06158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12250_ (.A(_06152_),
+    .X(_06159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12251_ (.A1(_06110_),
+    .A2(_06158_),
+    .B1(\u_m1_res_fifo.mem[5][3] ),
+    .B2(_06159_),
+    .X(_00323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12252_ (.A1(_06113_),
+    .A2(_06158_),
+    .B1(\u_m1_res_fifo.mem[5][2] ),
+    .B2(_06159_),
+    .X(_00322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12253_ (.A1(_06114_),
+    .A2(_06158_),
+    .B1(\u_m1_res_fifo.mem[5][1] ),
+    .B2(_06159_),
+    .X(_00321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12254_ (.A1(_06115_),
+    .A2(_06158_),
+    .B1(\u_m1_res_fifo.mem[5][0] ),
+    .B2(_06159_),
+    .X(_00320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _12255_ (.A(_05994_),
+    .B(_05376_),
+    .C(_01490_),
+    .D(_05361_),
+    .X(_06160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12256_ (.A(_06160_),
+    .X(_06161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12257_ (.A(_06161_),
+    .X(_06162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12258_ (.A(_06160_),
+    .Y(_06163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12259_ (.A(_06163_),
+    .X(_06164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12260_ (.A(_06164_),
+    .X(_06165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12261_ (.A1(_06062_),
+    .A2(_06162_),
+    .B1(\u_m1_res_fifo.mem[6][31] ),
+    .B2(_06165_),
+    .X(_00319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12262_ (.A1(_06069_),
+    .A2(_06162_),
+    .B1(\u_m1_res_fifo.mem[6][30] ),
+    .B2(_06165_),
+    .X(_00318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12263_ (.A1(_06070_),
+    .A2(_06162_),
+    .B1(\u_m1_res_fifo.mem[6][29] ),
+    .B2(_06165_),
+    .X(_00317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12264_ (.A1(_06071_),
+    .A2(_06162_),
+    .B1(\u_m1_res_fifo.mem[6][28] ),
+    .B2(_06165_),
+    .X(_00316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12265_ (.A(_06161_),
+    .X(_06166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12266_ (.A(_06164_),
+    .X(_06167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12267_ (.A1(_06072_),
+    .A2(_06166_),
+    .B1(\u_m1_res_fifo.mem[6][27] ),
+    .B2(_06167_),
+    .X(_00315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12268_ (.A1(_06075_),
+    .A2(_06166_),
+    .B1(\u_m1_res_fifo.mem[6][26] ),
+    .B2(_06167_),
+    .X(_00314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12269_ (.A1(_06076_),
+    .A2(_06166_),
+    .B1(\u_m1_res_fifo.mem[6][25] ),
+    .B2(_06167_),
+    .X(_00313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12270_ (.A1(_06077_),
+    .A2(_06166_),
+    .B1(\u_m1_res_fifo.mem[6][24] ),
+    .B2(_06167_),
+    .X(_00312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12271_ (.A(_06161_),
+    .X(_06168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12272_ (.A(_06164_),
+    .X(_06169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12273_ (.A1(_06078_),
+    .A2(_06168_),
+    .B1(\u_m1_res_fifo.mem[6][23] ),
+    .B2(_06169_),
+    .X(_00311_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12274_ (.A1(_06081_),
+    .A2(_06168_),
+    .B1(\u_m1_res_fifo.mem[6][22] ),
+    .B2(_06169_),
+    .X(_00310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12275_ (.A1(_06082_),
+    .A2(_06168_),
+    .B1(\u_m1_res_fifo.mem[6][21] ),
+    .B2(_06169_),
+    .X(_00309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12276_ (.A1(_06083_),
+    .A2(_06168_),
+    .B1(\u_m1_res_fifo.mem[6][20] ),
+    .B2(_06169_),
+    .X(_00308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12277_ (.A(_06161_),
+    .X(_06170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12278_ (.A(_06164_),
+    .X(_06171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12279_ (.A1(_06084_),
+    .A2(_06170_),
+    .B1(\u_m1_res_fifo.mem[6][19] ),
+    .B2(_06171_),
+    .X(_00307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12280_ (.A1(_06087_),
+    .A2(_06170_),
+    .B1(\u_m1_res_fifo.mem[6][18] ),
+    .B2(_06171_),
+    .X(_00306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12281_ (.A1(_06088_),
+    .A2(_06170_),
+    .B1(\u_m1_res_fifo.mem[6][17] ),
+    .B2(_06171_),
+    .X(_00305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12282_ (.A1(_06089_),
+    .A2(_06170_),
+    .B1(\u_m1_res_fifo.mem[6][16] ),
+    .B2(_06171_),
+    .X(_00304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12283_ (.A(_06160_),
+    .X(_06172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12284_ (.A(_06172_),
+    .X(_06173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12285_ (.A(_06163_),
+    .X(_06174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12286_ (.A(_06174_),
+    .X(_06175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12287_ (.A1(_06090_),
+    .A2(_06173_),
+    .B1(\u_m1_res_fifo.mem[6][15] ),
+    .B2(_06175_),
+    .X(_00303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12288_ (.A1(_06095_),
+    .A2(_06173_),
+    .B1(\u_m1_res_fifo.mem[6][14] ),
+    .B2(_06175_),
+    .X(_00302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12289_ (.A1(_06096_),
+    .A2(_06173_),
+    .B1(\u_m1_res_fifo.mem[6][13] ),
+    .B2(_06175_),
+    .X(_00301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12290_ (.A1(_06097_),
+    .A2(_06173_),
+    .B1(\u_m1_res_fifo.mem[6][12] ),
+    .B2(_06175_),
+    .X(_00300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12291_ (.A(_06172_),
+    .X(_06176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12292_ (.A(_06174_),
+    .X(_06177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12293_ (.A1(_06098_),
+    .A2(_06176_),
+    .B1(\u_m1_res_fifo.mem[6][11] ),
+    .B2(_06177_),
+    .X(_00299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12294_ (.A1(_06101_),
+    .A2(_06176_),
+    .B1(\u_m1_res_fifo.mem[6][10] ),
+    .B2(_06177_),
+    .X(_00298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12295_ (.A1(_06102_),
+    .A2(_06176_),
+    .B1(\u_m1_res_fifo.mem[6][9] ),
+    .B2(_06177_),
+    .X(_00297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12296_ (.A1(_06103_),
+    .A2(_06176_),
+    .B1(\u_m1_res_fifo.mem[6][8] ),
+    .B2(_06177_),
+    .X(_00296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12297_ (.A(_06172_),
+    .X(_06178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12298_ (.A(_06174_),
+    .X(_06179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12299_ (.A1(_06104_),
+    .A2(_06178_),
+    .B1(\u_m1_res_fifo.mem[6][7] ),
+    .B2(_06179_),
+    .X(_00295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12300_ (.A1(_06107_),
+    .A2(_06178_),
+    .B1(\u_m1_res_fifo.mem[6][6] ),
+    .B2(_06179_),
+    .X(_00294_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12301_ (.A1(_06108_),
+    .A2(_06178_),
+    .B1(\u_m1_res_fifo.mem[6][5] ),
+    .B2(_06179_),
+    .X(_00293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12302_ (.A1(_06109_),
+    .A2(_06178_),
+    .B1(\u_m1_res_fifo.mem[6][4] ),
+    .B2(_06179_),
+    .X(_00292_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12303_ (.A(_06172_),
+    .X(_06180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12304_ (.A(_06174_),
+    .X(_06181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12305_ (.A1(_06110_),
+    .A2(_06180_),
+    .B1(\u_m1_res_fifo.mem[6][3] ),
+    .B2(_06181_),
+    .X(_00291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12306_ (.A1(_06113_),
+    .A2(_06180_),
+    .B1(\u_m1_res_fifo.mem[6][2] ),
+    .B2(_06181_),
+    .X(_00290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12307_ (.A1(_06114_),
+    .A2(_06180_),
+    .B1(\u_m1_res_fifo.mem[6][1] ),
+    .B2(_06181_),
+    .X(_00289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12308_ (.A1(_06115_),
+    .A2(_06180_),
+    .B1(\u_m1_res_fifo.mem[6][0] ),
+    .B2(_06181_),
+    .X(_00288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12309_ (.A(_05506_),
+    .B(_05391_),
+    .X(_06182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12310_ (.A(_06182_),
+    .X(_06183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12311_ (.A(_06183_),
+    .X(_06184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12312_ (.A(_06182_),
+    .Y(_06185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12313_ (.A(_06185_),
+    .X(_06186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12314_ (.A(_06186_),
+    .X(_06187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12315_ (.A1(_05743_),
+    .A2(_06184_),
+    .B1(\u_m0_res_fifo.mem[3][31] ),
+    .B2(_06187_),
+    .X(_00287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12316_ (.A1(_05750_),
+    .A2(_06184_),
+    .B1(\u_m0_res_fifo.mem[3][30] ),
+    .B2(_06187_),
+    .X(_00286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12317_ (.A1(_05751_),
+    .A2(_06184_),
+    .B1(\u_m0_res_fifo.mem[3][29] ),
+    .B2(_06187_),
+    .X(_00285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12318_ (.A1(_05752_),
+    .A2(_06184_),
+    .B1(\u_m0_res_fifo.mem[3][28] ),
+    .B2(_06187_),
+    .X(_00284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12319_ (.A(_06183_),
+    .X(_06188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12320_ (.A(_06186_),
+    .X(_06189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12321_ (.A1(_05753_),
+    .A2(_06188_),
+    .B1(\u_m0_res_fifo.mem[3][27] ),
+    .B2(_06189_),
+    .X(_00283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12322_ (.A1(_05756_),
+    .A2(_06188_),
+    .B1(\u_m0_res_fifo.mem[3][26] ),
+    .B2(_06189_),
+    .X(_00282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12323_ (.A1(_05757_),
+    .A2(_06188_),
+    .B1(\u_m0_res_fifo.mem[3][25] ),
+    .B2(_06189_),
+    .X(_00281_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12324_ (.A1(_05758_),
+    .A2(_06188_),
+    .B1(\u_m0_res_fifo.mem[3][24] ),
+    .B2(_06189_),
+    .X(_00280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12325_ (.A(_06183_),
+    .X(_06190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12326_ (.A(_06186_),
+    .X(_06191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12327_ (.A1(_05759_),
+    .A2(_06190_),
+    .B1(\u_m0_res_fifo.mem[3][23] ),
+    .B2(_06191_),
+    .X(_00279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12328_ (.A1(_05762_),
+    .A2(_06190_),
+    .B1(\u_m0_res_fifo.mem[3][22] ),
+    .B2(_06191_),
+    .X(_00278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12329_ (.A1(_05763_),
+    .A2(_06190_),
+    .B1(\u_m0_res_fifo.mem[3][21] ),
+    .B2(_06191_),
+    .X(_00277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12330_ (.A1(_05764_),
+    .A2(_06190_),
+    .B1(\u_m0_res_fifo.mem[3][20] ),
+    .B2(_06191_),
+    .X(_00276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12331_ (.A(_06183_),
+    .X(_06192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12332_ (.A(_06186_),
+    .X(_06193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12333_ (.A1(_05765_),
+    .A2(_06192_),
+    .B1(\u_m0_res_fifo.mem[3][19] ),
+    .B2(_06193_),
+    .X(_00275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12334_ (.A1(_05768_),
+    .A2(_06192_),
+    .B1(\u_m0_res_fifo.mem[3][18] ),
+    .B2(_06193_),
+    .X(_00274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12335_ (.A1(_05769_),
+    .A2(_06192_),
+    .B1(\u_m0_res_fifo.mem[3][17] ),
+    .B2(_06193_),
+    .X(_00273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12336_ (.A1(_05770_),
+    .A2(_06192_),
+    .B1(\u_m0_res_fifo.mem[3][16] ),
+    .B2(_06193_),
+    .X(_00272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12337_ (.A(_06182_),
+    .X(_06194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12338_ (.A(_06194_),
+    .X(_06195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12339_ (.A(_06185_),
+    .X(_06196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12340_ (.A(_06196_),
+    .X(_06197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12341_ (.A1(_05771_),
+    .A2(_06195_),
+    .B1(\u_m0_res_fifo.mem[3][15] ),
+    .B2(_06197_),
+    .X(_00271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12342_ (.A1(_05776_),
+    .A2(_06195_),
+    .B1(\u_m0_res_fifo.mem[3][14] ),
+    .B2(_06197_),
+    .X(_00270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12343_ (.A1(_05777_),
+    .A2(_06195_),
+    .B1(\u_m0_res_fifo.mem[3][13] ),
+    .B2(_06197_),
+    .X(_00269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12344_ (.A1(_05778_),
+    .A2(_06195_),
+    .B1(\u_m0_res_fifo.mem[3][12] ),
+    .B2(_06197_),
+    .X(_00268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12345_ (.A(_06194_),
+    .X(_06198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12346_ (.A(_06196_),
+    .X(_06199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12347_ (.A1(_05779_),
+    .A2(_06198_),
+    .B1(\u_m0_res_fifo.mem[3][11] ),
+    .B2(_06199_),
+    .X(_00267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12348_ (.A1(_05782_),
+    .A2(_06198_),
+    .B1(\u_m0_res_fifo.mem[3][10] ),
+    .B2(_06199_),
+    .X(_00266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12349_ (.A1(_05783_),
+    .A2(_06198_),
+    .B1(\u_m0_res_fifo.mem[3][9] ),
+    .B2(_06199_),
+    .X(_00265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12350_ (.A1(_05784_),
+    .A2(_06198_),
+    .B1(\u_m0_res_fifo.mem[3][8] ),
+    .B2(_06199_),
+    .X(_00264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12351_ (.A(_06194_),
+    .X(_06200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12352_ (.A(_06196_),
+    .X(_06201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12353_ (.A1(_05785_),
+    .A2(_06200_),
+    .B1(\u_m0_res_fifo.mem[3][7] ),
+    .B2(_06201_),
+    .X(_00263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12354_ (.A1(_05788_),
+    .A2(_06200_),
+    .B1(\u_m0_res_fifo.mem[3][6] ),
+    .B2(_06201_),
+    .X(_00262_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12355_ (.A1(_05789_),
+    .A2(_06200_),
+    .B1(\u_m0_res_fifo.mem[3][5] ),
+    .B2(_06201_),
+    .X(_00261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12356_ (.A1(_05790_),
+    .A2(_06200_),
+    .B1(\u_m0_res_fifo.mem[3][4] ),
+    .B2(_06201_),
+    .X(_00260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12357_ (.A(_06194_),
+    .X(_06202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12358_ (.A(_06196_),
+    .X(_06203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12359_ (.A1(_05791_),
+    .A2(_06202_),
+    .B1(\u_m0_res_fifo.mem[3][3] ),
+    .B2(_06203_),
+    .X(_00259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12360_ (.A1(_05794_),
+    .A2(_06202_),
+    .B1(\u_m0_res_fifo.mem[3][2] ),
+    .B2(_06203_),
+    .X(_00258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12361_ (.A1(_05795_),
+    .A2(_06202_),
+    .B1(\u_m0_res_fifo.mem[3][1] ),
+    .B2(_06203_),
+    .X(_00257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12362_ (.A1(_05796_),
+    .A2(_06202_),
+    .B1(\u_m0_res_fifo.mem[3][0] ),
+    .B2(_06203_),
+    .X(_00256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _12363_ (.A(_05505_),
+    .B(_05401_),
+    .C(_05387_),
+    .D(_05398_),
+    .X(_06204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12364_ (.A(_06204_),
+    .X(_06205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12365_ (.A(_06205_),
+    .X(_06206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12366_ (.A(_06204_),
+    .Y(_06207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12367_ (.A(_06207_),
+    .X(_06208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12368_ (.A(_06208_),
+    .X(_06209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12369_ (.A1(_05743_),
+    .A2(_06206_),
+    .B1(\u_m0_res_fifo.mem[4][31] ),
+    .B2(_06209_),
+    .X(_00255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12370_ (.A1(_05750_),
+    .A2(_06206_),
+    .B1(\u_m0_res_fifo.mem[4][30] ),
+    .B2(_06209_),
+    .X(_00254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12371_ (.A1(_05751_),
+    .A2(_06206_),
+    .B1(\u_m0_res_fifo.mem[4][29] ),
+    .B2(_06209_),
+    .X(_00253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12372_ (.A1(_05752_),
+    .A2(_06206_),
+    .B1(\u_m0_res_fifo.mem[4][28] ),
+    .B2(_06209_),
+    .X(_00252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12373_ (.A(_06205_),
+    .X(_06210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12374_ (.A(_06208_),
+    .X(_06211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12375_ (.A1(_05753_),
+    .A2(_06210_),
+    .B1(\u_m0_res_fifo.mem[4][27] ),
+    .B2(_06211_),
+    .X(_00251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12376_ (.A1(_05756_),
+    .A2(_06210_),
+    .B1(\u_m0_res_fifo.mem[4][26] ),
+    .B2(_06211_),
+    .X(_00250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12377_ (.A1(_05757_),
+    .A2(_06210_),
+    .B1(\u_m0_res_fifo.mem[4][25] ),
+    .B2(_06211_),
+    .X(_00249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12378_ (.A1(_05758_),
+    .A2(_06210_),
+    .B1(\u_m0_res_fifo.mem[4][24] ),
+    .B2(_06211_),
+    .X(_00248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12379_ (.A(_06205_),
+    .X(_06212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12380_ (.A(_06208_),
+    .X(_06213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12381_ (.A1(_05759_),
+    .A2(_06212_),
+    .B1(\u_m0_res_fifo.mem[4][23] ),
+    .B2(_06213_),
+    .X(_00247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12382_ (.A1(_05762_),
+    .A2(_06212_),
+    .B1(\u_m0_res_fifo.mem[4][22] ),
+    .B2(_06213_),
+    .X(_00246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12383_ (.A1(_05763_),
+    .A2(_06212_),
+    .B1(\u_m0_res_fifo.mem[4][21] ),
+    .B2(_06213_),
+    .X(_00245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12384_ (.A1(_05764_),
+    .A2(_06212_),
+    .B1(\u_m0_res_fifo.mem[4][20] ),
+    .B2(_06213_),
+    .X(_00244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12385_ (.A(_06205_),
+    .X(_06214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12386_ (.A(_06208_),
+    .X(_06215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12387_ (.A1(_05765_),
+    .A2(_06214_),
+    .B1(\u_m0_res_fifo.mem[4][19] ),
+    .B2(_06215_),
+    .X(_00243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12388_ (.A1(_05768_),
+    .A2(_06214_),
+    .B1(\u_m0_res_fifo.mem[4][18] ),
+    .B2(_06215_),
+    .X(_00242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12389_ (.A1(_05769_),
+    .A2(_06214_),
+    .B1(\u_m0_res_fifo.mem[4][17] ),
+    .B2(_06215_),
+    .X(_00241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12390_ (.A1(_05770_),
+    .A2(_06214_),
+    .B1(\u_m0_res_fifo.mem[4][16] ),
+    .B2(_06215_),
+    .X(_00240_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12391_ (.A(_06204_),
+    .X(_06216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12392_ (.A(_06216_),
+    .X(_06217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12393_ (.A(_06207_),
+    .X(_06218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12394_ (.A(_06218_),
+    .X(_06219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12395_ (.A1(_05771_),
+    .A2(_06217_),
+    .B1(\u_m0_res_fifo.mem[4][15] ),
+    .B2(_06219_),
+    .X(_00239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12396_ (.A1(_05776_),
+    .A2(_06217_),
+    .B1(\u_m0_res_fifo.mem[4][14] ),
+    .B2(_06219_),
+    .X(_00238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12397_ (.A1(_05777_),
+    .A2(_06217_),
+    .B1(\u_m0_res_fifo.mem[4][13] ),
+    .B2(_06219_),
+    .X(_00237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12398_ (.A1(_05778_),
+    .A2(_06217_),
+    .B1(\u_m0_res_fifo.mem[4][12] ),
+    .B2(_06219_),
+    .X(_00236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12399_ (.A(_06216_),
+    .X(_06220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12400_ (.A(_06218_),
+    .X(_06221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12401_ (.A1(_05779_),
+    .A2(_06220_),
+    .B1(\u_m0_res_fifo.mem[4][11] ),
+    .B2(_06221_),
+    .X(_00235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12402_ (.A1(_05782_),
+    .A2(_06220_),
+    .B1(\u_m0_res_fifo.mem[4][10] ),
+    .B2(_06221_),
+    .X(_00234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12403_ (.A1(_05783_),
+    .A2(_06220_),
+    .B1(\u_m0_res_fifo.mem[4][9] ),
+    .B2(_06221_),
+    .X(_00233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12404_ (.A1(_05784_),
+    .A2(_06220_),
+    .B1(\u_m0_res_fifo.mem[4][8] ),
+    .B2(_06221_),
+    .X(_00232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12405_ (.A(_06216_),
+    .X(_06222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12406_ (.A(_06218_),
+    .X(_06223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12407_ (.A1(_05785_),
+    .A2(_06222_),
+    .B1(\u_m0_res_fifo.mem[4][7] ),
+    .B2(_06223_),
+    .X(_00231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12408_ (.A1(_05788_),
+    .A2(_06222_),
+    .B1(\u_m0_res_fifo.mem[4][6] ),
+    .B2(_06223_),
+    .X(_00230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12409_ (.A1(_05789_),
+    .A2(_06222_),
+    .B1(\u_m0_res_fifo.mem[4][5] ),
+    .B2(_06223_),
+    .X(_00229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12410_ (.A1(_05790_),
+    .A2(_06222_),
+    .B1(\u_m0_res_fifo.mem[4][4] ),
+    .B2(_06223_),
+    .X(_00228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12411_ (.A(_06216_),
+    .X(_06224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12412_ (.A(_06218_),
+    .X(_06225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12413_ (.A1(_05791_),
+    .A2(_06224_),
+    .B1(\u_m0_res_fifo.mem[4][3] ),
+    .B2(_06225_),
+    .X(_00227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12414_ (.A1(_05794_),
+    .A2(_06224_),
+    .B1(\u_m0_res_fifo.mem[4][2] ),
+    .B2(_06225_),
+    .X(_00226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12415_ (.A1(_05795_),
+    .A2(_06224_),
+    .B1(\u_m0_res_fifo.mem[4][1] ),
+    .B2(_06225_),
+    .X(_00225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12416_ (.A1(_05796_),
+    .A2(_06224_),
+    .B1(\u_m0_res_fifo.mem[4][0] ),
+    .B2(_06225_),
+    .X(_00224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _12417_ (.A(_05388_),
+    .B(_05401_),
+    .C(_01407_),
+    .D(\u_m0_res_fifo.wr_ptr[1] ),
+    .X(_06226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12418_ (.A(_06226_),
+    .X(_06227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12419_ (.A(_06227_),
+    .X(_06228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12420_ (.A(_06226_),
+    .Y(_06229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12421_ (.A(_06229_),
+    .X(_06230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12422_ (.A(_06230_),
+    .X(_06231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12423_ (.A1(_05743_),
+    .A2(_06228_),
+    .B1(\u_m0_res_fifo.mem[5][31] ),
+    .B2(_06231_),
+    .X(_00223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12424_ (.A1(_05750_),
+    .A2(_06228_),
+    .B1(\u_m0_res_fifo.mem[5][30] ),
+    .B2(_06231_),
+    .X(_00222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12425_ (.A1(_05751_),
+    .A2(_06228_),
+    .B1(\u_m0_res_fifo.mem[5][29] ),
+    .B2(_06231_),
+    .X(_00221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12426_ (.A1(_05752_),
+    .A2(_06228_),
+    .B1(\u_m0_res_fifo.mem[5][28] ),
+    .B2(_06231_),
+    .X(_00220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12427_ (.A(_06227_),
+    .X(_06232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12428_ (.A(_06230_),
+    .X(_06233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12429_ (.A1(_05753_),
+    .A2(_06232_),
+    .B1(\u_m0_res_fifo.mem[5][27] ),
+    .B2(_06233_),
+    .X(_00219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12430_ (.A1(_05756_),
+    .A2(_06232_),
+    .B1(\u_m0_res_fifo.mem[5][26] ),
+    .B2(_06233_),
+    .X(_00218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12431_ (.A1(_05757_),
+    .A2(_06232_),
+    .B1(\u_m0_res_fifo.mem[5][25] ),
+    .B2(_06233_),
+    .X(_00217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12432_ (.A1(_05758_),
+    .A2(_06232_),
+    .B1(\u_m0_res_fifo.mem[5][24] ),
+    .B2(_06233_),
+    .X(_00216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12433_ (.A(_06227_),
+    .X(_06234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12434_ (.A(_06230_),
+    .X(_06235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12435_ (.A1(_05759_),
+    .A2(_06234_),
+    .B1(\u_m0_res_fifo.mem[5][23] ),
+    .B2(_06235_),
+    .X(_00215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12436_ (.A1(_05762_),
+    .A2(_06234_),
+    .B1(\u_m0_res_fifo.mem[5][22] ),
+    .B2(_06235_),
+    .X(_00214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12437_ (.A1(_05763_),
+    .A2(_06234_),
+    .B1(\u_m0_res_fifo.mem[5][21] ),
+    .B2(_06235_),
+    .X(_00213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12438_ (.A1(_05764_),
+    .A2(_06234_),
+    .B1(\u_m0_res_fifo.mem[5][20] ),
+    .B2(_06235_),
+    .X(_00212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12439_ (.A(_06227_),
+    .X(_06236_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12440_ (.A(_06230_),
+    .X(_06237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12441_ (.A1(_05765_),
+    .A2(_06236_),
+    .B1(\u_m0_res_fifo.mem[5][19] ),
+    .B2(_06237_),
+    .X(_00211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12442_ (.A1(_05768_),
+    .A2(_06236_),
+    .B1(\u_m0_res_fifo.mem[5][18] ),
+    .B2(_06237_),
+    .X(_00210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12443_ (.A1(_05769_),
+    .A2(_06236_),
+    .B1(\u_m0_res_fifo.mem[5][17] ),
+    .B2(_06237_),
+    .X(_00209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12444_ (.A1(_05770_),
+    .A2(_06236_),
+    .B1(\u_m0_res_fifo.mem[5][16] ),
+    .B2(_06237_),
+    .X(_00208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12445_ (.A(_06226_),
+    .X(_06238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12446_ (.A(_06238_),
+    .X(_06239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12447_ (.A(_06229_),
+    .X(_06240_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12448_ (.A(_06240_),
+    .X(_06241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12449_ (.A1(_05771_),
+    .A2(_06239_),
+    .B1(\u_m0_res_fifo.mem[5][15] ),
+    .B2(_06241_),
+    .X(_00207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12450_ (.A1(_05776_),
+    .A2(_06239_),
+    .B1(\u_m0_res_fifo.mem[5][14] ),
+    .B2(_06241_),
+    .X(_00206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12451_ (.A1(_05777_),
+    .A2(_06239_),
+    .B1(\u_m0_res_fifo.mem[5][13] ),
+    .B2(_06241_),
+    .X(_00205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12452_ (.A1(_05778_),
+    .A2(_06239_),
+    .B1(\u_m0_res_fifo.mem[5][12] ),
+    .B2(_06241_),
+    .X(_00204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12453_ (.A(_06238_),
+    .X(_06242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12454_ (.A(_06240_),
+    .X(_06243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12455_ (.A1(_05779_),
+    .A2(_06242_),
+    .B1(\u_m0_res_fifo.mem[5][11] ),
+    .B2(_06243_),
+    .X(_00203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12456_ (.A1(_05782_),
+    .A2(_06242_),
+    .B1(\u_m0_res_fifo.mem[5][10] ),
+    .B2(_06243_),
+    .X(_00202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12457_ (.A1(_05783_),
+    .A2(_06242_),
+    .B1(\u_m0_res_fifo.mem[5][9] ),
+    .B2(_06243_),
+    .X(_00201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12458_ (.A1(_05784_),
+    .A2(_06242_),
+    .B1(\u_m0_res_fifo.mem[5][8] ),
+    .B2(_06243_),
+    .X(_00200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12459_ (.A(_06238_),
+    .X(_06244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12460_ (.A(_06240_),
+    .X(_06245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12461_ (.A1(_05785_),
+    .A2(_06244_),
+    .B1(\u_m0_res_fifo.mem[5][7] ),
+    .B2(_06245_),
+    .X(_00199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12462_ (.A1(_05788_),
+    .A2(_06244_),
+    .B1(\u_m0_res_fifo.mem[5][6] ),
+    .B2(_06245_),
+    .X(_00198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12463_ (.A1(_05789_),
+    .A2(_06244_),
+    .B1(\u_m0_res_fifo.mem[5][5] ),
+    .B2(_06245_),
+    .X(_00197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12464_ (.A1(_05790_),
+    .A2(_06244_),
+    .B1(\u_m0_res_fifo.mem[5][4] ),
+    .B2(_06245_),
+    .X(_00196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12465_ (.A(_06238_),
+    .X(_06246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12466_ (.A(_06240_),
+    .X(_06247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12467_ (.A1(_05791_),
+    .A2(_06246_),
+    .B1(\u_m0_res_fifo.mem[5][3] ),
+    .B2(_06247_),
+    .X(_00195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12468_ (.A1(_05794_),
+    .A2(_06246_),
+    .B1(\u_m0_res_fifo.mem[5][2] ),
+    .B2(_06247_),
+    .X(_00194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12469_ (.A1(_05795_),
+    .A2(_06246_),
+    .B1(\u_m0_res_fifo.mem[5][1] ),
+    .B2(_06247_),
+    .X(_00193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12470_ (.A1(_05796_),
+    .A2(_06246_),
+    .B1(\u_m0_res_fifo.mem[5][0] ),
+    .B2(_06247_),
+    .X(_00192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12471_ (.A(_05392_),
+    .X(_06248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12472_ (.A(_06248_),
+    .X(_06249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12473_ (.A(_05396_),
+    .X(_06250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12474_ (.A1(_06249_),
+    .A2(_05511_),
+    .B1(\u_m0_res_fifo.mem[7][31] ),
+    .B2(_06250_),
+    .X(_00191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12475_ (.A1(_06249_),
+    .A2(_05516_),
+    .B1(\u_m0_res_fifo.mem[7][30] ),
+    .B2(_06250_),
+    .X(_00190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12476_ (.A1(_06249_),
+    .A2(_05518_),
+    .B1(\u_m0_res_fifo.mem[7][29] ),
+    .B2(_06250_),
+    .X(_00189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12477_ (.A1(_06249_),
+    .A2(_05521_),
+    .B1(\u_m0_res_fifo.mem[7][28] ),
+    .B2(_06250_),
+    .X(_00188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12478_ (.A(_06248_),
+    .X(_06251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12479_ (.A(_05396_),
+    .X(_06252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12480_ (.A1(_06251_),
+    .A2(_05524_),
+    .B1(\u_m0_res_fifo.mem[7][27] ),
+    .B2(_06252_),
+    .X(_00187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12481_ (.A1(_06251_),
+    .A2(_05527_),
+    .B1(\u_m0_res_fifo.mem[7][26] ),
+    .B2(_06252_),
+    .X(_00186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12482_ (.A1(_06251_),
+    .A2(_05529_),
+    .B1(\u_m0_res_fifo.mem[7][25] ),
+    .B2(_06252_),
+    .X(_00185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12483_ (.A1(_06251_),
+    .A2(_05532_),
+    .B1(\u_m0_res_fifo.mem[7][24] ),
+    .B2(_06252_),
+    .X(_00184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12484_ (.A(_06248_),
+    .X(_06253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12485_ (.A(_05396_),
+    .X(_06254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12486_ (.A1(_06253_),
+    .A2(_05535_),
+    .B1(\u_m0_res_fifo.mem[7][23] ),
+    .B2(_06254_),
+    .X(_00183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12487_ (.A1(_06253_),
+    .A2(_05538_),
+    .B1(\u_m0_res_fifo.mem[7][22] ),
+    .B2(_06254_),
+    .X(_00182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12488_ (.A1(_06253_),
+    .A2(_05540_),
+    .B1(\u_m0_res_fifo.mem[7][21] ),
+    .B2(_06254_),
+    .X(_00181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12489_ (.A1(_06253_),
+    .A2(_05544_),
+    .B1(\u_m0_res_fifo.mem[7][20] ),
+    .B2(_06254_),
+    .X(_00180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12490_ (.A(_06248_),
+    .X(_06255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12491_ (.A(_05393_),
+    .X(_06256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12492_ (.A(_06256_),
+    .X(_06257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12493_ (.A1(_06255_),
+    .A2(_05547_),
+    .B1(\u_m0_res_fifo.mem[7][19] ),
+    .B2(_06257_),
+    .X(_00179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12494_ (.A1(_06255_),
+    .A2(_05550_),
+    .B1(\u_m0_res_fifo.mem[7][18] ),
+    .B2(_06257_),
+    .X(_00178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12495_ (.A1(_06255_),
+    .A2(_05552_),
+    .B1(\u_m0_res_fifo.mem[7][17] ),
+    .B2(_06257_),
+    .X(_00177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12496_ (.A1(_06255_),
+    .A2(_05555_),
+    .B1(\u_m0_res_fifo.mem[7][16] ),
+    .B2(_06257_),
+    .X(_00176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12497_ (.A(_05392_),
+    .X(_06258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12498_ (.A(_06258_),
+    .X(_06259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12499_ (.A(_06256_),
+    .X(_06260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12500_ (.A1(_06259_),
+    .A2(_05559_),
+    .B1(\u_m0_res_fifo.mem[7][15] ),
+    .B2(_06260_),
+    .X(_00175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12501_ (.A1(_06259_),
+    .A2(_05563_),
+    .B1(\u_m0_res_fifo.mem[7][14] ),
+    .B2(_06260_),
+    .X(_00174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12502_ (.A1(_06259_),
+    .A2(_05565_),
+    .B1(\u_m0_res_fifo.mem[7][13] ),
+    .B2(_06260_),
+    .X(_00173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12503_ (.A1(_06259_),
+    .A2(_05568_),
+    .B1(\u_m0_res_fifo.mem[7][12] ),
+    .B2(_06260_),
+    .X(_00172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12504_ (.A(_06258_),
+    .X(_06261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12505_ (.A(_06256_),
+    .X(_06262_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12506_ (.A1(_06261_),
+    .A2(_05571_),
+    .B1(\u_m0_res_fifo.mem[7][11] ),
+    .B2(_06262_),
+    .X(_00171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12507_ (.A1(_06261_),
+    .A2(_05574_),
+    .B1(\u_m0_res_fifo.mem[7][10] ),
+    .B2(_06262_),
+    .X(_00170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12508_ (.A1(_06261_),
+    .A2(_05576_),
+    .B1(\u_m0_res_fifo.mem[7][9] ),
+    .B2(_06262_),
+    .X(_00169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12509_ (.A1(_06261_),
+    .A2(_05579_),
+    .B1(\u_m0_res_fifo.mem[7][8] ),
+    .B2(_06262_),
+    .X(_00168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12510_ (.A(_06258_),
+    .X(_06263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12511_ (.A(_06256_),
+    .X(_06264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12512_ (.A1(_06263_),
+    .A2(_05582_),
+    .B1(\u_m0_res_fifo.mem[7][7] ),
+    .B2(_06264_),
+    .X(_00167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12513_ (.A1(_06263_),
+    .A2(_05585_),
+    .B1(\u_m0_res_fifo.mem[7][6] ),
+    .B2(_06264_),
+    .X(_00166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12514_ (.A1(_06263_),
+    .A2(_05587_),
+    .B1(\u_m0_res_fifo.mem[7][5] ),
+    .B2(_06264_),
+    .X(_00165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12515_ (.A1(_06263_),
+    .A2(_05590_),
+    .B1(\u_m0_res_fifo.mem[7][4] ),
+    .B2(_06264_),
+    .X(_00164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12516_ (.A(_06258_),
+    .X(_06265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12517_ (.A(_05393_),
+    .X(_06266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12518_ (.A1(_06265_),
+    .A2(_05593_),
+    .B1(\u_m0_res_fifo.mem[7][3] ),
+    .B2(_06266_),
+    .X(_00163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12519_ (.A1(_06265_),
+    .A2(_05596_),
+    .B1(\u_m0_res_fifo.mem[7][2] ),
+    .B2(_06266_),
+    .X(_00162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12520_ (.A1(_06265_),
+    .A2(_05598_),
+    .B1(\u_m0_res_fifo.mem[7][1] ),
+    .B2(_06266_),
+    .X(_00161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12521_ (.A1(_06265_),
+    .A2(_05600_),
+    .B1(\u_m0_res_fifo.mem[7][0] ),
+    .B2(_06266_),
+    .X(_00160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _12522_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .B(_01832_),
+    .C(_03277_),
+    .X(_06267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12523_ (.A(_06267_),
+    .X(_06268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12524_ (.A(_06268_),
+    .X(_06269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12525_ (.A1_N(_05811_),
+    .A2_N(_06269_),
+    .B1(\u_m1_cmd_fifo.mem[0][31] ),
+    .B2(_06269_),
+    .X(_00159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12526_ (.A1_N(_05815_),
+    .A2_N(_06269_),
+    .B1(\u_m1_cmd_fifo.mem[0][30] ),
+    .B2(_06269_),
+    .X(_00158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12527_ (.A(_06268_),
+    .X(_06270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12528_ (.A1_N(_05822_),
+    .A2_N(_06270_),
+    .B1(\u_m1_cmd_fifo.mem[0][29] ),
+    .B2(_06270_),
+    .X(_00157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12529_ (.A1_N(_05832_),
+    .A2_N(_06270_),
+    .B1(\u_m1_cmd_fifo.mem[0][28] ),
+    .B2(_06270_),
+    .X(_00156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12530_ (.A(_06268_),
+    .X(_06271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12531_ (.A1_N(_05840_),
+    .A2_N(_06271_),
+    .B1(\u_m1_cmd_fifo.mem[0][27] ),
+    .B2(_06271_),
+    .X(_00155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12532_ (.A1_N(_05844_),
+    .A2_N(_06271_),
+    .B1(\u_m1_cmd_fifo.mem[0][26] ),
+    .B2(_06271_),
+    .X(_00154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12533_ (.A(_06268_),
+    .X(_06272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12534_ (.A1_N(_05850_),
+    .A2_N(_06272_),
+    .B1(\u_m1_cmd_fifo.mem[0][25] ),
+    .B2(_06272_),
+    .X(_00153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12535_ (.A1_N(_05857_),
+    .A2_N(_06272_),
+    .B1(\u_m1_cmd_fifo.mem[0][24] ),
+    .B2(_06272_),
+    .X(_00152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12536_ (.A(_06267_),
+    .X(_06273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12537_ (.A(_06273_),
+    .X(_06274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12538_ (.A1_N(_05864_),
+    .A2_N(_06274_),
+    .B1(\u_m1_cmd_fifo.mem[0][23] ),
+    .B2(_06274_),
+    .X(_00151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12539_ (.A1_N(_05868_),
+    .A2_N(_06274_),
+    .B1(\u_m1_cmd_fifo.mem[0][22] ),
+    .B2(_06274_),
+    .X(_00150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12540_ (.A(_06273_),
+    .X(_06275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12541_ (.A1_N(_05875_),
+    .A2_N(_06275_),
+    .B1(\u_m1_cmd_fifo.mem[0][21] ),
+    .B2(_06275_),
+    .X(_00149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12542_ (.A1_N(_05882_),
+    .A2_N(_06275_),
+    .B1(\u_m1_cmd_fifo.mem[0][20] ),
+    .B2(_06275_),
+    .X(_00148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12543_ (.A(_06273_),
+    .X(_06276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12544_ (.A1_N(_05890_),
+    .A2_N(_06276_),
+    .B1(\u_m1_cmd_fifo.mem[0][19] ),
+    .B2(_06276_),
+    .X(_00147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12545_ (.A1_N(_05894_),
+    .A2_N(_06276_),
+    .B1(\u_m1_cmd_fifo.mem[0][18] ),
+    .B2(_06276_),
+    .X(_00146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12546_ (.A(_06273_),
+    .X(_06277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12547_ (.A1_N(_05899_),
+    .A2_N(_06277_),
+    .B1(\u_m1_cmd_fifo.mem[0][17] ),
+    .B2(_06277_),
+    .X(_00145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12548_ (.A1_N(_05903_),
+    .A2_N(_06277_),
+    .B1(\u_m1_cmd_fifo.mem[0][16] ),
+    .B2(_06277_),
+    .X(_00144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12549_ (.A(_06267_),
+    .X(_06278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12550_ (.A(_06278_),
+    .X(_06279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12551_ (.A1_N(_05911_),
+    .A2_N(_06279_),
+    .B1(\u_m1_cmd_fifo.mem[0][15] ),
+    .B2(_06279_),
+    .X(_00143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12552_ (.A1_N(_05917_),
+    .A2_N(_06279_),
+    .B1(\u_m1_cmd_fifo.mem[0][14] ),
+    .B2(_06279_),
+    .X(_00142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12553_ (.A(_06278_),
+    .X(_06280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12554_ (.A1_N(_05922_),
+    .A2_N(_06280_),
+    .B1(\u_m1_cmd_fifo.mem[0][13] ),
+    .B2(_06280_),
+    .X(_00141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12555_ (.A1_N(_05927_),
+    .A2_N(_06280_),
+    .B1(\u_m1_cmd_fifo.mem[0][12] ),
+    .B2(_06280_),
+    .X(_00140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12556_ (.A(_06278_),
+    .X(_06281_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12557_ (.A1_N(_05935_),
+    .A2_N(_06281_),
+    .B1(\u_m1_cmd_fifo.mem[0][11] ),
+    .B2(_06281_),
+    .X(_00139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12558_ (.A1_N(_05941_),
+    .A2_N(_06281_),
+    .B1(\u_m1_cmd_fifo.mem[0][10] ),
+    .B2(_06281_),
+    .X(_00138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12559_ (.A(_06278_),
+    .X(_06282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12560_ (.A1_N(_05947_),
+    .A2_N(_06282_),
+    .B1(\u_m1_cmd_fifo.mem[0][9] ),
+    .B2(_06282_),
+    .X(_00137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12561_ (.A1_N(_05951_),
+    .A2_N(_06282_),
+    .B1(\u_m1_cmd_fifo.mem[0][8] ),
+    .B2(_06282_),
+    .X(_00136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12562_ (.A(_06267_),
+    .X(_06283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12563_ (.A(_06283_),
+    .X(_06284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12564_ (.A1_N(_05957_),
+    .A2_N(_06284_),
+    .B1(\u_m1_cmd_fifo.mem[0][7] ),
+    .B2(_06284_),
+    .X(_00135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12565_ (.A1_N(_05963_),
+    .A2_N(_06284_),
+    .B1(\u_m1_cmd_fifo.mem[0][6] ),
+    .B2(_06284_),
+    .X(_00134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12566_ (.A(_06283_),
+    .X(_06285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12567_ (.A1_N(_05970_),
+    .A2_N(_06285_),
+    .B1(\u_m1_cmd_fifo.mem[0][5] ),
+    .B2(_06285_),
+    .X(_00133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12568_ (.A1_N(_05974_),
+    .A2_N(_06285_),
+    .B1(\u_m1_cmd_fifo.mem[0][4] ),
+    .B2(_06285_),
+    .X(_00132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12569_ (.A(_06283_),
+    .X(_06286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12570_ (.A1_N(_05980_),
+    .A2_N(_06286_),
+    .B1(\u_m1_cmd_fifo.mem[0][3] ),
+    .B2(_06286_),
+    .X(_00131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12571_ (.A1_N(_05984_),
+    .A2_N(_06286_),
+    .B1(\u_m1_cmd_fifo.mem[0][2] ),
+    .B2(_06286_),
+    .X(_00130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12572_ (.A(_06283_),
+    .X(_06287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12573_ (.A1_N(_05989_),
+    .A2_N(_06287_),
+    .B1(\u_m1_cmd_fifo.mem[0][1] ),
+    .B2(_06287_),
+    .X(_00129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12574_ (.A1_N(_05993_),
+    .A2_N(_06287_),
+    .B1(\u_m1_cmd_fifo.mem[0][0] ),
+    .B2(_06287_),
+    .X(_00128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12575_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .B(_03278_),
+    .X(_06288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12576_ (.A(_06288_),
+    .X(_06289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12577_ (.A(_06289_),
+    .X(_06290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12578_ (.A1_N(_06290_),
+    .A2_N(_05811_),
+    .B1(\u_m1_cmd_fifo.mem[1][31] ),
+    .B2(_06290_),
+    .X(_00127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12579_ (.A1_N(_06290_),
+    .A2_N(_05815_),
+    .B1(\u_m1_cmd_fifo.mem[1][30] ),
+    .B2(_06290_),
+    .X(_00126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12580_ (.A(_06289_),
+    .X(_06291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12581_ (.A1_N(_06291_),
+    .A2_N(_05822_),
+    .B1(\u_m1_cmd_fifo.mem[1][29] ),
+    .B2(_06291_),
+    .X(_00125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12582_ (.A1_N(_06291_),
+    .A2_N(_05832_),
+    .B1(\u_m1_cmd_fifo.mem[1][28] ),
+    .B2(_06291_),
+    .X(_00124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12583_ (.A(_06289_),
+    .X(_06292_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12584_ (.A1_N(_06292_),
+    .A2_N(_05840_),
+    .B1(\u_m1_cmd_fifo.mem[1][27] ),
+    .B2(_06292_),
+    .X(_00123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12585_ (.A1_N(_06292_),
+    .A2_N(_05844_),
+    .B1(\u_m1_cmd_fifo.mem[1][26] ),
+    .B2(_06292_),
+    .X(_00122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12586_ (.A(_06289_),
+    .X(_06293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12587_ (.A1_N(_06293_),
+    .A2_N(_05850_),
+    .B1(\u_m1_cmd_fifo.mem[1][25] ),
+    .B2(_06293_),
+    .X(_00121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12588_ (.A1_N(_06293_),
+    .A2_N(_05857_),
+    .B1(\u_m1_cmd_fifo.mem[1][24] ),
+    .B2(_06293_),
+    .X(_00120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12589_ (.A(_06288_),
+    .X(_06294_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12590_ (.A(_06294_),
+    .X(_06295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12591_ (.A1_N(_06295_),
+    .A2_N(_05864_),
+    .B1(\u_m1_cmd_fifo.mem[1][23] ),
+    .B2(_06295_),
+    .X(_00119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12592_ (.A1_N(_06295_),
+    .A2_N(_05868_),
+    .B1(\u_m1_cmd_fifo.mem[1][22] ),
+    .B2(_06295_),
+    .X(_00118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12593_ (.A(_06294_),
+    .X(_06296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12594_ (.A1_N(_06296_),
+    .A2_N(_05875_),
+    .B1(\u_m1_cmd_fifo.mem[1][21] ),
+    .B2(_06296_),
+    .X(_00117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12595_ (.A1_N(_06296_),
+    .A2_N(_05882_),
+    .B1(\u_m1_cmd_fifo.mem[1][20] ),
+    .B2(_06296_),
+    .X(_00116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12596_ (.A(_06294_),
+    .X(_06297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12597_ (.A1_N(_06297_),
+    .A2_N(_05890_),
+    .B1(\u_m1_cmd_fifo.mem[1][19] ),
+    .B2(_06297_),
+    .X(_00115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12598_ (.A1_N(_06297_),
+    .A2_N(_05894_),
+    .B1(\u_m1_cmd_fifo.mem[1][18] ),
+    .B2(_06297_),
+    .X(_00114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12599_ (.A(_06294_),
+    .X(_06298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12600_ (.A1_N(_06298_),
+    .A2_N(_05899_),
+    .B1(\u_m1_cmd_fifo.mem[1][17] ),
+    .B2(_06298_),
+    .X(_00113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12601_ (.A1_N(_06298_),
+    .A2_N(_05903_),
+    .B1(\u_m1_cmd_fifo.mem[1][16] ),
+    .B2(_06298_),
+    .X(_00112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12602_ (.A(_06288_),
+    .X(_06299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12603_ (.A(_06299_),
+    .X(_06300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12604_ (.A1_N(_06300_),
+    .A2_N(_05911_),
+    .B1(\u_m1_cmd_fifo.mem[1][15] ),
+    .B2(_06300_),
+    .X(_00111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12605_ (.A1_N(_06300_),
+    .A2_N(_05917_),
+    .B1(\u_m1_cmd_fifo.mem[1][14] ),
+    .B2(_06300_),
+    .X(_00110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12606_ (.A(_06299_),
+    .X(_06301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12607_ (.A1_N(_06301_),
+    .A2_N(_05922_),
+    .B1(\u_m1_cmd_fifo.mem[1][13] ),
+    .B2(_06301_),
+    .X(_00109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12608_ (.A1_N(_06301_),
+    .A2_N(_05927_),
+    .B1(\u_m1_cmd_fifo.mem[1][12] ),
+    .B2(_06301_),
+    .X(_00108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12609_ (.A(_06299_),
+    .X(_06302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12610_ (.A1_N(_06302_),
+    .A2_N(_05935_),
+    .B1(\u_m1_cmd_fifo.mem[1][11] ),
+    .B2(_06302_),
+    .X(_00107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12611_ (.A1_N(_06302_),
+    .A2_N(_05941_),
+    .B1(\u_m1_cmd_fifo.mem[1][10] ),
+    .B2(_06302_),
+    .X(_00106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12612_ (.A(_06299_),
+    .X(_06303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12613_ (.A1_N(_06303_),
+    .A2_N(_05947_),
+    .B1(\u_m1_cmd_fifo.mem[1][9] ),
+    .B2(_06303_),
+    .X(_00105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12614_ (.A1_N(_06303_),
+    .A2_N(_05951_),
+    .B1(\u_m1_cmd_fifo.mem[1][8] ),
+    .B2(_06303_),
+    .X(_00104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12615_ (.A(_06288_),
+    .X(_06304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12616_ (.A(_06304_),
+    .X(_06305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12617_ (.A1_N(_06305_),
+    .A2_N(_05957_),
+    .B1(\u_m1_cmd_fifo.mem[1][7] ),
+    .B2(_06305_),
+    .X(_00103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12618_ (.A1_N(_06305_),
+    .A2_N(_05963_),
+    .B1(\u_m1_cmd_fifo.mem[1][6] ),
+    .B2(_06305_),
+    .X(_00102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12619_ (.A(_06304_),
+    .X(_06306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12620_ (.A1_N(_06306_),
+    .A2_N(_05970_),
+    .B1(\u_m1_cmd_fifo.mem[1][5] ),
+    .B2(_06306_),
+    .X(_00101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12621_ (.A1_N(_06306_),
+    .A2_N(_05974_),
+    .B1(\u_m1_cmd_fifo.mem[1][4] ),
+    .B2(_06306_),
+    .X(_00100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12622_ (.A(_06304_),
+    .X(_06307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12623_ (.A1_N(_06307_),
+    .A2_N(_05980_),
+    .B1(\u_m1_cmd_fifo.mem[1][3] ),
+    .B2(_06307_),
+    .X(_00099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12624_ (.A1_N(_06307_),
+    .A2_N(_05984_),
+    .B1(\u_m1_cmd_fifo.mem[1][2] ),
+    .B2(_06307_),
+    .X(_00098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12625_ (.A(_06304_),
+    .X(_06308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12626_ (.A1_N(_06308_),
+    .A2_N(_05989_),
+    .B1(\u_m1_cmd_fifo.mem[1][1] ),
+    .B2(_06308_),
+    .X(_00097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12627_ (.A1_N(_06308_),
+    .A2_N(_05993_),
+    .B1(\u_m1_cmd_fifo.mem[1][0] ),
+    .B2(_06308_),
+    .X(_00096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _12628_ (.A(_01836_),
+    .B(_01832_),
+    .C(_03277_),
+    .X(_06309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12629_ (.A(_06309_),
+    .X(_06310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12630_ (.A(_06310_),
+    .X(_06311_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12631_ (.A1_N(_05811_),
+    .A2_N(_06311_),
+    .B1(\u_m1_cmd_fifo.mem[2][31] ),
+    .B2(_06311_),
+    .X(_00095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12632_ (.A1_N(_05815_),
+    .A2_N(_06311_),
+    .B1(\u_m1_cmd_fifo.mem[2][30] ),
+    .B2(_06311_),
+    .X(_00094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12633_ (.A(_06310_),
+    .X(_06312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12634_ (.A1_N(_05822_),
+    .A2_N(_06312_),
+    .B1(\u_m1_cmd_fifo.mem[2][29] ),
+    .B2(_06312_),
+    .X(_00093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12635_ (.A1_N(_05832_),
+    .A2_N(_06312_),
+    .B1(\u_m1_cmd_fifo.mem[2][28] ),
+    .B2(_06312_),
+    .X(_00092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12636_ (.A(_06310_),
+    .X(_06313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12637_ (.A1_N(_05840_),
+    .A2_N(_06313_),
+    .B1(\u_m1_cmd_fifo.mem[2][27] ),
+    .B2(_06313_),
+    .X(_00091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12638_ (.A1_N(_05844_),
+    .A2_N(_06313_),
+    .B1(\u_m1_cmd_fifo.mem[2][26] ),
+    .B2(_06313_),
+    .X(_00090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12639_ (.A(_06310_),
+    .X(_06314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12640_ (.A1_N(_05850_),
+    .A2_N(_06314_),
+    .B1(\u_m1_cmd_fifo.mem[2][25] ),
+    .B2(_06314_),
+    .X(_00089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12641_ (.A1_N(_05857_),
+    .A2_N(_06314_),
+    .B1(\u_m1_cmd_fifo.mem[2][24] ),
+    .B2(_06314_),
+    .X(_00088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12642_ (.A(_06309_),
+    .X(_06315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12643_ (.A(_06315_),
+    .X(_06316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12644_ (.A1_N(_05864_),
+    .A2_N(_06316_),
+    .B1(\u_m1_cmd_fifo.mem[2][23] ),
+    .B2(_06316_),
+    .X(_00087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12645_ (.A1_N(_05868_),
+    .A2_N(_06316_),
+    .B1(\u_m1_cmd_fifo.mem[2][22] ),
+    .B2(_06316_),
+    .X(_00086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12646_ (.A(_06315_),
+    .X(_06317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12647_ (.A1_N(_05875_),
+    .A2_N(_06317_),
+    .B1(\u_m1_cmd_fifo.mem[2][21] ),
+    .B2(_06317_),
+    .X(_00085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12648_ (.A1_N(_05882_),
+    .A2_N(_06317_),
+    .B1(\u_m1_cmd_fifo.mem[2][20] ),
+    .B2(_06317_),
+    .X(_00084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12649_ (.A(_06315_),
+    .X(_06318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12650_ (.A1_N(_05890_),
+    .A2_N(_06318_),
+    .B1(\u_m1_cmd_fifo.mem[2][19] ),
+    .B2(_06318_),
+    .X(_00083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12651_ (.A1_N(_05894_),
+    .A2_N(_06318_),
+    .B1(\u_m1_cmd_fifo.mem[2][18] ),
+    .B2(_06318_),
+    .X(_00082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12652_ (.A(_06315_),
+    .X(_06319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12653_ (.A1_N(_05899_),
+    .A2_N(_06319_),
+    .B1(\u_m1_cmd_fifo.mem[2][17] ),
+    .B2(_06319_),
+    .X(_00081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12654_ (.A1_N(_05903_),
+    .A2_N(_06319_),
+    .B1(\u_m1_cmd_fifo.mem[2][16] ),
+    .B2(_06319_),
+    .X(_00080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12655_ (.A(_06309_),
+    .X(_06320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12656_ (.A(_06320_),
+    .X(_06321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12657_ (.A1_N(_05911_),
+    .A2_N(_06321_),
+    .B1(\u_m1_cmd_fifo.mem[2][15] ),
+    .B2(_06321_),
+    .X(_00079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12658_ (.A1_N(_05917_),
+    .A2_N(_06321_),
+    .B1(\u_m1_cmd_fifo.mem[2][14] ),
+    .B2(_06321_),
+    .X(_00078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12659_ (.A(_06320_),
+    .X(_06322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12660_ (.A1_N(_05922_),
+    .A2_N(_06322_),
+    .B1(\u_m1_cmd_fifo.mem[2][13] ),
+    .B2(_06322_),
+    .X(_00077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12661_ (.A1_N(_05927_),
+    .A2_N(_06322_),
+    .B1(\u_m1_cmd_fifo.mem[2][12] ),
+    .B2(_06322_),
+    .X(_00076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12662_ (.A(_06320_),
+    .X(_06323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12663_ (.A1_N(_05935_),
+    .A2_N(_06323_),
+    .B1(\u_m1_cmd_fifo.mem[2][11] ),
+    .B2(_06323_),
+    .X(_00075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12664_ (.A1_N(_05941_),
+    .A2_N(_06323_),
+    .B1(\u_m1_cmd_fifo.mem[2][10] ),
+    .B2(_06323_),
+    .X(_00074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12665_ (.A(_06320_),
+    .X(_06324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12666_ (.A1_N(_05947_),
+    .A2_N(_06324_),
+    .B1(\u_m1_cmd_fifo.mem[2][9] ),
+    .B2(_06324_),
+    .X(_00073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12667_ (.A1_N(_05951_),
+    .A2_N(_06324_),
+    .B1(\u_m1_cmd_fifo.mem[2][8] ),
+    .B2(_06324_),
+    .X(_00072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12668_ (.A(_06309_),
+    .X(_06325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12669_ (.A(_06325_),
+    .X(_06326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12670_ (.A1_N(_05957_),
+    .A2_N(_06326_),
+    .B1(\u_m1_cmd_fifo.mem[2][7] ),
+    .B2(_06326_),
+    .X(_00071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12671_ (.A1_N(_05963_),
+    .A2_N(_06326_),
+    .B1(\u_m1_cmd_fifo.mem[2][6] ),
+    .B2(_06326_),
+    .X(_00070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12672_ (.A(_06325_),
+    .X(_06327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12673_ (.A1_N(_05970_),
+    .A2_N(_06327_),
+    .B1(\u_m1_cmd_fifo.mem[2][5] ),
+    .B2(_06327_),
+    .X(_00069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12674_ (.A1_N(_05974_),
+    .A2_N(_06327_),
+    .B1(\u_m1_cmd_fifo.mem[2][4] ),
+    .B2(_06327_),
+    .X(_00068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12675_ (.A(_06325_),
+    .X(_06328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12676_ (.A1_N(_05980_),
+    .A2_N(_06328_),
+    .B1(\u_m1_cmd_fifo.mem[2][3] ),
+    .B2(_06328_),
+    .X(_00067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12677_ (.A1_N(_05984_),
+    .A2_N(_06328_),
+    .B1(\u_m1_cmd_fifo.mem[2][2] ),
+    .B2(_06328_),
+    .X(_00066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12678_ (.A(_06325_),
+    .X(_06329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12679_ (.A1_N(_05989_),
+    .A2_N(_06329_),
+    .B1(\u_m1_cmd_fifo.mem[2][1] ),
+    .B2(_06329_),
+    .X(_00065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12680_ (.A1_N(_05993_),
+    .A2_N(_06329_),
+    .B1(\u_m1_cmd_fifo.mem[2][0] ),
+    .B2(_06329_),
+    .X(_00064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _12681_ (.A(_01866_),
+    .B(_02522_),
+    .X(_06330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12682_ (.A1(_05248_),
+    .A2(_02523_),
+    .B1(_01527_),
+    .B2(_06330_),
+    .X(_06331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12683_ (.A(_06331_),
+    .Y(_00001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12684_ (.A(_05175_),
+    .Y(_06332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _12685_ (.A(_06332_),
+    .B(_05180_),
+    .X(_06333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12686_ (.A(_06333_),
+    .X(io_oeb[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12687_ (.A1(\u_m0_cmd_fifo.empty ),
+    .A2(_02523_),
+    .B1(_05414_),
+    .B2(_06330_),
+    .X(_00000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12688_ (.A(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .Y(_06334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _12689_ (.A(_05048_),
+    .B(_04734_),
+    .X(_06335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _12690_ (.A1(_04805_),
+    .A2(_05062_),
+    .B1(_05063_),
+    .X(_06336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _12691_ (.A1(_05060_),
+    .A2(_06336_),
+    .B1(_03909_),
+    .X(_06337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12692_ (.A1(_06334_),
+    .A2(_06335_),
+    .B1(_05078_),
+    .B2(_06337_),
+    .X(_06338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12693_ (.A(_06338_),
+    .Y(_00002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _12694_ (.A1(_04592_),
+    .A2(psn_net_107),
+    .B1(_03835_),
+    .X(_06339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12695_ (.A(_03906_),
+    .B(psn_net_112),
+    .X(_06340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _12696_ (.A(_03950_),
+    .B(_04667_),
+    .C(_03829_),
+    .D(_06340_),
+    .X(_06341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12697_ (.A1(_03850_),
+    .A2(_06339_),
+    .B1(_03735_),
+    .B2(_06341_),
+    .X(_06342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12698_ (.A(_06342_),
+    .Y(_00008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _12699_ (.A1(_05281_),
+    .A2(_02693_),
+    .B1(\u_spim_regs.spi_init_state[0] ),
+    .X(_00009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12700_ (.A(_02678_),
+    .Y(_06343_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _12701_ (.A1(\u_spim_regs.spi_init_state[1] ),
+    .A2(_06343_),
+    .B1(_02612_),
+    .X(_00010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12702_ (.A1_N(_05281_),
+    .A2_N(_02576_),
+    .B1(\u_spim_regs.spi_init_state[2] ),
+    .B2(_06343_),
+    .X(_00011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _12703_ (.A1(\u_spim_regs.spi_init_state[3] ),
+    .A2(_06343_),
+    .B1(_03604_),
+    .X(_00012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12704_ (.A1_N(_02580_),
+    .A2_N(_05275_),
+    .B1(\u_spim_regs.spi_init_state[1] ),
+    .B2(_02678_),
+    .X(_00014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _12705_ (.A1(_02567_),
+    .A2(_02694_),
+    .A3(\u_spim_regs.spi_init_state[0] ),
+    .B1(_02672_),
+    .B2(\u_spim_regs.spi_init_state[4] ),
+    .X(_00013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _12706_ (.A1(_02567_),
+    .A2(_02676_),
+    .A3(\u_spim_regs.spi_init_state[2] ),
+    .B1(_02672_),
+    .B2(\u_spim_regs.spi_init_state[6] ),
+    .X(_00015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _12707_ (.A1(\u_spim_regs.spi_init_state[3] ),
+    .A2(_02678_),
+    .B1(\u_spim_regs.spi_init_state[7] ),
+    .X(_00016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _12708_ (.A1(_04592_),
+    .A2(_03817_),
+    .B1(_04643_),
+    .X(_06344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12709_ (.A1(psn_net_184),
+    .A2(_03907_),
+    .B1(_04667_),
+    .B2(_06344_),
+    .X(_06345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12710_ (.A(_06345_),
+    .Y(_00007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _12711_ (.A1(_03816_),
+    .A2(_03370_),
+    .B1(_03735_),
+    .X(_06346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12712_ (.A1(_03816_),
+    .A2(_04643_),
+    .B1(_04592_),
+    .B2(_06346_),
+    .X(_00006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _12713_ (.A(_05048_),
+    .B(psn_net_231),
+    .C(_05060_),
+    .X(_06347_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12714_ (.A(_05055_),
+    .B(_06347_),
+    .X(_06348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _12715_ (.A1(\u_spictrl.rx_done ),
+    .A2(_05051_),
+    .A3(_06347_),
+    .B1(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .B2(_06348_),
+    .X(_00005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _12716_ (.A(\u_spictrl.rx_done ),
+    .B(_05062_),
+    .C(_05097_),
+    .D(_05071_),
+    .X(_06349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _12717_ (.A1(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .A2(_06348_),
+    .B1_N(_06349_),
+    .X(_00003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12718_ (.A(_00005_),
+    .B(_00003_),
+    .X(_00060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12719_ (.A1(_06334_),
+    .A2(_04691_),
+    .B1(_03815_),
+    .B2(_05061_),
+    .X(_06350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _12720_ (.A1(_03906_),
+    .A2(_05072_),
+    .B1(_05048_),
+    .X(_06351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12721_ (.A1(_05078_),
+    .A2(_06350_),
+    .B1(_05062_),
+    .B2(_06351_),
+    .X(_06352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12722_ (.A(_06352_),
+    .Y(_00004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12723_ (.A(_00005_),
+    .B(_00004_),
+    .X(_00059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12724_ (.A(_01683_),
+    .Y(\u_m0_cmd_fifo.full ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _12725_ (.A(\u_spictrl.u_txreg.data_ready_f ),
+    .B(_04066_),
+    .C(_04675_),
+    .X(_00061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12726_ (.A(_05134_),
+    .B(_05140_),
+    .X(_00019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12727_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .B(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .X(_06353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12728_ (.A(_05134_),
+    .B(_05131_),
+    .X(_06354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12729_ (.A(_05139_),
+    .X(_06355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _12730_ (.A(_06353_),
+    .B(_06354_),
+    .C(_06355_),
+    .X(_00020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _12731_ (.A(_05136_),
+    .B(_06354_),
+    .Y(_06356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12732_ (.A(_05136_),
+    .B(_06354_),
+    .X(_06357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _12733_ (.A(_06356_),
+    .B(_06357_),
+    .C(_06355_),
+    .X(_00021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _12734_ (.A(_05124_),
+    .B(_06357_),
+    .Y(_06358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12735_ (.A(_05124_),
+    .B(_06357_),
+    .X(_06359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _12736_ (.A(_06358_),
+    .B(_06359_),
+    .C(_06355_),
+    .X(_00022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _12737_ (.A(_05126_),
+    .B(_06359_),
+    .Y(_06360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12738_ (.A(_05126_),
+    .B(_06359_),
+    .X(_06361_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _12739_ (.A(_06360_),
+    .B(_06361_),
+    .C(_05139_),
+    .X(_00023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _12740_ (.A(_05129_),
+    .B(_06361_),
+    .Y(_06362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _12741_ (.A1(_05129_),
+    .A2(_06361_),
+    .B1(_05140_),
+    .C1(_06362_),
+    .X(_06363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12742_ (.A(_06363_),
+    .Y(_00024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _12743_ (.A(_06355_),
+    .B(_00017_),
+    .Y(_00025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _12744_ (.A(_02518_),
+    .B(_01527_),
+    .X(_06364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _12745_ (.A1(_02517_),
+    .A2(_05353_),
+    .B1(_06364_),
+    .C1(spi_ctrl_status),
+    .X(_00018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _12746_ (.A1(_03706_),
+    .A2(\u_spictrl.s_spi_mode[0] ),
+    .B1(io_oeb[3]),
+    .X(io_oeb[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _12747_ (.A1(_04805_),
+    .A2(_05070_),
+    .B1(_05055_),
+    .X(_06365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _12748_ (.A(_05063_),
+    .B(_05058_),
+    .C(_06365_),
+    .X(_06366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _12749_ (.A(_04749_),
+    .B(_05053_),
+    .C(_05060_),
+    .D(_06366_),
+    .X(_06367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12750_ (.A(_06367_),
+    .Y(_00058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _12751_ (.A1(\u_spim_regs.spi_init_state[7] ),
+    .A2(_02694_),
+    .B1(_05281_),
+    .X(_00063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12752_ (.A1_N(\u_wb_if.NextPreDCnt[7] ),
+    .A2_N(_01438_),
+    .B1(\u_wb_if.NextPreDCnt[7] ),
+    .B2(_01438_),
+    .X(_06368_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12753_ (.A1(_01505_),
+    .A2(_01450_),
+    .B1(_01443_),
+    .B2(_06368_),
+    .X(_06369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12754_ (.A(_06369_),
+    .Y(_01277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12755_ (.LO(io_oeb[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12756_ (.LO(io_oeb[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12757_ (.LO(spi_debug[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12758_ (.LO(spi_debug[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12759_ (.LO(spi_debug[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12760_ (.LO(spi_debug[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12761_ (.LO(spi_debug[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12762_ (.LO(spi_debug[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12763_ (.LO(spi_debug[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12764_ (.LO(spi_debug[8]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12765_ (.LO(spi_debug[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12766_ (.LO(spi_debug[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12767_ (.LO(spi_debug[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__conb_1 _12768_ (.LO(wbd_err_o),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12769_ (.A(psn_net_164),
     .X(io_oeb[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09078_ (.A(io_oeb[5]),
+ sky130_fd_sc_hd__buf_2 _12770_ (.A(io_oeb[5]),
     .X(io_oeb[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09079_ (.A(\u_spictrl.spi_clk ),
+ sky130_fd_sc_hd__buf_2 _12771_ (.A(\u_spictrl.spi_clk ),
     .X(io_out[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09080_ (.A(\u_spictrl.spi_csn0 ),
+ sky130_fd_sc_hd__buf_2 _12772_ (.A(\u_spictrl.spi_csn0 ),
     .X(io_out[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09081_ (.A(spi_sdo0_out),
+ sky130_fd_sc_hd__buf_2 _12773_ (.A(spi_sdo0_out),
     .X(io_out[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09082_ (.A(spi_sdo1_out),
+ sky130_fd_sc_hd__buf_2 _12774_ (.A(spi_sdo1_out),
     .X(io_out[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09083_ (.A(spi_sdo2_out),
+ sky130_fd_sc_hd__buf_2 _12775_ (.A(spi_sdo2_out),
     .X(io_out[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09084_ (.A(spi_sdo3_out),
+ sky130_fd_sc_hd__buf_2 _12776_ (.A(spi_sdo3_out),
     .X(io_out[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09085_ (.A(\u_spictrl.fsm_flush ),
+ sky130_fd_sc_hd__buf_2 _12777_ (.A(spi_ctrl_status),
     .X(spi_debug[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09086_ (.A(\u_m1_res_fifo.empty ),
+ sky130_fd_sc_hd__buf_2 _12778_ (.A(\u_m1_res_fifo.empty ),
     .X(spi_debug[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09087_ (.A(\u_m1_res_fifo.full ),
+ sky130_fd_sc_hd__buf_2 _12779_ (.A(\u_m1_res_fifo.full ),
     .X(spi_debug[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09088_ (.A(\u_m1_cmd_fifo.empty ),
+ sky130_fd_sc_hd__buf_2 _12780_ (.A(\u_m1_cmd_fifo.empty ),
     .X(spi_debug[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09089_ (.A(\u_m1_cmd_fifo.full ),
+ sky130_fd_sc_hd__buf_2 _12781_ (.A(\u_m1_cmd_fifo.full ),
     .X(spi_debug[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09090_ (.A(\u_m0_res_fifo.empty ),
+ sky130_fd_sc_hd__buf_2 _12782_ (.A(\u_m0_res_fifo.empty ),
     .X(spi_debug[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09091_ (.A(\u_m0_res_fifo.full ),
+ sky130_fd_sc_hd__buf_2 _12783_ (.A(\u_m0_res_fifo.full ),
     .X(spi_debug[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09092_ (.A(\u_m0_cmd_fifo.empty ),
+ sky130_fd_sc_hd__buf_2 _12784_ (.A(\u_m0_cmd_fifo.empty ),
     .X(spi_debug[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09093_ (.A(\u_m0_cmd_fifo.full ),
+ sky130_fd_sc_hd__buf_2 _12785_ (.A(\u_m0_cmd_fifo.full ),
     .X(spi_debug[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09094_ (.A(\u_spim_regs.spi_init_done ),
+ sky130_fd_sc_hd__buf_2 _12786_ (.A(\u_spim_regs.spi_init_done ),
     .X(spi_debug[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09095_ (.A(\u_m1_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 _12787_ (.A(\u_m1_res_fifo.flush ),
     .X(spi_debug[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09096_ (.A(\u_m0_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 _12788_ (.A(\u_m0_res_fifo.flush ),
     .X(spi_debug[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09097_ (.D(_00061_),
-    .Q(\u_m0_res_fifo.mem[2][0] ),
-    .CLK(clknet_7_78_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12789_ (.D(_00064_),
+    .Q(\u_m1_cmd_fifo.mem[2][0] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09098_ (.D(_00062_),
-    .Q(\u_m0_res_fifo.mem[2][1] ),
-    .CLK(clknet_7_78_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12790_ (.D(_00065_),
+    .Q(\u_m1_cmd_fifo.mem[2][1] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09099_ (.D(_00063_),
-    .Q(\u_m0_res_fifo.mem[2][2] ),
-    .CLK(clknet_7_100_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09100_ (.D(_00064_),
-    .Q(\u_m0_res_fifo.mem[2][3] ),
-    .CLK(clknet_7_100_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09101_ (.D(_00065_),
-    .Q(\u_m0_res_fifo.mem[2][4] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09102_ (.D(_00066_),
-    .Q(\u_m0_res_fifo.mem[2][5] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09103_ (.D(_00067_),
-    .Q(\u_m0_res_fifo.mem[2][6] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09104_ (.D(_00068_),
-    .Q(\u_m0_res_fifo.mem[2][7] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09105_ (.D(_00069_),
-    .Q(\u_m0_res_fifo.mem[2][8] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09106_ (.D(_00070_),
-    .Q(\u_m0_res_fifo.mem[2][9] ),
-    .CLK(clknet_7_91_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09107_ (.D(_00071_),
-    .Q(\u_m0_res_fifo.mem[2][10] ),
-    .CLK(clknet_7_88_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09108_ (.D(_00072_),
-    .Q(\u_m0_res_fifo.mem[2][11] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09109_ (.D(_00073_),
-    .Q(\u_m0_res_fifo.mem[2][12] ),
-    .CLK(clknet_7_88_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09110_ (.D(_00074_),
-    .Q(\u_m0_res_fifo.mem[2][13] ),
-    .CLK(clknet_7_82_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09111_ (.D(_00075_),
-    .Q(\u_m0_res_fifo.mem[2][14] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09112_ (.D(_00076_),
-    .Q(\u_m0_res_fifo.mem[2][15] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09113_ (.D(_00077_),
-    .Q(\u_m0_res_fifo.mem[2][16] ),
-    .CLK(clknet_7_82_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09114_ (.D(_00078_),
-    .Q(\u_m0_res_fifo.mem[2][17] ),
-    .CLK(clknet_7_82_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09115_ (.D(_00079_),
-    .Q(\u_m0_res_fifo.mem[2][18] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09116_ (.D(_00080_),
-    .Q(\u_m0_res_fifo.mem[2][19] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09117_ (.D(_00081_),
-    .Q(\u_m0_res_fifo.mem[2][20] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09118_ (.D(_00082_),
-    .Q(\u_m0_res_fifo.mem[2][21] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09119_ (.D(_00083_),
-    .Q(\u_m0_res_fifo.mem[2][22] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09120_ (.D(_00084_),
-    .Q(\u_m0_res_fifo.mem[2][23] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09121_ (.D(_00085_),
-    .Q(\u_m0_res_fifo.mem[2][24] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09122_ (.D(_00086_),
-    .Q(\u_m0_res_fifo.mem[2][25] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09123_ (.D(_00087_),
-    .Q(\u_m0_res_fifo.mem[2][26] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09124_ (.D(_00088_),
-    .Q(\u_m0_res_fifo.mem[2][27] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09125_ (.D(_00089_),
-    .Q(\u_m0_res_fifo.mem[2][28] ),
-    .CLK(clknet_7_65_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09126_ (.D(_00090_),
-    .Q(\u_m0_res_fifo.mem[2][29] ),
-    .CLK(clknet_7_65_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09127_ (.D(_00091_),
-    .Q(\u_m0_res_fifo.mem[2][30] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09128_ (.D(_00092_),
-    .Q(\u_m0_res_fifo.mem[2][31] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09129_ (.D(_00093_),
-    .Q(\u_m1_res_fifo.mem[0][0] ),
-    .CLK(clknet_7_75_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09130_ (.D(_00094_),
-    .Q(\u_m1_res_fifo.mem[0][1] ),
-    .CLK(clknet_7_74_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09131_ (.D(_00095_),
-    .Q(\u_m1_res_fifo.mem[0][2] ),
-    .CLK(clknet_7_75_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09132_ (.D(_00096_),
-    .Q(\u_m1_res_fifo.mem[0][3] ),
-    .CLK(clknet_7_78_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09133_ (.D(_00097_),
-    .Q(\u_m1_res_fifo.mem[0][4] ),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09134_ (.D(_00098_),
-    .Q(\u_m1_res_fifo.mem[0][5] ),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09135_ (.D(_00099_),
-    .Q(\u_m1_res_fifo.mem[0][6] ),
-    .CLK(clknet_7_100_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09136_ (.D(_00100_),
-    .Q(\u_m1_res_fifo.mem[0][7] ),
-    .CLK(clknet_7_97_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09137_ (.D(_00101_),
-    .Q(\u_m1_res_fifo.mem[0][8] ),
-    .CLK(clknet_7_75_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09138_ (.D(_00102_),
-    .Q(\u_m1_res_fifo.mem[0][9] ),
-    .CLK(clknet_7_75_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09139_ (.D(_00103_),
-    .Q(\u_m1_res_fifo.mem[0][10] ),
-    .CLK(clknet_7_75_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09140_ (.D(_00104_),
-    .Q(\u_m1_res_fifo.mem[0][11] ),
-    .CLK(clknet_7_75_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09141_ (.D(_00105_),
-    .Q(\u_m1_res_fifo.mem[0][12] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09142_ (.D(_00106_),
-    .Q(\u_m1_res_fifo.mem[0][13] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09143_ (.D(_00107_),
-    .Q(\u_m1_res_fifo.mem[0][14] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09144_ (.D(_00108_),
-    .Q(\u_m1_res_fifo.mem[0][15] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09145_ (.D(_00109_),
-    .Q(\u_m1_res_fifo.mem[0][16] ),
-    .CLK(clknet_7_67_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09146_ (.D(_00110_),
-    .Q(\u_m1_res_fifo.mem[0][17] ),
-    .CLK(clknet_7_67_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09147_ (.D(_00111_),
-    .Q(\u_m1_res_fifo.mem[0][18] ),
-    .CLK(clknet_7_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09148_ (.D(_00112_),
-    .Q(\u_m1_res_fifo.mem[0][19] ),
-    .CLK(clknet_7_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09149_ (.D(_00113_),
-    .Q(\u_m1_res_fifo.mem[0][20] ),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09150_ (.D(_00114_),
-    .Q(\u_m1_res_fifo.mem[0][21] ),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09151_ (.D(_00115_),
-    .Q(\u_m1_res_fifo.mem[0][22] ),
-    .CLK(clknet_7_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09152_ (.D(_00116_),
-    .Q(\u_m1_res_fifo.mem[0][23] ),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09153_ (.D(_00117_),
-    .Q(\u_m1_res_fifo.mem[0][24] ),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09154_ (.D(_00118_),
-    .Q(\u_m1_res_fifo.mem[0][25] ),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09155_ (.D(_00119_),
-    .Q(\u_m1_res_fifo.mem[0][26] ),
-    .CLK(clknet_7_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09156_ (.D(_00120_),
-    .Q(\u_m1_res_fifo.mem[0][27] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09157_ (.D(_00121_),
-    .Q(\u_m1_res_fifo.mem[0][28] ),
-    .CLK(clknet_7_67_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09158_ (.D(_00122_),
-    .Q(\u_m1_res_fifo.mem[0][29] ),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09159_ (.D(_00123_),
-    .Q(\u_m1_res_fifo.mem[0][30] ),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09160_ (.D(_00124_),
-    .Q(\u_m1_res_fifo.mem[0][31] ),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09161_ (.D(_00125_),
-    .Q(\u_m1_cmd_fifo.mem[3][0] ),
-    .CLK(clknet_7_60_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09162_ (.D(_00126_),
-    .Q(\u_m1_cmd_fifo.mem[3][1] ),
-    .CLK(clknet_7_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09163_ (.D(_00127_),
-    .Q(\u_m1_cmd_fifo.mem[3][2] ),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09164_ (.D(_00128_),
-    .Q(\u_m1_cmd_fifo.mem[3][3] ),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09165_ (.D(_00129_),
-    .Q(\u_m1_cmd_fifo.mem[3][4] ),
-    .CLK(clknet_7_57_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09166_ (.D(_00130_),
-    .Q(\u_m1_cmd_fifo.mem[3][5] ),
-    .CLK(clknet_7_57_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09167_ (.D(_00131_),
-    .Q(\u_m1_cmd_fifo.mem[3][6] ),
-    .CLK(clknet_7_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09168_ (.D(_00132_),
-    .Q(\u_m1_cmd_fifo.mem[3][7] ),
-    .CLK(clknet_7_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09169_ (.D(_00133_),
-    .Q(\u_m1_cmd_fifo.mem[3][8] ),
+ sky130_fd_sc_hd__dfxtp_4 _12791_ (.D(_00066_),
+    .Q(\u_m1_cmd_fifo.mem[2][2] ),
     .CLK(clknet_7_39_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09170_ (.D(_00134_),
-    .Q(\u_m1_cmd_fifo.mem[3][9] ),
-    .CLK(clknet_7_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09171_ (.D(_00135_),
-    .Q(\u_m1_cmd_fifo.mem[3][10] ),
+ sky130_fd_sc_hd__dfxtp_4 _12792_ (.D(_00067_),
+    .Q(\u_m1_cmd_fifo.mem[2][3] ),
     .CLK(clknet_7_39_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09172_ (.D(_00136_),
-    .Q(\u_m1_cmd_fifo.mem[3][11] ),
-    .CLK(clknet_7_39_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12793_ (.D(_00068_),
+    .Q(\u_m1_cmd_fifo.mem[2][4] ),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09173_ (.D(_00137_),
-    .Q(\u_m1_cmd_fifo.mem[3][12] ),
+ sky130_fd_sc_hd__dfxtp_4 _12794_ (.D(_00069_),
+    .Q(\u_m1_cmd_fifo.mem[2][5] ),
+    .CLK(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12795_ (.D(_00070_),
+    .Q(\u_m1_cmd_fifo.mem[2][6] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12796_ (.D(_00071_),
+    .Q(\u_m1_cmd_fifo.mem[2][7] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12797_ (.D(_00072_),
+    .Q(\u_m1_cmd_fifo.mem[2][8] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12798_ (.D(_00073_),
+    .Q(\u_m1_cmd_fifo.mem[2][9] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12799_ (.D(_00074_),
+    .Q(\u_m1_cmd_fifo.mem[2][10] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12800_ (.D(_00075_),
+    .Q(\u_m1_cmd_fifo.mem[2][11] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12801_ (.D(_00076_),
+    .Q(\u_m1_cmd_fifo.mem[2][12] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12802_ (.D(_00077_),
+    .Q(\u_m1_cmd_fifo.mem[2][13] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12803_ (.D(_00078_),
+    .Q(\u_m1_cmd_fifo.mem[2][14] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12804_ (.D(_00079_),
+    .Q(\u_m1_cmd_fifo.mem[2][15] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12805_ (.D(_00080_),
+    .Q(\u_m1_cmd_fifo.mem[2][16] ),
     .CLK(clknet_7_44_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09174_ (.D(_00138_),
-    .Q(\u_m1_cmd_fifo.mem[3][13] ),
+ sky130_fd_sc_hd__dfxtp_4 _12806_ (.D(_00081_),
+    .Q(\u_m1_cmd_fifo.mem[2][17] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12807_ (.D(_00082_),
+    .Q(\u_m1_cmd_fifo.mem[2][18] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12808_ (.D(_00083_),
+    .Q(\u_m1_cmd_fifo.mem[2][19] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12809_ (.D(_00084_),
+    .Q(\u_m1_cmd_fifo.mem[2][20] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12810_ (.D(_00085_),
+    .Q(\u_m1_cmd_fifo.mem[2][21] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12811_ (.D(_00086_),
+    .Q(\u_m1_cmd_fifo.mem[2][22] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12812_ (.D(_00087_),
+    .Q(\u_m1_cmd_fifo.mem[2][23] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12813_ (.D(_00088_),
+    .Q(\u_m1_cmd_fifo.mem[2][24] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12814_ (.D(_00089_),
+    .Q(\u_m1_cmd_fifo.mem[2][25] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12815_ (.D(_00090_),
+    .Q(\u_m1_cmd_fifo.mem[2][26] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12816_ (.D(_00091_),
+    .Q(\u_m1_cmd_fifo.mem[2][27] ),
     .CLK(clknet_7_41_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09175_ (.D(_00139_),
-    .Q(\u_m1_cmd_fifo.mem[3][14] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09176_ (.D(_00140_),
-    .Q(\u_m1_cmd_fifo.mem[3][15] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09177_ (.D(_00141_),
-    .Q(\u_m1_cmd_fifo.mem[3][16] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09178_ (.D(_00142_),
-    .Q(\u_m1_cmd_fifo.mem[3][17] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09179_ (.D(_00143_),
-    .Q(\u_m1_cmd_fifo.mem[3][18] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09180_ (.D(_00144_),
-    .Q(\u_m1_cmd_fifo.mem[3][19] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09181_ (.D(_00145_),
-    .Q(\u_m1_cmd_fifo.mem[3][20] ),
+ sky130_fd_sc_hd__dfxtp_4 _12817_ (.D(_00092_),
+    .Q(\u_m1_cmd_fifo.mem[2][28] ),
     .CLK(clknet_7_42_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09182_ (.D(_00146_),
-    .Q(\u_m1_cmd_fifo.mem[3][21] ),
+ sky130_fd_sc_hd__dfxtp_4 _12818_ (.D(_00093_),
+    .Q(\u_m1_cmd_fifo.mem[2][29] ),
     .CLK(clknet_7_42_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09183_ (.D(_00147_),
-    .Q(\u_m1_cmd_fifo.mem[3][22] ),
+ sky130_fd_sc_hd__dfxtp_4 _12819_ (.D(_00094_),
+    .Q(\u_m1_cmd_fifo.mem[2][30] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12820_ (.D(_00095_),
+    .Q(\u_m1_cmd_fifo.mem[2][31] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12821_ (.D(_00096_),
+    .Q(\u_m1_cmd_fifo.mem[1][0] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12822_ (.D(_00097_),
+    .Q(\u_m1_cmd_fifo.mem[1][1] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12823_ (.D(_00098_),
+    .Q(\u_m1_cmd_fifo.mem[1][2] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12824_ (.D(_00099_),
+    .Q(\u_m1_cmd_fifo.mem[1][3] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12825_ (.D(_00100_),
+    .Q(\u_m1_cmd_fifo.mem[1][4] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12826_ (.D(_00101_),
+    .Q(\u_m1_cmd_fifo.mem[1][5] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12827_ (.D(_00102_),
+    .Q(\u_m1_cmd_fifo.mem[1][6] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12828_ (.D(_00103_),
+    .Q(\u_m1_cmd_fifo.mem[1][7] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12829_ (.D(_00104_),
+    .Q(\u_m1_cmd_fifo.mem[1][8] ),
+    .CLK(clknet_7_32_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12830_ (.D(_00105_),
+    .Q(\u_m1_cmd_fifo.mem[1][9] ),
+    .CLK(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12831_ (.D(_00106_),
+    .Q(\u_m1_cmd_fifo.mem[1][10] ),
+    .CLK(clknet_7_32_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12832_ (.D(_00107_),
+    .Q(\u_m1_cmd_fifo.mem[1][11] ),
+    .CLK(clknet_7_32_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12833_ (.D(_00108_),
+    .Q(\u_m1_cmd_fifo.mem[1][12] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12834_ (.D(_00109_),
+    .Q(\u_m1_cmd_fifo.mem[1][13] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12835_ (.D(_00110_),
+    .Q(\u_m1_cmd_fifo.mem[1][14] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12836_ (.D(_00111_),
+    .Q(\u_m1_cmd_fifo.mem[1][15] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12837_ (.D(_00112_),
+    .Q(\u_m1_cmd_fifo.mem[1][16] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12838_ (.D(_00113_),
+    .Q(\u_m1_cmd_fifo.mem[1][17] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12839_ (.D(_00114_),
+    .Q(\u_m1_cmd_fifo.mem[1][18] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12840_ (.D(_00115_),
+    .Q(\u_m1_cmd_fifo.mem[1][19] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12841_ (.D(_00116_),
+    .Q(\u_m1_cmd_fifo.mem[1][20] ),
     .CLK(clknet_7_43_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09184_ (.D(_00148_),
-    .Q(\u_m1_cmd_fifo.mem[3][23] ),
+ sky130_fd_sc_hd__dfxtp_4 _12842_ (.D(_00117_),
+    .Q(\u_m1_cmd_fifo.mem[1][21] ),
     .CLK(clknet_7_43_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09185_ (.D(_00149_),
-    .Q(\u_m1_cmd_fifo.mem[3][24] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09186_ (.D(_00150_),
-    .Q(\u_m1_cmd_fifo.mem[3][25] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09187_ (.D(_00151_),
-    .Q(\u_m1_cmd_fifo.mem[3][26] ),
-    .CLK(clknet_7_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09188_ (.D(_00152_),
-    .Q(\u_m1_cmd_fifo.mem[3][27] ),
-    .CLK(clknet_7_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09189_ (.D(_00153_),
-    .Q(\u_m1_cmd_fifo.mem[3][28] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09190_ (.D(_00154_),
-    .Q(\u_m1_cmd_fifo.mem[3][29] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09191_ (.D(_00155_),
-    .Q(\u_m1_cmd_fifo.mem[3][30] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09192_ (.D(_00156_),
-    .Q(\u_m1_cmd_fifo.mem[3][31] ),
+ sky130_fd_sc_hd__dfxtp_4 _12843_ (.D(_00118_),
+    .Q(\u_m1_cmd_fifo.mem[1][22] ),
     .CLK(clknet_7_47_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09193_ (.D(_00157_),
-    .Q(\u_m0_res_fifo.mem[3][0] ),
-    .CLK(clknet_7_77_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12844_ (.D(_00119_),
+    .Q(\u_m1_cmd_fifo.mem[1][23] ),
+    .CLK(clknet_7_47_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09194_ (.D(_00158_),
-    .Q(\u_m0_res_fifo.mem[3][1] ),
-    .CLK(clknet_7_79_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12845_ (.D(_00120_),
+    .Q(\u_m1_cmd_fifo.mem[1][24] ),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09195_ (.D(_00159_),
-    .Q(\u_m0_res_fifo.mem[3][2] ),
-    .CLK(clknet_7_79_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12846_ (.D(_00121_),
+    .Q(\u_m1_cmd_fifo.mem[1][25] ),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09196_ (.D(_00160_),
-    .Q(\u_m0_res_fifo.mem[3][3] ),
-    .CLK(clknet_7_79_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12847_ (.D(_00122_),
+    .Q(\u_m1_cmd_fifo.mem[1][26] ),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09197_ (.D(_00161_),
-    .Q(\u_m0_res_fifo.mem[3][4] ),
-    .CLK(clknet_7_90_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12848_ (.D(_00123_),
+    .Q(\u_m1_cmd_fifo.mem[1][27] ),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09198_ (.D(_00162_),
-    .Q(\u_m0_res_fifo.mem[3][5] ),
-    .CLK(clknet_7_112_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12849_ (.D(_00124_),
+    .Q(\u_m1_cmd_fifo.mem[1][28] ),
+    .CLK(clknet_7_42_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09199_ (.D(_00163_),
-    .Q(\u_m0_res_fifo.mem[3][6] ),
-    .CLK(clknet_7_91_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12850_ (.D(_00125_),
+    .Q(\u_m1_cmd_fifo.mem[1][29] ),
+    .CLK(clknet_7_42_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09200_ (.D(_00164_),
-    .Q(\u_m0_res_fifo.mem[3][7] ),
-    .CLK(clknet_7_91_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12851_ (.D(_00126_),
+    .Q(\u_m1_cmd_fifo.mem[1][30] ),
+    .CLK(clknet_7_45_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09201_ (.D(_00165_),
-    .Q(\u_m0_res_fifo.mem[3][8] ),
-    .CLK(clknet_7_91_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12852_ (.D(_00127_),
+    .Q(\u_m1_cmd_fifo.mem[1][31] ),
+    .CLK(clknet_7_45_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09202_ (.D(_00166_),
-    .Q(\u_m0_res_fifo.mem[3][9] ),
-    .CLK(clknet_7_89_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12853_ (.D(_00128_),
+    .Q(\u_m1_cmd_fifo.mem[0][0] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09203_ (.D(_00167_),
-    .Q(\u_m0_res_fifo.mem[3][10] ),
-    .CLK(clknet_7_89_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12854_ (.D(_00129_),
+    .Q(\u_m1_cmd_fifo.mem[0][1] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09204_ (.D(_00168_),
-    .Q(\u_m0_res_fifo.mem[3][11] ),
-    .CLK(clknet_7_89_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12855_ (.D(_00130_),
+    .Q(\u_m1_cmd_fifo.mem[0][2] ),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09205_ (.D(_00169_),
-    .Q(\u_m0_res_fifo.mem[3][12] ),
+ sky130_fd_sc_hd__dfxtp_4 _12856_ (.D(_00131_),
+    .Q(\u_m1_cmd_fifo.mem[0][3] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12857_ (.D(_00132_),
+    .Q(\u_m1_cmd_fifo.mem[0][4] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12858_ (.D(_00133_),
+    .Q(\u_m1_cmd_fifo.mem[0][5] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12859_ (.D(_00134_),
+    .Q(\u_m1_cmd_fifo.mem[0][6] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12860_ (.D(_00135_),
+    .Q(\u_m1_cmd_fifo.mem[0][7] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12861_ (.D(_00136_),
+    .Q(\u_m1_cmd_fifo.mem[0][8] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12862_ (.D(_00137_),
+    .Q(\u_m1_cmd_fifo.mem[0][9] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12863_ (.D(_00138_),
+    .Q(\u_m1_cmd_fifo.mem[0][10] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12864_ (.D(_00139_),
+    .Q(\u_m1_cmd_fifo.mem[0][11] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12865_ (.D(_00140_),
+    .Q(\u_m1_cmd_fifo.mem[0][12] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12866_ (.D(_00141_),
+    .Q(\u_m1_cmd_fifo.mem[0][13] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12867_ (.D(_00142_),
+    .Q(\u_m1_cmd_fifo.mem[0][14] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12868_ (.D(_00143_),
+    .Q(\u_m1_cmd_fifo.mem[0][15] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12869_ (.D(_00144_),
+    .Q(\u_m1_cmd_fifo.mem[0][16] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12870_ (.D(_00145_),
+    .Q(\u_m1_cmd_fifo.mem[0][17] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12871_ (.D(_00146_),
+    .Q(\u_m1_cmd_fifo.mem[0][18] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12872_ (.D(_00147_),
+    .Q(\u_m1_cmd_fifo.mem[0][19] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12873_ (.D(_00148_),
+    .Q(\u_m1_cmd_fifo.mem[0][20] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12874_ (.D(_00149_),
+    .Q(\u_m1_cmd_fifo.mem[0][21] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12875_ (.D(_00150_),
+    .Q(\u_m1_cmd_fifo.mem[0][22] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12876_ (.D(_00151_),
+    .Q(\u_m1_cmd_fifo.mem[0][23] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12877_ (.D(_00152_),
+    .Q(\u_m1_cmd_fifo.mem[0][24] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12878_ (.D(_00153_),
+    .Q(\u_m1_cmd_fifo.mem[0][25] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12879_ (.D(_00154_),
+    .Q(\u_m1_cmd_fifo.mem[0][26] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12880_ (.D(_00155_),
+    .Q(\u_m1_cmd_fifo.mem[0][27] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12881_ (.D(_00156_),
+    .Q(\u_m1_cmd_fifo.mem[0][28] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12882_ (.D(_00157_),
+    .Q(\u_m1_cmd_fifo.mem[0][29] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12883_ (.D(_00158_),
+    .Q(\u_m1_cmd_fifo.mem[0][30] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12884_ (.D(_00159_),
+    .Q(\u_m1_cmd_fifo.mem[0][31] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12885_ (.D(_00160_),
+    .Q(\u_m0_res_fifo.mem[7][0] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12886_ (.D(_00161_),
+    .Q(\u_m0_res_fifo.mem[7][1] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12887_ (.D(_00162_),
+    .Q(\u_m0_res_fifo.mem[7][2] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12888_ (.D(_00163_),
+    .Q(\u_m0_res_fifo.mem[7][3] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12889_ (.D(_00164_),
+    .Q(\u_m0_res_fifo.mem[7][4] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12890_ (.D(_00165_),
+    .Q(\u_m0_res_fifo.mem[7][5] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12891_ (.D(_00166_),
+    .Q(\u_m0_res_fifo.mem[7][6] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12892_ (.D(_00167_),
+    .Q(\u_m0_res_fifo.mem[7][7] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12893_ (.D(_00168_),
+    .Q(\u_m0_res_fifo.mem[7][8] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12894_ (.D(_00169_),
+    .Q(\u_m0_res_fifo.mem[7][9] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12895_ (.D(_00170_),
+    .Q(\u_m0_res_fifo.mem[7][10] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12896_ (.D(_00171_),
+    .Q(\u_m0_res_fifo.mem[7][11] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12897_ (.D(_00172_),
+    .Q(\u_m0_res_fifo.mem[7][12] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12898_ (.D(_00173_),
+    .Q(\u_m0_res_fifo.mem[7][13] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12899_ (.D(_00174_),
+    .Q(\u_m0_res_fifo.mem[7][14] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12900_ (.D(_00175_),
+    .Q(\u_m0_res_fifo.mem[7][15] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12901_ (.D(_00176_),
+    .Q(\u_m0_res_fifo.mem[7][16] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12902_ (.D(_00177_),
+    .Q(\u_m0_res_fifo.mem[7][17] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12903_ (.D(_00178_),
+    .Q(\u_m0_res_fifo.mem[7][18] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12904_ (.D(_00179_),
+    .Q(\u_m0_res_fifo.mem[7][19] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12905_ (.D(_00180_),
+    .Q(\u_m0_res_fifo.mem[7][20] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12906_ (.D(_00181_),
+    .Q(\u_m0_res_fifo.mem[7][21] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12907_ (.D(_00182_),
+    .Q(\u_m0_res_fifo.mem[7][22] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12908_ (.D(_00183_),
+    .Q(\u_m0_res_fifo.mem[7][23] ),
     .CLK(clknet_7_88_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09206_ (.D(_00170_),
+ sky130_fd_sc_hd__dfxtp_4 _12909_ (.D(_00184_),
+    .Q(\u_m0_res_fifo.mem[7][24] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12910_ (.D(_00185_),
+    .Q(\u_m0_res_fifo.mem[7][25] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12911_ (.D(_00186_),
+    .Q(\u_m0_res_fifo.mem[7][26] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12912_ (.D(_00187_),
+    .Q(\u_m0_res_fifo.mem[7][27] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12913_ (.D(_00188_),
+    .Q(\u_m0_res_fifo.mem[7][28] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12914_ (.D(_00189_),
+    .Q(\u_m0_res_fifo.mem[7][29] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12915_ (.D(_00190_),
+    .Q(\u_m0_res_fifo.mem[7][30] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12916_ (.D(_00191_),
+    .Q(\u_m0_res_fifo.mem[7][31] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12917_ (.D(_00192_),
+    .Q(\u_m0_res_fifo.mem[5][0] ),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12918_ (.D(_00193_),
+    .Q(\u_m0_res_fifo.mem[5][1] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12919_ (.D(_00194_),
+    .Q(\u_m0_res_fifo.mem[5][2] ),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12920_ (.D(_00195_),
+    .Q(\u_m0_res_fifo.mem[5][3] ),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12921_ (.D(_00196_),
+    .Q(\u_m0_res_fifo.mem[5][4] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12922_ (.D(_00197_),
+    .Q(\u_m0_res_fifo.mem[5][5] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12923_ (.D(_00198_),
+    .Q(\u_m0_res_fifo.mem[5][6] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12924_ (.D(_00199_),
+    .Q(\u_m0_res_fifo.mem[5][7] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12925_ (.D(_00200_),
+    .Q(\u_m0_res_fifo.mem[5][8] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12926_ (.D(_00201_),
+    .Q(\u_m0_res_fifo.mem[5][9] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12927_ (.D(_00202_),
+    .Q(\u_m0_res_fifo.mem[5][10] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12928_ (.D(_00203_),
+    .Q(\u_m0_res_fifo.mem[5][11] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12929_ (.D(_00204_),
+    .Q(\u_m0_res_fifo.mem[5][12] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12930_ (.D(_00205_),
+    .Q(\u_m0_res_fifo.mem[5][13] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12931_ (.D(_00206_),
+    .Q(\u_m0_res_fifo.mem[5][14] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12932_ (.D(_00207_),
+    .Q(\u_m0_res_fifo.mem[5][15] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12933_ (.D(_00208_),
+    .Q(\u_m0_res_fifo.mem[5][16] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12934_ (.D(_00209_),
+    .Q(\u_m0_res_fifo.mem[5][17] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12935_ (.D(_00210_),
+    .Q(\u_m0_res_fifo.mem[5][18] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12936_ (.D(_00211_),
+    .Q(\u_m0_res_fifo.mem[5][19] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12937_ (.D(_00212_),
+    .Q(\u_m0_res_fifo.mem[5][20] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12938_ (.D(_00213_),
+    .Q(\u_m0_res_fifo.mem[5][21] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12939_ (.D(_00214_),
+    .Q(\u_m0_res_fifo.mem[5][22] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12940_ (.D(_00215_),
+    .Q(\u_m0_res_fifo.mem[5][23] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12941_ (.D(_00216_),
+    .Q(\u_m0_res_fifo.mem[5][24] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12942_ (.D(_00217_),
+    .Q(\u_m0_res_fifo.mem[5][25] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12943_ (.D(_00218_),
+    .Q(\u_m0_res_fifo.mem[5][26] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12944_ (.D(_00219_),
+    .Q(\u_m0_res_fifo.mem[5][27] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12945_ (.D(_00220_),
+    .Q(\u_m0_res_fifo.mem[5][28] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12946_ (.D(_00221_),
+    .Q(\u_m0_res_fifo.mem[5][29] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12947_ (.D(_00222_),
+    .Q(\u_m0_res_fifo.mem[5][30] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12948_ (.D(_00223_),
+    .Q(\u_m0_res_fifo.mem[5][31] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12949_ (.D(_00224_),
+    .Q(\u_m0_res_fifo.mem[4][0] ),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12950_ (.D(_00225_),
+    .Q(\u_m0_res_fifo.mem[4][1] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12951_ (.D(_00226_),
+    .Q(\u_m0_res_fifo.mem[4][2] ),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12952_ (.D(_00227_),
+    .Q(\u_m0_res_fifo.mem[4][3] ),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12953_ (.D(_00228_),
+    .Q(\u_m0_res_fifo.mem[4][4] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12954_ (.D(_00229_),
+    .Q(\u_m0_res_fifo.mem[4][5] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12955_ (.D(_00230_),
+    .Q(\u_m0_res_fifo.mem[4][6] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12956_ (.D(_00231_),
+    .Q(\u_m0_res_fifo.mem[4][7] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12957_ (.D(_00232_),
+    .Q(\u_m0_res_fifo.mem[4][8] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12958_ (.D(_00233_),
+    .Q(\u_m0_res_fifo.mem[4][9] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12959_ (.D(_00234_),
+    .Q(\u_m0_res_fifo.mem[4][10] ),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12960_ (.D(_00235_),
+    .Q(\u_m0_res_fifo.mem[4][11] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12961_ (.D(_00236_),
+    .Q(\u_m0_res_fifo.mem[4][12] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12962_ (.D(_00237_),
+    .Q(\u_m0_res_fifo.mem[4][13] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12963_ (.D(_00238_),
+    .Q(\u_m0_res_fifo.mem[4][14] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12964_ (.D(_00239_),
+    .Q(\u_m0_res_fifo.mem[4][15] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12965_ (.D(_00240_),
+    .Q(\u_m0_res_fifo.mem[4][16] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12966_ (.D(_00241_),
+    .Q(\u_m0_res_fifo.mem[4][17] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12967_ (.D(_00242_),
+    .Q(\u_m0_res_fifo.mem[4][18] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12968_ (.D(_00243_),
+    .Q(\u_m0_res_fifo.mem[4][19] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12969_ (.D(_00244_),
+    .Q(\u_m0_res_fifo.mem[4][20] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12970_ (.D(_00245_),
+    .Q(\u_m0_res_fifo.mem[4][21] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12971_ (.D(_00246_),
+    .Q(\u_m0_res_fifo.mem[4][22] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12972_ (.D(_00247_),
+    .Q(\u_m0_res_fifo.mem[4][23] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12973_ (.D(_00248_),
+    .Q(\u_m0_res_fifo.mem[4][24] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12974_ (.D(_00249_),
+    .Q(\u_m0_res_fifo.mem[4][25] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12975_ (.D(_00250_),
+    .Q(\u_m0_res_fifo.mem[4][26] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12976_ (.D(_00251_),
+    .Q(\u_m0_res_fifo.mem[4][27] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12977_ (.D(_00252_),
+    .Q(\u_m0_res_fifo.mem[4][28] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12978_ (.D(_00253_),
+    .Q(\u_m0_res_fifo.mem[4][29] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12979_ (.D(_00254_),
+    .Q(\u_m0_res_fifo.mem[4][30] ),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12980_ (.D(_00255_),
+    .Q(\u_m0_res_fifo.mem[4][31] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12981_ (.D(_00256_),
+    .Q(\u_m0_res_fifo.mem[3][0] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12982_ (.D(_00257_),
+    .Q(\u_m0_res_fifo.mem[3][1] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12983_ (.D(_00258_),
+    .Q(\u_m0_res_fifo.mem[3][2] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12984_ (.D(_00259_),
+    .Q(\u_m0_res_fifo.mem[3][3] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12985_ (.D(_00260_),
+    .Q(\u_m0_res_fifo.mem[3][4] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12986_ (.D(_00261_),
+    .Q(\u_m0_res_fifo.mem[3][5] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12987_ (.D(_00262_),
+    .Q(\u_m0_res_fifo.mem[3][6] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12988_ (.D(_00263_),
+    .Q(\u_m0_res_fifo.mem[3][7] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12989_ (.D(_00264_),
+    .Q(\u_m0_res_fifo.mem[3][8] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12990_ (.D(_00265_),
+    .Q(\u_m0_res_fifo.mem[3][9] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12991_ (.D(_00266_),
+    .Q(\u_m0_res_fifo.mem[3][10] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12992_ (.D(_00267_),
+    .Q(\u_m0_res_fifo.mem[3][11] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12993_ (.D(_00268_),
+    .Q(\u_m0_res_fifo.mem[3][12] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12994_ (.D(_00269_),
     .Q(\u_m0_res_fifo.mem[3][13] ),
-    .CLK(clknet_7_89_0_mclk),
+    .CLK(clknet_7_86_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09207_ (.D(_00171_),
+ sky130_fd_sc_hd__dfxtp_4 _12995_ (.D(_00270_),
     .Q(\u_m0_res_fifo.mem[3][14] ),
-    .CLK(clknet_7_89_0_mclk),
+    .CLK(clknet_7_83_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09208_ (.D(_00172_),
+ sky130_fd_sc_hd__dfxtp_4 _12996_ (.D(_00271_),
     .Q(\u_m0_res_fifo.mem[3][15] ),
-    .CLK(clknet_7_89_0_mclk),
+    .CLK(clknet_7_83_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09209_ (.D(_00173_),
+ sky130_fd_sc_hd__dfxtp_4 _12997_ (.D(_00272_),
     .Q(\u_m0_res_fifo.mem[3][16] ),
     .CLK(clknet_7_86_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09210_ (.D(_00174_),
+ sky130_fd_sc_hd__dfxtp_4 _12998_ (.D(_00273_),
     .Q(\u_m0_res_fifo.mem[3][17] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09211_ (.D(_00175_),
-    .Q(\u_m0_res_fifo.mem[3][18] ),
     .CLK(clknet_7_86_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09212_ (.D(_00176_),
+ sky130_fd_sc_hd__dfxtp_4 _12999_ (.D(_00274_),
+    .Q(\u_m0_res_fifo.mem[3][18] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13000_ (.D(_00275_),
     .Q(\u_m0_res_fifo.mem[3][19] ),
     .CLK(clknet_7_89_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09213_ (.D(_00177_),
+ sky130_fd_sc_hd__dfxtp_4 _13001_ (.D(_00276_),
     .Q(\u_m0_res_fifo.mem[3][20] ),
-    .CLK(clknet_7_82_0_mclk),
+    .CLK(clknet_7_89_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09214_ (.D(_00178_),
+ sky130_fd_sc_hd__dfxtp_4 _13002_ (.D(_00277_),
     .Q(\u_m0_res_fifo.mem[3][21] ),
-    .CLK(clknet_7_80_0_mclk),
+    .CLK(clknet_7_89_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09215_ (.D(_00179_),
+ sky130_fd_sc_hd__dfxtp_4 _13003_ (.D(_00278_),
     .Q(\u_m0_res_fifo.mem[3][22] ),
-    .CLK(clknet_7_69_0_mclk),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09216_ (.D(_00180_),
+ sky130_fd_sc_hd__dfxtp_4 _13004_ (.D(_00279_),
     .Q(\u_m0_res_fifo.mem[3][23] ),
-    .CLK(clknet_7_69_0_mclk),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09217_ (.D(_00181_),
+ sky130_fd_sc_hd__dfxtp_4 _13005_ (.D(_00280_),
     .Q(\u_m0_res_fifo.mem[3][24] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09218_ (.D(_00182_),
-    .Q(\u_m0_res_fifo.mem[3][25] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09219_ (.D(_00183_),
-    .Q(\u_m0_res_fifo.mem[3][26] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09220_ (.D(_00184_),
-    .Q(\u_m0_res_fifo.mem[3][27] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09221_ (.D(_00185_),
-    .Q(\u_m0_res_fifo.mem[3][28] ),
-    .CLK(clknet_7_65_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09222_ (.D(_00186_),
-    .Q(\u_m0_res_fifo.mem[3][29] ),
-    .CLK(clknet_7_65_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09223_ (.D(_00187_),
-    .Q(\u_m0_res_fifo.mem[3][30] ),
-    .CLK(clknet_7_65_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09224_ (.D(_00188_),
-    .Q(\u_m0_res_fifo.mem[3][31] ),
-    .CLK(clknet_7_65_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09225_ (.D(_00189_),
-    .Q(\u_m0_res_fifo.mem[1][0] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09226_ (.D(_00190_),
-    .Q(\u_m0_res_fifo.mem[1][1] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09227_ (.D(_00191_),
-    .Q(\u_m0_res_fifo.mem[1][2] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09228_ (.D(_00192_),
-    .Q(\u_m0_res_fifo.mem[1][3] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09229_ (.D(_00193_),
-    .Q(\u_m0_res_fifo.mem[1][4] ),
-    .CLK(clknet_7_91_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09230_ (.D(_00194_),
-    .Q(\u_m0_res_fifo.mem[1][5] ),
-    .CLK(clknet_7_116_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09231_ (.D(_00195_),
-    .Q(\u_m0_res_fifo.mem[1][6] ),
-    .CLK(clknet_7_91_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09232_ (.D(_00196_),
-    .Q(\u_m0_res_fifo.mem[1][7] ),
-    .CLK(clknet_7_116_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09233_ (.D(_00197_),
-    .Q(\u_m0_res_fifo.mem[1][8] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09234_ (.D(_00198_),
-    .Q(\u_m0_res_fifo.mem[1][9] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09235_ (.D(_00199_),
-    .Q(\u_m0_res_fifo.mem[1][10] ),
-    .CLK(clknet_7_95_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09236_ (.D(_00200_),
-    .Q(\u_m0_res_fifo.mem[1][11] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09237_ (.D(_00201_),
-    .Q(\u_m0_res_fifo.mem[1][12] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09238_ (.D(_00202_),
-    .Q(\u_m0_res_fifo.mem[1][13] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09239_ (.D(_00203_),
-    .Q(\u_m0_res_fifo.mem[1][14] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09240_ (.D(_00204_),
-    .Q(\u_m0_res_fifo.mem[1][15] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09241_ (.D(_00205_),
-    .Q(\u_m0_res_fifo.mem[1][16] ),
-    .CLK(clknet_7_82_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09242_ (.D(_00206_),
-    .Q(\u_m0_res_fifo.mem[1][17] ),
-    .CLK(clknet_7_82_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09243_ (.D(_00207_),
-    .Q(\u_m0_res_fifo.mem[1][18] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09244_ (.D(_00208_),
-    .Q(\u_m0_res_fifo.mem[1][19] ),
-    .CLK(clknet_7_82_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09245_ (.D(_00209_),
-    .Q(\u_m0_res_fifo.mem[1][20] ),
-    .CLK(clknet_7_82_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09246_ (.D(_00210_),
-    .Q(\u_m0_res_fifo.mem[1][21] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09247_ (.D(_00211_),
-    .Q(\u_m0_res_fifo.mem[1][22] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09248_ (.D(_00212_),
-    .Q(\u_m0_res_fifo.mem[1][23] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09249_ (.D(_00213_),
-    .Q(\u_m0_res_fifo.mem[1][24] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09250_ (.D(_00214_),
-    .Q(\u_m0_res_fifo.mem[1][25] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09251_ (.D(_00215_),
-    .Q(\u_m0_res_fifo.mem[1][26] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09252_ (.D(_00216_),
-    .Q(\u_m0_res_fifo.mem[1][27] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09253_ (.D(_00217_),
-    .Q(\u_m0_res_fifo.mem[1][28] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09254_ (.D(_00218_),
-    .Q(\u_m0_res_fifo.mem[1][29] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09255_ (.D(_00219_),
-    .Q(\u_m0_res_fifo.mem[1][30] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09256_ (.D(_00220_),
-    .Q(\u_m0_res_fifo.mem[1][31] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09257_ (.D(_00221_),
-    .Q(\u_m0_res_fifo.mem[0][0] ),
-    .CLK(clknet_7_79_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09258_ (.D(_00222_),
-    .Q(\u_m0_res_fifo.mem[0][1] ),
-    .CLK(clknet_7_79_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09259_ (.D(_00223_),
-    .Q(\u_m0_res_fifo.mem[0][2] ),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09260_ (.D(_00224_),
-    .Q(\u_m0_res_fifo.mem[0][3] ),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09261_ (.D(_00225_),
-    .Q(\u_m0_res_fifo.mem[0][4] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09262_ (.D(_00226_),
-    .Q(\u_m0_res_fifo.mem[0][5] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09263_ (.D(_00227_),
-    .Q(\u_m0_res_fifo.mem[0][6] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09264_ (.D(_00228_),
-    .Q(\u_m0_res_fifo.mem[0][7] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09265_ (.D(_00229_),
-    .Q(\u_m0_res_fifo.mem[0][8] ),
-    .CLK(clknet_7_91_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09266_ (.D(_00230_),
-    .Q(\u_m0_res_fifo.mem[0][9] ),
-    .CLK(clknet_7_91_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09267_ (.D(_00231_),
-    .Q(\u_m0_res_fifo.mem[0][10] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09268_ (.D(_00232_),
-    .Q(\u_m0_res_fifo.mem[0][11] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09269_ (.D(_00233_),
-    .Q(\u_m0_res_fifo.mem[0][12] ),
-    .CLK(clknet_7_88_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09270_ (.D(_00234_),
-    .Q(\u_m0_res_fifo.mem[0][13] ),
-    .CLK(clknet_7_88_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09271_ (.D(_00235_),
-    .Q(\u_m0_res_fifo.mem[0][14] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09272_ (.D(_00236_),
-    .Q(\u_m0_res_fifo.mem[0][15] ),
     .CLK(clknet_7_77_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09273_ (.D(_00237_),
-    .Q(\u_m0_res_fifo.mem[0][16] ),
-    .CLK(clknet_7_71_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13006_ (.D(_00281_),
+    .Q(\u_m0_res_fifo.mem[3][25] ),
+    .CLK(clknet_7_77_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09274_ (.D(_00238_),
-    .Q(\u_m0_res_fifo.mem[0][17] ),
+ sky130_fd_sc_hd__dfxtp_4 _13007_ (.D(_00282_),
+    .Q(\u_m0_res_fifo.mem[3][26] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13008_ (.D(_00283_),
+    .Q(\u_m0_res_fifo.mem[3][27] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13009_ (.D(_00284_),
+    .Q(\u_m0_res_fifo.mem[3][28] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13010_ (.D(_00285_),
+    .Q(\u_m0_res_fifo.mem[3][29] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13011_ (.D(_00286_),
+    .Q(\u_m0_res_fifo.mem[3][30] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13012_ (.D(_00287_),
+    .Q(\u_m0_res_fifo.mem[3][31] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13013_ (.D(_00288_),
+    .Q(\u_m1_res_fifo.mem[6][0] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13014_ (.D(_00289_),
+    .Q(\u_m1_res_fifo.mem[6][1] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13015_ (.D(_00290_),
+    .Q(\u_m1_res_fifo.mem[6][2] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13016_ (.D(_00291_),
+    .Q(\u_m1_res_fifo.mem[6][3] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13017_ (.D(_00292_),
+    .Q(\u_m1_res_fifo.mem[6][4] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13018_ (.D(_00293_),
+    .Q(\u_m1_res_fifo.mem[6][5] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13019_ (.D(_00294_),
+    .Q(\u_m1_res_fifo.mem[6][6] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13020_ (.D(_00295_),
+    .Q(\u_m1_res_fifo.mem[6][7] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13021_ (.D(_00296_),
+    .Q(\u_m1_res_fifo.mem[6][8] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13022_ (.D(_00297_),
+    .Q(\u_m1_res_fifo.mem[6][9] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13023_ (.D(_00298_),
+    .Q(\u_m1_res_fifo.mem[6][10] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13024_ (.D(_00299_),
+    .Q(\u_m1_res_fifo.mem[6][11] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13025_ (.D(_00300_),
+    .Q(\u_m1_res_fifo.mem[6][12] ),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13026_ (.D(_00301_),
+    .Q(\u_m1_res_fifo.mem[6][13] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13027_ (.D(_00302_),
+    .Q(\u_m1_res_fifo.mem[6][14] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13028_ (.D(_00303_),
+    .Q(\u_m1_res_fifo.mem[6][15] ),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13029_ (.D(_00304_),
+    .Q(\u_m1_res_fifo.mem[6][16] ),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13030_ (.D(_00305_),
+    .Q(\u_m1_res_fifo.mem[6][17] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13031_ (.D(_00306_),
+    .Q(\u_m1_res_fifo.mem[6][18] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13032_ (.D(_00307_),
+    .Q(\u_m1_res_fifo.mem[6][19] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13033_ (.D(_00308_),
+    .Q(\u_m1_res_fifo.mem[6][20] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13034_ (.D(_00309_),
+    .Q(\u_m1_res_fifo.mem[6][21] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13035_ (.D(_00310_),
+    .Q(\u_m1_res_fifo.mem[6][22] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13036_ (.D(_00311_),
+    .Q(\u_m1_res_fifo.mem[6][23] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13037_ (.D(_00312_),
+    .Q(\u_m1_res_fifo.mem[6][24] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13038_ (.D(_00313_),
+    .Q(\u_m1_res_fifo.mem[6][25] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13039_ (.D(_00314_),
+    .Q(\u_m1_res_fifo.mem[6][26] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13040_ (.D(_00315_),
+    .Q(\u_m1_res_fifo.mem[6][27] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13041_ (.D(_00316_),
+    .Q(\u_m1_res_fifo.mem[6][28] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13042_ (.D(_00317_),
+    .Q(\u_m1_res_fifo.mem[6][29] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13043_ (.D(_00318_),
+    .Q(\u_m1_res_fifo.mem[6][30] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13044_ (.D(_00319_),
+    .Q(\u_m1_res_fifo.mem[6][31] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13045_ (.D(_00320_),
+    .Q(\u_m1_res_fifo.mem[5][0] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13046_ (.D(_00321_),
+    .Q(\u_m1_res_fifo.mem[5][1] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13047_ (.D(_00322_),
+    .Q(\u_m1_res_fifo.mem[5][2] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13048_ (.D(_00323_),
+    .Q(\u_m1_res_fifo.mem[5][3] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13049_ (.D(_00324_),
+    .Q(\u_m1_res_fifo.mem[5][4] ),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13050_ (.D(_00325_),
+    .Q(\u_m1_res_fifo.mem[5][5] ),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13051_ (.D(_00326_),
+    .Q(\u_m1_res_fifo.mem[5][6] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13052_ (.D(_00327_),
+    .Q(\u_m1_res_fifo.mem[5][7] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13053_ (.D(_00328_),
+    .Q(\u_m1_res_fifo.mem[5][8] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13054_ (.D(_00329_),
+    .Q(\u_m1_res_fifo.mem[5][9] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13055_ (.D(_00330_),
+    .Q(\u_m1_res_fifo.mem[5][10] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13056_ (.D(_00331_),
+    .Q(\u_m1_res_fifo.mem[5][11] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13057_ (.D(_00332_),
+    .Q(\u_m1_res_fifo.mem[5][12] ),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13058_ (.D(_00333_),
+    .Q(\u_m1_res_fifo.mem[5][13] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13059_ (.D(_00334_),
+    .Q(\u_m1_res_fifo.mem[5][14] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13060_ (.D(_00335_),
+    .Q(\u_m1_res_fifo.mem[5][15] ),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13061_ (.D(_00336_),
+    .Q(\u_m1_res_fifo.mem[5][16] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13062_ (.D(_00337_),
+    .Q(\u_m1_res_fifo.mem[5][17] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13063_ (.D(_00338_),
+    .Q(\u_m1_res_fifo.mem[5][18] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13064_ (.D(_00339_),
+    .Q(\u_m1_res_fifo.mem[5][19] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13065_ (.D(_00340_),
+    .Q(\u_m1_res_fifo.mem[5][20] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13066_ (.D(_00341_),
+    .Q(\u_m1_res_fifo.mem[5][21] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13067_ (.D(_00342_),
+    .Q(\u_m1_res_fifo.mem[5][22] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13068_ (.D(_00343_),
+    .Q(\u_m1_res_fifo.mem[5][23] ),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13069_ (.D(_00344_),
+    .Q(\u_m1_res_fifo.mem[5][24] ),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13070_ (.D(_00345_),
+    .Q(\u_m1_res_fifo.mem[5][25] ),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13071_ (.D(_00346_),
+    .Q(\u_m1_res_fifo.mem[5][26] ),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13072_ (.D(_00347_),
+    .Q(\u_m1_res_fifo.mem[5][27] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13073_ (.D(_00348_),
+    .Q(\u_m1_res_fifo.mem[5][28] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13074_ (.D(_00349_),
+    .Q(\u_m1_res_fifo.mem[5][29] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13075_ (.D(_00350_),
+    .Q(\u_m1_res_fifo.mem[5][30] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13076_ (.D(_00351_),
+    .Q(\u_m1_res_fifo.mem[5][31] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13077_ (.D(_00352_),
+    .Q(\u_m1_res_fifo.mem[4][0] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13078_ (.D(_00353_),
+    .Q(\u_m1_res_fifo.mem[4][1] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13079_ (.D(_00354_),
+    .Q(\u_m1_res_fifo.mem[4][2] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13080_ (.D(_00355_),
+    .Q(\u_m1_res_fifo.mem[4][3] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13081_ (.D(_00356_),
+    .Q(\u_m1_res_fifo.mem[4][4] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13082_ (.D(_00357_),
+    .Q(\u_m1_res_fifo.mem[4][5] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13083_ (.D(_00358_),
+    .Q(\u_m1_res_fifo.mem[4][6] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13084_ (.D(_00359_),
+    .Q(\u_m1_res_fifo.mem[4][7] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13085_ (.D(_00360_),
+    .Q(\u_m1_res_fifo.mem[4][8] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13086_ (.D(_00361_),
+    .Q(\u_m1_res_fifo.mem[4][9] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13087_ (.D(_00362_),
+    .Q(\u_m1_res_fifo.mem[4][10] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13088_ (.D(_00363_),
+    .Q(\u_m1_res_fifo.mem[4][11] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13089_ (.D(_00364_),
+    .Q(\u_m1_res_fifo.mem[4][12] ),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13090_ (.D(_00365_),
+    .Q(\u_m1_res_fifo.mem[4][13] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13091_ (.D(_00366_),
+    .Q(\u_m1_res_fifo.mem[4][14] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13092_ (.D(_00367_),
+    .Q(\u_m1_res_fifo.mem[4][15] ),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13093_ (.D(_00368_),
+    .Q(\u_m1_res_fifo.mem[4][16] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13094_ (.D(_00369_),
+    .Q(\u_m1_res_fifo.mem[4][17] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13095_ (.D(_00370_),
+    .Q(\u_m1_res_fifo.mem[4][18] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13096_ (.D(_00371_),
+    .Q(\u_m1_res_fifo.mem[4][19] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13097_ (.D(_00372_),
+    .Q(\u_m1_res_fifo.mem[4][20] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13098_ (.D(_00373_),
+    .Q(\u_m1_res_fifo.mem[4][21] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13099_ (.D(_00374_),
+    .Q(\u_m1_res_fifo.mem[4][22] ),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13100_ (.D(_00375_),
+    .Q(\u_m1_res_fifo.mem[4][23] ),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13101_ (.D(_00376_),
+    .Q(\u_m1_res_fifo.mem[4][24] ),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13102_ (.D(_00377_),
+    .Q(\u_m1_res_fifo.mem[4][25] ),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13103_ (.D(_00378_),
+    .Q(\u_m1_res_fifo.mem[4][26] ),
+    .CLK(clknet_7_127_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13104_ (.D(_00379_),
+    .Q(\u_m1_res_fifo.mem[4][27] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13105_ (.D(_00380_),
+    .Q(\u_m1_res_fifo.mem[4][28] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13106_ (.D(_00381_),
+    .Q(\u_m1_res_fifo.mem[4][29] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13107_ (.D(_00382_),
+    .Q(\u_m1_res_fifo.mem[4][30] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13108_ (.D(_00383_),
+    .Q(\u_m1_res_fifo.mem[4][31] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13109_ (.D(_00384_),
+    .Q(\u_m1_res_fifo.mem[3][0] ),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13110_ (.D(_00385_),
+    .Q(\u_m1_res_fifo.mem[3][1] ),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13111_ (.D(_00386_),
+    .Q(\u_m1_res_fifo.mem[3][2] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13112_ (.D(_00387_),
+    .Q(\u_m1_res_fifo.mem[3][3] ),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13113_ (.D(_00388_),
+    .Q(\u_m1_res_fifo.mem[3][4] ),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13114_ (.D(_00389_),
+    .Q(\u_m1_res_fifo.mem[3][5] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13115_ (.D(_00390_),
+    .Q(\u_m1_res_fifo.mem[3][6] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13116_ (.D(_00391_),
+    .Q(\u_m1_res_fifo.mem[3][7] ),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13117_ (.D(_00392_),
+    .Q(\u_m1_res_fifo.mem[3][8] ),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13118_ (.D(_00393_),
+    .Q(\u_m1_res_fifo.mem[3][9] ),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13119_ (.D(_00394_),
+    .Q(\u_m1_res_fifo.mem[3][10] ),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13120_ (.D(_00395_),
+    .Q(\u_m1_res_fifo.mem[3][11] ),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13121_ (.D(_00396_),
+    .Q(\u_m1_res_fifo.mem[3][12] ),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13122_ (.D(_00397_),
+    .Q(\u_m1_res_fifo.mem[3][13] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13123_ (.D(_00398_),
+    .Q(\u_m1_res_fifo.mem[3][14] ),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13124_ (.D(_00399_),
+    .Q(\u_m1_res_fifo.mem[3][15] ),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13125_ (.D(_00400_),
+    .Q(\u_m1_res_fifo.mem[3][16] ),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13126_ (.D(_00401_),
+    .Q(\u_m1_res_fifo.mem[3][17] ),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13127_ (.D(_00402_),
+    .Q(\u_m1_res_fifo.mem[3][18] ),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13128_ (.D(_00403_),
+    .Q(\u_m1_res_fifo.mem[3][19] ),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13129_ (.D(_00404_),
+    .Q(\u_m1_res_fifo.mem[3][20] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13130_ (.D(_00405_),
+    .Q(\u_m1_res_fifo.mem[3][21] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13131_ (.D(_00406_),
+    .Q(\u_m1_res_fifo.mem[3][22] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13132_ (.D(_00407_),
+    .Q(\u_m1_res_fifo.mem[3][23] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13133_ (.D(_00408_),
+    .Q(\u_m1_res_fifo.mem[3][24] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13134_ (.D(_00409_),
+    .Q(\u_m1_res_fifo.mem[3][25] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13135_ (.D(_00410_),
+    .Q(\u_m1_res_fifo.mem[3][26] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13136_ (.D(_00411_),
+    .Q(\u_m1_res_fifo.mem[3][27] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13137_ (.D(_00412_),
+    .Q(\u_m1_res_fifo.mem[3][28] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13138_ (.D(_00413_),
+    .Q(\u_m1_res_fifo.mem[3][29] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13139_ (.D(_00414_),
+    .Q(\u_m1_res_fifo.mem[3][30] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13140_ (.D(_00415_),
+    .Q(\u_m1_res_fifo.mem[3][31] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13141_ (.D(_00416_),
+    .Q(\u_m1_res_fifo.mem[2][0] ),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13142_ (.D(_00417_),
+    .Q(\u_m1_res_fifo.mem[2][1] ),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13143_ (.D(_00418_),
+    .Q(\u_m1_res_fifo.mem[2][2] ),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13144_ (.D(_00419_),
+    .Q(\u_m1_res_fifo.mem[2][3] ),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13145_ (.D(_00420_),
+    .Q(\u_m1_res_fifo.mem[2][4] ),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13146_ (.D(_00421_),
+    .Q(\u_m1_res_fifo.mem[2][5] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13147_ (.D(_00422_),
+    .Q(\u_m1_res_fifo.mem[2][6] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13148_ (.D(_00423_),
+    .Q(\u_m1_res_fifo.mem[2][7] ),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13149_ (.D(_00424_),
+    .Q(\u_m1_res_fifo.mem[2][8] ),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13150_ (.D(_00425_),
+    .Q(\u_m1_res_fifo.mem[2][9] ),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13151_ (.D(_00426_),
+    .Q(\u_m1_res_fifo.mem[2][10] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13152_ (.D(_00427_),
+    .Q(\u_m1_res_fifo.mem[2][11] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13153_ (.D(_00428_),
+    .Q(\u_m1_res_fifo.mem[2][12] ),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13154_ (.D(_00429_),
+    .Q(\u_m1_res_fifo.mem[2][13] ),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13155_ (.D(_00430_),
+    .Q(\u_m1_res_fifo.mem[2][14] ),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13156_ (.D(_00431_),
+    .Q(\u_m1_res_fifo.mem[2][15] ),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13157_ (.D(_00432_),
+    .Q(\u_m1_res_fifo.mem[2][16] ),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13158_ (.D(_00433_),
+    .Q(\u_m1_res_fifo.mem[2][17] ),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13159_ (.D(_00434_),
+    .Q(\u_m1_res_fifo.mem[2][18] ),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13160_ (.D(_00435_),
+    .Q(\u_m1_res_fifo.mem[2][19] ),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13161_ (.D(_00436_),
+    .Q(\u_m1_res_fifo.mem[2][20] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13162_ (.D(_00437_),
+    .Q(\u_m1_res_fifo.mem[2][21] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13163_ (.D(_00438_),
+    .Q(\u_m1_res_fifo.mem[2][22] ),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13164_ (.D(_00439_),
+    .Q(\u_m1_res_fifo.mem[2][23] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13165_ (.D(_00440_),
+    .Q(\u_m1_res_fifo.mem[2][24] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13166_ (.D(_00441_),
+    .Q(\u_m1_res_fifo.mem[2][25] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13167_ (.D(_00442_),
+    .Q(\u_m1_res_fifo.mem[2][26] ),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13168_ (.D(_00443_),
+    .Q(\u_m1_res_fifo.mem[2][27] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13169_ (.D(_00444_),
+    .Q(\u_m1_res_fifo.mem[2][28] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13170_ (.D(_00445_),
+    .Q(\u_m1_res_fifo.mem[2][29] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13171_ (.D(_00446_),
+    .Q(\u_m1_res_fifo.mem[2][30] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13172_ (.D(_00447_),
+    .Q(\u_m1_res_fifo.mem[2][31] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13173_ (.D(_00448_),
+    .Q(\u_m1_res_fifo.mem[1][0] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13174_ (.D(_00449_),
+    .Q(\u_m1_res_fifo.mem[1][1] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13175_ (.D(_00450_),
+    .Q(\u_m1_res_fifo.mem[1][2] ),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13176_ (.D(_00451_),
+    .Q(\u_m1_res_fifo.mem[1][3] ),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13177_ (.D(_00452_),
+    .Q(\u_m1_res_fifo.mem[1][4] ),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13178_ (.D(_00453_),
+    .Q(\u_m1_res_fifo.mem[1][5] ),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13179_ (.D(_00454_),
+    .Q(\u_m1_res_fifo.mem[1][6] ),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13180_ (.D(_00455_),
+    .Q(\u_m1_res_fifo.mem[1][7] ),
+    .CLK(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13181_ (.D(_00456_),
+    .Q(\u_m1_res_fifo.mem[1][8] ),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13182_ (.D(_00457_),
+    .Q(\u_m1_res_fifo.mem[1][9] ),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13183_ (.D(_00458_),
+    .Q(\u_m1_res_fifo.mem[1][10] ),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13184_ (.D(_00459_),
+    .Q(\u_m1_res_fifo.mem[1][11] ),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13185_ (.D(_00460_),
+    .Q(\u_m1_res_fifo.mem[1][12] ),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13186_ (.D(_00461_),
+    .Q(\u_m1_res_fifo.mem[1][13] ),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13187_ (.D(_00462_),
+    .Q(\u_m1_res_fifo.mem[1][14] ),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13188_ (.D(_00463_),
+    .Q(\u_m1_res_fifo.mem[1][15] ),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13189_ (.D(_00464_),
+    .Q(\u_m1_res_fifo.mem[1][16] ),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13190_ (.D(_00465_),
+    .Q(\u_m1_res_fifo.mem[1][17] ),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13191_ (.D(_00466_),
+    .Q(\u_m1_res_fifo.mem[1][18] ),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13192_ (.D(_00467_),
+    .Q(\u_m1_res_fifo.mem[1][19] ),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13193_ (.D(_00468_),
+    .Q(\u_m1_res_fifo.mem[1][20] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13194_ (.D(_00469_),
+    .Q(\u_m1_res_fifo.mem[1][21] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13195_ (.D(_00470_),
+    .Q(\u_m1_res_fifo.mem[1][22] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13196_ (.D(_00471_),
+    .Q(\u_m1_res_fifo.mem[1][23] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13197_ (.D(_00472_),
+    .Q(\u_m1_res_fifo.mem[1][24] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13198_ (.D(_00473_),
+    .Q(\u_m1_res_fifo.mem[1][25] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13199_ (.D(_00474_),
+    .Q(\u_m1_res_fifo.mem[1][26] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13200_ (.D(_00475_),
+    .Q(\u_m1_res_fifo.mem[1][27] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13201_ (.D(_00476_),
+    .Q(\u_m1_res_fifo.mem[1][28] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13202_ (.D(_00477_),
+    .Q(\u_m1_res_fifo.mem[1][29] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13203_ (.D(_00478_),
+    .Q(\u_m1_res_fifo.mem[1][30] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13204_ (.D(_00479_),
+    .Q(\u_m1_res_fifo.mem[1][31] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13205_ (.D(_00480_),
+    .Q(\u_m1_res_fifo.mem[0][0] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13206_ (.D(_00481_),
+    .Q(\u_m1_res_fifo.mem[0][1] ),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13207_ (.D(_00482_),
+    .Q(\u_m1_res_fifo.mem[0][2] ),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13208_ (.D(_00483_),
+    .Q(\u_m1_res_fifo.mem[0][3] ),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13209_ (.D(_00484_),
+    .Q(\u_m1_res_fifo.mem[0][4] ),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13210_ (.D(_00485_),
+    .Q(\u_m1_res_fifo.mem[0][5] ),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13211_ (.D(_00486_),
+    .Q(\u_m1_res_fifo.mem[0][6] ),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13212_ (.D(_00487_),
+    .Q(\u_m1_res_fifo.mem[0][7] ),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13213_ (.D(_00488_),
+    .Q(\u_m1_res_fifo.mem[0][8] ),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13214_ (.D(_00489_),
+    .Q(\u_m1_res_fifo.mem[0][9] ),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13215_ (.D(_00490_),
+    .Q(\u_m1_res_fifo.mem[0][10] ),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13216_ (.D(_00491_),
+    .Q(\u_m1_res_fifo.mem[0][11] ),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13217_ (.D(_00492_),
+    .Q(\u_m1_res_fifo.mem[0][12] ),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13218_ (.D(_00493_),
+    .Q(\u_m1_res_fifo.mem[0][13] ),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13219_ (.D(_00494_),
+    .Q(\u_m1_res_fifo.mem[0][14] ),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13220_ (.D(_00495_),
+    .Q(\u_m1_res_fifo.mem[0][15] ),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13221_ (.D(_00496_),
+    .Q(\u_m1_res_fifo.mem[0][16] ),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13222_ (.D(_00497_),
+    .Q(\u_m1_res_fifo.mem[0][17] ),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13223_ (.D(_00498_),
+    .Q(\u_m1_res_fifo.mem[0][18] ),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13224_ (.D(_00499_),
+    .Q(\u_m1_res_fifo.mem[0][19] ),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13225_ (.D(_00500_),
+    .Q(\u_m1_res_fifo.mem[0][20] ),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13226_ (.D(_00501_),
+    .Q(\u_m1_res_fifo.mem[0][21] ),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13227_ (.D(_00502_),
+    .Q(\u_m1_res_fifo.mem[0][22] ),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13228_ (.D(_00503_),
+    .Q(\u_m1_res_fifo.mem[0][23] ),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13229_ (.D(_00504_),
+    .Q(\u_m1_res_fifo.mem[0][24] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13230_ (.D(_00505_),
+    .Q(\u_m1_res_fifo.mem[0][25] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13231_ (.D(_00506_),
+    .Q(\u_m1_res_fifo.mem[0][26] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13232_ (.D(_00507_),
+    .Q(\u_m1_res_fifo.mem[0][27] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13233_ (.D(_00508_),
+    .Q(\u_m1_res_fifo.mem[0][28] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13234_ (.D(_00509_),
+    .Q(\u_m1_res_fifo.mem[0][29] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13235_ (.D(_00510_),
+    .Q(\u_m1_res_fifo.mem[0][30] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13236_ (.D(_00511_),
+    .Q(\u_m1_res_fifo.mem[0][31] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13237_ (.D(_00512_),
+    .Q(\u_m1_cmd_fifo.mem[3][0] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13238_ (.D(_00513_),
+    .Q(\u_m1_cmd_fifo.mem[3][1] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13239_ (.D(_00514_),
+    .Q(\u_m1_cmd_fifo.mem[3][2] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13240_ (.D(_00515_),
+    .Q(\u_m1_cmd_fifo.mem[3][3] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13241_ (.D(_00516_),
+    .Q(\u_m1_cmd_fifo.mem[3][4] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13242_ (.D(_00517_),
+    .Q(\u_m1_cmd_fifo.mem[3][5] ),
+    .CLK(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13243_ (.D(_00518_),
+    .Q(\u_m1_cmd_fifo.mem[3][6] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13244_ (.D(_00519_),
+    .Q(\u_m1_cmd_fifo.mem[3][7] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13245_ (.D(_00520_),
+    .Q(\u_m1_cmd_fifo.mem[3][8] ),
+    .CLK(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13246_ (.D(_00521_),
+    .Q(\u_m1_cmd_fifo.mem[3][9] ),
+    .CLK(clknet_7_33_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13247_ (.D(_00522_),
+    .Q(\u_m1_cmd_fifo.mem[3][10] ),
+    .CLK(clknet_7_32_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13248_ (.D(_00523_),
+    .Q(\u_m1_cmd_fifo.mem[3][11] ),
+    .CLK(clknet_7_32_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13249_ (.D(_00524_),
+    .Q(\u_m1_cmd_fifo.mem[3][12] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13250_ (.D(_00525_),
+    .Q(\u_m1_cmd_fifo.mem[3][13] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13251_ (.D(_00526_),
+    .Q(\u_m1_cmd_fifo.mem[3][14] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13252_ (.D(_00527_),
+    .Q(\u_m1_cmd_fifo.mem[3][15] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13253_ (.D(_00528_),
+    .Q(\u_m1_cmd_fifo.mem[3][16] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13254_ (.D(_00529_),
+    .Q(\u_m1_cmd_fifo.mem[3][17] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13255_ (.D(_00530_),
+    .Q(\u_m1_cmd_fifo.mem[3][18] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13256_ (.D(_00531_),
+    .Q(\u_m1_cmd_fifo.mem[3][19] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13257_ (.D(_00532_),
+    .Q(\u_m1_cmd_fifo.mem[3][20] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13258_ (.D(_00533_),
+    .Q(\u_m1_cmd_fifo.mem[3][21] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13259_ (.D(_00534_),
+    .Q(\u_m1_cmd_fifo.mem[3][22] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13260_ (.D(_00535_),
+    .Q(\u_m1_cmd_fifo.mem[3][23] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13261_ (.D(_00536_),
+    .Q(\u_m1_cmd_fifo.mem[3][24] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13262_ (.D(_00537_),
+    .Q(\u_m1_cmd_fifo.mem[3][25] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13263_ (.D(_00538_),
+    .Q(\u_m1_cmd_fifo.mem[3][26] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13264_ (.D(_00539_),
+    .Q(\u_m1_cmd_fifo.mem[3][27] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13265_ (.D(_00540_),
+    .Q(\u_m1_cmd_fifo.mem[3][28] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13266_ (.D(_00541_),
+    .Q(\u_m1_cmd_fifo.mem[3][29] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13267_ (.D(_00542_),
+    .Q(\u_m1_cmd_fifo.mem[3][30] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13268_ (.D(_00543_),
+    .Q(\u_m1_cmd_fifo.mem[3][31] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13269_ (.D(_00544_),
+    .Q(\u_m0_res_fifo.mem[6][0] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13270_ (.D(_00545_),
+    .Q(\u_m0_res_fifo.mem[6][1] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13271_ (.D(_00546_),
+    .Q(\u_m0_res_fifo.mem[6][2] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13272_ (.D(_00547_),
+    .Q(\u_m0_res_fifo.mem[6][3] ),
+    .CLK(clknet_7_66_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13273_ (.D(_00548_),
+    .Q(\u_m0_res_fifo.mem[6][4] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13274_ (.D(_00549_),
+    .Q(\u_m0_res_fifo.mem[6][5] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13275_ (.D(_00550_),
+    .Q(\u_m0_res_fifo.mem[6][6] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13276_ (.D(_00551_),
+    .Q(\u_m0_res_fifo.mem[6][7] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13277_ (.D(_00552_),
+    .Q(\u_m0_res_fifo.mem[6][8] ),
     .CLK(clknet_7_69_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09275_ (.D(_00239_),
-    .Q(\u_m0_res_fifo.mem[0][18] ),
+ sky130_fd_sc_hd__dfxtp_4 _13278_ (.D(_00553_),
+    .Q(\u_m0_res_fifo.mem[6][9] ),
     .CLK(clknet_7_69_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09276_ (.D(_00240_),
-    .Q(\u_m0_res_fifo.mem[0][19] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09277_ (.D(_00241_),
-    .Q(\u_m0_res_fifo.mem[0][20] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09278_ (.D(_00242_),
-    .Q(\u_m0_res_fifo.mem[0][21] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09279_ (.D(_00243_),
-    .Q(\u_m0_res_fifo.mem[0][22] ),
+ sky130_fd_sc_hd__dfxtp_4 _13279_ (.D(_00554_),
+    .Q(\u_m0_res_fifo.mem[6][10] ),
     .CLK(clknet_7_69_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09280_ (.D(_00244_),
-    .Q(\u_m0_res_fifo.mem[0][23] ),
+ sky130_fd_sc_hd__dfxtp_4 _13280_ (.D(_00555_),
+    .Q(\u_m0_res_fifo.mem[6][11] ),
     .CLK(clknet_7_69_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09281_ (.D(_00245_),
-    .Q(\u_m0_res_fifo.mem[0][24] ),
-    .CLK(clknet_7_20_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13281_ (.D(_00556_),
+    .Q(\u_m0_res_fifo.mem[6][12] ),
+    .CLK(clknet_7_69_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09282_ (.D(_00246_),
-    .Q(\u_m0_res_fifo.mem[0][25] ),
-    .CLK(clknet_7_20_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13282_ (.D(_00557_),
+    .Q(\u_m0_res_fifo.mem[6][13] ),
+    .CLK(clknet_7_80_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09283_ (.D(_00247_),
-    .Q(\u_m0_res_fifo.mem[0][26] ),
-    .CLK(clknet_7_21_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13283_ (.D(_00558_),
+    .Q(\u_m0_res_fifo.mem[6][14] ),
+    .CLK(clknet_7_81_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09284_ (.D(_00248_),
-    .Q(\u_m0_res_fifo.mem[0][27] ),
-    .CLK(clknet_7_21_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13284_ (.D(_00559_),
+    .Q(\u_m0_res_fifo.mem[6][15] ),
+    .CLK(clknet_7_81_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09285_ (.D(_00249_),
-    .Q(\u_m0_res_fifo.mem[0][28] ),
-    .CLK(clknet_7_65_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13285_ (.D(_00560_),
+    .Q(\u_m0_res_fifo.mem[6][16] ),
+    .CLK(clknet_7_86_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09286_ (.D(_00250_),
-    .Q(\u_m0_res_fifo.mem[0][29] ),
-    .CLK(clknet_7_65_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13286_ (.D(_00561_),
+    .Q(\u_m0_res_fifo.mem[6][17] ),
+    .CLK(clknet_7_86_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09287_ (.D(_00251_),
-    .Q(\u_m0_res_fifo.mem[0][30] ),
-    .CLK(clknet_7_70_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13287_ (.D(_00562_),
+    .Q(\u_m0_res_fifo.mem[6][18] ),
+    .CLK(clknet_7_86_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09288_ (.D(_00252_),
-    .Q(\u_m0_res_fifo.mem[0][31] ),
-    .CLK(clknet_7_70_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13288_ (.D(_00563_),
+    .Q(\u_m0_res_fifo.mem[6][19] ),
+    .CLK(clknet_7_86_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09289_ (.D(_00253_),
+ sky130_fd_sc_hd__dfxtp_4 _13289_ (.D(_00564_),
+    .Q(\u_m0_res_fifo.mem[6][20] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13290_ (.D(_00565_),
+    .Q(\u_m0_res_fifo.mem[6][21] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13291_ (.D(_00566_),
+    .Q(\u_m0_res_fifo.mem[6][22] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13292_ (.D(_00567_),
+    .Q(\u_m0_res_fifo.mem[6][23] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13293_ (.D(_00568_),
+    .Q(\u_m0_res_fifo.mem[6][24] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13294_ (.D(_00569_),
+    .Q(\u_m0_res_fifo.mem[6][25] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13295_ (.D(_00570_),
+    .Q(\u_m0_res_fifo.mem[6][26] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13296_ (.D(_00571_),
+    .Q(\u_m0_res_fifo.mem[6][27] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13297_ (.D(_00572_),
+    .Q(\u_m0_res_fifo.mem[6][28] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13298_ (.D(_00573_),
+    .Q(\u_m0_res_fifo.mem[6][29] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13299_ (.D(_00574_),
+    .Q(\u_m0_res_fifo.mem[6][30] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13300_ (.D(_00575_),
+    .Q(\u_m0_res_fifo.mem[6][31] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13301_ (.D(_00576_),
     .Q(\u_m0_cmd_fifo.mem[0][0] ),
-    .CLK(clknet_7_60_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09290_ (.D(_00254_),
+ sky130_fd_sc_hd__dfxtp_4 _13302_ (.D(_00577_),
     .Q(\u_m0_cmd_fifo.mem[0][1] ),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09291_ (.D(_00255_),
+ sky130_fd_sc_hd__dfxtp_4 _13303_ (.D(_00578_),
     .Q(\u_m0_cmd_fifo.mem[0][2] ),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09292_ (.D(_00256_),
+ sky130_fd_sc_hd__dfxtp_4 _13304_ (.D(_00579_),
     .Q(\u_m0_cmd_fifo.mem[0][3] ),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09293_ (.D(_00257_),
+ sky130_fd_sc_hd__dfxtp_4 _13305_ (.D(_00580_),
     .Q(\u_m0_cmd_fifo.mem[0][4] ),
-    .CLK(clknet_7_54_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09294_ (.D(_00258_),
+ sky130_fd_sc_hd__dfxtp_4 _13306_ (.D(_00581_),
     .Q(\u_m0_cmd_fifo.mem[0][5] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13307_ (.D(_00582_),
+    .Q(\u_m0_cmd_fifo.mem[0][6] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13308_ (.D(_00583_),
+    .Q(\u_m0_cmd_fifo.mem[0][7] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13309_ (.D(_00584_),
+    .Q(\u_m0_cmd_fifo.mem[0][8] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13310_ (.D(_00585_),
+    .Q(\u_m0_cmd_fifo.mem[0][9] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13311_ (.D(_00586_),
+    .Q(\u_m0_cmd_fifo.mem[0][10] ),
     .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09295_ (.D(_00259_),
-    .Q(\u_m0_cmd_fifo.mem[0][6] ),
-    .CLK(clknet_7_57_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09296_ (.D(_00260_),
-    .Q(\u_m0_cmd_fifo.mem[0][7] ),
-    .CLK(clknet_7_55_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09297_ (.D(_00261_),
-    .Q(\u_m0_cmd_fifo.mem[0][8] ),
-    .CLK(clknet_7_55_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09298_ (.D(_00262_),
-    .Q(\u_m0_cmd_fifo.mem[0][9] ),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09299_ (.D(_00263_),
-    .Q(\u_m0_cmd_fifo.mem[0][10] ),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09300_ (.D(_00264_),
+ sky130_fd_sc_hd__dfxtp_4 _13312_ (.D(_00587_),
     .Q(\u_m0_cmd_fifo.mem[0][11] ),
-    .CLK(clknet_7_52_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09301_ (.D(_00265_),
+ sky130_fd_sc_hd__dfxtp_4 _13313_ (.D(_00588_),
     .Q(\u_m0_cmd_fifo.mem[0][12] ),
     .CLK(clknet_7_54_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09302_ (.D(_00266_),
+ sky130_fd_sc_hd__dfxtp_4 _13314_ (.D(_00589_),
     .Q(\u_m0_cmd_fifo.mem[0][13] ),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09303_ (.D(_00267_),
+ sky130_fd_sc_hd__dfxtp_4 _13315_ (.D(_00590_),
     .Q(\u_m0_cmd_fifo.mem[0][14] ),
     .CLK(clknet_7_54_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09304_ (.D(_00268_),
+ sky130_fd_sc_hd__dfxtp_4 _13316_ (.D(_00591_),
     .Q(\u_m0_cmd_fifo.mem[0][15] ),
     .CLK(clknet_7_54_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09305_ (.D(_00269_),
+ sky130_fd_sc_hd__dfxtp_4 _13317_ (.D(_00592_),
     .Q(\u_m0_cmd_fifo.mem[0][16] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09306_ (.D(_00270_),
-    .Q(\u_m0_cmd_fifo.mem[0][17] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09307_ (.D(_00271_),
-    .Q(\u_m0_cmd_fifo.mem[0][18] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09308_ (.D(_00272_),
-    .Q(\u_m0_cmd_fifo.mem[0][19] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09309_ (.D(_00273_),
-    .Q(\u_m0_cmd_fifo.mem[0][20] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09310_ (.D(_00274_),
-    .Q(\u_m0_cmd_fifo.mem[0][21] ),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09311_ (.D(_00275_),
-    .Q(\u_m0_cmd_fifo.mem[0][22] ),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09312_ (.D(_00276_),
-    .Q(\u_m0_cmd_fifo.mem[0][23] ),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09313_ (.D(_00277_),
-    .Q(\u_m0_cmd_fifo.mem[0][24] ),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09314_ (.D(_00278_),
-    .Q(\u_m0_cmd_fifo.mem[0][25] ),
-    .CLK(clknet_7_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09315_ (.D(_00279_),
-    .Q(\u_m0_cmd_fifo.mem[0][26] ),
-    .CLK(clknet_7_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09316_ (.D(_00280_),
-    .Q(\u_m0_cmd_fifo.mem[0][27] ),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09317_ (.D(_00281_),
-    .Q(\u_m0_cmd_fifo.mem[0][28] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09318_ (.D(_00282_),
-    .Q(\u_m0_cmd_fifo.mem[0][29] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09319_ (.D(_00283_),
-    .Q(\u_m0_cmd_fifo.mem[0][30] ),
     .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09320_ (.D(_00284_),
+ sky130_fd_sc_hd__dfxtp_4 _13318_ (.D(_00593_),
+    .Q(\u_m0_cmd_fifo.mem[0][17] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13319_ (.D(_00594_),
+    .Q(\u_m0_cmd_fifo.mem[0][18] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13320_ (.D(_00595_),
+    .Q(\u_m0_cmd_fifo.mem[0][19] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13321_ (.D(_00596_),
+    .Q(\u_m0_cmd_fifo.mem[0][20] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13322_ (.D(_00597_),
+    .Q(\u_m0_cmd_fifo.mem[0][21] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13323_ (.D(_00598_),
+    .Q(\u_m0_cmd_fifo.mem[0][22] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13324_ (.D(_00599_),
+    .Q(\u_m0_cmd_fifo.mem[0][23] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13325_ (.D(_00600_),
+    .Q(\u_m0_cmd_fifo.mem[0][24] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13326_ (.D(_00601_),
+    .Q(\u_m0_cmd_fifo.mem[0][25] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13327_ (.D(_00602_),
+    .Q(\u_m0_cmd_fifo.mem[0][26] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13328_ (.D(_00603_),
+    .Q(\u_m0_cmd_fifo.mem[0][27] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13329_ (.D(_00604_),
+    .Q(\u_m0_cmd_fifo.mem[0][28] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13330_ (.D(_00605_),
+    .Q(\u_m0_cmd_fifo.mem[0][29] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13331_ (.D(_00606_),
+    .Q(\u_m0_cmd_fifo.mem[0][30] ),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13332_ (.D(_00607_),
     .Q(\u_m0_cmd_fifo.mem[0][31] ),
-    .CLK(clknet_7_51_0_mclk),
+    .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09321_ (.D(_00285_),
-    .Q(\u_m1_cmd_fifo.mem[1][0] ),
-    .CLK(clknet_7_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09322_ (.D(_00286_),
-    .Q(\u_m1_cmd_fifo.mem[1][1] ),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09323_ (.D(_00287_),
-    .Q(\u_m1_cmd_fifo.mem[1][2] ),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09324_ (.D(_00288_),
-    .Q(\u_m1_cmd_fifo.mem[1][3] ),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09325_ (.D(_00289_),
-    .Q(\u_m1_cmd_fifo.mem[1][4] ),
-    .CLK(clknet_7_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09326_ (.D(_00290_),
-    .Q(\u_m1_cmd_fifo.mem[1][5] ),
-    .CLK(clknet_7_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09327_ (.D(_00291_),
-    .Q(\u_m1_cmd_fifo.mem[1][6] ),
-    .CLK(clknet_7_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09328_ (.D(_00292_),
-    .Q(\u_m1_cmd_fifo.mem[1][7] ),
-    .CLK(clknet_7_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09329_ (.D(_00293_),
-    .Q(\u_m1_cmd_fifo.mem[1][8] ),
-    .CLK(clknet_7_38_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09330_ (.D(_00294_),
-    .Q(\u_m1_cmd_fifo.mem[1][9] ),
-    .CLK(clknet_7_38_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09331_ (.D(_00295_),
-    .Q(\u_m1_cmd_fifo.mem[1][10] ),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09332_ (.D(_00296_),
-    .Q(\u_m1_cmd_fifo.mem[1][11] ),
-    .CLK(clknet_7_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09333_ (.D(_00297_),
-    .Q(\u_m1_cmd_fifo.mem[1][12] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09334_ (.D(_00298_),
-    .Q(\u_m1_cmd_fifo.mem[1][13] ),
-    .CLK(clknet_7_38_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09335_ (.D(_00299_),
-    .Q(\u_m1_cmd_fifo.mem[1][14] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09336_ (.D(_00300_),
-    .Q(\u_m1_cmd_fifo.mem[1][15] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09337_ (.D(_00301_),
-    .Q(\u_m1_cmd_fifo.mem[1][16] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09338_ (.D(_00302_),
-    .Q(\u_m1_cmd_fifo.mem[1][17] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09339_ (.D(_00303_),
-    .Q(\u_m1_cmd_fifo.mem[1][18] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09340_ (.D(_00304_),
-    .Q(\u_m1_cmd_fifo.mem[1][19] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09341_ (.D(_00305_),
-    .Q(\u_m1_cmd_fifo.mem[1][20] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09342_ (.D(_00306_),
-    .Q(\u_m1_cmd_fifo.mem[1][21] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09343_ (.D(_00307_),
-    .Q(\u_m1_cmd_fifo.mem[1][22] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09344_ (.D(_00308_),
-    .Q(\u_m1_cmd_fifo.mem[1][23] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09345_ (.D(_00309_),
-    .Q(\u_m1_cmd_fifo.mem[1][24] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09346_ (.D(_00310_),
-    .Q(\u_m1_cmd_fifo.mem[1][25] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09347_ (.D(_00311_),
-    .Q(\u_m1_cmd_fifo.mem[1][26] ),
-    .CLK(clknet_7_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09348_ (.D(_00312_),
-    .Q(\u_m1_cmd_fifo.mem[1][27] ),
-    .CLK(clknet_7_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09349_ (.D(_00313_),
-    .Q(\u_m1_cmd_fifo.mem[1][28] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09350_ (.D(_00314_),
-    .Q(\u_m1_cmd_fifo.mem[1][29] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09351_ (.D(_00315_),
-    .Q(\u_m1_cmd_fifo.mem[1][30] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09352_ (.D(_00316_),
-    .Q(\u_m1_cmd_fifo.mem[1][31] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09353_ (.D(_00317_),
+ sky130_fd_sc_hd__dfxtp_4 _13333_ (.D(_00608_),
     .Q(\u_m0_cmd_fifo.mem[1][0] ),
-    .CLK(clknet_7_60_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09354_ (.D(_00318_),
+ sky130_fd_sc_hd__dfxtp_4 _13334_ (.D(_00609_),
     .Q(\u_m0_cmd_fifo.mem[1][1] ),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09355_ (.D(_00319_),
+ sky130_fd_sc_hd__dfxtp_4 _13335_ (.D(_00610_),
     .Q(\u_m0_cmd_fifo.mem[1][2] ),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09356_ (.D(_00320_),
+ sky130_fd_sc_hd__dfxtp_4 _13336_ (.D(_00611_),
     .Q(\u_m0_cmd_fifo.mem[1][3] ),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09357_ (.D(_00321_),
+ sky130_fd_sc_hd__dfxtp_4 _13337_ (.D(_00612_),
     .Q(\u_m0_cmd_fifo.mem[1][4] ),
-    .CLK(clknet_7_54_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09358_ (.D(_00322_),
+ sky130_fd_sc_hd__dfxtp_4 _13338_ (.D(_00613_),
     .Q(\u_m0_cmd_fifo.mem[1][5] ),
-    .CLK(clknet_7_57_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09359_ (.D(_00323_),
+ sky130_fd_sc_hd__dfxtp_4 _13339_ (.D(_00614_),
     .Q(\u_m0_cmd_fifo.mem[1][6] ),
-    .CLK(clknet_7_57_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09360_ (.D(_00324_),
+ sky130_fd_sc_hd__dfxtp_4 _13340_ (.D(_00615_),
     .Q(\u_m0_cmd_fifo.mem[1][7] ),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09361_ (.D(_00325_),
+ sky130_fd_sc_hd__dfxtp_4 _13341_ (.D(_00616_),
     .Q(\u_m0_cmd_fifo.mem[1][8] ),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09362_ (.D(_00326_),
+ sky130_fd_sc_hd__dfxtp_4 _13342_ (.D(_00617_),
     .Q(\u_m0_cmd_fifo.mem[1][9] ),
-    .CLK(clknet_7_53_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09363_ (.D(_00327_),
+ sky130_fd_sc_hd__dfxtp_4 _13343_ (.D(_00618_),
     .Q(\u_m0_cmd_fifo.mem[1][10] ),
-    .CLK(clknet_7_53_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09364_ (.D(_00328_),
+ sky130_fd_sc_hd__dfxtp_4 _13344_ (.D(_00619_),
     .Q(\u_m0_cmd_fifo.mem[1][11] ),
-    .CLK(clknet_7_52_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09365_ (.D(_00329_),
+ sky130_fd_sc_hd__dfxtp_4 _13345_ (.D(_00620_),
     .Q(\u_m0_cmd_fifo.mem[1][12] ),
-    .CLK(clknet_7_52_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09366_ (.D(_00330_),
+ sky130_fd_sc_hd__dfxtp_4 _13346_ (.D(_00621_),
     .Q(\u_m0_cmd_fifo.mem[1][13] ),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09367_ (.D(_00331_),
+ sky130_fd_sc_hd__dfxtp_4 _13347_ (.D(_00622_),
     .Q(\u_m0_cmd_fifo.mem[1][14] ),
-    .CLK(clknet_7_55_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09368_ (.D(_00332_),
-    .Q(\u_m0_cmd_fifo.mem[1][15] ),
     .CLK(clknet_7_54_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09369_ (.D(_00333_),
+ sky130_fd_sc_hd__dfxtp_4 _13348_ (.D(_00623_),
+    .Q(\u_m0_cmd_fifo.mem[1][15] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13349_ (.D(_00624_),
     .Q(\u_m0_cmd_fifo.mem[1][16] ),
-    .CLK(clknet_7_51_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09370_ (.D(_00334_),
+ sky130_fd_sc_hd__dfxtp_4 _13350_ (.D(_00625_),
     .Q(\u_m0_cmd_fifo.mem[1][17] ),
-    .CLK(clknet_7_50_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09371_ (.D(_00335_),
+ sky130_fd_sc_hd__dfxtp_4 _13351_ (.D(_00626_),
     .Q(\u_m0_cmd_fifo.mem[1][18] ),
-    .CLK(clknet_7_50_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09372_ (.D(_00336_),
+ sky130_fd_sc_hd__dfxtp_4 _13352_ (.D(_00627_),
     .Q(\u_m0_cmd_fifo.mem[1][19] ),
-    .CLK(clknet_7_50_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09373_ (.D(_00337_),
+ sky130_fd_sc_hd__dfxtp_4 _13353_ (.D(_00628_),
     .Q(\u_m0_cmd_fifo.mem[1][20] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13354_ (.D(_00629_),
+    .Q(\u_m0_cmd_fifo.mem[1][21] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13355_ (.D(_00630_),
+    .Q(\u_m0_cmd_fifo.mem[1][22] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13356_ (.D(_00631_),
+    .Q(\u_m0_cmd_fifo.mem[1][23] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13357_ (.D(_00632_),
+    .Q(\u_m0_cmd_fifo.mem[1][24] ),
     .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09374_ (.D(_00338_),
-    .Q(\u_m0_cmd_fifo.mem[1][21] ),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09375_ (.D(_00339_),
-    .Q(\u_m0_cmd_fifo.mem[1][22] ),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09376_ (.D(_00340_),
-    .Q(\u_m0_cmd_fifo.mem[1][23] ),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09377_ (.D(_00341_),
-    .Q(\u_m0_cmd_fifo.mem[1][24] ),
-    .CLK(clknet_7_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09378_ (.D(_00342_),
+ sky130_fd_sc_hd__dfxtp_4 _13358_ (.D(_00633_),
     .Q(\u_m0_cmd_fifo.mem[1][25] ),
-    .CLK(clknet_7_36_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09379_ (.D(_00343_),
+ sky130_fd_sc_hd__dfxtp_4 _13359_ (.D(_00634_),
     .Q(\u_m0_cmd_fifo.mem[1][26] ),
-    .CLK(clknet_7_36_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09380_ (.D(_00344_),
+ sky130_fd_sc_hd__dfxtp_4 _13360_ (.D(_00635_),
     .Q(\u_m0_cmd_fifo.mem[1][27] ),
-    .CLK(clknet_7_37_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09381_ (.D(_00345_),
+ sky130_fd_sc_hd__dfxtp_4 _13361_ (.D(_00636_),
     .Q(\u_m0_cmd_fifo.mem[1][28] ),
     .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09382_ (.D(_00346_),
+ sky130_fd_sc_hd__dfxtp_4 _13362_ (.D(_00637_),
     .Q(\u_m0_cmd_fifo.mem[1][29] ),
-    .CLK(clknet_7_48_0_mclk),
+    .CLK(clknet_7_54_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09383_ (.D(_00347_),
+ sky130_fd_sc_hd__dfxtp_4 _13363_ (.D(_00638_),
     .Q(\u_m0_cmd_fifo.mem[1][30] ),
-    .CLK(clknet_7_48_0_mclk),
+    .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09384_ (.D(_00348_),
+ sky130_fd_sc_hd__dfxtp_4 _13364_ (.D(_00639_),
     .Q(\u_m0_cmd_fifo.mem[1][31] ),
-    .CLK(clknet_7_48_0_mclk),
+    .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09385_ (.D(_00349_),
+ sky130_fd_sc_hd__dfxtp_4 _13365_ (.D(_00640_),
+    .Q(\u_m0_res_fifo.mem[2][0] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13366_ (.D(_00641_),
+    .Q(\u_m0_res_fifo.mem[2][1] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13367_ (.D(_00642_),
+    .Q(\u_m0_res_fifo.mem[2][2] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13368_ (.D(_00643_),
+    .Q(\u_m0_res_fifo.mem[2][3] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13369_ (.D(_00644_),
+    .Q(\u_m0_res_fifo.mem[2][4] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13370_ (.D(_00645_),
+    .Q(\u_m0_res_fifo.mem[2][5] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13371_ (.D(_00646_),
+    .Q(\u_m0_res_fifo.mem[2][6] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13372_ (.D(_00647_),
+    .Q(\u_m0_res_fifo.mem[2][7] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13373_ (.D(_00648_),
+    .Q(\u_m0_res_fifo.mem[2][8] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13374_ (.D(_00649_),
+    .Q(\u_m0_res_fifo.mem[2][9] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13375_ (.D(_00650_),
+    .Q(\u_m0_res_fifo.mem[2][10] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13376_ (.D(_00651_),
+    .Q(\u_m0_res_fifo.mem[2][11] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13377_ (.D(_00652_),
+    .Q(\u_m0_res_fifo.mem[2][12] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13378_ (.D(_00653_),
+    .Q(\u_m0_res_fifo.mem[2][13] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13379_ (.D(_00654_),
+    .Q(\u_m0_res_fifo.mem[2][14] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13380_ (.D(_00655_),
+    .Q(\u_m0_res_fifo.mem[2][15] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13381_ (.D(_00656_),
+    .Q(\u_m0_res_fifo.mem[2][16] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13382_ (.D(_00657_),
+    .Q(\u_m0_res_fifo.mem[2][17] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13383_ (.D(_00658_),
+    .Q(\u_m0_res_fifo.mem[2][18] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13384_ (.D(_00659_),
+    .Q(\u_m0_res_fifo.mem[2][19] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13385_ (.D(_00660_),
+    .Q(\u_m0_res_fifo.mem[2][20] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13386_ (.D(_00661_),
+    .Q(\u_m0_res_fifo.mem[2][21] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13387_ (.D(_00662_),
+    .Q(\u_m0_res_fifo.mem[2][22] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13388_ (.D(_00663_),
+    .Q(\u_m0_res_fifo.mem[2][23] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13389_ (.D(_00664_),
+    .Q(\u_m0_res_fifo.mem[2][24] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13390_ (.D(_00665_),
+    .Q(\u_m0_res_fifo.mem[2][25] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13391_ (.D(_00666_),
+    .Q(\u_m0_res_fifo.mem[2][26] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13392_ (.D(_00667_),
+    .Q(\u_m0_res_fifo.mem[2][27] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13393_ (.D(_00668_),
+    .Q(\u_m0_res_fifo.mem[2][28] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13394_ (.D(_00669_),
+    .Q(\u_m0_res_fifo.mem[2][29] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13395_ (.D(_00670_),
+    .Q(\u_m0_res_fifo.mem[2][30] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13396_ (.D(_00671_),
+    .Q(\u_m0_res_fifo.mem[2][31] ),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13397_ (.D(_00672_),
     .Q(\u_spim_regs.cfg_m1_addr[8] ),
-    .CLK(clknet_7_28_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09386_ (.D(_00350_),
+ sky130_fd_sc_hd__dfxtp_4 _13398_ (.D(_00673_),
     .Q(\u_spim_regs.cfg_m1_addr[9] ),
-    .CLK(clknet_7_27_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09387_ (.D(_00351_),
+ sky130_fd_sc_hd__dfxtp_4 _13399_ (.D(_00674_),
     .Q(\u_spim_regs.cfg_m1_addr[10] ),
-    .CLK(clknet_7_28_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09388_ (.D(_00352_),
+ sky130_fd_sc_hd__dfxtp_4 _13400_ (.D(_00675_),
     .Q(\u_spim_regs.cfg_m1_addr[11] ),
-    .CLK(clknet_7_25_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09389_ (.D(_00353_),
+ sky130_fd_sc_hd__dfxtp_4 _13401_ (.D(_00676_),
     .Q(\u_spim_regs.cfg_m1_addr[12] ),
-    .CLK(clknet_7_13_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09390_ (.D(_00354_),
+ sky130_fd_sc_hd__dfxtp_4 _13402_ (.D(_00677_),
     .Q(\u_spim_regs.cfg_m1_addr[13] ),
-    .CLK(clknet_7_24_0_mclk),
+    .CLK(clknet_7_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09391_ (.D(_00355_),
+ sky130_fd_sc_hd__dfxtp_4 _13403_ (.D(_00678_),
     .Q(\u_spim_regs.cfg_m1_addr[14] ),
-    .CLK(clknet_7_24_0_mclk),
+    .CLK(clknet_7_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09392_ (.D(_00356_),
+ sky130_fd_sc_hd__dfxtp_4 _13404_ (.D(_00679_),
     .Q(\u_spim_regs.cfg_m1_addr[15] ),
-    .CLK(clknet_7_13_0_mclk),
+    .CLK(clknet_7_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09393_ (.D(_00357_),
-    .Q(\u_m1_cmd_fifo.mem[2][0] ),
-    .CLK(clknet_7_60_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13405_ (.D(_00680_),
+    .Q(\u_m0_res_fifo.mem[1][0] ),
+    .CLK(clknet_7_71_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09394_ (.D(_00358_),
-    .Q(\u_m1_cmd_fifo.mem[2][1] ),
-    .CLK(clknet_7_61_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13406_ (.D(_00681_),
+    .Q(\u_m0_res_fifo.mem[1][1] ),
+    .CLK(clknet_7_70_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09395_ (.D(_00359_),
-    .Q(\u_m1_cmd_fifo.mem[2][2] ),
-    .CLK(clknet_7_63_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13407_ (.D(_00682_),
+    .Q(\u_m0_res_fifo.mem[1][2] ),
+    .CLK(clknet_7_70_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09396_ (.D(_00360_),
-    .Q(\u_m1_cmd_fifo.mem[2][3] ),
-    .CLK(clknet_7_60_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13408_ (.D(_00683_),
+    .Q(\u_m0_res_fifo.mem[1][3] ),
+    .CLK(clknet_7_70_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09397_ (.D(_00361_),
-    .Q(\u_m1_cmd_fifo.mem[2][4] ),
-    .CLK(clknet_7_57_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13409_ (.D(_00684_),
+    .Q(\u_m0_res_fifo.mem[1][4] ),
+    .CLK(clknet_7_70_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09398_ (.D(_00362_),
-    .Q(\u_m1_cmd_fifo.mem[2][5] ),
-    .CLK(clknet_7_56_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13410_ (.D(_00685_),
+    .Q(\u_m0_res_fifo.mem[1][5] ),
+    .CLK(clknet_7_69_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09399_ (.D(_00363_),
-    .Q(\u_m1_cmd_fifo.mem[2][6] ),
-    .CLK(clknet_7_58_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13411_ (.D(_00686_),
+    .Q(\u_m0_res_fifo.mem[1][6] ),
+    .CLK(clknet_7_68_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09400_ (.D(_00364_),
-    .Q(\u_m1_cmd_fifo.mem[2][7] ),
-    .CLK(clknet_7_57_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13412_ (.D(_00687_),
+    .Q(\u_m0_res_fifo.mem[1][7] ),
+    .CLK(clknet_7_68_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09401_ (.D(_00365_),
-    .Q(\u_m1_cmd_fifo.mem[2][8] ),
-    .CLK(clknet_7_56_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13413_ (.D(_00688_),
+    .Q(\u_m0_res_fifo.mem[1][8] ),
+    .CLK(clknet_7_84_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09402_ (.D(_00366_),
-    .Q(\u_m1_cmd_fifo.mem[2][9] ),
-    .CLK(clknet_7_45_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13414_ (.D(_00689_),
+    .Q(\u_m0_res_fifo.mem[1][9] ),
+    .CLK(clknet_7_84_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09403_ (.D(_00367_),
-    .Q(\u_m1_cmd_fifo.mem[2][10] ),
-    .CLK(clknet_7_50_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13415_ (.D(_00690_),
+    .Q(\u_m0_res_fifo.mem[1][10] ),
+    .CLK(clknet_7_84_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09404_ (.D(_00368_),
-    .Q(\u_m1_cmd_fifo.mem[2][11] ),
-    .CLK(clknet_7_39_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13416_ (.D(_00691_),
+    .Q(\u_m0_res_fifo.mem[1][11] ),
+    .CLK(clknet_7_85_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09405_ (.D(_00369_),
-    .Q(\u_m1_cmd_fifo.mem[2][12] ),
-    .CLK(clknet_7_45_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13417_ (.D(_00692_),
+    .Q(\u_m0_res_fifo.mem[1][12] ),
+    .CLK(clknet_7_85_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09406_ (.D(_00370_),
-    .Q(\u_m1_cmd_fifo.mem[2][13] ),
-    .CLK(clknet_7_45_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13418_ (.D(_00693_),
+    .Q(\u_m0_res_fifo.mem[1][13] ),
+    .CLK(clknet_7_85_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09407_ (.D(_00371_),
-    .Q(\u_m1_cmd_fifo.mem[2][14] ),
-    .CLK(clknet_7_35_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13419_ (.D(_00694_),
+    .Q(\u_m0_res_fifo.mem[1][14] ),
+    .CLK(clknet_7_87_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09408_ (.D(_00372_),
-    .Q(\u_m1_cmd_fifo.mem[2][15] ),
-    .CLK(clknet_7_38_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13420_ (.D(_00695_),
+    .Q(\u_m0_res_fifo.mem[1][15] ),
+    .CLK(clknet_7_87_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09409_ (.D(_00373_),
-    .Q(\u_m1_cmd_fifo.mem[2][16] ),
-    .CLK(clknet_7_34_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13421_ (.D(_00696_),
+    .Q(\u_m0_res_fifo.mem[1][16] ),
+    .CLK(clknet_7_87_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09410_ (.D(_00374_),
-    .Q(\u_m1_cmd_fifo.mem[2][17] ),
-    .CLK(clknet_7_34_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13422_ (.D(_00697_),
+    .Q(\u_m0_res_fifo.mem[1][17] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09411_ (.D(_00375_),
-    .Q(\u_m1_cmd_fifo.mem[2][18] ),
-    .CLK(clknet_7_41_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13423_ (.D(_00698_),
+    .Q(\u_m0_res_fifo.mem[1][18] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09412_ (.D(_00376_),
-    .Q(\u_m1_cmd_fifo.mem[2][19] ),
-    .CLK(clknet_7_41_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13424_ (.D(_00699_),
+    .Q(\u_m0_res_fifo.mem[1][19] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09413_ (.D(_00377_),
-    .Q(\u_m1_cmd_fifo.mem[2][20] ),
-    .CLK(clknet_7_41_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13425_ (.D(_00700_),
+    .Q(\u_m0_res_fifo.mem[1][20] ),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09414_ (.D(_00378_),
-    .Q(\u_m1_cmd_fifo.mem[2][21] ),
-    .CLK(clknet_7_40_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13426_ (.D(_00701_),
+    .Q(\u_m0_res_fifo.mem[1][21] ),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09415_ (.D(_00379_),
-    .Q(\u_m1_cmd_fifo.mem[2][22] ),
-    .CLK(clknet_7_43_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13427_ (.D(_00702_),
+    .Q(\u_m0_res_fifo.mem[1][22] ),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09416_ (.D(_00380_),
-    .Q(\u_m1_cmd_fifo.mem[2][23] ),
-    .CLK(clknet_7_43_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13428_ (.D(_00703_),
+    .Q(\u_m0_res_fifo.mem[1][23] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09417_ (.D(_00381_),
-    .Q(\u_m1_cmd_fifo.mem[2][24] ),
-    .CLK(clknet_7_46_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13429_ (.D(_00704_),
+    .Q(\u_m0_res_fifo.mem[1][24] ),
+    .CLK(clknet_7_82_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09418_ (.D(_00382_),
-    .Q(\u_m1_cmd_fifo.mem[2][25] ),
-    .CLK(clknet_7_46_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13430_ (.D(_00705_),
+    .Q(\u_m0_res_fifo.mem[1][25] ),
+    .CLK(clknet_7_77_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09419_ (.D(_00383_),
-    .Q(\u_m1_cmd_fifo.mem[2][26] ),
-    .CLK(clknet_7_47_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13431_ (.D(_00706_),
+    .Q(\u_m0_res_fifo.mem[1][26] ),
+    .CLK(clknet_7_77_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09420_ (.D(_00384_),
-    .Q(\u_m1_cmd_fifo.mem[2][27] ),
-    .CLK(clknet_7_58_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13432_ (.D(_00707_),
+    .Q(\u_m0_res_fifo.mem[1][27] ),
+    .CLK(clknet_7_77_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09421_ (.D(_00385_),
-    .Q(\u_m1_cmd_fifo.mem[2][28] ),
-    .CLK(clknet_7_44_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13433_ (.D(_00708_),
+    .Q(\u_m0_res_fifo.mem[1][28] ),
+    .CLK(clknet_7_76_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09422_ (.D(_00386_),
-    .Q(\u_m1_cmd_fifo.mem[2][29] ),
-    .CLK(clknet_7_46_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13434_ (.D(_00709_),
+    .Q(\u_m0_res_fifo.mem[1][29] ),
+    .CLK(clknet_7_73_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09423_ (.D(_00387_),
-    .Q(\u_m1_cmd_fifo.mem[2][30] ),
-    .CLK(clknet_7_44_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13435_ (.D(_00710_),
+    .Q(\u_m0_res_fifo.mem[1][30] ),
+    .CLK(clknet_7_73_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09424_ (.D(_00388_),
-    .Q(\u_m1_cmd_fifo.mem[2][31] ),
-    .CLK(clknet_7_45_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13436_ (.D(_00711_),
+    .Q(\u_m0_res_fifo.mem[1][31] ),
+    .CLK(clknet_7_73_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09425_ (.D(_00389_),
-    .Q(\u_m1_res_fifo.mem[1][0] ),
-    .CLK(clknet_7_74_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13437_ (.D(_00712_),
+    .Q(\u_m0_res_fifo.mem[0][0] ),
+    .CLK(clknet_7_71_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09426_ (.D(_00390_),
-    .Q(\u_m1_res_fifo.mem[1][1] ),
-    .CLK(clknet_7_74_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13438_ (.D(_00713_),
+    .Q(\u_m0_res_fifo.mem[0][1] ),
+    .CLK(clknet_7_70_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09427_ (.D(_00391_),
-    .Q(\u_m1_res_fifo.mem[1][2] ),
-    .CLK(clknet_7_75_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13439_ (.D(_00714_),
+    .Q(\u_m0_res_fifo.mem[0][2] ),
+    .CLK(clknet_7_70_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09428_ (.D(_00392_),
-    .Q(\u_m1_res_fifo.mem[1][3] ),
-    .CLK(clknet_7_75_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13440_ (.D(_00715_),
+    .Q(\u_m0_res_fifo.mem[0][3] ),
+    .CLK(clknet_7_70_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09429_ (.D(_00393_),
-    .Q(\u_m1_res_fifo.mem[1][4] ),
-    .CLK(clknet_7_74_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13441_ (.D(_00716_),
+    .Q(\u_m0_res_fifo.mem[0][4] ),
+    .CLK(clknet_7_68_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09430_ (.D(_00394_),
-    .Q(\u_m1_res_fifo.mem[1][5] ),
-    .CLK(clknet_7_96_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13442_ (.D(_00717_),
+    .Q(\u_m0_res_fifo.mem[0][5] ),
+    .CLK(clknet_7_69_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09431_ (.D(_00395_),
-    .Q(\u_m1_res_fifo.mem[1][6] ),
+ sky130_fd_sc_hd__dfxtp_4 _13443_ (.D(_00718_),
+    .Q(\u_m0_res_fifo.mem[0][6] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13444_ (.D(_00719_),
+    .Q(\u_m0_res_fifo.mem[0][7] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13445_ (.D(_00720_),
+    .Q(\u_m0_res_fifo.mem[0][8] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13446_ (.D(_00721_),
+    .Q(\u_m0_res_fifo.mem[0][9] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13447_ (.D(_00722_),
+    .Q(\u_m0_res_fifo.mem[0][10] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13448_ (.D(_00723_),
+    .Q(\u_m0_res_fifo.mem[0][11] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13449_ (.D(_00724_),
+    .Q(\u_m0_res_fifo.mem[0][12] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13450_ (.D(_00725_),
+    .Q(\u_m0_res_fifo.mem[0][13] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13451_ (.D(_00726_),
+    .Q(\u_m0_res_fifo.mem[0][14] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13452_ (.D(_00727_),
+    .Q(\u_m0_res_fifo.mem[0][15] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13453_ (.D(_00728_),
+    .Q(\u_m0_res_fifo.mem[0][16] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13454_ (.D(_00729_),
+    .Q(\u_m0_res_fifo.mem[0][17] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13455_ (.D(_00730_),
+    .Q(\u_m0_res_fifo.mem[0][18] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13456_ (.D(_00731_),
+    .Q(\u_m0_res_fifo.mem[0][19] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13457_ (.D(_00732_),
+    .Q(\u_m0_res_fifo.mem[0][20] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13458_ (.D(_00733_),
+    .Q(\u_m0_res_fifo.mem[0][21] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13459_ (.D(_00734_),
+    .Q(\u_m0_res_fifo.mem[0][22] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13460_ (.D(_00735_),
+    .Q(\u_m0_res_fifo.mem[0][23] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13461_ (.D(_00736_),
+    .Q(\u_m0_res_fifo.mem[0][24] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13462_ (.D(_00737_),
+    .Q(\u_m0_res_fifo.mem[0][25] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13463_ (.D(_00738_),
+    .Q(\u_m0_res_fifo.mem[0][26] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13464_ (.D(_00739_),
+    .Q(\u_m0_res_fifo.mem[0][27] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13465_ (.D(_00740_),
+    .Q(\u_m0_res_fifo.mem[0][28] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13466_ (.D(_00741_),
+    .Q(\u_m0_res_fifo.mem[0][29] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13467_ (.D(_00742_),
+    .Q(\u_m0_res_fifo.mem[0][30] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13468_ (.D(_00743_),
+    .Q(\u_m0_res_fifo.mem[0][31] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13469_ (.D(_00744_),
+    .Q(\u_m1_res_fifo.mem[7][0] ),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13470_ (.D(_00745_),
+    .Q(\u_m1_res_fifo.mem[7][1] ),
+    .CLK(clknet_7_117_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13471_ (.D(_00746_),
+    .Q(\u_m1_res_fifo.mem[7][2] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13472_ (.D(_00747_),
+    .Q(\u_m1_res_fifo.mem[7][3] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13473_ (.D(_00748_),
+    .Q(\u_m1_res_fifo.mem[7][4] ),
     .CLK(clknet_7_100_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09432_ (.D(_00396_),
-    .Q(\u_m1_res_fifo.mem[1][7] ),
-    .CLK(clknet_7_97_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13474_ (.D(_00749_),
+    .Q(\u_m1_res_fifo.mem[7][5] ),
+    .CLK(clknet_7_101_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09433_ (.D(_00397_),
-    .Q(\u_m1_res_fifo.mem[1][8] ),
-    .CLK(clknet_7_78_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13475_ (.D(_00750_),
+    .Q(\u_m1_res_fifo.mem[7][6] ),
+    .CLK(clknet_7_100_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09434_ (.D(_00398_),
-    .Q(\u_m1_res_fifo.mem[1][9] ),
-    .CLK(clknet_7_78_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13476_ (.D(_00751_),
+    .Q(\u_m1_res_fifo.mem[7][7] ),
+    .CLK(clknet_7_100_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09435_ (.D(_00399_),
-    .Q(\u_m1_res_fifo.mem[1][10] ),
-    .CLK(clknet_7_78_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13477_ (.D(_00752_),
+    .Q(\u_m1_res_fifo.mem[7][8] ),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09436_ (.D(_00400_),
-    .Q(\u_m1_res_fifo.mem[1][11] ),
-    .CLK(clknet_7_78_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13478_ (.D(_00753_),
+    .Q(\u_m1_res_fifo.mem[7][9] ),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09437_ (.D(_00401_),
-    .Q(\u_m1_res_fifo.mem[1][12] ),
-    .CLK(clknet_7_76_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13479_ (.D(_00754_),
+    .Q(\u_m1_res_fifo.mem[7][10] ),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09438_ (.D(_00402_),
-    .Q(\u_m1_res_fifo.mem[1][13] ),
-    .CLK(clknet_7_76_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13480_ (.D(_00755_),
+    .Q(\u_m1_res_fifo.mem[7][11] ),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09439_ (.D(_00403_),
-    .Q(\u_m1_res_fifo.mem[1][14] ),
-    .CLK(clknet_7_71_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13481_ (.D(_00756_),
+    .Q(\u_m1_res_fifo.mem[7][12] ),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09440_ (.D(_00404_),
-    .Q(\u_m1_res_fifo.mem[1][15] ),
-    .CLK(clknet_7_71_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13482_ (.D(_00757_),
+    .Q(\u_m1_res_fifo.mem[7][13] ),
+    .CLK(clknet_7_113_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09441_ (.D(_00405_),
-    .Q(\u_m1_res_fifo.mem[1][16] ),
-    .CLK(clknet_7_70_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13483_ (.D(_00758_),
+    .Q(\u_m1_res_fifo.mem[7][14] ),
+    .CLK(clknet_7_113_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09442_ (.D(_00406_),
-    .Q(\u_m1_res_fifo.mem[1][17] ),
-    .CLK(clknet_7_70_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13484_ (.D(_00759_),
+    .Q(\u_m1_res_fifo.mem[7][15] ),
+    .CLK(clknet_7_113_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09443_ (.D(_00407_),
-    .Q(\u_m1_res_fifo.mem[1][18] ),
-    .CLK(clknet_7_21_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13485_ (.D(_00760_),
+    .Q(\u_m1_res_fifo.mem[7][16] ),
+    .CLK(clknet_7_118_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09444_ (.D(_00408_),
-    .Q(\u_m1_res_fifo.mem[1][19] ),
-    .CLK(clknet_7_21_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13486_ (.D(_00761_),
+    .Q(\u_m1_res_fifo.mem[7][17] ),
+    .CLK(clknet_7_118_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09445_ (.D(_00409_),
-    .Q(\u_m1_res_fifo.mem[1][20] ),
-    .CLK(clknet_7_66_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13487_ (.D(_00762_),
+    .Q(\u_m1_res_fifo.mem[7][18] ),
+    .CLK(clknet_7_118_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09446_ (.D(_00410_),
-    .Q(\u_m1_res_fifo.mem[1][21] ),
-    .CLK(clknet_7_66_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13488_ (.D(_00763_),
+    .Q(\u_m1_res_fifo.mem[7][19] ),
+    .CLK(clknet_7_115_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09447_ (.D(_00411_),
-    .Q(\u_m1_res_fifo.mem[1][22] ),
-    .CLK(clknet_7_23_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13489_ (.D(_00764_),
+    .Q(\u_m1_res_fifo.mem[7][20] ),
+    .CLK(clknet_7_126_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09448_ (.D(_00412_),
-    .Q(\u_m1_res_fifo.mem[1][23] ),
-    .CLK(clknet_7_22_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13490_ (.D(_00765_),
+    .Q(\u_m1_res_fifo.mem[7][21] ),
+    .CLK(clknet_7_123_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09449_ (.D(_00413_),
-    .Q(\u_m1_res_fifo.mem[1][24] ),
-    .CLK(clknet_7_20_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13491_ (.D(_00766_),
+    .Q(\u_m1_res_fifo.mem[7][22] ),
+    .CLK(clknet_7_123_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09450_ (.D(_00414_),
-    .Q(\u_m1_res_fifo.mem[1][25] ),
-    .CLK(clknet_7_20_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13492_ (.D(_00767_),
+    .Q(\u_m1_res_fifo.mem[7][23] ),
+    .CLK(clknet_7_126_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09451_ (.D(_00415_),
-    .Q(\u_m1_res_fifo.mem[1][26] ),
-    .CLK(clknet_7_22_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13493_ (.D(_00768_),
+    .Q(\u_m1_res_fifo.mem[7][24] ),
+    .CLK(clknet_7_122_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09452_ (.D(_00416_),
-    .Q(\u_m1_res_fifo.mem[1][27] ),
-    .CLK(clknet_7_20_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13494_ (.D(_00769_),
+    .Q(\u_m1_res_fifo.mem[7][25] ),
+    .CLK(clknet_7_122_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09453_ (.D(_00417_),
-    .Q(\u_m1_res_fifo.mem[1][28] ),
-    .CLK(clknet_7_66_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13495_ (.D(_00770_),
+    .Q(\u_m1_res_fifo.mem[7][26] ),
+    .CLK(clknet_7_122_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09454_ (.D(_00418_),
-    .Q(\u_m1_res_fifo.mem[1][29] ),
-    .CLK(clknet_7_66_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13496_ (.D(_00771_),
+    .Q(\u_m1_res_fifo.mem[7][27] ),
+    .CLK(clknet_7_122_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09455_ (.D(_00419_),
-    .Q(\u_m1_res_fifo.mem[1][30] ),
-    .CLK(clknet_7_72_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13497_ (.D(_00772_),
+    .Q(\u_m1_res_fifo.mem[7][28] ),
+    .CLK(clknet_7_121_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09456_ (.D(_00420_),
-    .Q(\u_m1_res_fifo.mem[1][31] ),
-    .CLK(clknet_7_72_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13498_ (.D(_00773_),
+    .Q(\u_m1_res_fifo.mem[7][29] ),
+    .CLK(clknet_7_120_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09457_ (.D(_00421_),
-    .Q(\u_m1_cmd_fifo.mem[0][0] ),
-    .CLK(clknet_7_62_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13499_ (.D(_00774_),
+    .Q(\u_m1_res_fifo.mem[7][30] ),
+    .CLK(clknet_7_121_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09458_ (.D(_00422_),
-    .Q(\u_m1_cmd_fifo.mem[0][1] ),
+ sky130_fd_sc_hd__dfxtp_4 _13500_ (.D(_00775_),
+    .Q(\u_m1_res_fifo.mem[7][31] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13501_ (.D(_00061_),
+    .Q(\u_spictrl.tx_data_ready ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_63_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09459_ (.D(_00423_),
-    .Q(\u_m1_cmd_fifo.mem[0][2] ),
+ sky130_fd_sc_hd__dfrtp_4 _13502_ (.D(_00008_),
+    .Q(\u_spictrl.u_txreg.tx_CS[2] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_63_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09460_ (.D(_00424_),
-    .Q(\u_m1_cmd_fifo.mem[0][3] ),
+ sky130_fd_sc_hd__dfrtp_4 _13503_ (.D(_00062_),
+    .Q(\u_spictrl.u_txreg.data_ready_f ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_63_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09461_ (.D(_00425_),
-    .Q(\u_m1_cmd_fifo.mem[0][4] ),
-    .CLK(clknet_7_62_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13504_ (.D(_00025_),
+    .Q(\u_spictrl.spi_fall ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09462_ (.D(_00426_),
-    .Q(\u_m1_cmd_fifo.mem[0][5] ),
-    .CLK(clknet_7_62_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13505_ (.D(_00017_),
+    .Q(\u_spictrl.spi_rise ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09463_ (.D(_00427_),
-    .Q(\u_m1_cmd_fifo.mem[0][6] ),
-    .CLK(clknet_7_59_0_mclk),
+ sky130_fd_sc_hd__dfstp_4 _13506_ (.D(_00019_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09464_ (.D(_00428_),
-    .Q(\u_m1_cmd_fifo.mem[0][7] ),
-    .CLK(clknet_7_59_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13507_ (.D(_00020_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09465_ (.D(_00429_),
-    .Q(\u_m1_cmd_fifo.mem[0][8] ),
-    .CLK(clknet_7_39_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13508_ (.D(_00021_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09466_ (.D(_00430_),
-    .Q(\u_m1_cmd_fifo.mem[0][9] ),
-    .CLK(clknet_7_39_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13509_ (.D(_00022_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09467_ (.D(_00431_),
-    .Q(\u_m1_cmd_fifo.mem[0][10] ),
-    .CLK(clknet_7_38_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13510_ (.D(_00023_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09468_ (.D(_00432_),
-    .Q(\u_m1_cmd_fifo.mem[0][11] ),
-    .CLK(clknet_7_38_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13511_ (.D(_00024_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09469_ (.D(_00433_),
-    .Q(\u_m1_cmd_fifo.mem[0][12] ),
-    .CLK(clknet_7_45_0_mclk),
+ sky130_fd_sc_hd__dfstp_4 _13512_ (.D(_00002_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09470_ (.D(_00434_),
-    .Q(\u_m1_cmd_fifo.mem[0][13] ),
-    .CLK(clknet_7_45_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13513_ (.D(_00003_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09471_ (.D(_00435_),
-    .Q(\u_m1_cmd_fifo.mem[0][14] ),
-    .CLK(clknet_7_35_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13514_ (.D(_00004_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09472_ (.D(_00436_),
-    .Q(\u_m1_cmd_fifo.mem[0][15] ),
-    .CLK(clknet_7_38_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13515_ (.D(_00005_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09473_ (.D(_00437_),
-    .Q(\u_m1_cmd_fifo.mem[0][16] ),
-    .CLK(clknet_7_40_0_mclk),
+ sky130_fd_sc_hd__dfstp_4 _13516_ (.D(_00018_),
+    .Q(\u_spictrl.spi_csn0 ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09474_ (.D(_00438_),
-    .Q(\u_m1_cmd_fifo.mem[0][17] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09475_ (.D(_00439_),
-    .Q(\u_m1_cmd_fifo.mem[0][18] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09476_ (.D(_00440_),
-    .Q(\u_m1_cmd_fifo.mem[0][19] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09477_ (.D(_00441_),
-    .Q(\u_m1_cmd_fifo.mem[0][20] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09478_ (.D(_00442_),
-    .Q(\u_m1_cmd_fifo.mem[0][21] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09479_ (.D(_00443_),
-    .Q(\u_m1_cmd_fifo.mem[0][22] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09480_ (.D(_00444_),
-    .Q(\u_m1_cmd_fifo.mem[0][23] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09481_ (.D(_00445_),
-    .Q(\u_m1_cmd_fifo.mem[0][24] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09482_ (.D(_00446_),
-    .Q(\u_m1_cmd_fifo.mem[0][25] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09483_ (.D(_00447_),
-    .Q(\u_m1_cmd_fifo.mem[0][26] ),
-    .CLK(clknet_7_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09484_ (.D(_00448_),
-    .Q(\u_m1_cmd_fifo.mem[0][27] ),
-    .CLK(clknet_7_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09485_ (.D(_00449_),
-    .Q(\u_m1_cmd_fifo.mem[0][28] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09486_ (.D(_00450_),
-    .Q(\u_m1_cmd_fifo.mem[0][29] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09487_ (.D(_00451_),
-    .Q(\u_m1_cmd_fifo.mem[0][30] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09488_ (.D(_00452_),
-    .Q(\u_m1_cmd_fifo.mem[0][31] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09489_ (.D(_00060_),
+ sky130_fd_sc_hd__dfrtp_4 _13517_ (.D(_00063_),
     .Q(\u_spim_regs.spi_init_done ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_33_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09490_ (.D(_00000_),
+ sky130_fd_sc_hd__dfstp_4 _13518_ (.D(_00009_),
+    .Q(\u_spim_regs.spi_init_state[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13519_ (.D(_00010_),
+    .Q(\u_spim_regs.spi_init_state[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13520_ (.D(_00011_),
+    .Q(\u_spim_regs.spi_init_state[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13521_ (.D(_00012_),
+    .Q(\u_spim_regs.spi_init_state[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13522_ (.D(_00013_),
+    .Q(\u_spim_regs.spi_init_state[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13523_ (.D(_00014_),
+    .Q(\u_spim_regs.spi_init_state[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13524_ (.D(_00015_),
+    .Q(\u_spim_regs.spi_init_state[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13525_ (.D(_00016_),
+    .Q(\u_spim_regs.spi_init_state[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13526_ (.D(_00006_),
+    .Q(\u_spictrl.u_txreg.tx_CS[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13527_ (.D(_00007_),
+    .Q(\u_spictrl.u_txreg.tx_CS[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13528_ (.D(_00000_),
     .Q(\u_spictrl.gnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
+    .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09491_ (.D(_00001_),
+ sky130_fd_sc_hd__dfrtp_4 _13529_ (.D(_00001_),
     .Q(\u_spictrl.gnt[2] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13530_ (.D(_00058_),
+    .Q(\u_spictrl.res_fifo_wr ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09492_ (.D(_00006_),
-    .Q(\u_spim_regs.spi_init_state[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09493_ (.D(_00007_),
-    .Q(\u_spim_regs.spi_init_state[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09494_ (.D(_00008_),
-    .Q(\u_spim_regs.spi_init_state[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09495_ (.D(_00009_),
-    .Q(\u_spim_regs.spi_init_state[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09496_ (.D(_00010_),
-    .Q(\u_spim_regs.spi_init_state[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09497_ (.D(_00011_),
-    .Q(\u_spim_regs.spi_init_state[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09498_ (.D(_00012_),
-    .Q(\u_spim_regs.spi_init_state[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09499_ (.D(_00013_),
-    .Q(\u_spim_regs.spi_init_state[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09500_ (.D(_00002_),
-    .Q(\u_spictrl.u_rxreg.rx_CS[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09501_ (.D(_00003_),
-    .Q(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09502_ (.D(_00004_),
-    .Q(\u_spictrl.u_rxreg.rx_CS[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09503_ (.D(_00005_),
-    .Q(\u_spictrl.u_rxreg.rx_CS[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09504_ (.D(_00055_),
-    .Q(\u_spictrl.res_fifo_wr ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09505_ (.D(_00023_),
+ sky130_fd_sc_hd__dfrtp_4 _13531_ (.D(_00026_),
     .Q(\u_spictrl.res_fifo_wdata[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09506_ (.D(_00034_),
+ sky130_fd_sc_hd__dfrtp_4 _13532_ (.D(_00037_),
     .Q(\u_spictrl.res_fifo_wdata[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09507_ (.D(_00045_),
+ sky130_fd_sc_hd__dfrtp_4 _13533_ (.D(_00048_),
     .Q(\u_spictrl.res_fifo_wdata[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_74_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09508_ (.D(_00048_),
+ sky130_fd_sc_hd__dfrtp_4 _13534_ (.D(_00051_),
     .Q(\u_spictrl.res_fifo_wdata[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_94_0_mclk),
+    .CLK(clknet_7_74_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09509_ (.D(_00049_),
+ sky130_fd_sc_hd__dfrtp_4 _13535_ (.D(_00052_),
     .Q(\u_spictrl.res_fifo_wdata[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_74_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09510_ (.D(_00050_),
+ sky130_fd_sc_hd__dfrtp_4 _13536_ (.D(_00053_),
     .Q(\u_spictrl.res_fifo_wdata[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09511_ (.D(_00051_),
+ sky130_fd_sc_hd__dfrtp_4 _13537_ (.D(_00054_),
     .Q(\u_spictrl.res_fifo_wdata[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_94_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09512_ (.D(_00052_),
+ sky130_fd_sc_hd__dfrtp_4 _13538_ (.D(_00055_),
     .Q(\u_spictrl.res_fifo_wdata[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_116_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09513_ (.D(_00053_),
+ sky130_fd_sc_hd__dfrtp_4 _13539_ (.D(_00056_),
     .Q(\u_spictrl.res_fifo_wdata[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_92_0_mclk),
+    .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09514_ (.D(_00054_),
+ sky130_fd_sc_hd__dfrtp_4 _13540_ (.D(_00057_),
     .Q(\u_spictrl.res_fifo_wdata[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09515_ (.D(_00024_),
-    .Q(\u_spictrl.res_fifo_wdata[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09516_ (.D(_00025_),
-    .Q(\u_spictrl.res_fifo_wdata[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09517_ (.D(_00026_),
-    .Q(\u_spictrl.res_fifo_wdata[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09518_ (.D(_00027_),
-    .Q(\u_spictrl.res_fifo_wdata[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09519_ (.D(_00028_),
-    .Q(\u_spictrl.res_fifo_wdata[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09520_ (.D(_00029_),
-    .Q(\u_spictrl.res_fifo_wdata[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09521_ (.D(_00030_),
-    .Q(\u_spictrl.res_fifo_wdata[16] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09522_ (.D(_00031_),
-    .Q(\u_spictrl.res_fifo_wdata[17] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09523_ (.D(_00032_),
-    .Q(\u_spictrl.res_fifo_wdata[18] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_87_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09524_ (.D(_00033_),
-    .Q(\u_spictrl.res_fifo_wdata[19] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_87_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09525_ (.D(_00035_),
-    .Q(\u_spictrl.res_fifo_wdata[20] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09526_ (.D(_00036_),
-    .Q(\u_spictrl.res_fifo_wdata[21] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09527_ (.D(_00037_),
-    .Q(\u_spictrl.res_fifo_wdata[22] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09528_ (.D(_00038_),
-    .Q(\u_spictrl.res_fifo_wdata[23] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09529_ (.D(_00039_),
-    .Q(\u_spictrl.res_fifo_wdata[24] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09530_ (.D(_00040_),
-    .Q(\u_spictrl.res_fifo_wdata[25] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09531_ (.D(_00041_),
-    .Q(\u_spictrl.res_fifo_wdata[26] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09532_ (.D(_00042_),
-    .Q(\u_spictrl.res_fifo_wdata[27] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09533_ (.D(_00043_),
-    .Q(\u_spictrl.res_fifo_wdata[28] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09534_ (.D(_00044_),
-    .Q(\u_spictrl.res_fifo_wdata[29] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09535_ (.D(_00046_),
-    .Q(\u_spictrl.res_fifo_wdata[30] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09536_ (.D(_00047_),
-    .Q(\u_spictrl.res_fifo_wdata[31] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09537_ (.D(_00056_),
-    .Q(_04524_),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09538_ (.D(_00057_),
-    .Q(_04525_),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09539_ (.D(_00058_),
-    .Q(\u_spictrl.tx_data_ready ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09540_ (.D(_00059_),
-    .Q(\u_spictrl.u_txreg.data_ready_f ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09541_ (.D(_00022_),
-    .Q(\u_spictrl.spi_fall ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_103_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09542_ (.D(_00014_),
-    .Q(\u_spictrl.spi_rise ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_103_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09543_ (.D(_00016_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09544_ (.D(_00017_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09545_ (.D(_00018_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09546_ (.D(_00019_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09547_ (.D(_00020_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09548_ (.D(_00021_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[5] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_100_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09549_ (.D(_00015_),
-    .Q(\u_spictrl.spi_csn0 ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _13541_ (.D(_00027_),
+    .Q(\u_spictrl.res_fifo_wdata[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_75_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09550_ (.D(_00453_),
+ sky130_fd_sc_hd__dfrtp_4 _13542_ (.D(_00028_),
+    .Q(\u_spictrl.res_fifo_wdata[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13543_ (.D(_00029_),
+    .Q(\u_spictrl.res_fifo_wdata[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13544_ (.D(_00030_),
+    .Q(\u_spictrl.res_fifo_wdata[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13545_ (.D(_00031_),
+    .Q(\u_spictrl.res_fifo_wdata[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13546_ (.D(_00032_),
+    .Q(\u_spictrl.res_fifo_wdata[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13547_ (.D(_00033_),
+    .Q(\u_spictrl.res_fifo_wdata[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13548_ (.D(_00034_),
+    .Q(\u_spictrl.res_fifo_wdata[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13549_ (.D(_00035_),
+    .Q(\u_spictrl.res_fifo_wdata[18] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13550_ (.D(_00036_),
+    .Q(\u_spictrl.res_fifo_wdata[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13551_ (.D(_00038_),
+    .Q(\u_spictrl.res_fifo_wdata[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13552_ (.D(_00039_),
+    .Q(\u_spictrl.res_fifo_wdata[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13553_ (.D(_00040_),
+    .Q(\u_spictrl.res_fifo_wdata[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13554_ (.D(_00041_),
+    .Q(\u_spictrl.res_fifo_wdata[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_99_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13555_ (.D(_00042_),
+    .Q(\u_spictrl.res_fifo_wdata[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13556_ (.D(_00043_),
+    .Q(\u_spictrl.res_fifo_wdata[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13557_ (.D(_00044_),
+    .Q(\u_spictrl.res_fifo_wdata[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13558_ (.D(_00045_),
+    .Q(\u_spictrl.res_fifo_wdata[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13559_ (.D(_00046_),
+    .Q(\u_spictrl.res_fifo_wdata[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13560_ (.D(_00047_),
+    .Q(\u_spictrl.res_fifo_wdata[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13561_ (.D(_00049_),
+    .Q(\u_spictrl.res_fifo_wdata[30] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13562_ (.D(_00050_),
+    .Q(\u_spictrl.res_fifo_wdata[31] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13563_ (.D(_00059_),
+    .Q(_06370_),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13564_ (.D(_00060_),
+    .Q(_06371_),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13565_ (.D(_00776_),
+    .Q(\u_wb_if.NextPreDCnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13566_ (.D(_00777_),
+    .Q(\u_wb_if.NextPreDCnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13567_ (.D(_00778_),
     .Q(\u_m0_res_fifo.rd_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_73_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09551_ (.D(_00454_),
+ sky130_fd_sc_hd__dfrtp_4 _13568_ (.D(_00779_),
     .Q(\u_m0_res_fifo.rd_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_73_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09552_ (.D(_00455_),
+ sky130_fd_sc_hd__dfrtp_4 _13569_ (.D(_00780_),
     .Q(\u_m0_res_fifo.rd_ptr[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_73_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09553_ (.D(_00456_),
+ sky130_fd_sc_hd__dfrtp_4 _13570_ (.D(_00781_),
+    .Q(\u_m0_res_fifo.rd_ptr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13571_ (.D(_00782_),
     .Q(\u_m0_res_fifo.wr_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_73_0_mclk),
+    .CLK(clknet_7_70_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09554_ (.D(_00457_),
+ sky130_fd_sc_hd__dfrtp_4 _13572_ (.D(_00783_),
     .Q(\u_m0_res_fifo.wr_ptr[1] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_73_0_mclk),
@@ -36850,271 +52952,391 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09555_ (.D(_00458_),
+ sky130_fd_sc_hd__dfrtp_4 _13573_ (.D(_00784_),
     .Q(\u_m0_res_fifo.wr_ptr[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_73_0_mclk),
+    .CLK(clknet_7_67_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09556_ (.D(_00459_),
+ sky130_fd_sc_hd__dfrtp_4 _13574_ (.D(_00785_),
+    .Q(\u_m0_res_fifo.wr_ptr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13575_ (.D(_00786_),
     .Q(\u_m1_res_fifo.rd_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_72_0_mclk),
+    .CLK(clknet_7_103_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09557_ (.D(_00460_),
+ sky130_fd_sc_hd__dfrtp_4 _13576_ (.D(_00787_),
     .Q(\u_m1_res_fifo.rd_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+    .CLK(clknet_7_114_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09558_ (.D(_00461_),
+ sky130_fd_sc_hd__dfrtp_4 _13577_ (.D(_00788_),
+    .Q(\u_m1_res_fifo.rd_ptr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13578_ (.D(_00789_),
+    .Q(\u_m1_res_fifo.rd_ptr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13579_ (.D(_00790_),
     .Q(\u_m1_res_fifo.wr_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_72_0_mclk),
+    .CLK(clknet_7_114_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09559_ (.D(_00462_),
+ sky130_fd_sc_hd__dfrtp_4 _13580_ (.D(_00791_),
     .Q(\u_m1_res_fifo.wr_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_72_0_mclk),
+    .CLK(clknet_7_101_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09560_ (.D(_00463_),
+ sky130_fd_sc_hd__dfrtp_4 _13581_ (.D(_00792_),
+    .Q(\u_m1_res_fifo.wr_ptr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13582_ (.D(_00793_),
+    .Q(\u_m1_res_fifo.wr_ptr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13583_ (.D(_00794_),
     .Q(\u_spictrl.s_spi_mode[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
+    .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09561_ (.D(_00464_),
+ sky130_fd_sc_hd__dfrtp_4 _13584_ (.D(_00795_),
     .Q(\u_spictrl.s_spi_mode[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
+    .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09562_ (.D(_00465_),
+ sky130_fd_sc_hd__dfrtp_4 _13585_ (.D(_00796_),
     .Q(\u_spictrl.cfg_addr_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09563_ (.D(_00466_),
+ sky130_fd_sc_hd__dfrtp_4 _13586_ (.D(_00797_),
     .Q(\u_spictrl.cfg_addr_cnt[1] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13587_ (.D(_00798_),
+    .Q(\u_spictrl.cfg_dummy_cnt[0] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_61_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09564_ (.D(_00467_),
+ sky130_fd_sc_hd__dfrtp_4 _13588_ (.D(_00799_),
+    .Q(\u_spictrl.cfg_dummy_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13589_ (.D(_00800_),
+    .Q(\u_spictrl.cfg_data_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13590_ (.D(_00801_),
+    .Q(\u_spictrl.cfg_data_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13591_ (.D(_00802_),
+    .Q(\u_spictrl.cfg_data_cnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13592_ (.D(_00803_),
+    .Q(\u_spictrl.cfg_data_cnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13593_ (.D(_00804_),
+    .Q(\u_spictrl.cfg_data_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13594_ (.D(_00805_),
+    .Q(\u_spictrl.cfg_data_cnt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13595_ (.D(_00806_),
+    .Q(\u_spictrl.cfg_data_cnt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13596_ (.D(_00807_),
+    .Q(\u_spictrl.cfg_data_cnt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13597_ (.D(_00808_),
     .Q(\u_spim_regs.spim_reg_be[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09565_ (.D(_00468_),
-    .Q(\u_spim_regs.spim_reg_be[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09566_ (.D(_00469_),
-    .Q(\u_spim_regs.spim_reg_be[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09567_ (.D(_00470_),
-    .Q(\u_spim_regs.spim_reg_be[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09568_ (.D(_00471_),
-    .Q(\u_spim_regs.spim_reg_we ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09569_ (.D(_00472_),
-    .Q(wbd_ack_o),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09570_ (.D(_00473_),
-    .Q(\u_wb_if.spim_wb_addr[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09571_ (.D(_00474_),
-    .Q(\u_wb_if.spim_wb_addr[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09572_ (.D(_00475_),
-    .Q(\u_spim_regs.spim_reg_addr[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09573_ (.D(_00476_),
-    .Q(\u_spim_regs.spim_reg_addr[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09574_ (.D(_00477_),
-    .Q(\u_spim_regs.spim_reg_addr[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09575_ (.D(_00478_),
-    .Q(\u_spim_regs.spim_reg_addr[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09576_ (.D(_00479_),
-    .Q(\u_wb_if.spim_wb_addr[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09577_ (.D(_00480_),
-    .Q(\u_wb_if.spim_wb_addr[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09578_ (.D(_00481_),
-    .Q(\u_wb_if.spim_wb_addr[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09579_ (.D(_00482_),
-    .Q(\u_wb_if.spim_wb_addr[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09580_ (.D(_00483_),
-    .Q(\u_wb_if.spim_wb_addr[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09581_ (.D(_00484_),
-    .Q(\u_wb_if.spim_wb_addr[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09582_ (.D(_00485_),
-    .Q(\u_wb_if.spim_wb_addr[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09583_ (.D(_00486_),
-    .Q(\u_wb_if.spim_wb_addr[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09584_ (.D(_00487_),
-    .Q(\u_wb_if.spim_wb_addr[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09585_ (.D(_00488_),
-    .Q(\u_wb_if.spim_wb_addr[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09586_ (.D(_00489_),
-    .Q(\u_wb_if.spim_wb_addr[16] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09587_ (.D(_00490_),
-    .Q(\u_wb_if.spim_wb_addr[17] ),
+ sky130_fd_sc_hd__dfrtp_4 _13598_ (.D(_00809_),
+    .Q(\u_spim_regs.spim_reg_be[1] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09588_ (.D(_00491_),
+ sky130_fd_sc_hd__dfrtp_4 _13599_ (.D(_00810_),
+    .Q(\u_spim_regs.spim_reg_be[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13600_ (.D(_00811_),
+    .Q(\u_spim_regs.spim_reg_be[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13601_ (.D(_00812_),
+    .Q(\u_spim_regs.spim_reg_we ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13602_ (.D(_00813_),
+    .Q(wbd_ack_o),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13603_ (.D(_00814_),
+    .Q(\u_wb_if.spim_wb_addr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13604_ (.D(_00815_),
+    .Q(\u_wb_if.spim_wb_addr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13605_ (.D(_00816_),
+    .Q(\u_spim_regs.spim_reg_addr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13606_ (.D(_00817_),
+    .Q(\u_spim_regs.spim_reg_addr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13607_ (.D(_00818_),
+    .Q(\u_spim_regs.spim_reg_addr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13608_ (.D(_00819_),
+    .Q(\u_spim_regs.spim_reg_addr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_4_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13609_ (.D(_00820_),
+    .Q(\u_wb_if.spim_wb_addr[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13610_ (.D(_00821_),
+    .Q(\u_wb_if.spim_wb_addr[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13611_ (.D(_00822_),
+    .Q(\u_wb_if.spim_wb_addr[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13612_ (.D(_00823_),
+    .Q(\u_wb_if.spim_wb_addr[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13613_ (.D(_00824_),
+    .Q(\u_wb_if.spim_wb_addr[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13614_ (.D(_00825_),
+    .Q(\u_wb_if.spim_wb_addr[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13615_ (.D(_00826_),
+    .Q(\u_wb_if.spim_wb_addr[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13616_ (.D(_00827_),
+    .Q(\u_wb_if.spim_wb_addr[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13617_ (.D(_00828_),
+    .Q(\u_wb_if.spim_wb_addr[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13618_ (.D(_00829_),
+    .Q(\u_wb_if.spim_wb_addr[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13619_ (.D(_00830_),
+    .Q(\u_wb_if.spim_wb_addr[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13620_ (.D(_00831_),
+    .Q(\u_wb_if.spim_wb_addr[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13621_ (.D(_00832_),
     .Q(\u_wb_if.spim_wb_addr[18] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -37122,7 +53344,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09589_ (.D(_00492_),
+ sky130_fd_sc_hd__dfrtp_4 _13622_ (.D(_00833_),
     .Q(\u_wb_if.spim_wb_addr[19] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_1_0_mclk),
@@ -37130,7 +53352,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09590_ (.D(_00493_),
+ sky130_fd_sc_hd__dfrtp_4 _13623_ (.D(_00834_),
     .Q(\u_wb_if.spim_wb_addr[20] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_1_0_mclk),
@@ -37138,223 +53360,223 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09591_ (.D(_00494_),
+ sky130_fd_sc_hd__dfrtp_4 _13624_ (.D(_00835_),
     .Q(\u_wb_if.spim_wb_addr[21] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09592_ (.D(_00495_),
+ sky130_fd_sc_hd__dfrtp_4 _13625_ (.D(_00836_),
     .Q(\u_wb_if.spim_wb_addr[22] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09593_ (.D(_00496_),
+ sky130_fd_sc_hd__dfrtp_4 _13626_ (.D(_00837_),
     .Q(\u_wb_if.spim_wb_addr[23] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13627_ (.D(_00838_),
+    .Q(\u_wb_if.spim_wb_addr[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13628_ (.D(_00839_),
+    .Q(\u_wb_if.spim_wb_addr[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13629_ (.D(_00840_),
+    .Q(\u_wb_if.spim_wb_addr[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13630_ (.D(_00841_),
+    .Q(\u_wb_if.spim_wb_addr[27] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09594_ (.D(_00497_),
-    .Q(\u_wb_if.spim_wb_addr[24] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09595_ (.D(_00498_),
-    .Q(\u_wb_if.spim_wb_addr[25] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09596_ (.D(_00499_),
-    .Q(\u_wb_if.spim_wb_addr[26] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09597_ (.D(_00500_),
-    .Q(\u_wb_if.spim_wb_addr[27] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09598_ (.D(_00501_),
+ sky130_fd_sc_hd__dfrtp_4 _13631_ (.D(_00842_),
     .Q(\u_wb_if.spim_wb_addr[28] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09599_ (.D(_00502_),
+ sky130_fd_sc_hd__dfrtp_4 _13632_ (.D(_00843_),
     .Q(\u_wb_if.spim_wb_addr[29] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09600_ (.D(_00503_),
+ sky130_fd_sc_hd__dfrtp_4 _13633_ (.D(_00844_),
     .Q(\u_wb_if.spim_wb_addr[30] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09601_ (.D(_00504_),
+ sky130_fd_sc_hd__dfrtp_4 _13634_ (.D(_00845_),
     .Q(\u_wb_if.spim_wb_addr[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09602_ (.D(_00505_),
+ sky130_fd_sc_hd__dfrtp_4 _13635_ (.D(_00846_),
     .Q(\u_spim_regs.spim_reg_wdata[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09603_ (.D(_00506_),
+ sky130_fd_sc_hd__dfrtp_4 _13636_ (.D(_00847_),
     .Q(\u_spim_regs.spim_reg_wdata[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09604_ (.D(_00507_),
+ sky130_fd_sc_hd__dfrtp_4 _13637_ (.D(_00848_),
     .Q(\u_spim_regs.spim_reg_wdata[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09605_ (.D(_00508_),
+ sky130_fd_sc_hd__dfrtp_4 _13638_ (.D(_00849_),
     .Q(\u_spim_regs.spim_reg_wdata[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09606_ (.D(_00509_),
+ sky130_fd_sc_hd__dfrtp_4 _13639_ (.D(_00850_),
     .Q(\u_spim_regs.spim_reg_wdata[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09607_ (.D(_00510_),
+ sky130_fd_sc_hd__dfrtp_4 _13640_ (.D(_00851_),
     .Q(\u_spim_regs.spim_reg_wdata[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_27_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09608_ (.D(_00511_),
+ sky130_fd_sc_hd__dfrtp_4 _13641_ (.D(_00852_),
     .Q(\u_spim_regs.spim_reg_wdata[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09609_ (.D(_00512_),
-    .Q(\u_spim_regs.spim_reg_wdata[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09610_ (.D(_00513_),
-    .Q(\u_spim_regs.spim_reg_wdata[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_24_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09611_ (.D(_00514_),
-    .Q(\u_spim_regs.spim_reg_wdata[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_24_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09612_ (.D(_00515_),
-    .Q(\u_spim_regs.spim_reg_wdata[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_24_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09613_ (.D(_00516_),
-    .Q(\u_spim_regs.spim_reg_wdata[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09614_ (.D(_00517_),
-    .Q(\u_spim_regs.spim_reg_wdata[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09615_ (.D(_00518_),
-    .Q(\u_spim_regs.spim_reg_wdata[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09616_ (.D(_00519_),
-    .Q(\u_spim_regs.spim_reg_wdata[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09617_ (.D(_00520_),
-    .Q(\u_spim_regs.spim_reg_wdata[15] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09618_ (.D(_00521_),
+ sky130_fd_sc_hd__dfrtp_4 _13642_ (.D(_00853_),
+    .Q(\u_spim_regs.spim_reg_wdata[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13643_ (.D(_00854_),
+    .Q(\u_spim_regs.spim_reg_wdata[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13644_ (.D(_00855_),
+    .Q(\u_spim_regs.spim_reg_wdata[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13645_ (.D(_00856_),
+    .Q(\u_spim_regs.spim_reg_wdata[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13646_ (.D(_00857_),
+    .Q(\u_spim_regs.spim_reg_wdata[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13647_ (.D(_00858_),
+    .Q(\u_spim_regs.spim_reg_wdata[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13648_ (.D(_00859_),
+    .Q(\u_spim_regs.spim_reg_wdata[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13649_ (.D(_00860_),
+    .Q(\u_spim_regs.spim_reg_wdata[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13650_ (.D(_00861_),
+    .Q(\u_spim_regs.spim_reg_wdata[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13651_ (.D(_00862_),
     .Q(\u_spim_regs.spim_reg_wdata[16] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
@@ -37362,15 +53584,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09619_ (.D(_00522_),
+ sky130_fd_sc_hd__dfrtp_4 _13652_ (.D(_00863_),
     .Q(\u_spim_regs.spim_reg_wdata[17] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09620_ (.D(_00523_),
+ sky130_fd_sc_hd__dfrtp_4 _13653_ (.D(_00864_),
     .Q(\u_spim_regs.spim_reg_wdata[18] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
@@ -37378,7 +53600,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09621_ (.D(_00524_),
+ sky130_fd_sc_hd__dfrtp_4 _13654_ (.D(_00865_),
     .Q(\u_spim_regs.spim_reg_wdata[19] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -37386,63 +53608,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09622_ (.D(_00525_),
+ sky130_fd_sc_hd__dfrtp_4 _13655_ (.D(_00866_),
     .Q(\u_spim_regs.spim_reg_wdata[20] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
+    .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09623_ (.D(_00526_),
+ sky130_fd_sc_hd__dfrtp_4 _13656_ (.D(_00867_),
     .Q(\u_spim_regs.spim_reg_wdata[21] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
+    .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09624_ (.D(_00527_),
+ sky130_fd_sc_hd__dfrtp_4 _13657_ (.D(_00868_),
     .Q(\u_spim_regs.spim_reg_wdata[22] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09625_ (.D(_00528_),
+ sky130_fd_sc_hd__dfrtp_4 _13658_ (.D(_00869_),
     .Q(\u_spim_regs.spim_reg_wdata[23] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09626_ (.D(_00529_),
+ sky130_fd_sc_hd__dfrtp_4 _13659_ (.D(_00870_),
     .Q(\u_spim_regs.spim_reg_wdata[24] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09627_ (.D(_00530_),
+ sky130_fd_sc_hd__dfrtp_4 _13660_ (.D(_00871_),
     .Q(\u_spim_regs.spim_reg_wdata[25] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09628_ (.D(_00531_),
+ sky130_fd_sc_hd__dfrtp_4 _13661_ (.D(_00872_),
     .Q(\u_spim_regs.spim_reg_wdata[26] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09629_ (.D(_00532_),
+ sky130_fd_sc_hd__dfrtp_4 _13662_ (.D(_00873_),
     .Q(\u_spim_regs.spim_reg_wdata[27] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -37450,1279 +53672,1199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09630_ (.D(_00533_),
+ sky130_fd_sc_hd__dfrtp_4 _13663_ (.D(_00874_),
     .Q(\u_spim_regs.spim_reg_wdata[28] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09631_ (.D(_00534_),
+ sky130_fd_sc_hd__dfrtp_4 _13664_ (.D(_00875_),
     .Q(\u_spim_regs.spim_reg_wdata[29] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09632_ (.D(_00535_),
+ sky130_fd_sc_hd__dfrtp_4 _13665_ (.D(_00876_),
     .Q(\u_spim_regs.spim_reg_wdata[30] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09633_ (.D(_00536_),
+ sky130_fd_sc_hd__dfrtp_4 _13666_ (.D(_00877_),
     .Q(\u_spim_regs.spim_reg_wdata[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09634_ (.D(_00537_),
+ sky130_fd_sc_hd__dfrtp_4 _13667_ (.D(_00878_),
     .Q(\u_wb_if.spim_wb_req ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09635_ (.D(_00538_),
-    .Q(\u_spictrl.cfg_dummy_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09636_ (.D(_00539_),
-    .Q(\u_spictrl.cfg_dummy_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09637_ (.D(_00540_),
-    .Q(\u_spictrl.cfg_data_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09638_ (.D(_00541_),
-    .Q(\u_spictrl.cfg_data_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09639_ (.D(_00542_),
-    .Q(\u_spictrl.cfg_data_cnt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09640_ (.D(_00543_),
-    .Q(\u_spictrl.cfg_data_cnt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09641_ (.D(_00544_),
-    .Q(\u_spictrl.cfg_data_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09642_ (.D(_00545_),
-    .Q(\u_spictrl.cfg_data_cnt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09643_ (.D(_00546_),
-    .Q(\u_spictrl.cfg_data_cnt[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09644_ (.D(_00547_),
-    .Q(\u_spictrl.cfg_data_cnt[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09645_ (.D(_00548_),
+ sky130_fd_sc_hd__dfrtp_4 _13668_ (.D(_00879_),
     .Q(\u_spictrl.cfg_spi_seq[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_60_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09646_ (.D(_00549_),
+ sky130_fd_sc_hd__dfrtp_4 _13669_ (.D(_00880_),
     .Q(\u_spictrl.cfg_spi_seq[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_60_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09647_ (.D(_00550_),
+ sky130_fd_sc_hd__dfrtp_4 _13670_ (.D(_00881_),
     .Q(\u_spictrl.cfg_spi_seq[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
+    .CLK(clknet_7_57_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09648_ (.D(_00551_),
+ sky130_fd_sc_hd__dfrtp_4 _13671_ (.D(_00882_),
     .Q(\u_spictrl.cfg_spi_seq[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
+    .CLK(clknet_7_57_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09649_ (.D(_00552_),
+ sky130_fd_sc_hd__dfrtp_4 _13672_ (.D(_00883_),
     .Q(\u_spictrl.spi_mode_cmd[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_56_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09650_ (.D(_00553_),
+ sky130_fd_sc_hd__dfrtp_4 _13673_ (.D(_00884_),
     .Q(\u_spictrl.spi_mode_cmd[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_56_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09651_ (.D(_00554_),
+ sky130_fd_sc_hd__dfrtp_4 _13674_ (.D(_00885_),
     .Q(\u_spictrl.spi_mode_cmd[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_56_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09652_ (.D(_00555_),
+ sky130_fd_sc_hd__dfrtp_4 _13675_ (.D(_00886_),
     .Q(\u_spictrl.spi_mode_cmd[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_56_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09653_ (.D(_00556_),
+ sky130_fd_sc_hd__dfrtp_4 _13676_ (.D(_00887_),
     .Q(\u_spictrl.spi_mode_cmd[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_56_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09654_ (.D(_00557_),
+ sky130_fd_sc_hd__dfrtp_4 _13677_ (.D(_00888_),
     .Q(\u_spictrl.spi_mode_cmd[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_56_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09655_ (.D(_00558_),
+ sky130_fd_sc_hd__dfrtp_4 _13678_ (.D(_00889_),
     .Q(\u_spictrl.spi_mode_cmd[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_57_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09656_ (.D(_00559_),
+ sky130_fd_sc_hd__dfrtp_4 _13679_ (.D(_00890_),
     .Q(\u_spictrl.spi_mode_cmd[7] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13680_ (.D(_00891_),
+    .Q(spi_debug[17]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13681_ (.D(_00892_),
+    .Q(spi_debug[18]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13682_ (.D(_00893_),
+    .Q(spi_debug[19]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13683_ (.D(_00894_),
+    .Q(spi_debug[20]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13684_ (.D(_00895_),
+    .Q(\u_spictrl.cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13685_ (.D(_00896_),
+    .Q(\u_spictrl.cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13686_ (.D(_00897_),
+    .Q(\u_spictrl.spi_clk ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13687_ (.D(_00898_),
+    .Q(\u_spictrl.rx_done ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_110_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09657_ (.D(_00560_),
-    .Q(spi_debug[17]),
+ sky130_fd_sc_hd__dfrtp_4 _13688_ (.D(_00899_),
+    .Q(\u_spictrl.rx_clk_en ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09658_ (.D(_00561_),
-    .Q(spi_debug[18]),
+ sky130_fd_sc_hd__dfrtp_4 _13689_ (.D(_00900_),
+    .Q(\u_spictrl.u_rxreg.data_int[0] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09659_ (.D(_00562_),
-    .Q(spi_debug[19]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09660_ (.D(_00563_),
-    .Q(spi_debug[20]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09661_ (.D(_00564_),
-    .Q(\u_spictrl.cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09662_ (.D(_00565_),
-    .Q(\u_spictrl.cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09663_ (.D(_00566_),
-    .Q(\u_spictrl.spi_clk ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09664_ (.D(_00567_),
-    .Q(\u_spictrl.rx_done ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_116_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09665_ (.D(_00568_),
-    .Q(\u_spictrl.rx_clk_en ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_103_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09666_ (.D(_00569_),
-    .Q(\u_spictrl.u_rxreg.data_int[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09667_ (.D(_00570_),
+ sky130_fd_sc_hd__dfrtp_4 _13690_ (.D(_00901_),
     .Q(\u_spictrl.u_rxreg.data_int[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_81_0_mclk),
+    .CLK(clknet_7_104_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09668_ (.D(_00571_),
+ sky130_fd_sc_hd__dfrtp_4 _13691_ (.D(_00902_),
     .Q(\u_spictrl.u_rxreg.data_int[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_81_0_mclk),
+    .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09669_ (.D(_00572_),
+ sky130_fd_sc_hd__dfrtp_4 _13692_ (.D(_00903_),
     .Q(\u_spictrl.u_rxreg.data_int[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_86_0_mclk),
+    .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09670_ (.D(_00573_),
+ sky130_fd_sc_hd__dfrtp_4 _13693_ (.D(_00904_),
     .Q(\u_spictrl.u_rxreg.data_int[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_87_0_mclk),
+    .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09671_ (.D(_00574_),
+ sky130_fd_sc_hd__dfrtp_4 _13694_ (.D(_00905_),
     .Q(\u_spictrl.u_rxreg.data_int[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_84_0_mclk),
+    .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09672_ (.D(_00575_),
+ sky130_fd_sc_hd__dfrtp_4 _13695_ (.D(_00906_),
     .Q(\u_spictrl.u_rxreg.data_int[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_84_0_mclk),
+    .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09673_ (.D(_00576_),
+ sky130_fd_sc_hd__dfrtp_4 _13696_ (.D(_00907_),
     .Q(\u_spictrl.u_rxreg.data_int[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_84_0_mclk),
+    .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09674_ (.D(_00577_),
+ sky130_fd_sc_hd__dfrtp_4 _13697_ (.D(_00908_),
     .Q(\u_spictrl.u_rxreg.data_int[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_87_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09675_ (.D(_00578_),
+ sky130_fd_sc_hd__dfrtp_4 _13698_ (.D(_00909_),
     .Q(\u_spictrl.u_rxreg.data_int[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_84_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09676_ (.D(_00579_),
+ sky130_fd_sc_hd__dfrtp_4 _13699_ (.D(_00910_),
     .Q(\u_spictrl.u_rxreg.data_int[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_84_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09677_ (.D(_00580_),
+ sky130_fd_sc_hd__dfrtp_4 _13700_ (.D(_00911_),
     .Q(\u_spictrl.u_rxreg.data_int[11] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_85_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09678_ (.D(_00581_),
+ sky130_fd_sc_hd__dfrtp_4 _13701_ (.D(_00912_),
     .Q(\u_spictrl.u_rxreg.data_int[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_85_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09679_ (.D(_00582_),
+ sky130_fd_sc_hd__dfrtp_4 _13702_ (.D(_00913_),
     .Q(\u_spictrl.u_rxreg.data_int[13] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_85_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09680_ (.D(_00583_),
+ sky130_fd_sc_hd__dfrtp_4 _13703_ (.D(_00914_),
     .Q(\u_spictrl.u_rxreg.data_int[14] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_85_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09681_ (.D(_00584_),
+ sky130_fd_sc_hd__dfrtp_4 _13704_ (.D(_00915_),
     .Q(\u_spictrl.u_rxreg.data_int[15] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_85_0_mclk),
+    .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09682_ (.D(_00585_),
+ sky130_fd_sc_hd__dfrtp_4 _13705_ (.D(_00916_),
     .Q(\u_spictrl.u_rxreg.data_int[16] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
+    .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09683_ (.D(_00586_),
+ sky130_fd_sc_hd__dfrtp_4 _13706_ (.D(_00917_),
     .Q(\u_spictrl.u_rxreg.data_int[17] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
+    .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09684_ (.D(_00587_),
+ sky130_fd_sc_hd__dfrtp_4 _13707_ (.D(_00918_),
     .Q(\u_spictrl.u_rxreg.data_int[18] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
+    .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09685_ (.D(_00588_),
+ sky130_fd_sc_hd__dfrtp_4 _13708_ (.D(_00919_),
     .Q(\u_spictrl.u_rxreg.data_int[19] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09686_ (.D(_00589_),
+ sky130_fd_sc_hd__dfrtp_4 _13709_ (.D(_00920_),
     .Q(\u_spictrl.u_rxreg.data_int[20] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09687_ (.D(_00590_),
+ sky130_fd_sc_hd__dfrtp_4 _13710_ (.D(_00921_),
     .Q(\u_spictrl.u_rxreg.data_int[21] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09688_ (.D(_00591_),
+ sky130_fd_sc_hd__dfrtp_4 _13711_ (.D(_00922_),
     .Q(\u_spictrl.u_rxreg.data_int[22] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09689_ (.D(_00592_),
+ sky130_fd_sc_hd__dfrtp_4 _13712_ (.D(_00923_),
     .Q(\u_spictrl.u_rxreg.data_int[23] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_93_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09690_ (.D(_00593_),
+ sky130_fd_sc_hd__dfrtp_4 _13713_ (.D(_00924_),
     .Q(\u_spictrl.u_rxreg.data_int[24] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09691_ (.D(_00594_),
+ sky130_fd_sc_hd__dfrtp_4 _13714_ (.D(_00925_),
     .Q(\u_spictrl.u_rxreg.data_int[25] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09692_ (.D(_00595_),
+ sky130_fd_sc_hd__dfrtp_4 _13715_ (.D(_00926_),
     .Q(\u_spictrl.u_rxreg.data_int[26] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09693_ (.D(_00596_),
+ sky130_fd_sc_hd__dfrtp_4 _13716_ (.D(_00927_),
     .Q(\u_spictrl.u_rxreg.data_int[27] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09694_ (.D(_00597_),
+ sky130_fd_sc_hd__dfrtp_4 _13717_ (.D(_00928_),
     .Q(\u_spictrl.u_rxreg.data_int[28] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09695_ (.D(_00598_),
+ sky130_fd_sc_hd__dfrtp_4 _13718_ (.D(_00929_),
     .Q(\u_spictrl.u_rxreg.data_int[29] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09696_ (.D(_00599_),
+ sky130_fd_sc_hd__dfrtp_4 _13719_ (.D(_00930_),
     .Q(\u_spictrl.u_rxreg.data_int[30] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_94_0_mclk),
+    .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09697_ (.D(_00600_),
+ sky130_fd_sc_hd__dfrtp_4 _13720_ (.D(_00931_),
     .Q(\u_spictrl.u_rxreg.data_int[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_116_0_mclk),
+    .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09698_ (.D(_00601_),
+ sky130_fd_sc_hd__dfrtp_4 _13721_ (.D(_00932_),
     .Q(\u_spictrl.u_rxreg.counter[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_116_0_mclk),
+    .CLK(clknet_7_111_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09699_ (.D(_00602_),
+ sky130_fd_sc_hd__dfrtp_4 _13722_ (.D(_00933_),
     .Q(\u_spictrl.u_rxreg.counter[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_116_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09700_ (.D(_00603_),
+ sky130_fd_sc_hd__dfrtp_4 _13723_ (.D(_00934_),
     .Q(\u_spictrl.u_rxreg.counter[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_118_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09701_ (.D(_00604_),
+ sky130_fd_sc_hd__dfrtp_4 _13724_ (.D(_00935_),
     .Q(\u_spictrl.u_rxreg.counter[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_113_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09702_ (.D(_00605_),
+ sky130_fd_sc_hd__dfrtp_4 _13725_ (.D(_00936_),
     .Q(\u_spictrl.u_rxreg.counter[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_113_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09703_ (.D(_00606_),
+ sky130_fd_sc_hd__dfrtp_4 _13726_ (.D(_00937_),
     .Q(\u_spictrl.u_rxreg.counter[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09704_ (.D(_00607_),
-    .Q(\u_spictrl.u_rxreg.counter[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09705_ (.D(_00608_),
-    .Q(\u_spictrl.u_rxreg.counter[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_119_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09706_ (.D(_00609_),
-    .Q(\u_spictrl.u_rxreg.counter[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_119_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09707_ (.D(_00610_),
-    .Q(\u_spictrl.u_rxreg.counter[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09708_ (.D(_00611_),
-    .Q(\u_spictrl.u_rxreg.counter[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09709_ (.D(_00612_),
-    .Q(\u_spictrl.u_rxreg.counter[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09710_ (.D(_00613_),
-    .Q(\u_spictrl.u_rxreg.counter[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09711_ (.D(_00614_),
-    .Q(\u_spictrl.u_rxreg.counter[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09712_ (.D(_00615_),
-    .Q(\u_spictrl.u_rxreg.counter[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09713_ (.D(_00616_),
-    .Q(\u_spictrl.u_rxreg.counter[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09714_ (.D(_00617_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09715_ (.D(_00618_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09716_ (.D(_00619_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[3] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09717_ (.D(_00620_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09718_ (.D(_00621_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09719_ (.D(_00622_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_119_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09720_ (.D(_00623_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09721_ (.D(_00624_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_119_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09722_ (.D(_00625_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09723_ (.D(_00626_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09724_ (.D(_00627_),
-    .Q(\u_spictrl.spi_sdo0 ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_103_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09725_ (.D(_00628_),
-    .Q(\u_spictrl.spi_sdo1 ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_109_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09726_ (.D(_00629_),
+ sky130_fd_sc_hd__dfrtp_4 _13727_ (.D(_00938_),
+    .Q(\u_spictrl.u_rxreg.counter[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13728_ (.D(_00939_),
+    .Q(\u_spictrl.u_rxreg.counter[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13729_ (.D(_00940_),
+    .Q(\u_spictrl.u_rxreg.counter[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13730_ (.D(_00941_),
+    .Q(\u_spictrl.u_rxreg.counter[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13731_ (.D(_00942_),
+    .Q(\u_spictrl.u_rxreg.counter[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13732_ (.D(_00943_),
+    .Q(\u_spictrl.u_rxreg.counter[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13733_ (.D(_00944_),
+    .Q(\u_spictrl.u_rxreg.counter[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13734_ (.D(_00945_),
+    .Q(\u_spictrl.u_rxreg.counter[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13735_ (.D(_00946_),
+    .Q(\u_spictrl.u_rxreg.counter[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13736_ (.D(_00947_),
+    .Q(\u_spictrl.u_rxreg.counter[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13737_ (.D(_00948_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13738_ (.D(_00949_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13739_ (.D(_00950_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13740_ (.D(_00951_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13741_ (.D(_00952_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13742_ (.D(_00953_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13743_ (.D(_00954_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13744_ (.D(_00955_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13745_ (.D(_00956_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13746_ (.D(_00957_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13747_ (.D(_00958_),
+    .Q(\u_spictrl.u_txreg.spi_mode[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13748_ (.D(_00959_),
+    .Q(\u_spictrl.u_txreg.spi_mode[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13749_ (.D(_00960_),
+    .Q(\u_spictrl.spi_sdo0 ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13750_ (.D(_00961_),
+    .Q(\u_spictrl.spi_sdo1 ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13751_ (.D(_00962_),
     .Q(\u_spictrl.spi_sdo2 ),
     .SET_B(rst_n),
-    .CLK(clknet_7_103_0_mclk),
+    .CLK(clknet_7_62_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09727_ (.D(_00630_),
+ sky130_fd_sc_hd__dfstp_4 _13752_ (.D(_00963_),
     .Q(\u_spictrl.spi_sdo3 ),
     .SET_B(rst_n),
-    .CLK(clknet_7_103_0_mclk),
+    .CLK(clknet_7_62_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09728_ (.D(_00631_),
-    .Q(\u_spictrl.u_txreg.data_int[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09729_ (.D(_00632_),
-    .Q(\u_spictrl.u_txreg.data_int[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_120_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09730_ (.D(_00633_),
-    .Q(\u_spictrl.u_txreg.data_int[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_121_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09731_ (.D(_00634_),
-    .Q(\u_spictrl.u_txreg.data_int[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_120_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09732_ (.D(_00635_),
-    .Q(\u_spictrl.u_txreg.data_int[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09733_ (.D(_00636_),
-    .Q(\u_spictrl.u_txreg.data_int[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09734_ (.D(_00637_),
-    .Q(\u_spictrl.u_txreg.data_int[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09735_ (.D(_00638_),
-    .Q(\u_spictrl.u_txreg.data_int[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_126_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09736_ (.D(_00639_),
-    .Q(\u_spictrl.u_txreg.data_int[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_126_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09737_ (.D(_00640_),
-    .Q(\u_spictrl.u_txreg.data_int[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09738_ (.D(_00641_),
-    .Q(\u_spictrl.u_txreg.data_int[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09739_ (.D(_00642_),
-    .Q(\u_spictrl.u_txreg.data_int[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09740_ (.D(_00643_),
-    .Q(\u_spictrl.u_txreg.data_int[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09741_ (.D(_00644_),
-    .Q(\u_spictrl.u_txreg.data_int[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09742_ (.D(_00645_),
-    .Q(\u_spictrl.u_txreg.data_int[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09743_ (.D(_00646_),
-    .Q(\u_spictrl.u_txreg.data_int[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09744_ (.D(_00647_),
-    .Q(\u_spictrl.u_txreg.data_int[16] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09745_ (.D(_00648_),
-    .Q(\u_spictrl.u_txreg.data_int[17] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09746_ (.D(_00649_),
-    .Q(\u_spictrl.u_txreg.data_int[18] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09747_ (.D(_00650_),
-    .Q(\u_spictrl.u_txreg.data_int[19] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09748_ (.D(_00651_),
-    .Q(\u_spictrl.u_txreg.data_int[20] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09749_ (.D(_00652_),
-    .Q(\u_spictrl.u_txreg.data_int[21] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09750_ (.D(_00653_),
-    .Q(\u_spictrl.u_txreg.data_int[22] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09751_ (.D(_00654_),
-    .Q(\u_spictrl.u_txreg.data_int[23] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09752_ (.D(_00655_),
-    .Q(\u_spictrl.u_txreg.data_int[24] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09753_ (.D(_00656_),
-    .Q(\u_spictrl.u_txreg.data_int[25] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09754_ (.D(_00657_),
-    .Q(\u_spictrl.u_txreg.data_int[26] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09755_ (.D(_00658_),
-    .Q(\u_spictrl.u_txreg.data_int[27] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09756_ (.D(_00659_),
+ sky130_fd_sc_hd__dfrtp_4 _13753_ (.D(_00964_),
     .Q(\u_spictrl.u_txreg.data_int[28] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
+    .CLK(clknet_7_62_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09757_ (.D(_00660_),
+ sky130_fd_sc_hd__dfrtp_4 _13754_ (.D(_00965_),
     .Q(\u_spictrl.u_txreg.data_int[29] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_120_0_mclk),
+    .CLK(clknet_7_62_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09758_ (.D(_00661_),
+ sky130_fd_sc_hd__dfrtp_4 _13755_ (.D(_00966_),
     .Q(\u_spictrl.u_txreg.data_int[30] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
+    .CLK(clknet_7_63_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09759_ (.D(_00662_),
+ sky130_fd_sc_hd__dfrtp_4 _13756_ (.D(_00967_),
     .Q(\u_spictrl.u_txreg.data_int[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
+    .CLK(clknet_7_63_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09760_ (.D(_00663_),
+ sky130_fd_sc_hd__dfrtp_4 _13757_ (.D(_00968_),
+    .Q(\u_spictrl.u_txreg.data_int[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13758_ (.D(_00969_),
+    .Q(\u_spictrl.u_txreg.data_int[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13759_ (.D(_00970_),
+    .Q(\u_spictrl.u_txreg.data_int[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13760_ (.D(_00971_),
+    .Q(\u_spictrl.u_txreg.data_int[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13761_ (.D(_00972_),
+    .Q(\u_spictrl.u_txreg.data_int[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13762_ (.D(_00973_),
+    .Q(\u_spictrl.u_txreg.data_int[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13763_ (.D(_00974_),
+    .Q(\u_spictrl.u_txreg.data_int[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13764_ (.D(_00975_),
+    .Q(\u_spictrl.u_txreg.data_int[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13765_ (.D(_00976_),
+    .Q(\u_spictrl.u_txreg.data_int[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13766_ (.D(_00977_),
+    .Q(\u_spictrl.u_txreg.data_int[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13767_ (.D(_00978_),
+    .Q(\u_spictrl.u_txreg.data_int[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13768_ (.D(_00979_),
+    .Q(\u_spictrl.u_txreg.data_int[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13769_ (.D(_00980_),
+    .Q(\u_spictrl.u_txreg.data_int[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13770_ (.D(_00981_),
+    .Q(\u_spictrl.u_txreg.data_int[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13771_ (.D(_00982_),
+    .Q(\u_spictrl.u_txreg.data_int[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13772_ (.D(_00983_),
+    .Q(\u_spictrl.u_txreg.data_int[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13773_ (.D(_00984_),
+    .Q(\u_spictrl.u_txreg.data_int[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13774_ (.D(_00985_),
+    .Q(\u_spictrl.u_txreg.data_int[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13775_ (.D(_00986_),
+    .Q(\u_spictrl.u_txreg.data_int[18] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13776_ (.D(_00987_),
+    .Q(\u_spictrl.u_txreg.data_int[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13777_ (.D(_00988_),
+    .Q(\u_spictrl.u_txreg.data_int[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13778_ (.D(_00989_),
+    .Q(\u_spictrl.u_txreg.data_int[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13779_ (.D(_00990_),
+    .Q(\u_spictrl.u_txreg.data_int[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13780_ (.D(_00991_),
+    .Q(\u_spictrl.u_txreg.data_int[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13781_ (.D(_00992_),
+    .Q(\u_spictrl.u_txreg.data_int[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13782_ (.D(_00993_),
+    .Q(\u_spictrl.u_txreg.data_int[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13783_ (.D(_00994_),
+    .Q(\u_spictrl.u_txreg.data_int[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13784_ (.D(_00995_),
+    .Q(\u_spictrl.u_txreg.data_int[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13785_ (.D(_00996_),
     .Q(\u_spictrl.u_txreg.counter[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09761_ (.D(_00664_),
+ sky130_fd_sc_hd__dfrtp_4 _13786_ (.D(_00997_),
     .Q(\u_spictrl.u_txreg.counter[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09762_ (.D(_00665_),
+ sky130_fd_sc_hd__dfrtp_4 _13787_ (.D(_00998_),
     .Q(\u_spictrl.u_txreg.counter[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09763_ (.D(_00666_),
+ sky130_fd_sc_hd__dfrtp_4 _13788_ (.D(_00999_),
     .Q(\u_spictrl.u_txreg.counter[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09764_ (.D(_00667_),
+ sky130_fd_sc_hd__dfrtp_4 _13789_ (.D(_01000_),
     .Q(\u_spictrl.u_txreg.counter[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09765_ (.D(_00668_),
+ sky130_fd_sc_hd__dfrtp_4 _13790_ (.D(_01001_),
     .Q(\u_spictrl.u_txreg.counter[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09766_ (.D(_00669_),
+ sky130_fd_sc_hd__dfrtp_4 _13791_ (.D(_01002_),
     .Q(\u_spictrl.u_txreg.counter[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_110_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09767_ (.D(_00670_),
+ sky130_fd_sc_hd__dfrtp_4 _13792_ (.D(_01003_),
     .Q(\u_spictrl.u_txreg.counter[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09768_ (.D(_00671_),
+ sky130_fd_sc_hd__dfrtp_4 _13793_ (.D(_01004_),
     .Q(\u_spictrl.u_txreg.counter[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_126_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09769_ (.D(_00672_),
+ sky130_fd_sc_hd__dfrtp_4 _13794_ (.D(_01005_),
     .Q(\u_spictrl.u_txreg.counter[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_126_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09770_ (.D(_00673_),
+ sky130_fd_sc_hd__dfrtp_4 _13795_ (.D(_01006_),
     .Q(\u_spictrl.u_txreg.counter[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_126_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09771_ (.D(_00674_),
+ sky130_fd_sc_hd__dfrtp_4 _13796_ (.D(_01007_),
     .Q(\u_spictrl.u_txreg.counter[11] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09772_ (.D(_00675_),
+ sky130_fd_sc_hd__dfrtp_4 _13797_ (.D(_01008_),
     .Q(\u_spictrl.u_txreg.counter[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09773_ (.D(_00676_),
+ sky130_fd_sc_hd__dfrtp_4 _13798_ (.D(_01009_),
     .Q(\u_spictrl.u_txreg.counter[13] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09774_ (.D(_00677_),
+ sky130_fd_sc_hd__dfrtp_4 _13799_ (.D(_01010_),
     .Q(\u_spictrl.u_txreg.counter[14] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09775_ (.D(_00678_),
+ sky130_fd_sc_hd__dfrtp_4 _13800_ (.D(_01011_),
     .Q(\u_spictrl.u_txreg.counter[15] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09776_ (.D(_00679_),
+ sky130_fd_sc_hd__dfrtp_4 _13801_ (.D(_01012_),
     .Q(\u_spictrl.u_txreg.counter_trgt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09777_ (.D(_00680_),
+ sky130_fd_sc_hd__dfrtp_4 _13802_ (.D(_01013_),
     .Q(\u_spictrl.u_txreg.counter_trgt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09778_ (.D(_00681_),
+ sky130_fd_sc_hd__dfrtp_4 _13803_ (.D(_01014_),
     .Q(\u_spictrl.u_txreg.counter_trgt[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09779_ (.D(_00682_),
+ sky130_fd_sc_hd__dfrtp_4 _13804_ (.D(_01015_),
     .Q(\u_spictrl.u_txreg.counter_trgt[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09780_ (.D(_00683_),
+ sky130_fd_sc_hd__dfrtp_4 _13805_ (.D(_01016_),
     .Q(\u_spictrl.u_txreg.counter_trgt[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_120_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09781_ (.D(_00684_),
+ sky130_fd_sc_hd__dfrtp_4 _13806_ (.D(_01017_),
     .Q(\u_spictrl.u_txreg.counter_trgt[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09782_ (.D(_00685_),
+ sky130_fd_sc_hd__dfrtp_4 _13807_ (.D(_01018_),
     .Q(\u_spictrl.u_txreg.counter_trgt[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09783_ (.D(_00686_),
+ sky130_fd_sc_hd__dfrtp_4 _13808_ (.D(_01019_),
     .Q(\u_spictrl.u_txreg.counter_trgt[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09784_ (.D(_00687_),
+ sky130_fd_sc_hd__dfrtp_4 _13809_ (.D(_01020_),
     .Q(\u_spictrl.u_txreg.counter_trgt[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09785_ (.D(_00688_),
+ sky130_fd_sc_hd__dfrtp_4 _13810_ (.D(_01021_),
     .Q(\u_spictrl.u_txreg.counter_trgt[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_104_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09786_ (.D(_00689_),
+ sky130_fd_sc_hd__dfrtp_4 _13811_ (.D(_01022_),
     .Q(\u_spictrl.u_txreg.counter_trgt[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_126_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09787_ (.D(_00690_),
-    .Q(\u_spictrl.u_txreg.en_quad ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_120_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09788_ (.D(_00691_),
-    .Q(\u_spictrl.u_txreg.tx_CS ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_120_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09789_ (.D(_00692_),
+ sky130_fd_sc_hd__dfrtp_4 _13812_ (.D(_01023_),
     .Q(spi_debug[9]),
     .RESET_B(rst_n),
     .CLK(clknet_7_33_0_mclk),
@@ -38730,15 +54872,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09790_ (.D(_00693_),
+ sky130_fd_sc_hd__dfrtp_4 _13813_ (.D(_01024_),
     .Q(spi_debug[10]),
     .RESET_B(rst_n),
-    .CLK(clknet_7_36_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09791_ (.D(_00694_),
+ sky130_fd_sc_hd__dfrtp_4 _13814_ (.D(_01025_),
     .Q(spi_debug[11]),
     .RESET_B(rst_n),
     .CLK(clknet_7_33_0_mclk),
@@ -38746,423 +54888,423 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09792_ (.D(_00695_),
+ sky130_fd_sc_hd__dfxtp_4 _13815_ (.D(_01026_),
     .Q(\u_spim_regs.cfg_m1_addr[24] ),
-    .CLK(clknet_7_10_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09793_ (.D(_00696_),
+ sky130_fd_sc_hd__dfxtp_4 _13816_ (.D(_01027_),
     .Q(\u_spim_regs.cfg_m1_addr[25] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09794_ (.D(_00697_),
+ sky130_fd_sc_hd__dfxtp_4 _13817_ (.D(_01028_),
     .Q(\u_spim_regs.cfg_m1_addr[26] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09795_ (.D(_00698_),
+ sky130_fd_sc_hd__dfxtp_4 _13818_ (.D(_01029_),
     .Q(\u_spim_regs.cfg_m1_addr[27] ),
-    .CLK(clknet_7_8_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09796_ (.D(_00699_),
+ sky130_fd_sc_hd__dfxtp_4 _13819_ (.D(_01030_),
     .Q(\u_spim_regs.cfg_m1_addr[28] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09797_ (.D(_00700_),
+ sky130_fd_sc_hd__dfxtp_4 _13820_ (.D(_01031_),
     .Q(\u_spim_regs.cfg_m1_addr[29] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09798_ (.D(_00701_),
+ sky130_fd_sc_hd__dfxtp_4 _13821_ (.D(_01032_),
     .Q(\u_spim_regs.cfg_m1_addr[30] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09799_ (.D(_00702_),
+ sky130_fd_sc_hd__dfxtp_4 _13822_ (.D(_01033_),
     .Q(\u_spim_regs.cfg_m1_addr[31] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09800_ (.D(_00703_),
+ sky130_fd_sc_hd__dfrtp_4 _13823_ (.D(_01034_),
     .Q(\u_spictrl.spi_clk_div[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
+    .CLK(clknet_7_30_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09801_ (.D(_00704_),
+ sky130_fd_sc_hd__dfstp_4 _13824_ (.D(_01035_),
     .Q(\u_spictrl.spi_clk_div[1] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_97_0_mclk),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09802_ (.D(_00705_),
+ sky130_fd_sc_hd__dfrtp_4 _13825_ (.D(_01036_),
     .Q(\u_spictrl.spi_clk_div[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_97_0_mclk),
+    .CLK(clknet_7_30_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09803_ (.D(_00706_),
+ sky130_fd_sc_hd__dfrtp_4 _13826_ (.D(_01037_),
     .Q(\u_spictrl.spi_clk_div[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_97_0_mclk),
+    .CLK(clknet_7_30_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09804_ (.D(_00707_),
+ sky130_fd_sc_hd__dfrtp_4 _13827_ (.D(_01038_),
     .Q(\u_spictrl.spi_clk_div[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09805_ (.D(_00708_),
+ sky130_fd_sc_hd__dfrtp_4 _13828_ (.D(_01039_),
     .Q(\u_spictrl.spi_clk_div[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_97_0_mclk),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09806_ (.D(_00709_),
+ sky130_fd_sc_hd__dfrtp_4 _13829_ (.D(_01040_),
     .Q(\u_spictrl.spi_clk_div[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09807_ (.D(_00710_),
+ sky130_fd_sc_hd__dfrtp_4 _13830_ (.D(_01041_),
     .Q(\u_spictrl.spi_clk_div[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_23_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09808_ (.D(_00711_),
+ sky130_fd_sc_hd__dfrtp_4 _13831_ (.D(_01042_),
     .Q(\u_spim_regs.cfg_m0_fsm_reset ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
+    .CLK(clknet_7_24_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09809_ (.D(_00712_),
+ sky130_fd_sc_hd__dfstp_4 _13832_ (.D(_01043_),
     .Q(\u_spictrl.cfg_m0_cs_reg[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
+    .CLK(clknet_7_30_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09810_ (.D(_00713_),
+ sky130_fd_sc_hd__dfrtp_4 _13833_ (.D(_01044_),
     .Q(\u_spictrl.cfg_m0_cs_reg[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
+    .CLK(clknet_7_30_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09811_ (.D(_00714_),
+ sky130_fd_sc_hd__dfrtp_4 _13834_ (.D(_01045_),
     .Q(\u_spictrl.cfg_m0_cs_reg[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09812_ (.D(_00715_),
+ sky130_fd_sc_hd__dfrtp_4 _13835_ (.D(_01046_),
     .Q(\u_spictrl.cfg_m0_cs_reg[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09813_ (.D(_00716_),
+ sky130_fd_sc_hd__dfrtp_4 _13836_ (.D(_01047_),
     .Q(\u_spictrl.cfg_m0_spi_mode[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09814_ (.D(_00717_),
+ sky130_fd_sc_hd__dfstp_4 _13837_ (.D(_01048_),
     .Q(\u_spictrl.cfg_m0_spi_mode[1] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09815_ (.D(_00718_),
+ sky130_fd_sc_hd__dfstp_4 _13838_ (.D(_01049_),
     .Q(\u_spictrl.cfg_m0_spi_switch[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
+    .CLK(clknet_7_26_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09816_ (.D(_00719_),
+ sky130_fd_sc_hd__dfrtp_4 _13839_ (.D(_01050_),
     .Q(\u_spictrl.cfg_m0_spi_switch[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
+    .CLK(clknet_7_27_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09817_ (.D(_00720_),
+ sky130_fd_sc_hd__dfrtp_4 _13840_ (.D(_01051_),
     .Q(\u_spim_regs.cfg_m0_spi_seq[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
+    .CLK(clknet_7_26_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09818_ (.D(_00721_),
+ sky130_fd_sc_hd__dfstp_4 _13841_ (.D(_01052_),
     .Q(\u_spim_regs.cfg_m0_spi_seq[1] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
+    .CLK(clknet_7_26_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09819_ (.D(_00722_),
+ sky130_fd_sc_hd__dfstp_4 _13842_ (.D(_01053_),
     .Q(\u_spim_regs.cfg_m0_spi_seq[2] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
+    .CLK(clknet_7_26_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09820_ (.D(_00723_),
+ sky130_fd_sc_hd__dfrtp_4 _13843_ (.D(_01054_),
     .Q(\u_spim_regs.cfg_m0_spi_seq[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
+    .CLK(clknet_7_27_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09821_ (.D(_00724_),
+ sky130_fd_sc_hd__dfrtp_4 _13844_ (.D(_01055_),
     .Q(\u_spim_regs.cfg_m0_addr_cnt[0] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13845_ (.D(_01056_),
+    .Q(\u_spim_regs.cfg_m0_addr_cnt[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13846_ (.D(_01057_),
+    .Q(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13847_ (.D(_01058_),
+    .Q(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13848_ (.D(_01059_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[0] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09822_ (.D(_00725_),
-    .Q(\u_spim_regs.cfg_m0_addr_cnt[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09823_ (.D(_00726_),
-    .Q(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09824_ (.D(_00727_),
-    .Q(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09825_ (.D(_00728_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09826_ (.D(_00729_),
+ sky130_fd_sc_hd__dfrtp_4 _13849_ (.D(_01060_),
     .Q(\u_spim_regs.cfg_m0_data_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09827_ (.D(_00730_),
+ sky130_fd_sc_hd__dfrtp_4 _13850_ (.D(_01061_),
     .Q(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13851_ (.D(_01062_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13852_ (.D(_01063_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13853_ (.D(_01064_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[5] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13854_ (.D(_01065_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13855_ (.D(_01066_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13856_ (.D(_01067_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13857_ (.D(_01068_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[1] ),
     .SET_B(rst_n),
     .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09828_ (.D(_00731_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09829_ (.D(_00732_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09830_ (.D(_00733_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09831_ (.D(_00734_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09832_ (.D(_00735_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _13858_ (.D(_01069_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[2] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09833_ (.D(_00736_),
-    .Q(\u_spim_regs.cfg_m0_cmd_reg[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09834_ (.D(_00737_),
-    .Q(\u_spim_regs.cfg_m0_cmd_reg[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09835_ (.D(_00738_),
-    .Q(\u_spim_regs.cfg_m0_cmd_reg[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09836_ (.D(_00739_),
+ sky130_fd_sc_hd__dfstp_4 _13859_ (.D(_01070_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[3] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09837_ (.D(_00740_),
+ sky130_fd_sc_hd__dfrtp_4 _13860_ (.D(_01071_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
+    .CLK(clknet_7_24_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09838_ (.D(_00741_),
+ sky130_fd_sc_hd__dfstp_4 _13861_ (.D(_01072_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[5] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
+    .CLK(clknet_7_24_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09839_ (.D(_00742_),
+ sky130_fd_sc_hd__dfstp_4 _13862_ (.D(_01073_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[6] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
+    .CLK(clknet_7_24_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09840_ (.D(_00743_),
+ sky130_fd_sc_hd__dfstp_4 _13863_ (.D(_01074_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[7] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_24_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09841_ (.D(_00744_),
+ sky130_fd_sc_hd__dfrtp_4 _13864_ (.D(_01075_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_28_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09842_ (.D(_00745_),
+ sky130_fd_sc_hd__dfrtp_4 _13865_ (.D(_01076_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[1] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13866_ (.D(_01077_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[2] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09843_ (.D(_00746_),
-    .Q(\u_spim_regs.cfg_m0_mode_reg[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09844_ (.D(_00747_),
+ sky130_fd_sc_hd__dfrtp_4 _13867_ (.D(_01078_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09845_ (.D(_00748_),
+ sky130_fd_sc_hd__dfrtp_4 _13868_ (.D(_01079_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[4] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_29_0_mclk),
@@ -39170,7 +55312,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09846_ (.D(_00749_),
+ sky130_fd_sc_hd__dfrtp_4 _13869_ (.D(_01080_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[5] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_29_0_mclk),
@@ -39178,191 +55320,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09847_ (.D(_00750_),
+ sky130_fd_sc_hd__dfrtp_4 _13870_ (.D(_01081_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09848_ (.D(_00751_),
+ sky130_fd_sc_hd__dfrtp_4 _13871_ (.D(_01082_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_23_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09849_ (.D(_00752_),
+ sky130_fd_sc_hd__dfstp_4 _13872_ (.D(_01083_),
     .Q(\u_spictrl.cfg_m1_cs_reg[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
+    .CLK(clknet_7_26_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09850_ (.D(_00753_),
+ sky130_fd_sc_hd__dfrtp_4 _13873_ (.D(_01084_),
     .Q(\u_spictrl.cfg_m1_cs_reg[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_52_0_mclk),
+    .CLK(clknet_7_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09851_ (.D(_00754_),
+ sky130_fd_sc_hd__dfrtp_4 _13874_ (.D(_01085_),
     .Q(\u_spictrl.cfg_m1_cs_reg[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_52_0_mclk),
+    .CLK(clknet_7_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09852_ (.D(_00755_),
+ sky130_fd_sc_hd__dfrtp_4 _13875_ (.D(_01086_),
     .Q(\u_spictrl.cfg_m1_cs_reg[3] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13876_ (.D(_01087_),
+    .Q(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13877_ (.D(_01088_),
+    .Q(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13878_ (.D(_01089_),
+    .Q(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13879_ (.D(_01090_),
+    .Q(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13880_ (.D(_01091_),
+    .Q(\u_spictrl.cfg_cs_early[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13881_ (.D(_01092_),
+    .Q(\u_spictrl.cfg_cs_early[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13882_ (.D(_01093_),
+    .Q(\u_spictrl.cfg_cs_late[0] ),
+    .SET_B(rst_n),
     .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09853_ (.D(_00756_),
-    .Q(\u_spictrl.cfg_m1_spi_mode[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09854_ (.D(_00757_),
-    .Q(\u_spictrl.cfg_m1_spi_mode[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09855_ (.D(_00758_),
-    .Q(\u_spictrl.cfg_m1_spi_switch[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09856_ (.D(_00759_),
-    .Q(\u_spictrl.cfg_m1_spi_switch[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09857_ (.D(_00760_),
-    .Q(\u_spictrl.cfg_cs_early[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09858_ (.D(_00761_),
-    .Q(\u_spictrl.cfg_cs_early[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09859_ (.D(_00762_),
-    .Q(\u_spictrl.cfg_cs_late[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09860_ (.D(_00763_),
+ sky130_fd_sc_hd__dfrtp_4 _13883_ (.D(_01094_),
     .Q(\u_spictrl.cfg_cs_late[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
+    .CLK(clknet_7_30_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09861_ (.D(_00764_),
+ sky130_fd_sc_hd__dfrtp_4 _13884_ (.D(_01095_),
     .Q(\u_spim_regs.cfg_m1_fsm_reset[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_15_0_mclk),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09862_ (.D(_00765_),
+ sky130_fd_sc_hd__dfrtp_4 _13885_ (.D(_01096_),
     .Q(\u_spim_regs.cfg_m1_spi_seq[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_15_0_mclk),
+    .CLK(clknet_7_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09863_ (.D(_00766_),
+ sky130_fd_sc_hd__dfrtp_4 _13886_ (.D(_01097_),
     .Q(\u_spim_regs.cfg_m1_spi_seq[1] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13887_ (.D(_01098_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[2] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13888_ (.D(_01099_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13889_ (.D(_01100_),
+    .Q(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13890_ (.D(_01101_),
+    .Q(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13891_ (.D(_01102_),
+    .Q(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09864_ (.D(_00767_),
-    .Q(\u_spim_regs.cfg_m1_spi_seq[2] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09865_ (.D(_00768_),
-    .Q(\u_spim_regs.cfg_m1_spi_seq[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09866_ (.D(_00769_),
-    .Q(\u_spim_regs.cfg_m1_addr_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09867_ (.D(_00770_),
-    .Q(\u_spim_regs.cfg_m1_addr_cnt[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09868_ (.D(_00771_),
-    .Q(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09869_ (.D(_00772_),
+ sky130_fd_sc_hd__dfrtp_4 _13892_ (.D(_01103_),
     .Q(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
+    .CLK(clknet_7_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09870_ (.D(_00773_),
+ sky130_fd_sc_hd__dfrtp_4 _13893_ (.D(_01104_),
     .Q(\u_spim_regs.cfg_m1_data_cnt[0] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_10_0_mclk),
@@ -39370,7 +55512,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09871_ (.D(_00774_),
+ sky130_fd_sc_hd__dfrtp_4 _13894_ (.D(_01105_),
     .Q(\u_spim_regs.cfg_m1_data_cnt[1] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_10_0_mclk),
@@ -39378,39 +55520,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09872_ (.D(_00775_),
+ sky130_fd_sc_hd__dfrtp_4 _13895_ (.D(_01106_),
     .Q(\u_spim_regs.cfg_m1_data_cnt[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09873_ (.D(_00776_),
+ sky130_fd_sc_hd__dfrtp_4 _13896_ (.D(_01107_),
     .Q(\u_spim_regs.cfg_m1_data_cnt[3] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_11_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13897_ (.D(_01108_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[4] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09874_ (.D(_00777_),
-    .Q(\u_spim_regs.cfg_m1_data_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_11_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09875_ (.D(_00778_),
+ sky130_fd_sc_hd__dfrtp_4 _13898_ (.D(_01109_),
     .Q(\u_spim_regs.cfg_m1_data_cnt[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_11_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09876_ (.D(_00779_),
+ sky130_fd_sc_hd__dfrtp_4 _13899_ (.D(_01110_),
     .Q(\u_spim_regs.cfg_m1_data_cnt[6] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_11_0_mclk),
@@ -39418,7 +55560,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09877_ (.D(_00780_),
+ sky130_fd_sc_hd__dfrtp_4 _13900_ (.D(_01111_),
     .Q(\u_spim_regs.cfg_m1_data_cnt[7] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_11_0_mclk),
@@ -39426,23 +55568,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09878_ (.D(_00781_),
+ sky130_fd_sc_hd__dfrtp_4 _13901_ (.D(_01112_),
     .Q(\u_spim_regs.cur_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09879_ (.D(_00782_),
+ sky130_fd_sc_hd__dfrtp_4 _13902_ (.D(_01113_),
     .Q(\u_spim_regs.cur_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_11_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09880_ (.D(_00783_),
+ sky130_fd_sc_hd__dfrtp_4 _13903_ (.D(_01114_),
     .Q(\u_spim_regs.cur_cnt[2] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_32_0_mclk),
@@ -39450,7 +55592,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09881_ (.D(_00784_),
+ sky130_fd_sc_hd__dfrtp_4 _13904_ (.D(_01115_),
     .Q(\u_spim_regs.cur_cnt[3] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_32_0_mclk),
@@ -39458,7 +55600,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09882_ (.D(_00785_),
+ sky130_fd_sc_hd__dfrtp_4 _13905_ (.D(_01116_),
     .Q(\u_spim_regs.cur_cnt[4] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_32_0_mclk),
@@ -39466,7 +55608,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09883_ (.D(_00786_),
+ sky130_fd_sc_hd__dfrtp_4 _13906_ (.D(_01117_),
     .Q(\u_spim_regs.cur_cnt[5] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_32_0_mclk),
@@ -39474,15 +55616,943 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09884_ (.D(_00787_),
-    .Q(spi_debug[13]),
+ sky130_fd_sc_hd__dfrtp_4 _13907_ (.D(_01118_),
+    .Q(\u_wb_if.NextPreAddr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_25_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09885_ (.D(_00788_),
+ sky130_fd_sc_hd__dfrtp_4 _13908_ (.D(_01119_),
+    .Q(\u_wb_if.NextPreAddr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_4_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13909_ (.D(_01120_),
+    .Q(\u_m0_cmd_fifo.rd_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13910_ (.D(_01121_),
+    .Q(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13911_ (.D(_01122_),
+    .Q(\u_wb_if.NextPreAddr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13912_ (.D(_01123_),
+    .Q(\u_wb_if.NextPreAddr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13913_ (.D(_01124_),
+    .Q(\u_wb_if.NextPreAddr[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13914_ (.D(_01125_),
+    .Q(\u_wb_if.NextPreAddr[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13915_ (.D(_01126_),
+    .Q(\u_wb_if.NextPreAddr[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13916_ (.D(_01127_),
+    .Q(\u_wb_if.NextPreAddr[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13917_ (.D(_01128_),
+    .Q(\u_wb_if.NextPreAddr[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13918_ (.D(_01129_),
+    .Q(\u_wb_if.NextPreAddr[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13919_ (.D(_01130_),
+    .Q(\u_wb_if.NextPreAddr[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13920_ (.D(_01131_),
+    .Q(\u_wb_if.NextPreAddr[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13921_ (.D(_01132_),
+    .Q(\u_wb_if.NextPreAddr[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13922_ (.D(_01133_),
+    .Q(\u_wb_if.NextPreAddr[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13923_ (.D(_01134_),
+    .Q(\u_wb_if.NextPreAddr[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13924_ (.D(_01135_),
+    .Q(\u_wb_if.NextPreAddr[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_4_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13925_ (.D(_01136_),
+    .Q(\u_wb_if.NextPreAddr[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13926_ (.D(_01137_),
+    .Q(\u_wb_if.NextPreAddr[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_4_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13927_ (.D(_01138_),
+    .Q(\u_wb_if.NextPreAddr[18] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_4_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13928_ (.D(_01139_),
+    .Q(\u_wb_if.NextPreAddr[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13929_ (.D(_01140_),
+    .Q(\u_wb_if.NextPreAddr[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13930_ (.D(_01141_),
+    .Q(\u_wb_if.NextPreAddr[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13931_ (.D(_01142_),
+    .Q(\u_wb_if.NextPreAddr[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13932_ (.D(_01143_),
+    .Q(\u_wb_if.NextPreAddr[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13933_ (.D(_01144_),
+    .Q(\u_wb_if.NextPreAddr[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13934_ (.D(_01145_),
+    .Q(\u_wb_if.NextPreAddr[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13935_ (.D(_01146_),
+    .Q(\u_wb_if.NextPreAddr[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13936_ (.D(_01147_),
+    .Q(\u_wb_if.NextPreAddr[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13937_ (.D(_01148_),
+    .Q(\u_wb_if.NextPreAddr[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13938_ (.D(_01149_),
+    .Q(\u_wb_if.NextPreAddr[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13939_ (.D(_01150_),
+    .Q(\u_wb_if.NextPreAddr[30] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13940_ (.D(_01151_),
+    .Q(\u_wb_if.NextPreAddr[31] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13941_ (.D(_01152_),
+    .Q(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13942_ (.D(_01153_),
+    .Q(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13943_ (.D(_01154_),
+    .Q(\u_m1_cmd_fifo.rd_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13944_ (.D(_01155_),
+    .Q(\u_m1_cmd_fifo.rd_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13945_ (.D(_01156_),
+    .Q(\u_m1_cmd_fifo.rd_ptr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13946_ (.D(_01157_),
+    .Q(\u_m1_cmd_fifo.wr_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13947_ (.D(_01158_),
+    .Q(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13948_ (.D(_01159_),
+    .Q(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13949_ (.D(_01160_),
+    .Q(wbd_dat_o[0]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13950_ (.D(_01161_),
+    .Q(wbd_dat_o[1]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13951_ (.D(_01162_),
+    .Q(wbd_dat_o[2]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13952_ (.D(_01163_),
+    .Q(wbd_dat_o[3]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13953_ (.D(_01164_),
+    .Q(wbd_dat_o[4]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13954_ (.D(_01165_),
+    .Q(wbd_dat_o[5]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13955_ (.D(_01166_),
+    .Q(wbd_dat_o[6]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13956_ (.D(_01167_),
+    .Q(wbd_dat_o[7]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13957_ (.D(_01168_),
+    .Q(wbd_dat_o[8]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13958_ (.D(_01169_),
+    .Q(wbd_dat_o[9]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13959_ (.D(_01170_),
+    .Q(wbd_dat_o[10]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13960_ (.D(_01171_),
+    .Q(wbd_dat_o[11]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13961_ (.D(_01172_),
+    .Q(wbd_dat_o[12]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13962_ (.D(_01173_),
+    .Q(wbd_dat_o[13]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13963_ (.D(_01174_),
+    .Q(wbd_dat_o[14]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13964_ (.D(_01175_),
+    .Q(wbd_dat_o[15]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13965_ (.D(_01176_),
+    .Q(wbd_dat_o[16]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13966_ (.D(_01177_),
+    .Q(wbd_dat_o[17]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13967_ (.D(_01178_),
+    .Q(wbd_dat_o[18]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13968_ (.D(_01179_),
+    .Q(wbd_dat_o[19]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13969_ (.D(_01180_),
+    .Q(wbd_dat_o[20]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13970_ (.D(_01181_),
+    .Q(wbd_dat_o[21]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13971_ (.D(_01182_),
+    .Q(wbd_dat_o[22]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13972_ (.D(_01183_),
+    .Q(wbd_dat_o[23]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13973_ (.D(_01184_),
+    .Q(wbd_dat_o[24]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13974_ (.D(_01185_),
+    .Q(wbd_dat_o[25]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13975_ (.D(_01186_),
+    .Q(wbd_dat_o[26]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13976_ (.D(_01187_),
+    .Q(wbd_dat_o[27]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13977_ (.D(_01188_),
+    .Q(wbd_dat_o[28]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13978_ (.D(_01189_),
+    .Q(wbd_dat_o[29]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13979_ (.D(_01190_),
+    .Q(wbd_dat_o[30]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13980_ (.D(_01191_),
+    .Q(wbd_dat_o[31]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13981_ (.D(_01192_),
+    .Q(\u_spim_regs.spi_delay_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13982_ (.D(_01193_),
+    .Q(\u_spim_regs.spi_delay_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13983_ (.D(_01194_),
+    .Q(\u_spim_regs.spi_delay_cnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13984_ (.D(_01195_),
+    .Q(\u_spim_regs.spi_delay_cnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13985_ (.D(_01196_),
+    .Q(\u_spim_regs.spi_delay_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13986_ (.D(_01197_),
+    .Q(\u_spim_regs.spi_delay_cnt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13987_ (.D(_01198_),
+    .Q(\u_spim_regs.spi_delay_cnt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13988_ (.D(_01199_),
+    .Q(\u_spim_regs.spi_delay_cnt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13989_ (.D(_01200_),
+    .Q(\u_spim_regs.spi_delay_cnt[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13990_ (.D(_01201_),
+    .Q(\u_spim_regs.spi_delay_cnt[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13991_ (.D(_01202_),
+    .Q(\u_spim_regs.cfg_m1_req ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13992_ (.D(_01203_),
+    .Q(\u_spim_regs.cfg_m1_addr[0] ),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13993_ (.D(_01204_),
+    .Q(\u_spim_regs.cfg_m1_addr[1] ),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13994_ (.D(_01205_),
+    .Q(\u_spim_regs.cfg_m1_addr[2] ),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13995_ (.D(_01206_),
+    .Q(\u_spim_regs.cfg_m1_addr[3] ),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13996_ (.D(_01207_),
+    .Q(\u_spim_regs.cfg_m1_addr[4] ),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13997_ (.D(_01208_),
+    .Q(\u_spim_regs.cfg_m1_addr[5] ),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13998_ (.D(_01209_),
+    .Q(\u_spim_regs.cfg_m1_addr[6] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13999_ (.D(_01210_),
+    .Q(\u_spim_regs.cfg_m1_addr[7] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _14000_ (.D(_01211_),
+    .Q(\u_spim_regs.cfg_m1_addr[16] ),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _14001_ (.D(_01212_),
+    .Q(\u_spim_regs.cfg_m1_addr[17] ),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _14002_ (.D(_01213_),
+    .Q(\u_spim_regs.cfg_m1_addr[18] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _14003_ (.D(_01214_),
+    .Q(\u_spim_regs.cfg_m1_addr[19] ),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _14004_ (.D(_01215_),
+    .Q(\u_spim_regs.cfg_m1_addr[20] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _14005_ (.D(_01216_),
+    .Q(\u_spim_regs.cfg_m1_addr[21] ),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _14006_ (.D(_01217_),
+    .Q(\u_spim_regs.cfg_m1_addr[22] ),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _14007_ (.D(_01218_),
+    .Q(\u_spim_regs.cfg_m1_addr[23] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14008_ (.D(_01219_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14009_ (.D(_01220_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14010_ (.D(_01221_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14011_ (.D(_01222_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14012_ (.D(_01223_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14013_ (.D(_01224_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14014_ (.D(_01225_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14015_ (.D(_01226_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _14016_ (.D(_01227_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _14017_ (.D(_01228_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14018_ (.D(_01229_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _14019_ (.D(_01230_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14020_ (.D(_01231_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _14021_ (.D(_01232_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _14022_ (.D(_01233_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14023_ (.D(_01234_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14024_ (.D(_01235_),
+    .Q(\u_spim_regs.cfg_m1_wdata[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14025_ (.D(_01236_),
+    .Q(spi_debug[13]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14026_ (.D(_01237_),
     .Q(spi_debug[14]),
     .RESET_B(rst_n),
     .CLK(clknet_7_19_0_mclk),
@@ -39490,938 +56560,322 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09886_ (.D(_00789_),
-    .Q(\u_m0_cmd_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _14027_ (.D(_01238_),
+    .Q(\u_spim_regs.spim_reg_ack ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_52_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09887_ (.D(_00790_),
-    .Q(\u_m0_cmd_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _14028_ (.D(_01239_),
+    .Q(\u_spim_regs.spim_reg_rdata[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_52_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09888_ (.D(_00791_),
-    .Q(\u_m0_cmd_fifo.wr_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _14029_ (.D(_01240_),
+    .Q(\u_spim_regs.spim_reg_rdata[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_49_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09889_ (.D(_00792_),
-    .Q(\u_m0_cmd_fifo.wr_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _14030_ (.D(_01241_),
+    .Q(\u_spim_regs.spim_reg_rdata[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_49_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09890_ (.D(_00793_),
-    .Q(\u_m1_cmd_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _14031_ (.D(_01242_),
+    .Q(\u_spim_regs.spim_reg_rdata[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09891_ (.D(_00794_),
-    .Q(\u_m1_cmd_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _14032_ (.D(_01243_),
+    .Q(\u_spim_regs.spim_reg_rdata[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09892_ (.D(_00795_),
-    .Q(\u_m1_cmd_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _14033_ (.D(_01244_),
+    .Q(\u_spim_regs.spim_reg_rdata[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09893_ (.D(_00796_),
-    .Q(\u_m1_cmd_fifo.wr_ptr[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09894_ (.D(_00797_),
-    .Q(\u_m1_cmd_fifo.wr_ptr[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09895_ (.D(_00798_),
-    .Q(\u_m1_cmd_fifo.wr_ptr[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09896_ (.D(_00799_),
-    .Q(\u_spim_regs.cfg_m1_req ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09897_ (.D(_00800_),
-    .Q(\u_spim_regs.cfg_m1_wdata[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09898_ (.D(_00801_),
-    .Q(\u_spim_regs.cfg_m1_addr[0] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09899_ (.D(_00802_),
-    .Q(\u_spim_regs.cfg_m1_addr[1] ),
-    .CLK(clknet_7_52_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09900_ (.D(_00803_),
-    .Q(\u_spim_regs.cfg_m1_addr[2] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09901_ (.D(_00804_),
-    .Q(\u_spim_regs.cfg_m1_addr[3] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09902_ (.D(_00805_),
-    .Q(\u_spim_regs.cfg_m1_addr[4] ),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09903_ (.D(_00806_),
-    .Q(\u_spim_regs.cfg_m1_addr[5] ),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09904_ (.D(_00807_),
-    .Q(\u_spim_regs.cfg_m1_addr[6] ),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09905_ (.D(_00808_),
-    .Q(\u_spim_regs.cfg_m1_addr[7] ),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09906_ (.D(_00809_),
-    .Q(\u_spim_regs.cfg_m1_addr[16] ),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09907_ (.D(_00810_),
-    .Q(\u_spim_regs.cfg_m1_addr[17] ),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09908_ (.D(_00811_),
-    .Q(\u_spim_regs.cfg_m1_addr[18] ),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09909_ (.D(_00812_),
-    .Q(\u_spim_regs.cfg_m1_addr[19] ),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09910_ (.D(_00813_),
-    .Q(\u_spim_regs.cfg_m1_addr[20] ),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09911_ (.D(_00814_),
-    .Q(\u_spim_regs.cfg_m1_addr[21] ),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09912_ (.D(_00815_),
-    .Q(\u_spim_regs.cfg_m1_addr[22] ),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _09913_ (.D(_00816_),
-    .Q(\u_spim_regs.cfg_m1_addr[23] ),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09914_ (.D(_00817_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09915_ (.D(_00818_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09916_ (.D(_00819_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _14034_ (.D(_01245_),
+    .Q(\u_spim_regs.spim_reg_rdata[6] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09917_ (.D(_00820_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_25_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09918_ (.D(_00821_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09919_ (.D(_00822_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_25_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09920_ (.D(_00823_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_25_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09921_ (.D(_00824_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09922_ (.D(_00825_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09923_ (.D(_00826_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09924_ (.D(_00827_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09925_ (.D(_00828_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[3] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09926_ (.D(_00829_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09927_ (.D(_00830_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[5] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _09928_ (.D(_00831_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[6] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09929_ (.D(_00832_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09930_ (.D(_00833_),
-    .Q(\u_spim_regs.spi_delay_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09931_ (.D(_00834_),
-    .Q(\u_spim_regs.spi_delay_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09932_ (.D(_00835_),
-    .Q(\u_spim_regs.spi_delay_cnt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09933_ (.D(_00836_),
-    .Q(\u_spim_regs.spi_delay_cnt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09934_ (.D(_00837_),
-    .Q(\u_spim_regs.spi_delay_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09935_ (.D(_00838_),
-    .Q(\u_spim_regs.spi_delay_cnt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09936_ (.D(_00839_),
-    .Q(\u_spim_regs.spi_delay_cnt[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09937_ (.D(_00840_),
-    .Q(\u_spim_regs.spi_delay_cnt[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09938_ (.D(_00841_),
-    .Q(\u_spim_regs.spi_delay_cnt[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09939_ (.D(_00842_),
-    .Q(\u_spim_regs.spi_delay_cnt[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09940_ (.D(_00843_),
-    .Q(\u_spim_regs.spim_reg_ack ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09941_ (.D(_00844_),
-    .Q(wbd_dat_o[0]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09942_ (.D(_00845_),
-    .Q(wbd_dat_o[1]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09943_ (.D(_00846_),
-    .Q(wbd_dat_o[2]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09944_ (.D(_00847_),
-    .Q(wbd_dat_o[3]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09945_ (.D(_00848_),
-    .Q(wbd_dat_o[4]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09946_ (.D(_00849_),
-    .Q(wbd_dat_o[5]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09947_ (.D(_00850_),
-    .Q(wbd_dat_o[6]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09948_ (.D(_00851_),
-    .Q(wbd_dat_o[7]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09949_ (.D(_00852_),
-    .Q(wbd_dat_o[8]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09950_ (.D(_00853_),
-    .Q(wbd_dat_o[9]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09951_ (.D(_00854_),
-    .Q(wbd_dat_o[10]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09952_ (.D(_00855_),
-    .Q(wbd_dat_o[11]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09953_ (.D(_00856_),
-    .Q(wbd_dat_o[12]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09954_ (.D(_00857_),
-    .Q(wbd_dat_o[13]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09955_ (.D(_00858_),
-    .Q(wbd_dat_o[14]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09956_ (.D(_00859_),
-    .Q(wbd_dat_o[15]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09957_ (.D(_00860_),
-    .Q(wbd_dat_o[16]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09958_ (.D(_00861_),
-    .Q(wbd_dat_o[17]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09959_ (.D(_00862_),
-    .Q(wbd_dat_o[18]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09960_ (.D(_00863_),
-    .Q(wbd_dat_o[19]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09961_ (.D(_00864_),
-    .Q(wbd_dat_o[20]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09962_ (.D(_00865_),
-    .Q(wbd_dat_o[21]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09963_ (.D(_00866_),
-    .Q(wbd_dat_o[22]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09964_ (.D(_00867_),
-    .Q(wbd_dat_o[23]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09965_ (.D(_00868_),
-    .Q(wbd_dat_o[24]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09966_ (.D(_00869_),
-    .Q(wbd_dat_o[25]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09967_ (.D(_00870_),
-    .Q(wbd_dat_o[26]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09968_ (.D(_00871_),
-    .Q(wbd_dat_o[27]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09969_ (.D(_00872_),
-    .Q(wbd_dat_o[28]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09970_ (.D(_00873_),
-    .Q(wbd_dat_o[29]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09971_ (.D(_00874_),
-    .Q(wbd_dat_o[30]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09972_ (.D(_00875_),
-    .Q(wbd_dat_o[31]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09973_ (.D(_00876_),
-    .Q(\u_spim_regs.spim_reg_rdata[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09974_ (.D(_00877_),
-    .Q(\u_spim_regs.spim_reg_rdata[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09975_ (.D(_00878_),
-    .Q(\u_spim_regs.spim_reg_rdata[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_100_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09976_ (.D(_00879_),
-    .Q(\u_spim_regs.spim_reg_rdata[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_100_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09977_ (.D(_00880_),
-    .Q(\u_spim_regs.spim_reg_rdata[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09978_ (.D(_00881_),
-    .Q(\u_spim_regs.spim_reg_rdata[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09979_ (.D(_00882_),
-    .Q(\u_spim_regs.spim_reg_rdata[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09980_ (.D(_00883_),
+ sky130_fd_sc_hd__dfrtp_4 _14035_ (.D(_01246_),
     .Q(\u_spim_regs.spim_reg_rdata[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_101_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09981_ (.D(_00884_),
+ sky130_fd_sc_hd__dfrtp_4 _14036_ (.D(_01247_),
     .Q(\u_spim_regs.spim_reg_rdata[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_101_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09982_ (.D(_00885_),
+ sky130_fd_sc_hd__dfrtp_4 _14037_ (.D(_01248_),
     .Q(\u_spim_regs.spim_reg_rdata[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_101_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09983_ (.D(_00886_),
+ sky130_fd_sc_hd__dfrtp_4 _14038_ (.D(_01249_),
     .Q(\u_spim_regs.spim_reg_rdata[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09984_ (.D(_00887_),
+ sky130_fd_sc_hd__dfrtp_4 _14039_ (.D(_01250_),
     .Q(\u_spim_regs.spim_reg_rdata[11] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09985_ (.D(_00888_),
+ sky130_fd_sc_hd__dfrtp_4 _14040_ (.D(_01251_),
     .Q(\u_spim_regs.spim_reg_rdata[12] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14041_ (.D(_01252_),
+    .Q(\u_spim_regs.spim_reg_rdata[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14042_ (.D(_01253_),
+    .Q(\u_spim_regs.spim_reg_rdata[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14043_ (.D(_01254_),
+    .Q(\u_spim_regs.spim_reg_rdata[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14044_ (.D(_01255_),
+    .Q(\u_spim_regs.spim_reg_rdata[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14045_ (.D(_01256_),
+    .Q(\u_spim_regs.spim_reg_rdata[17] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09986_ (.D(_00889_),
-    .Q(\u_spim_regs.spim_reg_rdata[13] ),
+ sky130_fd_sc_hd__dfrtp_4 _14046_ (.D(_01257_),
+    .Q(\u_spim_regs.spim_reg_rdata[18] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_77_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09987_ (.D(_00890_),
-    .Q(\u_spim_regs.spim_reg_rdata[14] ),
+ sky130_fd_sc_hd__dfrtp_4 _14047_ (.D(_01258_),
+    .Q(\u_spim_regs.spim_reg_rdata[19] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_77_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09988_ (.D(_00891_),
-    .Q(\u_spim_regs.spim_reg_rdata[15] ),
+ sky130_fd_sc_hd__dfrtp_4 _14048_ (.D(_01259_),
+    .Q(\u_spim_regs.spim_reg_rdata[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_28_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14049_ (.D(_01260_),
+    .Q(\u_spim_regs.spim_reg_rdata[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14050_ (.D(_01261_),
+    .Q(\u_spim_regs.spim_reg_rdata[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14051_ (.D(_01262_),
+    .Q(\u_spim_regs.spim_reg_rdata[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14052_ (.D(_01263_),
+    .Q(\u_spim_regs.spim_reg_rdata[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14053_ (.D(_01264_),
+    .Q(\u_spim_regs.spim_reg_rdata[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14054_ (.D(_01265_),
+    .Q(\u_spim_regs.spim_reg_rdata[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14055_ (.D(_01266_),
+    .Q(\u_spim_regs.spim_reg_rdata[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_28_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14056_ (.D(_01267_),
+    .Q(\u_spim_regs.spim_reg_rdata[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14057_ (.D(_01268_),
+    .Q(\u_spim_regs.spim_reg_rdata[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14058_ (.D(_01269_),
+    .Q(\u_spim_regs.spim_reg_rdata[30] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_72_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09989_ (.D(_00892_),
-    .Q(\u_spim_regs.spim_reg_rdata[16] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09990_ (.D(_00893_),
-    .Q(\u_spim_regs.spim_reg_rdata[17] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_67_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09991_ (.D(_00894_),
-    .Q(\u_spim_regs.spim_reg_rdata[18] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_67_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09992_ (.D(_00895_),
-    .Q(\u_spim_regs.spim_reg_rdata[19] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_67_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09993_ (.D(_00896_),
-    .Q(\u_spim_regs.spim_reg_rdata[20] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09994_ (.D(_00897_),
-    .Q(\u_spim_regs.spim_reg_rdata[21] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09995_ (.D(_00898_),
-    .Q(\u_spim_regs.spim_reg_rdata[22] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09996_ (.D(_00899_),
-    .Q(\u_spim_regs.spim_reg_rdata[23] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09997_ (.D(_00900_),
-    .Q(\u_spim_regs.spim_reg_rdata[24] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09998_ (.D(_00901_),
-    .Q(\u_spim_regs.spim_reg_rdata[25] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _09999_ (.D(_00902_),
-    .Q(\u_spim_regs.spim_reg_rdata[26] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _10000_ (.D(_00903_),
-    .Q(\u_spim_regs.spim_reg_rdata[27] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _10001_ (.D(_00904_),
-    .Q(\u_spim_regs.spim_reg_rdata[28] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _10002_ (.D(_00905_),
-    .Q(\u_spim_regs.spim_reg_rdata[29] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _10003_ (.D(_00906_),
-    .Q(\u_spim_regs.spim_reg_rdata[30] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _10004_ (.D(_00907_),
+ sky130_fd_sc_hd__dfrtp_4 _14059_ (.D(_01270_),
     .Q(\u_spim_regs.spim_reg_rdata[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_66_0_mclk),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14060_ (.D(_01271_),
+    .Q(\u_wb_if.NextPreDVal ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14061_ (.D(_01272_),
+    .Q(\u_wb_if.NextPreDCnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_5_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14062_ (.D(_01273_),
+    .Q(\u_wb_if.NextPreDCnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14063_ (.D(_01274_),
+    .Q(\u_wb_if.NextPreDCnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14064_ (.D(_01275_),
+    .Q(\u_wb_if.NextPreDCnt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14065_ (.D(_01276_),
+    .Q(\u_wb_if.NextPreDCnt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _14066_ (.D(_01277_),
+    .Q(\u_wb_if.NextPreDCnt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47972,444 +64426,1470 @@
     .VPWR(vccd1));
  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3312 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_0 (.A(\u_m0_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_0 (.A(_03409_),
     .X(psn_net_0),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_1 (.A(\u_m0_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_1 (.A(psn_net_35),
     .X(psn_net_1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_2 (.A(_03783_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_2 (.A(psn_net_36),
     .X(psn_net_2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_3 (.A(_03783_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_3 (.A(psn_net_37),
     .X(psn_net_3),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_4 (.A(_01067_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_4 (.A(_03407_),
     .X(psn_net_4),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_5 (.A(_01067_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_5 (.A(psn_net_38),
     .X(psn_net_5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_6 (.A(psn_net_21),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_6 (.A(psn_net_39),
     .X(psn_net_6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_7 (.A(_01066_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_7 (.A(_03405_),
     .X(psn_net_7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_8 (.A(_01066_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_8 (.A(psn_net_40),
     .X(psn_net_8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_9 (.A(_01032_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_9 (.A(_03404_),
     .X(psn_net_9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_10 (.A(psn_net_25),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_10 (.A(psn_net_41),
     .X(psn_net_10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_11 (.A(psn_net_10),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_11 (.A(psn_net_42),
     .X(psn_net_11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_12 (.A(_00992_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_12 (.A(psn_net_42),
     .X(psn_net_12),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_13 (.A(psn_net_26),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_13 (.A(_03402_),
     .X(psn_net_13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_14 (.A(\u_spictrl.u_txreg.counter[1] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_14 (.A(psn_net_43),
     .X(psn_net_14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_15 (.A(psn_net_14),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_15 (.A(_03401_),
     .X(psn_net_15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_16 (.A(\u_m1_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_16 (.A(psn_net_44),
     .X(psn_net_16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_17 (.A(\u_m1_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_17 (.A(psn_net_45),
     .X(psn_net_17),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_18 (.A(\u_m1_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_18 (.A(psn_net_46),
     .X(psn_net_18),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_19 (.A(_01069_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_19 (.A(_03399_),
     .X(psn_net_19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_20 (.A(psn_net_19),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_20 (.A(_03398_),
     .X(psn_net_20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_21 (.A(_01066_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_21 (.A(psn_net_47),
     .X(psn_net_21),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_22 (.A(_01045_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_22 (.A(_03397_),
     .X(psn_net_22),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_23 (.A(_01018_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_23 (.A(_03396_),
     .X(psn_net_23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_24 (.A(_01018_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_24 (.A(_03395_),
     .X(psn_net_24),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_25 (.A(_01016_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_25 (.A(psn_net_48),
     .X(psn_net_25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_26 (.A(_00992_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_26 (.A(psn_net_49),
     .X(psn_net_26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_27 (.A(\u_spictrl.u_txreg.counter[0] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_27 (.A(psn_net_50),
     .X(psn_net_27),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_28 (.A(io_oeb[3]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_28 (.A(_03391_),
     .X(psn_net_28),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_29 (.A(io_oeb[3]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_29 (.A(_03390_),
     .X(psn_net_29),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_30 (.A(psn_net_68),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_30 (.A(_01321_),
     .X(psn_net_30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_31 (.A(psn_net_30),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_31 (.A(_01321_),
     .X(psn_net_31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_32 (.A(psn_net_69),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_32 (.A(\u_wb_if.NextPreAddr[9] ),
     .X(psn_net_32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_33 (.A(psn_net_70),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_33 (.A(\u_wb_if.NextPreAddr[9] ),
     .X(psn_net_33),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_34 (.A(psn_net_71),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_34 (.A(\u_wb_if.NextPreAddr[9] ),
     .X(psn_net_34),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_35 (.A(_02003_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_35 (.A(psn_net_55),
     .X(psn_net_35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_36 (.A(psn_net_72),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_36 (.A(_03408_),
     .X(psn_net_36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_37 (.A(_01998_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_37 (.A(psn_net_56),
     .X(psn_net_37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_38 (.A(_01997_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_38 (.A(psn_net_57),
     .X(psn_net_38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_39 (.A(spi_debug[19]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_39 (.A(psn_net_58),
     .X(psn_net_39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_40 (.A(spi_debug[19]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_40 (.A(psn_net_59),
     .X(psn_net_40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_41 (.A(\u_spictrl.fsm_flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_41 (.A(psn_net_60),
     .X(psn_net_41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_42 (.A(\u_spictrl.fsm_flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_42 (.A(psn_net_61),
     .X(psn_net_42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_43 (.A(\u_spictrl.fsm_flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_43 (.A(psn_net_62),
     .X(psn_net_43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_44 (.A(_02245_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_44 (.A(psn_net_63),
     .X(psn_net_44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_45 (.A(_02244_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_45 (.A(psn_net_64),
     .X(psn_net_45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_46 (.A(_02244_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_46 (.A(psn_net_65),
     .X(psn_net_46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_47 (.A(_02243_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_47 (.A(psn_net_66),
     .X(psn_net_47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_48 (.A(_02243_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_48 (.A(psn_net_67),
     .X(psn_net_48),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_49 (.A(_02243_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_49 (.A(psn_net_68),
     .X(psn_net_49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_50 (.A(_02242_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_50 (.A(psn_net_69),
     .X(psn_net_50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_51 (.A(psn_net_50),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_51 (.A(psn_net_88),
     .X(psn_net_51),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_52 (.A(_02242_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_52 (.A(_01306_),
     .X(psn_net_52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_53 (.A(_02241_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_53 (.A(_01306_),
     .X(psn_net_53),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_54 (.A(_02241_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_54 (.A(psn_net_89),
     .X(psn_net_54),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_55 (.A(_01523_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_55 (.A(_03408_),
     .X(psn_net_55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_56 (.A(_01523_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_56 (.A(psn_net_74),
     .X(psn_net_56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_57 (.A(spi_debug[18]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_57 (.A(psn_net_75),
     .X(psn_net_57),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_58 (.A(spi_debug[18]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_58 (.A(psn_net_76),
     .X(psn_net_58),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_59 (.A(spi_debug[18]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_59 (.A(psn_net_77),
     .X(psn_net_59),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_60 (.A(_03556_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_60 (.A(psn_net_78),
     .X(psn_net_60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_61 (.A(_03529_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_61 (.A(psn_net_79),
     .X(psn_net_61),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_62 (.A(_03529_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_62 (.A(psn_net_80),
     .X(psn_net_62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_63 (.A(_00982_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_63 (.A(psn_net_81),
     .X(psn_net_63),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_64 (.A(_00982_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_64 (.A(psn_net_82),
     .X(psn_net_64),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_65 (.A(psn_net_64),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_65 (.A(psn_net_83),
     .X(psn_net_65),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_66 (.A(spi_debug[20]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_66 (.A(psn_net_84),
     .X(psn_net_66),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_67 (.A(spi_debug[20]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_67 (.A(psn_net_85),
     .X(psn_net_67),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_68 (.A(_02461_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_68 (.A(psn_net_86),
     .X(psn_net_68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_69 (.A(_02461_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_69 (.A(psn_net_87),
     .X(psn_net_69),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_70 (.A(_02460_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_70 (.A(_01318_),
     .X(psn_net_70),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_71 (.A(_02003_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_71 (.A(_01318_),
     .X(psn_net_71),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_72 (.A(_02003_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_72 (.A(_01318_),
     .X(psn_net_72),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_73 (.A(\u_wb_if.NextPreAddr[8] ),
+    .X(psn_net_73),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_74 (.A(_03407_),
+    .X(psn_net_74),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_75 (.A(psn_net_90),
+    .X(psn_net_75),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_76 (.A(psn_net_91),
+    .X(psn_net_76),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_77 (.A(psn_net_92),
+    .X(psn_net_77),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_78 (.A(psn_net_93),
+    .X(psn_net_78),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_79 (.A(psn_net_94),
+    .X(psn_net_79),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_80 (.A(psn_net_95),
+    .X(psn_net_80),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_81 (.A(psn_net_96),
+    .X(psn_net_81),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_82 (.A(psn_net_97),
+    .X(psn_net_82),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_83 (.A(psn_net_98),
+    .X(psn_net_83),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_84 (.A(psn_net_99),
+    .X(psn_net_84),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_85 (.A(psn_net_100),
+    .X(psn_net_85),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_86 (.A(psn_net_101),
+    .X(psn_net_86),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_87 (.A(psn_net_102),
+    .X(psn_net_87),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_88 (.A(_01306_),
+    .X(psn_net_88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_89 (.A(\u_wb_if.NextPreAddr[6] ),
+    .X(psn_net_89),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_90 (.A(_03406_),
+    .X(psn_net_90),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_91 (.A(_03406_),
+    .X(psn_net_91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_92 (.A(_03405_),
+    .X(psn_net_92),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_93 (.A(psn_net_138),
+    .X(psn_net_93),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_94 (.A(psn_net_139),
+    .X(psn_net_94),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_95 (.A(psn_net_140),
+    .X(psn_net_95),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_96 (.A(psn_net_141),
+    .X(psn_net_96),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_97 (.A(psn_net_142),
+    .X(psn_net_97),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_98 (.A(psn_net_143),
+    .X(psn_net_98),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_99 (.A(psn_net_144),
+    .X(psn_net_99),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_100 (.A(psn_net_145),
+    .X(psn_net_100),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_101 (.A(psn_net_146),
+    .X(psn_net_101),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_102 (.A(psn_net_147),
+    .X(psn_net_102),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_103 (.A(_05184_),
+    .X(psn_net_103),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_104 (.A(psn_net_127),
+    .X(psn_net_104),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_105 (.A(_05151_),
+    .X(psn_net_105),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_106 (.A(psn_net_128),
+    .X(psn_net_106),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_107 (.A(_03918_),
+    .X(psn_net_107),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_108 (.A(_03918_),
+    .X(psn_net_108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_109 (.A(psn_net_129),
+    .X(psn_net_109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_110 (.A(_03877_),
+    .X(psn_net_110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_111 (.A(_03877_),
+    .X(psn_net_111),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_112 (.A(_03877_),
+    .X(psn_net_112),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_113 (.A(psn_net_130),
+    .X(psn_net_113),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_114 (.A(psn_net_131),
+    .X(psn_net_114),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_115 (.A(psn_net_132),
+    .X(psn_net_115),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_116 (.A(_03306_),
+    .X(psn_net_116),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_117 (.A(_03306_),
+    .X(psn_net_117),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_118 (.A(_03304_),
+    .X(psn_net_118),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_119 (.A(psn_net_133),
+    .X(psn_net_119),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_120 (.A(psn_net_119),
+    .X(psn_net_120),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_121 (.A(psn_net_134),
+    .X(psn_net_121),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_122 (.A(psn_net_135),
+    .X(psn_net_122),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_123 (.A(_03303_),
+    .X(psn_net_123),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_124 (.A(psn_net_156),
+    .X(psn_net_124),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_125 (.A(\u_spictrl.u_txreg.counter_trgt[1] ),
+    .X(psn_net_125),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_126 (.A(\u_spictrl.u_txreg.counter_trgt[1] ),
+    .X(psn_net_126),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_127 (.A(psn_net_158),
+    .X(psn_net_127),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_128 (.A(psn_net_159),
+    .X(psn_net_128),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_129 (.A(_03918_),
+    .X(psn_net_129),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_130 (.A(psn_net_152),
+    .X(psn_net_130),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_131 (.A(psn_net_153),
+    .X(psn_net_131),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_132 (.A(psn_net_154),
+    .X(psn_net_132),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_133 (.A(psn_net_155),
+    .X(psn_net_133),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_134 (.A(_03303_),
+    .X(psn_net_134),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_135 (.A(_03303_),
+    .X(psn_net_135),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_136 (.A(\u_spictrl.u_txreg.counter_trgt[2] ),
+    .X(psn_net_136),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_137 (.A(psn_net_161),
+    .X(psn_net_137),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_138 (.A(_03404_),
+    .X(psn_net_138),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_139 (.A(psn_net_191),
+    .X(psn_net_139),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_140 (.A(psn_net_192),
+    .X(psn_net_140),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_141 (.A(psn_net_193),
+    .X(psn_net_141),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_142 (.A(psn_net_194),
+    .X(psn_net_142),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_143 (.A(psn_net_195),
+    .X(psn_net_143),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_144 (.A(psn_net_196),
+    .X(psn_net_144),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_145 (.A(psn_net_197),
+    .X(psn_net_145),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_146 (.A(psn_net_198),
+    .X(psn_net_146),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_147 (.A(psn_net_199),
+    .X(psn_net_147),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_148 (.A(_03920_),
+    .X(psn_net_148),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_149 (.A(psn_net_148),
+    .X(psn_net_149),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_150 (.A(_03919_),
+    .X(psn_net_150),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_151 (.A(psn_net_150),
+    .X(psn_net_151),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_152 (.A(_03307_),
+    .X(psn_net_152),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_153 (.A(_03307_),
+    .X(psn_net_153),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_154 (.A(_03306_),
+    .X(psn_net_154),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_155 (.A(psn_net_160),
+    .X(psn_net_155),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_156 (.A(\u_spictrl.u_txreg.counter_trgt[1] ),
+    .X(psn_net_156),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_157 (.A(_05185_),
+    .X(psn_net_157),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_158 (.A(_05183_),
+    .X(psn_net_158),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_159 (.A(psn_net_162),
+    .X(psn_net_159),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_160 (.A(_03304_),
+    .X(psn_net_160),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_161 (.A(psn_net_163),
+    .X(psn_net_161),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_162 (.A(psn_net_204),
+    .X(psn_net_162),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_163 (.A(psn_net_188),
+    .X(psn_net_163),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_4 psn_inst_psn_buff_164 (.A(io_oeb[3]),
+    .X(psn_net_164),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_165 (.A(_05175_),
+    .X(psn_net_165),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_166 (.A(psn_net_209),
+    .X(psn_net_166),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_167 (.A(psn_net_166),
+    .X(psn_net_167),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_168 (.A(_03723_),
+    .X(psn_net_168),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_169 (.A(_03723_),
+    .X(psn_net_169),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_170 (.A(_03723_),
+    .X(psn_net_170),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_171 (.A(_03300_),
+    .X(psn_net_171),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_172 (.A(_03300_),
+    .X(psn_net_172),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_173 (.A(psn_net_172),
+    .X(psn_net_173),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_174 (.A(_01528_),
+    .X(psn_net_174),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_175 (.A(_01528_),
+    .X(psn_net_175),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_176 (.A(spi_debug[18]),
+    .X(psn_net_176),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_177 (.A(spi_debug[18]),
+    .X(psn_net_177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_178 (.A(_03847_),
+    .X(psn_net_178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_179 (.A(_03846_),
+    .X(psn_net_179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_180 (.A(_03837_),
+    .X(psn_net_180),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_181 (.A(_03837_),
+    .X(psn_net_181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_182 (.A(_03837_),
+    .X(psn_net_182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_183 (.A(_03836_),
+    .X(psn_net_183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_184 (.A(_03833_),
+    .X(psn_net_184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_185 (.A(_03832_),
+    .X(psn_net_185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_186 (.A(_03831_),
+    .X(psn_net_186),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_187 (.A(psn_net_224),
+    .X(psn_net_187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_188 (.A(psn_net_190),
+    .X(psn_net_188),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_189 (.A(_04654_),
+    .X(psn_net_189),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_190 (.A(psn_net_205),
+    .X(psn_net_190),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_191 (.A(psn_net_212),
+    .X(psn_net_191),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_192 (.A(psn_net_213),
+    .X(psn_net_192),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_193 (.A(psn_net_214),
+    .X(psn_net_193),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_194 (.A(psn_net_215),
+    .X(psn_net_194),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_195 (.A(psn_net_216),
+    .X(psn_net_195),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_196 (.A(psn_net_217),
+    .X(psn_net_196),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_197 (.A(psn_net_218),
+    .X(psn_net_197),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_198 (.A(psn_net_219),
+    .X(psn_net_198),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_199 (.A(psn_net_220),
+    .X(psn_net_199),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_200 (.A(psn_net_206),
+    .X(psn_net_200),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_201 (.A(psn_net_206),
+    .X(psn_net_201),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_202 (.A(_04671_),
+    .X(psn_net_202),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_203 (.A(_04671_),
+    .X(psn_net_203),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_204 (.A(psn_net_207),
+    .X(psn_net_204),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_205 (.A(psn_net_208),
+    .X(psn_net_205),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_206 (.A(_04680_),
+    .X(psn_net_206),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_207 (.A(_04670_),
+    .X(psn_net_207),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_208 (.A(\u_spictrl.u_txreg.counter_trgt[2] ),
+    .X(psn_net_208),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_209 (.A(_03725_),
+    .X(psn_net_209),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_210 (.A(_03724_),
+    .X(psn_net_210),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_211 (.A(spi_debug[17]),
+    .X(psn_net_211),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_212 (.A(_03403_),
+    .X(psn_net_212),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_213 (.A(_03402_),
+    .X(psn_net_213),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_214 (.A(_03401_),
+    .X(psn_net_214),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_215 (.A(_03400_),
+    .X(psn_net_215),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_216 (.A(_03400_),
+    .X(psn_net_216),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_217 (.A(_03398_),
+    .X(psn_net_217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_218 (.A(_03394_),
+    .X(psn_net_218),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_219 (.A(_03393_),
+    .X(psn_net_219),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_220 (.A(_03391_),
+    .X(psn_net_220),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_221 (.A(_03375_),
+    .X(psn_net_221),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_222 (.A(_03374_),
+    .X(psn_net_222),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_223 (.A(_03373_),
+    .X(psn_net_223),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_224 (.A(_03369_),
+    .X(psn_net_224),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_225 (.A(_03369_),
+    .X(psn_net_225),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_226 (.A(\u_m0_res_fifo.flush ),
+    .X(psn_net_226),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_227 (.A(\u_m0_res_fifo.flush ),
+    .X(psn_net_227),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_228 (.A(_01543_),
+    .X(psn_net_228),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_229 (.A(_01543_),
+    .X(psn_net_229),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_4 psn_inst_psn_buff_230 (.A(_01542_),
+    .X(psn_net_230),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_231 (.A(_01542_),
+    .X(psn_net_231),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_232 (.A(_01541_),
+    .X(psn_net_232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_233 (.A(_01541_),
+    .X(psn_net_233),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_234 (.A(psn_net_243),
+    .X(psn_net_234),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_235 (.A(psn_net_234),
+    .X(psn_net_235),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_236 (.A(_01535_),
+    .X(psn_net_236),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_237 (.A(_01535_),
+    .X(psn_net_237),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_238 (.A(_01532_),
+    .X(psn_net_238),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_239 (.A(spi_debug[20]),
+    .X(psn_net_239),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_240 (.A(spi_debug[20]),
+    .X(psn_net_240),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_241 (.A(_01603_),
+    .X(psn_net_241),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_242 (.A(_01603_),
+    .X(psn_net_242),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_243 (.A(_01540_),
+    .X(psn_net_243),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_0_mclk (.A(mclk),
     .X(clknet_0_mclk),
     .VGND(vssd1),
@@ -49952,50 +67432,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_0 (.DIODE(_01908_),
+ sky130_fd_sc_hd__diode_2 INSDIODE2_0 (.DIODE(psn_net_164),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_1 (.DIODE(_02244_),
+ sky130_fd_sc_hd__diode_2 INSDIODE2_1 (.DIODE(\u_m0_res_fifo.empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_2 (.DIODE(spi_sdo3_d1),
+ sky130_fd_sc_hd__diode_2 INSDIODE2_2 (.DIODE(\u_m0_res_fifo.full ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_3 (.DIODE(\u_m1_cmd_fifo.empty ),
+ sky130_fd_sc_hd__diode_2 INSDIODE2_3 (.DIODE(\u_spim_regs.spi_init_done ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_4 (.DIODE(\u_m1_res_fifo.empty ),
-    .VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_5 (.DIODE(\u_m1_res_fifo.empty ),
-    .VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_6 (.DIODE(\u_spictrl.spi_csn0 ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50019,55 +67484,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50083,15 +67540,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50107,35 +67568,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50143,35 +67588,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50199,39 +67640,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_571 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50247,39 +67684,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50339,67 +67776,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50407,139 +67848,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50547,39 +67972,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50631,55 +68060,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50687,11 +68108,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_2_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50707,75 +68132,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50783,27 +68204,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50815,23 +68240,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50839,39 +68256,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50903,91 +68320,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50995,35 +68416,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51031,91 +68456,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_3_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51123,39 +68552,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51175,15 +68600,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51191,31 +68620,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51223,23 +68656,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51247,47 +68672,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_4_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51295,19 +68740,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51315,19 +68760,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51339,35 +68784,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51375,39 +68820,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51447,63 +68892,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_170 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51511,79 +68944,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_366 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51591,147 +69000,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_5_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_5_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51751,155 +69168,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_270 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51911,35 +69280,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51959,19 +69340,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51983,35 +69368,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_6_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52019,39 +69408,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52083,187 +69488,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_456 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_483 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52271,27 +69660,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_566 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52299,87 +69680,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52391,15 +69776,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52407,51 +69792,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_188 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52459,51 +69840,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52511,143 +69892,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52663,7 +70040,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52675,171 +70052,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_506 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52847,103 +70212,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52955,111 +70324,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_336 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53067,15 +70420,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53087,31 +70436,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_10_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_10_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53119,83 +70480,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_774 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53211,7 +70588,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53223,119 +70600,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_360 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_364 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53343,23 +70732,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53367,127 +70756,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53511,67 +70896,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_12_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53579,183 +70972,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53763,19 +71144,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_12_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53787,87 +71172,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53875,127 +71264,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54003,23 +71396,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54027,59 +71420,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_809 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_13_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54095,159 +71496,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_14_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_14_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_14_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54255,15 +71684,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54275,63 +71704,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54351,95 +71776,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54447,171 +71864,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_799 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54631,43 +72056,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54675,111 +72084,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_390 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54787,27 +72160,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54815,103 +72180,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54935,75 +72288,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_17_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55011,111 +72376,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55123,107 +72492,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55239,27 +72608,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_18_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55267,47 +72660,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_18_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55315,27 +72732,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_18_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55343,63 +72772,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55407,43 +72848,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55451,19 +72900,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_779 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_18_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55483,23 +72940,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55511,103 +72972,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55615,55 +73072,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_19_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55671,91 +73140,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_790 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_19_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55771,195 +73256,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_512 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55971,67 +73452,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_707 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_20_718 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_20_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56039,19 +73528,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56071,39 +73560,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56111,115 +73584,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56227,123 +73676,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56379,31 +73824,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56411,15 +73852,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_22_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_22_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56427,35 +73888,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_22_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56463,103 +73928,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56575,43 +74044,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56631,7 +74100,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_23_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56639,19 +74116,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56663,35 +74144,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56699,199 +74176,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56927,107 +74384,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57035,51 +74484,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_24_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_24_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_24_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57087,43 +74548,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57131,15 +74584,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_554 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_562 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57147,67 +74592,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_749 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_24_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57227,11 +74684,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57239,67 +74696,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57307,27 +74772,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_25_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57335,11 +74820,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57347,103 +74832,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57451,67 +74936,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57547,63 +75036,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57611,59 +75076,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_280 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57675,15 +75116,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57691,67 +75128,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_26_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_26_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_26_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57759,75 +75224,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57871,79 +75336,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_27_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57951,95 +75424,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58047,15 +75520,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58063,15 +75540,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58079,15 +75548,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58095,15 +75564,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_669 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58115,23 +75580,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_27_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58167,19 +75636,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58187,19 +75656,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58207,19 +75680,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_156 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58227,47 +75696,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_280 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58275,107 +75736,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_583 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58383,63 +75840,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58459,91 +75916,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58551,87 +76004,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58639,59 +76092,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58703,23 +76152,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58759,59 +76204,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58823,67 +76260,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58895,67 +76328,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58967,75 +76392,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_752 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59055,107 +76496,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59163,175 +76600,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_732 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_744 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_756 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59367,39 +76816,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59407,19 +76864,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59427,59 +76888,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59487,139 +76940,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59635,15 +77084,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59663,35 +77112,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59699,19 +77152,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59719,11 +77172,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59731,39 +77180,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_254 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59771,27 +77208,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59799,79 +77228,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_33_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59879,59 +77320,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_809 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_33_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59951,11 +77400,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_34 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59963,19 +77420,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59983,251 +77440,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_781 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60239,15 +77664,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60255,67 +77688,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60323,15 +77760,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60339,51 +77768,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_35_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_35_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_35_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60391,123 +77828,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_807 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_35_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60523,23 +77972,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60547,51 +78000,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60599,111 +78048,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60711,39 +78156,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_584 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_591 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60751,107 +78188,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_37_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60859,35 +78304,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_37_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_37_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60895,23 +78364,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60919,55 +78384,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60979,15 +78440,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_37_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60995,87 +78460,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61083,39 +78564,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61131,91 +78612,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61223,47 +78716,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_330 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61271,87 +78760,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61363,43 +78852,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61411,63 +78896,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61475,103 +78960,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61583,19 +79056,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61603,35 +79076,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_539 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61639,15 +79108,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61659,67 +79132,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_812 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61731,71 +79196,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61803,19 +79248,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61823,203 +79268,239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_828 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_40_840 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_41_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_41_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62031,39 +79512,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62075,47 +79552,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62123,7 +79592,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62131,71 +79600,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_493 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62203,47 +79660,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62255,55 +79704,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62323,59 +79768,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_42_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62383,19 +79836,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62403,115 +79860,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_468 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_480 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62531,119 +79968,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62651,23 +80092,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62675,107 +80116,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_282 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62783,71 +80200,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62863,67 +80276,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62935,35 +80336,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_810 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_43_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62979,59 +80388,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_44_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63043,67 +80472,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_44_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_44_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_44_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63111,151 +80584,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_45_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63267,23 +80752,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_45_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63291,83 +80788,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_45_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63375,59 +80884,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63435,71 +80940,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63507,47 +81004,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_812 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63555,31 +81044,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_46_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63587,167 +81084,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_545 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63755,23 +81244,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63779,15 +81264,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63803,239 +81292,255 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_47_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_47_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64043,83 +81548,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_752 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_47_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_47_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_809 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_47_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_47_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64135,91 +81668,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_192 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64227,187 +81740,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64415,27 +81916,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_49_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64443,103 +81948,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64551,99 +82060,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64651,43 +82156,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_49_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64703,131 +82212,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_336 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64835,87 +82332,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_548 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_575 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64927,91 +82408,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65019,39 +82488,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65059,119 +82532,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_396 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_412 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65183,7 +82620,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65191,67 +82628,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65259,19 +82692,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65279,47 +82712,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65327,199 +82772,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65531,111 +82976,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65643,251 +83104,247 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65895,7 +83352,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_54_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65903,71 +83384,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65975,59 +83452,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_326 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_338 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66035,83 +83496,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_573 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_582 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66119,131 +83564,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66251,31 +83696,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_190 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_202 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66283,131 +83720,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_540 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_564 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66415,35 +83836,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66451,43 +83876,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_805 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_55_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66503,179 +83936,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_407 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_424 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66683,139 +84088,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_57_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66823,27 +84248,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66851,43 +84268,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_57_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66895,55 +84320,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66955,67 +84380,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67023,15 +84448,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_57_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67043,23 +84472,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67075,19 +84504,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67095,87 +84524,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_58_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67187,247 +84628,307 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_59_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67435,155 +84936,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67591,23 +85076,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67615,151 +85100,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67767,83 +85244,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67855,43 +85328,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67899,79 +85376,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_816 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_60_834 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_61_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_61_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67979,39 +85480,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68019,51 +85512,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68071,59 +85552,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68135,163 +85604,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_62_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68299,47 +85776,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68347,199 +85816,231 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_835 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_62_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_63_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_63_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_63_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68551,175 +86052,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_427 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_478 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_490 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68727,119 +86192,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_63_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68847,23 +86316,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68871,39 +86336,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68911,19 +86372,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68931,95 +86392,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_64_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69027,155 +86492,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69183,31 +86652,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_65_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69215,39 +86704,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69255,63 +86736,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69319,27 +86800,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69347,55 +86820,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69403,83 +86880,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69487,39 +86972,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69527,71 +87012,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_66_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_66_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69599,15 +87100,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69615,227 +87120,227 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69843,147 +87348,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_67_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_67_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69995,139 +87524,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70135,15 +87644,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70151,259 +87668,275 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_69_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_69_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70415,27 +87948,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_69_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_69_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70443,15 +87992,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70459,35 +88012,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_555 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_559 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70495,15 +88040,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_69_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70511,67 +88060,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70583,35 +88132,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_70_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_70_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70623,79 +88184,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_70_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_70_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_70_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70703,27 +88280,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_415 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70735,31 +88300,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_70_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70767,91 +88344,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_70_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70859,275 +88444,303 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_71_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_71_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_71_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_71_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_71_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_71_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_71_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71135,11 +88748,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_72_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71147,55 +88780,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71203,11 +88828,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71215,23 +88844,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71239,15 +88872,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_72_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71255,47 +88900,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71303,51 +88940,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_72_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_680 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_72_698 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_72_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71355,167 +89008,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71523,159 +89172,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_73_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71687,19 +89340,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71707,87 +89360,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71799,15 +89452,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_74_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71819,27 +89480,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_399 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71847,7 +89500,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71863,139 +89516,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72007,99 +89660,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72111,19 +89716,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72131,83 +89744,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72215,79 +89840,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72303,27 +89928,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72331,11 +89948,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72343,51 +89960,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72395,123 +90012,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_76_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_76_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_76_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_76_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_76_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_76_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72519,79 +90164,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72599,7 +90244,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_77_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72607,103 +90256,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_77_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_77_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_77_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_77_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_77_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_77_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_77_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72711,23 +90388,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_77_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72735,11 +90424,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72747,59 +90432,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_532 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_547 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_554 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_576 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72819,71 +90480,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_77_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72891,287 +90556,319 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_78_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_78_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_78_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_78_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_78_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73179,175 +90876,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_512 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73355,99 +91044,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_79_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_79_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_79_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73459,27 +91172,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73487,75 +91192,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_171 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73563,59 +91240,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73623,87 +91300,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73711,71 +91384,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_822 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_80_834 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73783,43 +91464,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73827,39 +91496,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73871,71 +91540,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73943,7 +91608,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73951,19 +91616,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73971,43 +91632,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74015,71 +91680,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_812 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74087,71 +91744,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74159,47 +91788,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74207,19 +91828,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_82_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74227,199 +91864,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74427,67 +92060,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74495,95 +92112,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_523 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74591,51 +92216,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_83_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74643,43 +92272,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74691,55 +92320,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74747,63 +92376,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74815,39 +92432,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_84_526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74855,87 +92484,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74955,51 +92572,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_85_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_85_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_85_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75007,87 +92636,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_383 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75095,43 +92716,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_506 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75139,99 +92752,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_85_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75255,71 +92872,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75327,127 +92924,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75455,127 +93056,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75583,55 +93180,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_87_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_87_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75639,83 +93252,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_87_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_87_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_87_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75723,95 +93348,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_87_779 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_87_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_87_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75827,67 +93464,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75895,79 +93504,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_161 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_165 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_88_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75975,147 +93568,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_88_722 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_88_730 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76135,99 +93760,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76235,35 +93860,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_89_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_89_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76271,119 +93908,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_762 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_89_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_89_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_89_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_89_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_89_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76399,99 +94056,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_90_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_90_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_90_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76499,15 +94172,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76519,103 +94192,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76623,43 +94300,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76679,55 +94352,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76735,19 +94396,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_91_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76755,23 +94420,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_91_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76779,23 +94448,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76803,163 +94468,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_743 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_91_747 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_91_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76999,7 +94672,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77011,7 +94684,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_92_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77019,27 +94696,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77047,19 +94720,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77067,71 +94736,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_338 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77139,63 +94796,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_523 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_92_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77203,87 +94868,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77303,47 +94968,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77351,91 +95016,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_93_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_387 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77443,35 +95104,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_93_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_93_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_93_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77479,79 +95148,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_726 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_93_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_93_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77587,43 +95264,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_94_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_94_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77631,107 +95320,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_94_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_94_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77739,111 +95448,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77863,39 +95576,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77903,15 +95600,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77919,11 +95608,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_95_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77935,119 +95628,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_95_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_95_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78055,103 +95776,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_95_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_95_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78167,43 +95896,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78211,159 +95936,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_548 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78371,75 +96092,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78459,123 +96180,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_368 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78583,75 +96308,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78659,75 +96384,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78743,263 +96468,263 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79019,11 +96744,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79031,59 +96760,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_166 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79091,19 +96808,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79111,139 +96824,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_573 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_579 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79255,51 +96940,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_779 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_99_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_99_803 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_99_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79315,39 +97016,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79359,39 +97060,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79399,59 +97088,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79459,15 +97144,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79475,47 +97164,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_100_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79523,63 +97216,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_763 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_100_774 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_100_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79599,11 +97304,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79611,303 +97312,271 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_524 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79923,19 +97592,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79943,91 +97608,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_102_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80035,15 +97712,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_102_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_102_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80051,23 +97740,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80075,131 +97772,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80223,7 +97912,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80235,31 +97928,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_103_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_103_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80267,131 +97968,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80399,51 +98108,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_103_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80451,51 +98164,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80511,95 +98224,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_104_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80607,79 +98316,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_420 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_426 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80687,7 +98388,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80695,23 +98404,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80719,83 +98428,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_104_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80815,31 +98528,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_16 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_20 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80847,19 +98548,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80867,23 +98564,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80891,47 +98576,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80939,15 +98612,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80959,91 +98628,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81051,91 +98720,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_105_799 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_105_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81151,19 +98832,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81171,119 +98848,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_336 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81291,83 +98952,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_482 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_492 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81379,83 +99008,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81475,195 +99104,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81671,95 +99260,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_107_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81775,99 +99368,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_156 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_161 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_176 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_188 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_199 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81875,203 +99432,231 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_728 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_747 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_763 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_108_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82091,11 +99676,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82103,27 +99688,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82131,27 +99704,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_109_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82159,35 +99736,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_109_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82195,7 +99776,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82203,15 +99784,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82219,43 +99800,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82263,15 +99832,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_109_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_109_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82279,31 +99860,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_573 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82311,79 +99888,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_790 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_109_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_109_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_109_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82399,63 +99988,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_110_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82463,23 +100060,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82487,175 +100080,207 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_110_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_110_731 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_110_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82675,11 +100300,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82687,191 +100312,203 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_111_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_111_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_111_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82879,75 +100516,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_759 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_111_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_784 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_111_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_111_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_111_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82963,99 +100620,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_199 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83063,39 +100704,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83103,35 +100744,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_415 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_423 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83139,23 +100764,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83163,15 +100784,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83179,11 +100800,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83191,191 +100812,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83383,39 +101004,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_113_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83431,71 +101060,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_553 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83511,83 +101136,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_113_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83603,123 +101232,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83731,95 +101360,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83827,63 +101452,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_114_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83903,11 +101532,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83915,191 +101544,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84107,91 +101724,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_807 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_115_819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_115_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84207,55 +101832,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_116_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_116_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_116_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84263,31 +101912,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84295,43 +101944,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84339,35 +101988,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84383,19 +102032,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84403,87 +102048,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_731 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_741 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_116_749 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_116_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84503,7 +102176,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_117_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84511,39 +102188,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84551,31 +102228,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84587,31 +102272,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_312 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84619,39 +102296,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84659,35 +102320,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84695,99 +102360,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84803,23 +102468,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84831,91 +102492,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84927,27 +102564,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84955,19 +102584,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84983,39 +102612,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_522 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85023,107 +102648,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_833 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_119_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_119_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85131,23 +102768,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85155,23 +102784,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85179,123 +102804,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85303,35 +102912,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85339,19 +102948,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85367,79 +102976,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_119_803 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_119_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_119_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85455,63 +103076,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85519,23 +103120,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_170 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85543,19 +103136,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85563,95 +103152,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85659,123 +103240,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_801 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_120_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_120_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_120_832 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_120_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85787,7 +103388,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85795,39 +103396,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85835,27 +103436,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_194 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85863,107 +103460,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85971,119 +103568,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86099,39 +103688,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86139,19 +103724,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86159,35 +103760,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_122_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_122_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86195,43 +103804,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_122_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86239,59 +103860,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_577 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86299,355 +103916,407 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_123_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_123_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_123_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_123_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_123_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_123_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86663,59 +104332,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_124_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_124_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86723,23 +104416,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86747,35 +104432,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_124_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_124_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86783,79 +104484,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_124_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_124_582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86863,143 +104572,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_125_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_125_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_125_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_125_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_125_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87007,43 +104736,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_312 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87051,31 +104776,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87083,55 +104804,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87139,103 +104856,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_125_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87251,23 +104972,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_126_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87275,67 +105000,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_212 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87343,23 +105056,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87367,71 +105072,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_411 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_420 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_426 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87439,191 +105120,227 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_127_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_127_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_127_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87635,223 +105352,215 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87867,39 +105576,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87907,27 +105620,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_128_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_128_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87935,47 +105656,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87983,15 +105700,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88003,23 +105724,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_470 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88027,115 +105744,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88155,59 +105876,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88215,43 +105948,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_129_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_129_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88259,147 +106004,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_747 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_129_762 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_129_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_129_799 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_129_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_129_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88415,67 +106192,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_168 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88483,15 +106256,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88499,63 +106280,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88563,123 +106344,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_130_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88703,6 +106488,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_131_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_131_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88711,39 +106500,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_131_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88755,51 +106560,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88807,15 +106612,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88823,39 +106632,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88871,31 +106684,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88903,83 +106724,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88995,83 +106816,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_167 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89079,39 +106896,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89119,163 +106932,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89299,7 +107116,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89307,19 +107124,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89327,95 +107144,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_133_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89423,43 +107248,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89467,79 +107308,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89575,15 +107408,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89591,7 +107416,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_134_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89599,71 +107428,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_134_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_134_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89671,35 +107516,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89707,87 +107544,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89795,39 +107620,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89847,15 +107672,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89863,35 +107688,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_135_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89899,223 +107732,235 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_807 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_135_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90131,51 +107976,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90187,39 +108052,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90227,27 +108084,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90255,67 +108108,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_136_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_476 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90327,23 +108164,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_539 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90355,39 +108184,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_136_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90403,31 +108236,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_747 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_136_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_136_772 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_136_784 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90447,11 +108300,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90459,23 +108312,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_137_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90483,35 +108344,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_137_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_137_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90519,39 +108396,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90559,83 +108436,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_481 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90643,75 +108492,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_642 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90719,19 +108552,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90767,63 +108596,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_138_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_138_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90831,15 +108668,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90847,91 +108688,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_458 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90939,71 +108760,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91027,23 +108840,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_138_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91067,11 +108884,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91079,59 +108896,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91143,19 +108960,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91167,39 +108980,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_368 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_139_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91207,103 +109024,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_642 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91371,11 +109176,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_140_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91387,123 +109200,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_362 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91511,27 +109316,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91539,31 +109340,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91571,43 +109372,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_140_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91667,51 +109472,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91719,15 +109516,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91735,43 +109532,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_141_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_141_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91779,95 +109588,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_523 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_541 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91879,15 +109672,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91967,243 +109764,247 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92263,31 +110064,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92295,75 +110096,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_254 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_271 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92371,31 +110156,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92403,63 +110176,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92467,59 +110236,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_143_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_143_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92587,15 +110364,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92603,107 +110388,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_144_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92711,55 +110520,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92767,67 +110564,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92887,11 +110680,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92899,55 +110692,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_145_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92955,107 +110752,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_145_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_145_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93063,19 +110876,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93083,63 +110896,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_668 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_145_680 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_145_692 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_145_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93195,27 +111020,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_146_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_146_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93223,11 +111060,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_146_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93235,91 +111080,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93327,99 +111168,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93479,7 +111324,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93487,15 +111332,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93503,35 +111352,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_147_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_147_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93539,19 +111404,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93563,59 +111428,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93623,87 +111496,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93759,111 +111632,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_148_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_148_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_148_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93871,167 +111768,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94071,31 +111968,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_72 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94103,63 +111992,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94167,131 +112056,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_608 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_620 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94299,23 +112180,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_149_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_149_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94371,223 +112260,239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_150_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_150_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_150_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_150_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_150_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94647,11 +112552,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94659,19 +112564,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94679,91 +112588,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_151_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_151_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94771,115 +112672,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_151_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94935,35 +112844,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94971,167 +112884,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_578 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95139,19 +113044,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_152_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95211,19 +113120,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95231,79 +113132,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_153_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_153_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95311,51 +113220,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_366 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95363,51 +113260,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95415,71 +113312,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95535,175 +113420,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95711,67 +113588,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_646 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_154_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_154_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_154_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95831,7 +113720,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_155_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95839,91 +113732,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_155_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_155_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95931,51 +113804,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_155_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_155_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95987,31 +113892,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_155_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_155_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_155_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96019,43 +113936,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_155_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96111,19 +114032,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96131,39 +114048,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96171,15 +114092,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96187,19 +114108,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96207,83 +114128,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96291,23 +114216,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96315,23 +114236,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96395,19 +114316,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96415,23 +114336,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_157_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_157_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96439,15 +114364,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_157_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96459,27 +114392,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_157_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96495,39 +114420,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_157_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_157_364 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_157_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96539,39 +114480,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_157_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_157_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96579,63 +114528,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_157_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_157_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_157_669 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_157_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_157_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96691,23 +114668,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96719,131 +114688,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96851,11 +114808,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96863,51 +114824,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_158_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96987,19 +114964,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_17 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97007,139 +114976,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_159_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_159_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_159_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97147,51 +115144,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_579 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97279,95 +115268,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_160_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_198 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97375,143 +115352,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_160_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_160_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_160_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97571,15 +115560,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97587,143 +115572,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_161_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_161_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97731,67 +115736,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_161_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_161_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_161_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97867,19 +115884,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_162_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97887,139 +115912,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_162_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_162_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98027,43 +116068,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_162_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_162_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98143,119 +116192,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_163_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_163_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98263,99 +116312,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_163_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_163_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_163_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_163_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_163_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_163_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98431,47 +116512,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_164_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98479,183 +116548,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_164_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_164_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_164_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98735,75 +116816,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_165_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_166 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98815,147 +116884,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_165_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_165_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_165_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_165_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_165_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99031,59 +117124,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99095,59 +117184,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_166_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_166_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_166_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99155,23 +117256,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99179,67 +117276,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_166_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99323,35 +117424,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99363,79 +117448,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99443,103 +117524,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_167_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99619,19 +117712,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_168_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99639,159 +117736,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_168_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_168_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_168_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99799,19 +117900,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_168_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_168_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_168_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99891,7 +118000,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99899,27 +118008,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_169_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_169_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_169_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_169_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99927,163 +118056,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_169_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100159,19 +118292,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_170_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100179,119 +118324,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100299,19 +118436,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_170_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100319,23 +118460,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100343,47 +118476,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_562 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_574 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100463,11 +118584,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100475,167 +118596,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_171_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_442 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100643,7 +118752,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_171_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100651,55 +118764,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_171_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100775,75 +118892,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_172_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100855,55 +118956,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_172_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_172_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_172_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100911,91 +119028,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_562 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_574 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101075,11 +119180,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101087,211 +119192,215 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_173_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101371,15 +119480,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_174_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101387,95 +119500,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101483,83 +119576,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_174_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_174_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101571,11 +119676,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_174_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101667,19 +119776,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_175_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_175_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101695,23 +119812,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101719,155 +119832,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_175_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_175_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_175_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101951,47 +120072,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_176_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101999,79 +120124,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_176_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_176_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_176_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_176_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102079,11 +120184,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102091,11 +120192,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_176_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102107,63 +120208,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_176_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102255,35 +120360,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_177_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_177_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102291,31 +120408,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102323,39 +120440,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102363,115 +120472,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_177_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_177_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102559,47 +120676,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_178_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102607,59 +120732,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_178_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102667,103 +120772,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102855,63 +120956,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102919,71 +121012,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_179_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102991,59 +121088,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_179_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_179_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_179_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_179_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103143,23 +121252,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103167,23 +121276,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103191,47 +121292,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103239,79 +121332,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_476 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103319,19 +121404,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_180_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_180_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103339,23 +121432,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103451,11 +121544,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_181_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_181_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103463,19 +121564,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103487,95 +121588,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_181_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_181_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_181_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103583,15 +121692,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103599,55 +121708,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_181_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_181_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_181_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_181_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_181_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_181_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103739,119 +121876,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_182_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103859,99 +121992,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_182_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_182_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_182_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104047,47 +122188,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_183_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104099,15 +122244,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104115,39 +122256,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104159,103 +122288,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_183_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_183_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104355,67 +122492,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_184_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104423,23 +122548,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104447,51 +122572,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104503,43 +122628,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104639,15 +122760,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_185_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_185_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104655,171 +122780,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_185_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_185_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_185_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_185_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_185_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_185_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_185_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_185_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_185_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_185_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_185_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_185_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_185_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_185_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104919,35 +123068,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_186_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_186_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104955,143 +123112,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105203,31 +123356,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105235,127 +123376,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_187_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_187_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_187_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_187_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_187_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_187_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_187_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_187_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_187_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105475,103 +123648,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_188_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_330 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105579,83 +123744,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_188_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105771,155 +123944,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_189_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_189_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_189_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_189_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_189_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106063,87 +124248,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_190_358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_190_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106159,63 +124360,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106327,19 +124536,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106351,99 +124556,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_191_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_191_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_191_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106451,39 +124672,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106623,163 +124844,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_192_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_192_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_192_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_192_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_192_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106895,35 +125128,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_166 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_193_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106935,119 +125160,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_193_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_193_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_193_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107187,23 +125420,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107223,103 +125456,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_194_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_194_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_194_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107327,23 +125588,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107475,23 +125736,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_195_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107499,115 +125760,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_195_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_195_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_195_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_195_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_195_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107759,15 +126028,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_196_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107791,23 +126060,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_196_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107819,35 +126092,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_196_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_196_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_196_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_196_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107855,59 +126136,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_196_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_574 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108063,47 +126336,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108111,71 +126388,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_197_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_197_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_197_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108347,27 +126636,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108375,103 +126660,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_198_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_198_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_198_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108627,119 +126924,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_199_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_199_560 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_199_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108919,123 +127224,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109199,111 +127504,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109483,63 +127780,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_202_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_202_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_202_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109551,55 +127852,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_202_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_202_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109755,119 +128064,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_203_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_203_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110047,99 +128360,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_204_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_204_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110147,23 +128472,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110323,115 +128652,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_205_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_205_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110611,103 +128944,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_206_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110883,119 +129204,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_207_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_207_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111179,95 +129496,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_208_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_208_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111463,103 +129772,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_209_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111743,19 +130052,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111763,39 +130072,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111803,39 +130112,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
diff --git a/verilog/rtl/spi_master/src/spim_ctrl.sv b/verilog/rtl/spi_master/src/spim_ctrl.sv
index e53a531..42f7a5d 100644
--- a/verilog/rtl/spi_master/src/spim_ctrl.sv
+++ b/verilog/rtl/spi_master/src/spim_ctrl.sv
@@ -178,6 +178,7 @@
 
 parameter P_FSM_CDR    = 4'b1001; // COMMAND -> DUMMY -> READ
 parameter P_FSM_CDW    = 4'b1010; // COMMAND -> DUMMY -> WRITE
+parameter P_FSM_CR     = 4'b1011;  // COMMAND -> READ
 
 //---------------------
   parameter P_8BIT   = 2'b00;
@@ -200,7 +201,6 @@
 
   logic spi_en_rx;
 
-  logic        res_fifo_flush;
 
   logic [15:0] counter_tx;
   logic        counter_tx_valid;
@@ -224,7 +224,6 @@
 
   logic        tx_clk_en;
   logic        rx_clk_en;
-  logic        en_quad_in;
   logic [1:0]  cnt; // counter for cs assertion and de-assertion
   logic [1:0]  nxt_cnt;
   logic [1:0]  gnt;
@@ -239,11 +238,10 @@
   enum logic [2:0] {DATA_NULL,DATA_EMPTY,DATA_CMD,DATA_ADDR,DATA_MODE,DATA_FIFO} ctrl_data_mux;
 
   enum logic [4:0] {FSM_IDLE,FSM_CS_ASSERT,FSM_CMD_PHASE,FSM_ADR_PHASE,FSM_DUMMY_PHASE,FSM_MODE_PHASE,FSM_WRITE_CMD,FSM_WRITE_PHASE,
-	            FSM_READ_WAIT,FSM_READ_PHASE,FSM_TX_DONE,FSM_CS_DEASEERT} state,next_state;
+	            FSM_READ_WAIT,FSM_READ_PHASE,FSM_TX_DONE,FSM_FLUSH,FSM_CS_DEASEERT} state,next_state;
 
  
   assign ctrl_state =  state[3:0];
-  assign en_quad_in = (s_spi_mode == SPI_STD) ? 1'b0 : 1'b1;
 
   assign spi_mode = s_spi_mode;
 
@@ -299,13 +297,12 @@
   // care of partial reading case.
   //---------------------------------------------------------------------------
   
-  assign m0_res_fifo_flush   =  (gnt == 2'b01) ? res_fifo_flush : 1'b0;
-  assign m1_res_fifo_flush   =  (gnt == 2'b10) ? res_fifo_flush : 1'b0;
+  logic  fsm_flush;
+  assign m0_res_fifo_flush   =  (gnt == 2'b01) ? fsm_flush : 1'b0;
+  assign m1_res_fifo_flush   =  (gnt == 2'b10) ? fsm_flush : 1'b0;
 
   assign spi_clock_en =  tx_clk_en |  rx_clk_en;
 
-  logic  fsm_flush;
-  assign fsm_flush  =  (state == FSM_IDLE);
 
   spim_clkgen u_clkgen
   (
@@ -329,8 +326,9 @@
     .sdo1           ( spi_sdo1               ),
     .sdo2           ( spi_sdo2               ),
     .sdo3           ( spi_sdo3               ),
-    .en_quad_in     ( en_quad_in             ),
+    .s_spi_mode     ( s_spi_mode             ),
     .counter_in     ( counter_tx             ),
+    .counter_in_upd ( counter_tx_valid       ),
     .txdata         ( data_to_tx             ),
     .data_valid     ( data_to_tx_valid       ),
     .data_ready     ( tx_data_ready          ),
@@ -348,7 +346,7 @@
     .sdi1           ( spi_sdi1               ),
     .sdi2           ( spi_sdi2               ),
     .sdi3           ( spi_sdi3               ),
-    .en_quad_in     ( en_quad_in             ),
+    .s_spi_mode     ( s_spi_mode             ),
     .counter_in     ( counter_rx             ),
     .counter_in_upd ( counter_rx_valid       ),
     .data           ( res_fifo_wdata         ),
@@ -405,6 +403,7 @@
 
   always_comb
   begin
+    fsm_flush          = 0;
     counter_tx         =  '0;
     counter_tx_valid   = 1'b0;
     counter_rx         =  '0;
@@ -416,7 +415,6 @@
     spi_en_tx          = 1'b0;
     spi_status         =  '0;
     cmd_fifo_rd        = 1'b0;
-    res_fifo_flush     = 0;
     nxt_cnt            = cnt;
     case(state)
       FSM_IDLE:
@@ -430,6 +428,7 @@
 
       // Asserted CS# low
       FSM_CS_ASSERT: begin
+	 fsm_flush=1; // Flush stale data in response fifo
 	 if(cfg_cs_early == cnt) begin
 	     next_state  = FSM_CMD_PHASE;
 	 end else begin
@@ -459,6 +458,7 @@
 	      P_FSM_CADW:  next_state = FSM_ADR_PHASE;
 	      P_FSM_CDR:   next_state = FSM_DUMMY_PHASE;
 	      P_FSM_CDW:   next_state = FSM_DUMMY_PHASE;
+	      P_FSM_CR:    next_state = FSM_READ_WAIT;
 	      default  :   next_state = FSM_TX_DONE;
               endcase
 	  end
@@ -562,7 +562,6 @@
       FSM_READ_WAIT: begin
           spi_en_tx        = 1'b1;
 	  if (tx_done) begin
-              res_fifo_flush  = 1; // Flush any stall data in response fifo
 	      next_state = FSM_READ_PHASE;
 	  end
       end
@@ -574,7 +573,7 @@
           spi_en_rx        = 1'b1;
 	  if(!cmd_fifo_empty) begin
              // If you see new command request, then abort the current request
-	      next_state = FSM_CS_DEASEERT;
+	      next_state = FSM_FLUSH;
 	  end else begin
 	     if (rx_done && spi_rise) begin
 	         next_state = FSM_CS_DEASEERT;
@@ -582,6 +581,13 @@
 	  end
         end
 
+      FSM_FLUSH: begin
+	   fsm_flush = 1;
+	   // Wait for safe SPI-clock de-assertion phase
+	   if(spi_clock_en ==0) begin 
+	         next_state = FSM_CS_DEASEERT;
+	   end
+      end
       // Wait for TX Done
       FSM_TX_DONE: begin
          spi_en_tx        = 1'b1;
@@ -666,7 +672,7 @@
             s_spi_mode <= SPI_STD;
 	end else if(state == FSM_ADR_PHASE && cfg_spi_switch == P_MODE_SWITCH_AT_ADDR) begin
             s_spi_mode <= cfg_spi_mode;
-	end else if(state == FSM_DUMMY_PHASE && cfg_spi_switch == P_MODE_SWITCH_AT_DATA) begin
+	end else if(((state == FSM_READ_PHASE) || state == FSM_WRITE_CMD ) && cfg_spi_switch == P_MODE_SWITCH_AT_DATA) begin
             s_spi_mode <= cfg_spi_mode;
 	end
      end
diff --git a/verilog/rtl/spi_master/src/spim_if.sv b/verilog/rtl/spi_master/src/spim_if.sv
index e8c85e0..d47adcb 100644
--- a/verilog/rtl/spi_master/src/spim_if.sv
+++ b/verilog/rtl/spi_master/src/spim_if.sv
@@ -125,7 +125,8 @@
 // State Machine state
 parameter IDLE       = 4'b000;
 parameter ADR_PHASE  = 4'b001;
-parameter READ_DATA  = 4'b010;
+parameter CMD_WAIT   = 4'b010;
+parameter READ_DATA  = 4'b011;
 
 /*************************************************************
 *  SPI FSM State Control
@@ -187,6 +188,9 @@
 logic                 spim_mem_ack   ;
 logic [3:0]           next_state     ;
 
+logic 	              NextPreDVal    ;
+logic [7:0]	      NextPreDCnt    ;
+logic [31:0]	      NextPreAddr    ;
 
 
   //---------------------------------------------------------------
@@ -266,7 +270,12 @@
    next_state     = state;
    case(state)
    IDLE:  begin
-	if(spim_mem_req && cmd_fifo_empty) begin
+	// Check If any prefetch data available and if see it matched with WB
+	// address, If yes, the move to data reading from response fifo, else 
+	// generate command request
+	if(spim_mem_req && NextPreDVal && (spim_wb_addr == NextPreAddr)) begin
+          next_state = READ_DATA;
+	end else if(spim_mem_req && cmd_fifo_empty) begin
 	   cmd_fifo_wdata = {SOC,NOC,cfg_data_cnt[7:0],cfg_dummy_cnt[1:0],cfg_addr_cnt[1:0],cfg_mem_seq[3:0],cfg_mode_reg[7:0],cfg_cmd_reg[7:0]};
 	   cmd_fifo_wr    = 1;
 	   next_state = ADR_PHASE;
@@ -275,8 +284,13 @@
    ADR_PHASE: begin
           cmd_fifo_wdata = {NOC,EOC,spim_wb_addr[31:0]};
           cmd_fifo_wr      = 1;
-          next_state = READ_DATA;
+          next_state = CMD_WAIT;
    end
+   CMD_WAIT: begin
+	  // Wait for Command Accepted, before reading data
+	  // to take care of staled data being read due to pre-fetch logic
+	  if(cmd_fifo_empty) next_state = READ_DATA;
+    end
 
 
    READ_DATA: begin
@@ -289,7 +303,33 @@
    end
    endcase
 end
+
+/*****************************************************************
+* This logic help to find any pre-fetch data available inside the response
+* FIFO and if the next data read request address matches with NextPreAddr, The read
+* the data from Response FIFO, else generate new request
+* Note: Basic Assumption is cmd_fifo_wr & res_fifo_rd does not occur in same
+* time as it's generation control through FSM
+* **********************************************************/
     
+always_ff @(negedge rst_n or posedge mclk) begin
+    if ( rst_n == 1'b0 ) begin
+	NextPreDVal       <= 1'b0;
+	NextPreDCnt       <= 'h0;
+	NextPreAddr       <= 'h0;
+    end else if(cmd_fifo_wr) begin
+       NextPreDVal    <= 1'b1;
+       NextPreDCnt    <= cfg_data_cnt;
+       NextPreAddr    <= spim_wb_addr;
+    end else if (res_fifo_rd) begin
+	if(NextPreDCnt == 4) begin
+            NextPreDVal <= 1'b0;
+        end else begin
+           NextPreDCnt <= NextPreDCnt-4;
+           NextPreAddr <= NextPreAddr+4;
+        end
+    end
+end
 
 
 endmodule
diff --git a/verilog/rtl/spi_master/src/spim_regs.sv b/verilog/rtl/spi_master/src/spim_regs.sv
index f3fa7e1..545e3b3 100644
--- a/verilog/rtl/spi_master/src/spim_regs.sv
+++ b/verilog/rtl/spi_master/src/spim_regs.sv
@@ -221,6 +221,7 @@
 
 parameter P_FSM_CDR    = 4'b1001; // COMMAND -> DUMMY -> READ
 parameter P_FSM_CDW    = 4'b1010; // COMMAND -> DUMMY -> WRITE
+parameter P_FSM_CR     = 4'b1011;  // COMMAND -> READ
 //---------------------------------------------------------
   parameter P_CS0 = 4'b0001;
   parameter P_CS1 = 4'b0010;
@@ -341,7 +342,7 @@
       cfg_m0_spi_seq[3:0]   <= P_FSM_CAMDR;
       cfg_m0_addr_cnt[1:0]  <= P_24BIT;
       cfg_m0_dummy_cnt[1:0] <= P_16BIT;
-      cfg_m0_data_cnt[7:0]  <= 4; // 4 Byte
+      cfg_m0_data_cnt[7:0]  <= 8'h20; // 32 Byte
 
       cfg_m1_fsm_reset      <= 'h0;
       cfg_m1_cs_reg         <= P_CS0;
@@ -607,74 +608,45 @@
 
    case(state)
    FSM_IDLE:  begin
+        next_cnt      = 0;
 	if(spim_fifo_req && cmd_fifo_empty) begin
 	   case(cfg_m1_spi_seq)
 	      P_FSM_C: begin
 	              cmd_fifo_wdata = {SOC,EOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
 		                        cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
 					cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
+	              spim_m1_wrdy = 1;
 	              next_state = FSM_ACK_PHASE;
 	      end
-	      P_FSM_CW: begin
+	      P_FSM_CW, 
+	      P_FSM_CDW:
+	      begin
 	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
 			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
 				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
 	          next_state = FSM_WRITE_PHASE;
 	      end
-	      P_FSM_CA: begin
+	      P_FSM_CA, 
+	      P_FSM_CAR, 
+	      P_FSM_CADR,
+	      P_FSM_CAMR, 
+	      P_FSM_CAMDR, 
+	      P_FSM_CAW, 
+	      P_FSM_CADW: 
+	      begin
 	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
 			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
 				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
 	          next_state = FSM_ADR_PHASE;
 	      end
-	      P_FSM_CAR: begin
-	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
-			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
-				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
-	          next_state = FSM_ADR_PHASE;
-	      end
-              P_FSM_CADR: begin
-	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
-			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
-				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
-	          next_state = FSM_ADR_PHASE;
-	      end
-	      P_FSM_CAMR: begin
-	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
-			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
-				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
-	          next_state = FSM_ADR_PHASE;
-	      end
-	      P_FSM_CAMDR: begin
-	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
-			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
-				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
-	          next_state = FSM_ADR_PHASE;
-	      end
-	      P_FSM_CAW: begin
-	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
-			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
-				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
-	          next_state = FSM_ADR_PHASE;
-	      end
-	      P_FSM_CADW: begin
-	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
-			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
-				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
-	          next_state = FSM_ADR_PHASE;
-	      end
-	       P_FSM_CDR: begin
+	       P_FSM_CDR,
+	       P_FSM_CR: 
+               begin
 	          cmd_fifo_wdata = {SOC,EOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
 			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
 				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
 	          next_state = FSM_READ_PHASE;
 	       end
-	       P_FSM_CDW: begin
-	          cmd_fifo_wdata = {SOC,NOC, cfg_m1_data_cnt[7:0],cfg_m1_dummy_cnt[1:0],
-			            cfg_m1_addr_cnt[1:0],cfg_m1_spi_seq[3:0],
-				    cfg_m1_mode_reg[7:0],cfg_m1_cmd_reg[7:0]};
-	          next_state = FSM_WRITE_PHASE;
-	       end
 
 
 	   endcase
@@ -688,26 +660,12 @@
 	         P_FSM_CA:   // COMMAND + ADDRESS PHASE
 	         begin
                        cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
+	               spim_m1_wrdy = 1;
 	               next_state = FSM_ACK_PHASE;
 	         end
-	         P_FSM_CAR:  // COMMAND + ADDRESS + READ PHASE
-		 begin
-                    cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
-	            next_cnt  = 'h0;
-	            next_state = FSM_READ_PHASE;
-	         end
-                 P_FSM_CADR: // COMMAND + ADDRESS + DUMMY + READ PHASE
-		 begin
-                    cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
-	            next_cnt  = 'h0;
-	            next_state = FSM_READ_PHASE;
-	         end
-	         P_FSM_CAMR: // COMMAND + ADDRESS + MODE + READ PHASE
-		 begin
-                    cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
-	            next_cnt  = 'h0;
-	            next_state = FSM_READ_PHASE;
-	         end
+	         P_FSM_CAR,  // COMMAND + ADDRESS + READ PHASE
+                 P_FSM_CADR, // COMMAND + ADDRESS + DUMMY + READ PHASE
+	         P_FSM_CAMR, // COMMAND + ADDRESS + MODE + READ PHASE
 	         P_FSM_CAMDR: // COMMAND + ADDRESS + MODE + DUMMY + READ PHASE
 		 begin
                     cmd_fifo_wdata = {NOC,EOC,cfg_m1_addr[31:0]};
@@ -715,12 +673,9 @@
 	            next_state = FSM_READ_PHASE;
 	         end
 
-		 P_FSM_CAW:begin
-                    cmd_fifo_wdata = {NOC,NOC,cfg_m1_addr[31:0]};
-	            next_cnt  = 'h0;
-	            next_state = FSM_WRITE_PHASE;
-	         end
-		 P_FSM_CADW: begin
+		 P_FSM_CAW,
+		 P_FSM_CADW: 
+		 begin
                     cmd_fifo_wdata = {NOC,NOC,cfg_m1_addr[31:0]};
 	            next_cnt  = 'h0;
 	            next_state = FSM_WRITE_PHASE;
diff --git a/verilog/rtl/spi_master/src/spim_rx.sv b/verilog/rtl/spi_master/src/spim_rx.sv
index 6e183de..47c4922 100644
--- a/verilog/rtl/spi_master/src/spim_rx.sv
+++ b/verilog/rtl/spi_master/src/spim_rx.sv
@@ -77,7 +77,7 @@
     input  logic        sdi1,
     input  logic        sdi2,
     input  logic        sdi3,
-    input  logic        en_quad_in,
+    input  logic [1:0]  s_spi_mode,
     input  logic [15:0] counter_in,
     input  logic        counter_in_upd,
     output logic [31:0] data,
@@ -85,6 +85,16 @@
     output logic        data_valid,
     output logic        clk_en_o
 );
+//------------------------------------------------------
+// Parameter Decleration
+// -----------------------------------------------------
+  parameter P_SINGLE = 2'b00;
+  parameter P_DOUBLE = 2'b01;
+  parameter P_QUAD   = 2'b10;
+
+//------------------------------------------------------
+// Variable Decleration
+// -----------------------------------------------------
 
   logic [31:0] data_int;
   logic [31:0] data_int_next;
@@ -96,7 +106,9 @@
   enum logic [1:0] { IDLE, RECEIVE, WAIT_FIFO, WAIT_FIFO_DONE } rx_CS, rx_NS;
 
 
-  assign reg_done  = (!en_quad_in && (counter[4:0] == 5'b11111)) || (en_quad_in && (counter[2:0] == 3'b111));
+  assign reg_done  = (s_spi_mode == P_SINGLE && (counter[4:0] == 5'b11111)) || 
+	             (s_spi_mode == P_DOUBLE && (counter[3:0] == 4'b1111)) ||
+	             (s_spi_mode == P_QUAD && (counter[2:0] == 3'b111));
 
 
 
@@ -120,23 +132,24 @@
 
         if (rx_edge) begin
           counter_next = counter + 1;
-          if (en_quad_in)
+          if (s_spi_mode == P_QUAD )
              data_int_next = {data_int[27:0],sdi3,sdi2,sdi1,sdi0};
+          else if (s_spi_mode == P_DOUBLE )
+             data_int_next = {data_int[29:0],sdi1,sdi0};
           else
              data_int_next = {data_int[30:0],sdi1};
 
           if (rx_done) begin
-            counter_next = 0;
-            data_valid_i   = 1'b1;
-
-            if (data_ready)
-              rx_NS = IDLE;
-            else
-              rx_NS = WAIT_FIFO_DONE;
+               counter_next = 0;
+	       if (data_ready) begin
+                 data_valid_i   = 1'b1;
+                 rx_NS = IDLE;
+	       end else
+                 rx_NS = WAIT_FIFO_DONE;
           end else if (reg_done) begin
-            data_valid_i = 1'b1;
-
-            if (~data_ready) begin
+	    if (data_ready) begin
+              data_valid_i   = 1'b1;
+            end else begin
               // no space in the FIFO, wait for free space
               rx_NS    = WAIT_FIFO;
             end
@@ -145,15 +158,17 @@
       end
 
       WAIT_FIFO_DONE: begin
-        data_valid_i = 1'b1;
-        if (data_ready)
-          rx_NS = IDLE;
+	  if (data_ready) begin
+             data_valid_i = 1'b1;
+             rx_NS = IDLE;
+	 end
       end
 
       WAIT_FIFO: begin
-        data_valid_i = 1'b1;
-        if (data_ready)
-          rx_NS = RECEIVE;
+	 if (data_ready) begin
+            data_valid_i = 1'b1;
+            rx_NS = RECEIVE;
+	 end
       end
     endcase
   end
@@ -171,7 +186,7 @@
       data         <= 'b0;
       data_valid   <= 1'b0;
       rx_CS        <= IDLE;
-    end else if(flush) begin
+    end else if(flush && rx_edge) begin
         counter      <= 0;
         counter_trgt <= 'h8;
         data_int     <= '0;
@@ -191,7 +206,8 @@
           clk_en_o     <= (rx_NS == RECEIVE);
        end
        if (en && counter_in_upd) begin
-           counter_trgt <= (en_quad_in) ? {2'b00,counter_in[15:2]} : counter_in;
+           counter_trgt <= (s_spi_mode ==P_QUAD )   ? {2'b00,counter_in[15:2]} : 
+		           (s_spi_mode ==P_DOUBLE ) ? {1'b0,counter_in[15:1]} : counter_in;
        end
     end
   end
diff --git a/verilog/rtl/spi_master/src/spim_top.sv b/verilog/rtl/spi_master/src/spim_top.sv
index 515cc18..b1af420 100644
--- a/verilog/rtl/spi_master/src/spim_top.sv
+++ b/verilog/rtl/spi_master/src/spim_top.sv
@@ -34,10 +34,14 @@
 ////               accesss are supported.                         ////
 ////               Upto 255 Byte Read/Write Burst supported       ////
 ////    Limitation:                                               ////
-////       1.  Write/Read FIFO Abort case not managed, expect     ////
-////               user to clearly close the busrt request        ////
+////       1.  Write/Read FIFO Abort case not managed M1 port,    ////
+////           expect user to clearly close the busrt request     ////
 ////       2.  Wishbone Request abort not yet supported.          ////
 ////       3.  Write access through M0 Port not supported         ////
+////       4.  When Pre fetch feature used and both port m0 and   ////
+////           m1 used, user need to make sure that data pre fetch////
+////           count is withing 8DW, less Read path can hang due  ////
+////           to response FIFO full from one master port         ////
 ////                                                              ////
 ////  To Do:                                                      ////
 ////    1. Add support for WishBone request timout                ////
@@ -54,6 +58,14 @@
 ////     V.2  - July 6, 2021                                      ////
 ////            Added Hold fix cell for SPI data out signal to    ////
 ////            met interface hold                                ////
+////     V.3  - July 13, 2021                                     ////
+////            Data Prefetch feature added in M0 port, If Only   ////
+////            M0 Read used, then Prefetch read can be 255 Byte, ////
+////            But if the Both M0 and M1 read access enabled,    ////
+////            then user need to make sure that M0 Prefetch is   ////
+////            with in 8DW or 32 Byte, else there is chance      ////
+////            data path can hang due to response FIFO full due  ////
+////            to partial reading of data                        ////
 ////                                                              ////
 //////////////////////////////////////////////////////////////////////
 ////                                                              ////
@@ -380,7 +392,7 @@
    );
 
  // Master 0 Response FIFO
- spim_fifo #(.W(32), .DP(4)) u_m0_res_fifo (
+ spim_fifo #(.W(32), .DP(8)) u_m0_res_fifo (
 	 .clk                           (mclk                        ),
          .reset_n                       (rst_n                       ),
 	 .flush                         (m0_res_fifo_flush           ),
@@ -409,7 +421,7 @@
          .rd_data                       (m1_cmd_fifo_rdata           )
    );
  // Master 1 Response FIFO
- spim_fifo #(.W(32), .DP(2)) u_m1_res_fifo (
+ spim_fifo #(.W(32), .DP(8)) u_m1_res_fifo (
 	 .clk                           (mclk                        ),
          .reset_n                       (rst_n                       ),
 	 .flush                         (m1_res_fifo_flush           ),
diff --git a/verilog/rtl/spi_master/src/spim_tx.sv b/verilog/rtl/spi_master/src/spim_tx.sv
index b904d33..20c499f 100644
--- a/verilog/rtl/spi_master/src/spim_tx.sv
+++ b/verilog/rtl/spi_master/src/spim_tx.sv
@@ -81,29 +81,43 @@
     output logic        sdo1,           // SPI Dout1
     output logic        sdo2,           // SPI Dout2
     output logic        sdo3,           // SPI Dout3
-    input  logic        en_quad_in,     // SPI quad mode indication
+    input  logic [1:0]  s_spi_mode,     // SPI quad mode indication
     input  logic [15:0] counter_in,     // Transmit counter
+    input  logic        counter_in_upd,
     input  logic [31:0] txdata,         // 32 bit tranmsit data
     input  logic        data_valid,     // Input data valid
     output logic        data_ready,     // Data in acepted, this for txfifo
     output logic        clk_en_o        // Enable Tx clock
 );
 
+//------------------------------------------------------
+// Parameter Decleration
+// -----------------------------------------------------
+  parameter P_SINGLE = 2'b00;
+  parameter P_DOUBLE = 2'b01;
+  parameter P_QUAD   = 2'b10;
+
+//------------------------------------------------------
+// Variable Decleration
+// -----------------------------------------------------
   logic [31:0]          data_int       ; // Data Input
   logic [31:0]          data_int_next  ; // Next Data Input
   logic [15:0]          counter        ; // Tx Counter
   logic [15:0]          counter_next   ; // tx next counter
   logic [15:0]          counter_trgt   ; // counter exit counter
   logic                 tx32b_done     ;  // 32 bit Transmit done
-  logic                 en_quad;
-  logic                 en_quad_next;
+  logic  [1:0]          spi_mode     ;
+  logic  [1:0]          spi_mode_next;
 
   logic                 data_ready_i;     // Data in acepted, this for txfifo
-  enum logic [0:0] { IDLE, TRANSMIT } tx_CS, tx_NS;
+  logic                 next_data_ready_i;// Data in acepted, this for txfifo
+  enum logic [1:0] { IDLE, TRANSMIT,WAIT_FIFO_AVAIL } tx_CS, tx_NS;
 
 
   // Indicate 32 bit data done, usefull for readining next 32b from txfifo
-  assign tx32b_done  = (!en_quad && (counter[4:0] == 5'b11111)) || (en_quad && (counter[2:0] == 3'b111));
+  assign tx32b_done  = (spi_mode == P_SINGLE  && (counter[4:0] == 5'b11111)) || 
+                       (spi_mode == P_DOUBLE  && (counter[3:0] == 4'b1111)) || 
+	               (spi_mode == P_QUAD   && (counter[2:0] == 3'b111));
 
   assign tx_done    = (counter == (counter_trgt-1)) && (tx_CS == TRANSMIT);
 
@@ -114,8 +128,9 @@
     tx_NS         = tx_CS;
     data_int_next = data_int;
     data_ready_i    = 1'b0;
+    next_data_ready_i    = 1'b0;
     counter_next  = counter;
-    en_quad_next  =  en_quad;
+    spi_mode_next  =  spi_mode;
 
     case (tx_CS)
       IDLE: begin
@@ -123,7 +138,7 @@
         counter_next  = '0;
 
         if (en && data_valid) begin
-	  en_quad_next    = en_quad_in;
+	  spi_mode_next    = s_spi_mode;
           data_ready_i    = 1'b1;
           tx_NS         = TRANSMIT;
         end
@@ -134,7 +149,7 @@
                counter_next = 0;
                // Check if there is next data
                if (en && data_valid) begin 
-	         en_quad_next    = en_quad_in;
+	         spi_mode_next    = s_spi_mode;
                  data_int_next = txdata;
                  data_ready_i    = 1'b1;
                  tx_NS         = TRANSMIT;
@@ -143,18 +158,28 @@
                end
          end else if (tx32b_done) begin
                if (en && data_valid) begin
-	         en_quad_next    = en_quad_in;
+	         spi_mode_next    = s_spi_mode;
                  data_int_next = txdata;
-                 data_ready_i    = 1'b1;
+                 next_data_ready_i    = 1'b1;
+                 counter_next = counter + 1;
                  tx_NS         = TRANSMIT;
                end else begin
-                 tx_NS    = IDLE;
+                 tx_NS    = WAIT_FIFO_AVAIL;
                end
            end else begin
               counter_next = counter + 1;
-              data_int_next = (en_quad) ? {data_int[27:0],4'b0000} : {data_int[30:0],1'b0};
+              data_int_next = (spi_mode == P_QUAD   ) ? {data_int[27:0],4'b0000} : 
+		              (spi_mode == P_DOUBLE ) ? {data_int[29:0],2'b00} : {data_int[30:0],1'b0};
            end
       end
+      WAIT_FIFO_AVAIL: begin
+           if (en && data_valid) begin 
+	     spi_mode_next    = s_spi_mode;
+             data_int_next = txdata;
+             data_ready_i    = 1'b1;
+             tx_NS         = TRANSMIT;
+           end 
+        end
     endcase
   end
 
@@ -167,7 +192,6 @@
       counter      <= 0;
       data_int     <= 'h0;
       tx_CS        <= IDLE;
-      en_quad      <= 0;
       sdo0         <= '0;
       sdo1         <= '0;
       sdo2         <= '1;
@@ -175,12 +199,12 @@
       counter_trgt <= '0;
       data_ready   <= '0;
       data_ready_f <= 0;
+      spi_mode     <= P_SINGLE;
     end
-    else if(flush) begin
+    else if(flush && tx_edge) begin
        counter      <= 0;
        data_int     <= 'h0;
        tx_CS        <= IDLE;
-       en_quad      <= 0;
        sdo0         <= '0;
        sdo1         <= '0;
        sdo2         <= '1;
@@ -188,9 +212,10 @@
        counter_trgt <= '0;
        data_ready   <= '0;
        data_ready_f <= 0;
+      spi_mode     <= P_SINGLE;
     end else begin
-       data_ready_f <= data_ready_i;
-       data_ready   <= data_ready_f && !data_ready_i; // Generate Pulse at falling edge
+       data_ready_f <= data_ready_i | next_data_ready_i;
+       data_ready   <= data_ready_f && !(data_ready_i | next_data_ready_i); // Generate Pulse at falling edge
        if(tx_edge) begin
           tx_CS        <= tx_NS;
           counter      <= counter_next;
@@ -198,14 +223,15 @@
        end
        // Counter Exit condition, quad mode div-4 , else actual counter
        if (en && data_ready_i && tx_edge) begin
-	  en_quad      <= en_quad_in;
-          counter_trgt <= (en_quad_in) ? {2'b00,counter_in[15:2]} : counter_in;
+	  spi_mode      <= s_spi_mode;
+          counter_trgt <= (s_spi_mode == P_QUAD )   ? {2'b00,counter_in[15:2]} : 
+		          (s_spi_mode == P_DOUBLE ) ? {1'b0, counter_in[15:1]} :    counter_in;
        end
        if(tx_edge && tx_NS == TRANSMIT) begin
-          sdo0         <= (en_quad_next) ? data_int_next[28] : data_int_next[31];
-          sdo1         <= (en_quad_next) ? data_int_next[29] : 1'b0;
-          sdo2         <= (en_quad_next) ? data_int_next[30] : 1'b1; // Protect
-          sdo3         <= (en_quad_next) ? data_int_next[31] : 1'b1; // Hold need to '1'
+          sdo0         <= (spi_mode_next == P_QUAD) ? data_int_next[28] : (spi_mode_next == P_DOUBLE) ? data_int_next[30] : data_int_next[31];
+          sdo1         <= (spi_mode_next == P_QUAD) ? data_int_next[29] : (spi_mode_next == P_DOUBLE) ? data_int_next[31] :  1'b0;
+          sdo2         <= (spi_mode_next == P_QUAD) ? data_int_next[30] : 1'b1; // Protect
+          sdo3         <= (spi_mode_next == P_QUAD) ? data_int_next[31] : 1'b1; // Hold need to '1'
        end
     end      
   end