final gds & signoff results
diff --git a/checks/erase_box_user_analog_project_wrapper.gds.log b/checks/erase_box_user_analog_project_wrapper.gds.log
new file mode 100644
index 0000000..c6ec0d7
--- /dev/null
+++ b/checks/erase_box_user_analog_project_wrapper.gds.log
@@ -0,0 +1,50 @@
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/gds//user_analog_project_wrapper.gds /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_erased.gds user_analog_project_wrapper
+
+Magic 8.3 revision 182 - Compiled on Thu Jun 24 20:18:20 UTC 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.192-0-gfa1b3ad
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+CIF input style is now "sky130(vendor)"
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_analog_project_wrapper
+Reading "char".
+Reading "inv".
+Reading "ringosc".
+Reading "indVCO".
+Reading "user_analog_project_wrapper".
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:   42.88 x 3520.00  (-42.88,  0.00 ), (  0.00,  3520.00)  150937.59 
+lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
+internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:   42.50 x 3520.00  ( 2920.00,  0.00 ), ( 2962.50,  3520.00)  149600.00 
+lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
+internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.38 x 37.53   (-42.88, -37.53), ( 2962.50,  0.00 )  112791.91 
+lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
+internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.38 x 37.21   (-42.88,  3520.00), ( 2962.50,  3557.21)  111830.19 
+lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
+internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
+can't read "errorCode": no such variable
+   Generating output for cell xor_target
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_erased.gds
diff --git a/checks/erase_box_user_analog_project_wrapper_empty.gds.log b/checks/erase_box_user_analog_project_wrapper_empty.gds.log
new file mode 100644
index 0000000..c927a14
--- /dev/null
+++ b/checks/erase_box_user_analog_project_wrapper_empty.gds.log
@@ -0,0 +1,46 @@
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_empty.gds /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_empty_erased.gds user_analog_project_wrapper
+
+Magic 8.3 revision 182 - Compiled on Thu Jun 24 20:18:20 UTC 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.192-0-gfa1b3ad
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+CIF input style is now "sky130(vendor)"
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_analog_project_wrapper
+Reading "user_analog_project_wrapper".
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:   42.88 x 3520.00  (-42.88,  0.00 ), (  0.00,  3520.00)  150937.59 
+lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
+internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:   42.50 x 3520.00  ( 2920.00,  0.00 ), ( 2962.50,  3520.00)  149600.00 
+lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
+internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.38 x 37.53   (-42.88, -37.53), ( 2962.50,  0.00 )  112791.91 
+lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
+internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.38 x 37.21   (-42.88,  3520.00), ( 2962.50,  3557.21)  111830.19 
+lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
+internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
+can't read "errorCode": no such variable
+   Generating output for cell xor_target
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_empty_erased.gds
diff --git a/checks/full_log.log b/checks/full_log.log
new file mode 100644
index 0000000..013cb85
--- /dev/null
+++ b/checks/full_log.log
@@ -0,0 +1,60 @@
+FULL RUN LOG:
+ Executing Step 0 of 8: Extracting GDS Files
+Step 0 done without fatal errors.
+ Executing Step 1 of 8: Project License Check
+{{LICENSE COMPLIANCE PASSED}} Apache-2.0 LICENSE file was found in project root
+ SPDX COMPLIANCE Found 20 non-compliant files with the SPDX Standard. Check full log for more information
+SPDX COMPLIANCE: NON-COMPLIANT FILES PREVIEW: ['/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/README.md', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/example_por.sch', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/test.data', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/example_por_tb.spice.orig', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/user_analog_project_wrapper.sch', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/.spiceinit', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/user_analog_project_wrapper.sym', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/xschemrc', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/example_por_tb.sch', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/example_por.sym', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/analog_wrapper_tb.sch', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/kdrc_results_offgrid.xml.summary', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/kdrc_results_mr_fe.xml.summary', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/kdrc_results_fom.xml.summary', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/versions', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/kdrc_results_met.xml.summary', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/mag/compose_final.tcl', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/mag/generate_fill.tcl', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl', '/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_final.tcl']
+ Executing Step 2 of 8: YAML File Check
+ YAML file valid!
+Step 2 done without fatal errors.
+ Detected Project Type is "analog"
+ Executing Step 3 of 8: Project Compliance Checks
+b'Going into /mnt/shuttles/mpw-two/caravel'
+b'Removing manifest'
+b'Fetching manifest'
+b'Running sha1sum checks'
+ Manifest Checks Failed. Please rebase your Repository to the latest Caravel master.
+verilog/rtl/DFFRAM.v: FAILED
+verilog/rtl/DFFRAMBB.v: FAILED
+verilog/rtl/__user_project_wrapper.v: FAILED
+verilog/rtl/caravan.v: FAILED
+verilog/rtl/caravan_netlists.v: FAILED
+verilog/rtl/caravel.v: FAILED
+verilog/rtl/chip_io.v: FAILED
+verilog/rtl/chip_io_alt.v: FAILED
+verilog/rtl/gpio_control_block.v: FAILED
+verilog/rtl/housekeeping_spi.v: FAILED
+verilog/rtl/mem_wb.v: FAILED
+verilog/rtl/mgmt_core.v: FAILED
+verilog/rtl/mgmt_protect.v: FAILED
+verilog/rtl/mgmt_soc.v: FAILED
+verilog/rtl/mprj_ctrl.v: FAILED
+verilog/rtl/simple_spi_master.v: FAILED
+verilog/rtl/sram_1rw1r_32_256_8_sky130.v: FAILED
+verilog/rtl/storage.v: FAILED
+scripts/generate_fill.py: FAILED
+scripts/compositor.py: FAILED
+ Makefile Checks Passed.
+ Default config checks failed because: 
+The parameter organization_url in info.yaml is default
+The parameter owner in info.yaml is default
+ Documentation Checks Passed.
+ Executing Step 4 of 8: XOR Consistency Checks
+ Running XOR Checks...
+Total XOR differences = 0
+ XOR Checks on User Project GDS Passed!
+Step 4 done without fatal errors.
+ Executing Step 5 of 8: DRC Violations Checks
+ Running Magic DRC Checks...
+ DRC Checks on User Project GDS Passed!
+Step 5 done without fatal errors.
+ Executing Step 6 of 8: KLayout DRC Violations Check
+ Running Klayout DRC Checks...
+ Klayout DRC Checks on User Project GDS Passed!
+Step 6 done without fatal errors.
+ Executing Klayout off grid check.
+ Klayout offgrid Checks on User Project GDS Passed!
+Step 7 done without fatal errors.
+ Klayout metal density Checks on GDS Failed, Check /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/met_density_check.xml
+ SOME Checks FAILED !!!
diff --git a/checks/klayout_drc.log b/checks/klayout_drc.log
new file mode 100644
index 0000000..1f80bf9
--- /dev/null
+++ b/checks/klayout_drc.log
@@ -0,0 +1,363 @@
+"_input" in: sky130A_mr.lydrc:88
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:89
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:90
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:91
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:92
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:93
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:94
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:95
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:96
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:97
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:98
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:99
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:100
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:101
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:102
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:103
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:104
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:105
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:106
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:107
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:108
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:110
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:111
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:113
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:114
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:116
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:117
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:119
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:120
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:122
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:123
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:125
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:127
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:128
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:129
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:130
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:131
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:132
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:133
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:134
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:135
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:136
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:137
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:138
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:139
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:140
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:141
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:142
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:143
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:144
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:145
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:146
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:147
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:148
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:149
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:150
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:151
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:152
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:153
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:154
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:155
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:156
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:157
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:158
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:159
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:160
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:161
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:162
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:163
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:164
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:165
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:166
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:167
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:168
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:169
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:170
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:171
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:172
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:173
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:174
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:175
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:176
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:177
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:178
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:179
+Elapsed: 0.010s
+{{ DRC section }}
+{{ FEOL section }}
+"&" in: sky130A_mr.lydrc:203
+Elapsed: 0.010s
+{{ dnwell }}
+"width_check" in: sky130A_mr.lydrc:207
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:207
+Elapsed: 0.010s
+{{ nwell }}
+"width_check" in: sky130A_mr.lydrc:215
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:215
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:216
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:216
+Elapsed: 0.010s
+{{ hvtp }}
+"width_check" in: sky130A_mr.lydrc:235
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:235
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:236
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:236
+Elapsed: 0.010s
+{{ htvr }}
+"width_check" in: sky130A_mr.lydrc:243
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:243
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:244
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:244
+Elapsed: 0.010s
+{{ lvtn }}
+"isolated_check" in: sky130A_mr.lydrc:249
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:249
+Elapsed: 0.010s
+{{ ncm }}
+"width_check" in: sky130A_mr.lydrc:261
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:261
+Elapsed: 0.010s
+{{ diff-tap }}
+"+" in: sky130A_mr.lydrc:270
+Elapsed: 0.000s
+"width_check" in: sky130A_mr.lydrc:271
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:271
+Elapsed: 0.000s
+"isolated_check" in: sky130A_mr.lydrc:276
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:276
+Elapsed: 0.000s
+{{ tunm }}
+"width_check" in: sky130A_mr.lydrc:289
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:289
+Elapsed: 0.000s
+"isolated_check" in: sky130A_mr.lydrc:290
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:290
+Elapsed: 0.000s
+{{ poly }}
+"width_check" in: sky130A_mr.lydrc:299
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:299
+Elapsed: 0.000s
+"isolated_check" in: sky130A_mr.lydrc:301
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:301
+Elapsed: 0.000s
+{{ rpm }}
+"width_check" in: sky130A_mr.lydrc:318
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:318
+Elapsed: 0.000s
+"isolated_check" in: sky130A_mr.lydrc:319
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:319
+Elapsed: 0.000s
+{{ npc }}
+"width_check" in: sky130A_mr.lydrc:352
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:352
+Elapsed: 0.000s
+"isolated_check" in: sky130A_mr.lydrc:353
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:353
+Elapsed: 0.000s
+{{ licon }}
+"interacting" in: sky130A_mr.lydrc:374
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:374
+Elapsed: 0.000s
+"edges" in: sky130A_mr.lydrc:374
+Elapsed: 0.010s
+"with_length" in: sky130A_mr.lydrc:374
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:374
+Elapsed: 0.000s
+"interacting" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:375
+Elapsed: 0.000s
+"interacting" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:375
+Elapsed: 0.000s
+"edges" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"with_length" in: sky130A_mr.lydrc:375
+Elapsed: 0.000s
+"interacting" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:375
+Elapsed: 0.000s
+"edges" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"with_length" in: sky130A_mr.lydrc:375
+Elapsed: 0.000s
+"|" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"not_interacting" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:375
+Elapsed: 0.000s
+"|" in: sky130A_mr.lydrc:403
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:403
+Elapsed: 0.000s
+"separation_check" in: sky130A_mr.lydrc:403
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:403
+Elapsed: 0.000s
+"&" in: sky130A_mr.lydrc:409
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:409
+Elapsed: 0.000s
+"edges" in: sky130A_mr.lydrc:409
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:409
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:409
+Elapsed: 0.010s
+{{ vpp }}
+{{ capm }}
+"width_check" in: sky130A_mr.lydrc:434
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:434
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:435
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:435
+Elapsed: 0.010s
+"interacting" in: sky130A_mr.lydrc:436
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:436
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:436
+Elapsed: 0.000s
+"enclosing_check" in: sky130A_mr.lydrc:437
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:437
+Elapsed: 0.000s
+"enclosing_check" in: sky130A_mr.lydrc:438
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:438
+Elapsed: 0.000s
+"separation_check" in: sky130A_mr.lydrc:439
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:439
+Elapsed: 0.010s
+{{ FEOL section }}
+{{ hvi }}
+"width_check" in: sky130A_mr.lydrc:753
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:753
+Elapsed: 0.010s
+{{ hvntm }}
+"width_check" in: sky130A_mr.lydrc:779
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:779
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:780
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:780
+Elapsed: 0.010s
+Writing report database: /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_klayout.lydrc ..
+Total run time: 0.920s
diff --git a/checks/magic_drc.log b/checks/magic_drc.log
new file mode 100644
index 0000000..6ebfbc2
--- /dev/null
+++ b/checks/magic_drc.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 182 - Compiled on Thu Jun 24 20:18:20 UTC 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/usr/local/bin/drc_checks/magic_drc_check.tcl" from command line.
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_analog_project_wrapper
+Reading "char".
+Reading "inv".
+Reading "ringosc".
+Reading "indVCO".
+Reading "user_analog_project_wrapper".
+[INFO]: Loading user_analog_project_wrapper
+
+DRC style is now "drc(full)"
+Loading DRC CIF style.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper.magic.drc)
+[INFO]: Saving mag view with DRC errors(/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/checks/manifest_check.log b/checks/manifest_check.log
new file mode 100644
index 0000000..7e6b925
--- /dev/null
+++ b/checks/manifest_check.log
@@ -0,0 +1,47 @@
+verilog/rtl/DFFRAM.v: FAILED
+verilog/rtl/DFFRAMBB.v: FAILED
+verilog/rtl/__uprj_analog_netlists.v: OK
+verilog/rtl/__uprj_netlists.v: OK
+verilog/rtl/__user_analog_project_wrapper.v: OK
+verilog/rtl/__user_project_wrapper.v: FAILED
+verilog/rtl/caravan.v: FAILED
+verilog/rtl/caravan_netlists.v: FAILED
+verilog/rtl/caravel.v: FAILED
+verilog/rtl/caravel_clocking.v: OK
+verilog/rtl/chip_io.v: FAILED
+verilog/rtl/chip_io_alt.v: FAILED
+verilog/rtl/clock_div.v: OK
+verilog/rtl/convert_gpio_sigs.v: OK
+verilog/rtl/counter_timer_high.v: OK
+verilog/rtl/counter_timer_low.v: OK
+verilog/rtl/digital_pll.v: OK
+verilog/rtl/digital_pll_controller.v: OK
+verilog/rtl/gpio_control_block.v: FAILED
+verilog/rtl/gpio_wb.v: OK
+verilog/rtl/housekeeping_spi.v: FAILED
+verilog/rtl/la_wb.v: OK
+verilog/rtl/mem_wb.v: FAILED
+verilog/rtl/mgmt_core.v: FAILED
+verilog/rtl/mgmt_protect.v: FAILED
+verilog/rtl/mgmt_protect_hv.v: OK
+verilog/rtl/mgmt_soc.v: FAILED
+verilog/rtl/mprj2_logic_high.v: OK
+verilog/rtl/mprj_ctrl.v: FAILED
+verilog/rtl/mprj_io.v: OK
+verilog/rtl/mprj_logic_high.v: OK
+verilog/rtl/pads.v: OK
+verilog/rtl/picorv32.v: OK
+verilog/rtl/ring_osc2x13.v: OK
+verilog/rtl/simple_por.v: OK
+verilog/rtl/simple_spi_master.v: FAILED
+verilog/rtl/simpleuart.v: OK
+verilog/rtl/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.v: OK
+verilog/rtl/spimemio.v: OK
+verilog/rtl/sram_1rw1r_32_256_8_sky130.v: FAILED
+verilog/rtl/storage.v: FAILED
+verilog/rtl/storage_bridge_wb.v: OK
+verilog/rtl/sysctrl.v: OK
+verilog/rtl/wb_intercon.v: OK
+scripts/set_user_id.py: OK
+scripts/generate_fill.py: FAILED
+scripts/compositor.py: FAILED
diff --git a/checks/met_density_check.xml b/checks/met_density_check.xml
new file mode 100644
index 0000000..6928d4f
--- /dev/null
+++ b/checks/met_density_check.xml
@@ -0,0 +1,123 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/usr/local/bin/klayout_drc_checks/met_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li1.pd.1e</name>
+   <description>0.65 max li1 ca pattern density</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.pd.1e</name>
+   <description>0.65 max m1 ca pattern density</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.pd.1e</name>
+   <description>0.65 max m2 ca pattern density</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.pd.1e</name>
+   <description>0.65 max m3 ca pattern density</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.pd.1e</name>
+   <description>0.65 max m4 ca pattern density</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.pd.1e</name>
+   <description>0.55 max m5 ca pattern density</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'li1.pd.1e'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (0,0;0,3520;2920,3520;2920,0)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.pd.1e'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (0,0;0,3520;2920,3520;2920,0)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m2.pd.1e'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (0,0;0,3520;2920,3520;2920,0)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m3.pd.1e'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (0,0;0,3520;2920,3520;2920,0)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m4.pd.1e'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (0,0;0,3520;2920,3520;2920,0)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m5.pd.1e'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (0,0;0,3520;2920,3520;2920,0)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/checks/met_density_check.xml.summary b/checks/met_density_check.xml.summary
new file mode 100644
index 0000000..a289d3c
--- /dev/null
+++ b/checks/met_density_check.xml.summary
@@ -0,0 +1,7 @@
+       1 0.55 max m5 ca pattern density
+       1 0.65 max li1 ca pattern density
+       1 0.65 max m1 ca pattern density
+       1 0.65 max m2 ca pattern density
+       1 0.65 max m3 ca pattern density
+       1 0.65 max m4 ca pattern density
+       6 total error(s) among 6 error type(s), 6 non-zero type(s)
diff --git a/checks/offgrid_check.xml b/checks/offgrid_check.xml
new file mode 100644
index 0000000..b4d3510
--- /dev/null
+++ b/checks/offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/usr/local/bin/klayout_drc_checks/offgrid.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/checks/spdx_compliance_report.log b/checks/spdx_compliance_report.log
new file mode 100644
index 0000000..bb127e8
--- /dev/null
+++ b/checks/spdx_compliance_report.log
@@ -0,0 +1,22 @@
+FULL RUN LOG:
+SPDX NON-COMPLIANT FILES
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/README.md
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/example_por.sch
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/test.data
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/example_por_tb.spice.orig
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/user_analog_project_wrapper.sch
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/.spiceinit
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/user_analog_project_wrapper.sym
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/xschemrc
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/example_por_tb.sch
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/example_por.sym
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/xschem/analog_wrapper_tb.sch
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/kdrc_results_offgrid.xml.summary
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/kdrc_results_mr_fe.xml.summary
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/kdrc_results_fom.xml.summary
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/versions
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/signoff/kdrc_results_met.xml.summary
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/mag/compose_final.tcl
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/mag/generate_fill.tcl
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl
+/mnt/shuttles/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_final.tcl
diff --git a/checks/user_analog_project_wrapper.magic.drc b/checks/user_analog_project_wrapper.magic.drc
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/checks/user_analog_project_wrapper.magic.drc
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/checks/user_analog_project_wrapper.magic.drc.mag b/checks/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..5751a12
--- /dev/null
+++ b/checks/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,4437 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1626418305
+<< checkpaint >>
+rect -4732 -4732 588732 708732
+<< locali >>
+rect 277392 390379 277472 390396
+rect 277392 390345 277413 390379
+rect 277447 390345 277472 390379
+rect 277392 390320 277472 390345
+rect 277832 390377 277912 390394
+rect 277832 390343 277853 390377
+rect 277887 390343 277912 390377
+rect 277832 390318 277912 390343
+rect 279952 390379 280032 390396
+rect 279952 390345 279973 390379
+rect 280007 390345 280032 390379
+rect 279952 390320 280032 390345
+rect 279970 390297 280010 390300
+rect 279970 390263 279973 390297
+rect 280007 390263 280010 390297
+rect 279970 390260 280010 390263
+rect 276632 389999 276712 390016
+rect 276632 389965 276653 389999
+rect 276687 389965 276712 389999
+rect 276632 389940 276712 389965
+rect 277072 389997 277152 390014
+rect 277072 389963 277093 389997
+rect 277127 389963 277152 389997
+rect 277072 389940 277152 389963
+rect 275952 389619 276032 389636
+rect 275952 389585 275973 389619
+rect 276007 389585 276032 389619
+rect 275952 389560 276032 389585
+rect 276392 389617 276472 389634
+rect 276392 389583 276413 389617
+rect 276447 389583 276472 389617
+rect 276392 389560 276472 389583
+rect 275332 389239 275412 389256
+rect 275332 389205 275353 389239
+rect 275387 389205 275412 389239
+rect 275332 389180 275412 389205
+rect 275772 389237 275852 389256
+rect 275772 389203 275793 389237
+rect 275827 389203 275852 389237
+rect 275772 389180 275852 389203
+rect 274752 388859 274832 388876
+rect 274752 388825 274773 388859
+rect 274807 388825 274832 388859
+rect 274752 388800 274832 388825
+rect 275182 388857 275262 388876
+rect 275182 388823 275203 388857
+rect 275237 388823 275262 388857
+rect 275182 388800 275262 388823
+rect 274602 388509 274682 388532
+rect 274602 388475 274625 388509
+rect 274659 388475 274682 388509
+rect 274602 388431 274682 388475
+rect 274602 388397 274623 388431
+rect 274657 388397 274682 388431
+rect 274602 388284 274682 388397
+rect 264966 387979 267090 388000
+rect 264966 387945 264991 387979
+rect 265025 387977 265151 387979
+rect 265025 387945 265069 387977
+rect 264966 387943 265069 387945
+rect 265103 387945 265151 387977
+rect 265185 387945 266875 387979
+rect 266909 387977 267035 387979
+rect 266909 387945 266953 387977
+rect 265103 387943 266953 387945
+rect 266987 387945 267035 387977
+rect 267069 387945 267090 387979
+rect 266987 387943 267090 387945
+rect 264966 387920 267090 387943
+rect 268744 387979 270890 388000
+rect 268744 387945 268769 387979
+rect 268803 387977 268929 387979
+rect 268803 387945 268847 387977
+rect 268744 387943 268847 387945
+rect 268881 387945 268929 387977
+rect 268963 387945 270675 387979
+rect 270709 387977 270835 387979
+rect 270709 387945 270753 387977
+rect 268881 387943 270753 387945
+rect 270787 387945 270835 387977
+rect 270869 387945 270890 387979
+rect 270787 387943 270890 387945
+rect 268744 387920 270890 387943
+rect 272552 387979 274624 388000
+rect 272552 387945 272577 387979
+rect 272611 387945 272659 387979
+rect 272693 387945 272741 387979
+rect 272775 387945 274624 387979
+rect 272552 387920 274624 387945
+rect 274602 387489 274682 387750
+rect 274602 387455 274623 387489
+rect 274657 387455 274682 387489
+rect 274602 387411 274682 387455
+rect 274602 387377 274623 387411
+rect 274657 387377 274682 387411
+rect 274602 387356 274682 387377
+rect 274752 387059 274832 387090
+rect 274752 387025 274773 387059
+rect 274807 387025 274832 387059
+rect 274752 387006 274832 387025
+rect 275182 387059 275262 387090
+rect 275182 387025 275203 387059
+rect 275237 387025 275262 387059
+rect 275182 387006 275262 387025
+rect 275332 386689 275412 386710
+rect 275332 386655 275355 386689
+rect 275389 386655 275412 386689
+rect 275332 386630 275412 386655
+rect 275772 386689 275852 386710
+rect 275772 386655 275795 386689
+rect 275829 386655 275852 386689
+rect 275772 386630 275852 386655
+rect 275952 386305 276032 386330
+rect 275952 386271 275973 386305
+rect 276007 386271 276032 386305
+rect 275952 386250 276032 386271
+rect 276392 386305 276472 386330
+rect 276392 386271 276415 386305
+rect 276449 386271 276472 386305
+rect 276392 386250 276472 386271
+rect 279952 385615 280032 385630
+rect 279952 385581 279975 385615
+rect 280009 385581 280032 385615
+rect 279952 385535 280032 385581
+rect 279952 385501 279973 385535
+rect 280007 385501 280032 385535
+rect 279952 385472 280032 385501
+<< viali >>
+rect 277413 390345 277447 390379
+rect 277853 390343 277887 390377
+rect 279973 390345 280007 390379
+rect 279973 390263 280007 390297
+rect 276653 389965 276687 389999
+rect 277093 389963 277127 389997
+rect 275973 389585 276007 389619
+rect 276413 389583 276447 389617
+rect 275353 389205 275387 389239
+rect 275793 389203 275827 389237
+rect 274773 388825 274807 388859
+rect 275203 388823 275237 388857
+rect 274625 388475 274659 388509
+rect 274623 388397 274657 388431
+rect 264991 387945 265025 387979
+rect 265069 387943 265103 387977
+rect 265151 387945 265185 387979
+rect 266875 387945 266909 387979
+rect 266953 387943 266987 387977
+rect 267035 387945 267069 387979
+rect 268769 387945 268803 387979
+rect 268847 387943 268881 387977
+rect 268929 387945 268963 387979
+rect 270675 387945 270709 387979
+rect 270753 387943 270787 387977
+rect 270835 387945 270869 387979
+rect 272577 387945 272611 387979
+rect 272659 387945 272693 387979
+rect 272741 387945 272775 387979
+rect 274623 387455 274657 387489
+rect 274623 387377 274657 387411
+rect 274773 387025 274807 387059
+rect 275203 387025 275237 387059
+rect 275355 386655 275389 386689
+rect 275795 386655 275829 386689
+rect 275973 386271 276007 386305
+rect 276415 386271 276449 386305
+rect 279975 385581 280009 385615
+rect 279973 385501 280007 385535
+<< metal1 >>
+rect 568750 696131 569080 696180
+rect 568750 696079 568804 696131
+rect 568856 696079 568964 696131
+rect 569016 696079 569080 696131
+rect 568750 696011 569080 696079
+rect 568750 695959 568814 696011
+rect 568866 695959 568984 696011
+rect 569036 695959 569080 696011
+rect 568750 694760 569080 695959
+rect 525570 694556 568230 694610
+rect 525570 694504 525634 694556
+rect 525686 694504 525774 694556
+rect 525826 694504 568230 694556
+rect 525570 694450 568230 694504
+rect 567500 694251 568320 694300
+rect 567500 694199 567544 694251
+rect 567596 694199 567694 694251
+rect 567746 694199 568320 694251
+rect 567500 694140 568320 694199
+rect 275952 498608 276472 498620
+rect 275952 498606 276070 498608
+rect 275952 498554 275980 498606
+rect 276032 498556 276070 498606
+rect 276122 498606 276472 498608
+rect 276122 498604 276332 498606
+rect 276122 498602 276246 498604
+rect 276122 498556 276158 498602
+rect 276032 498554 276158 498556
+rect 275952 498550 276158 498554
+rect 276210 498552 276246 498602
+rect 276298 498554 276332 498604
+rect 276384 498554 276408 498606
+rect 276460 498554 276472 498606
+rect 276298 498552 276472 498554
+rect 276210 498550 276472 498552
+rect 275952 498526 276472 498550
+rect 275952 498524 276250 498526
+rect 275952 498522 276158 498524
+rect 275952 498470 275980 498522
+rect 276032 498470 276070 498522
+rect 276122 498472 276158 498522
+rect 276210 498474 276250 498524
+rect 276302 498524 276472 498526
+rect 276302 498522 276406 498524
+rect 276302 498474 276326 498522
+rect 276210 498472 276326 498474
+rect 276122 498470 276326 498472
+rect 276378 498472 276406 498522
+rect 276458 498472 276472 498524
+rect 276378 498470 276472 498472
+rect 275332 450568 275852 450580
+rect 275332 450566 275450 450568
+rect 275332 450514 275360 450566
+rect 275412 450516 275450 450566
+rect 275502 450566 275852 450568
+rect 275502 450564 275712 450566
+rect 275502 450562 275626 450564
+rect 275502 450516 275538 450562
+rect 275412 450514 275538 450516
+rect 275332 450510 275538 450514
+rect 275590 450512 275626 450562
+rect 275678 450514 275712 450564
+rect 275764 450514 275788 450566
+rect 275840 450514 275852 450566
+rect 275678 450512 275852 450514
+rect 275590 450510 275852 450512
+rect 275332 450486 275852 450510
+rect 275332 450484 275630 450486
+rect 275332 450482 275538 450484
+rect 275332 450430 275360 450482
+rect 275412 450430 275450 450482
+rect 275502 450432 275538 450482
+rect 275590 450434 275630 450484
+rect 275682 450484 275852 450486
+rect 275682 450482 275786 450484
+rect 275682 450434 275706 450482
+rect 275590 450432 275706 450434
+rect 275502 450430 275706 450432
+rect 275758 450432 275786 450482
+rect 275838 450432 275852 450484
+rect 275758 450430 275852 450432
+rect 274752 425882 275262 425922
+rect 274752 425880 274858 425882
+rect 274752 425828 274768 425880
+rect 274820 425830 274858 425880
+rect 274910 425880 275262 425882
+rect 274910 425878 275120 425880
+rect 274910 425876 275034 425878
+rect 274910 425830 274946 425876
+rect 274820 425828 274946 425830
+rect 274752 425824 274946 425828
+rect 274998 425826 275034 425876
+rect 275086 425828 275120 425878
+rect 275172 425828 275196 425880
+rect 275248 425828 275262 425880
+rect 275086 425826 275262 425828
+rect 274998 425824 275262 425826
+rect 274752 425800 275262 425824
+rect 274752 425798 275038 425800
+rect 274752 425796 274946 425798
+rect 274752 425744 274768 425796
+rect 274820 425744 274858 425796
+rect 274910 425746 274946 425796
+rect 274998 425748 275038 425798
+rect 275090 425798 275262 425800
+rect 275090 425796 275194 425798
+rect 275090 425748 275114 425796
+rect 274998 425746 275114 425748
+rect 274910 425744 275114 425746
+rect 275166 425746 275194 425796
+rect 275246 425746 275262 425798
+rect 275166 425744 275262 425746
+rect 274752 388859 275262 425744
+rect 275332 389239 275852 450430
+rect 275952 389619 276472 498470
+rect 276632 463018 277152 463030
+rect 276632 463016 276750 463018
+rect 276632 462964 276660 463016
+rect 276712 462966 276750 463016
+rect 276802 463016 277152 463018
+rect 276802 463014 277012 463016
+rect 276802 463012 276926 463014
+rect 276802 462966 276838 463012
+rect 276712 462964 276838 462966
+rect 276632 462960 276838 462964
+rect 276890 462962 276926 463012
+rect 276978 462964 277012 463014
+rect 277064 462964 277088 463016
+rect 277140 462964 277152 463016
+rect 276978 462962 277152 462964
+rect 276890 462960 277152 462962
+rect 276632 462936 277152 462960
+rect 276632 462934 276930 462936
+rect 276632 462932 276838 462934
+rect 276632 462880 276660 462932
+rect 276712 462880 276750 462932
+rect 276802 462882 276838 462932
+rect 276890 462884 276930 462934
+rect 276982 462934 277152 462936
+rect 276982 462932 277086 462934
+rect 276982 462884 277006 462932
+rect 276890 462882 277006 462884
+rect 276802 462880 277006 462882
+rect 277058 462882 277086 462932
+rect 277138 462882 277152 462934
+rect 277058 462880 277152 462882
+rect 276632 389999 277152 462880
+rect 277392 427014 277912 427026
+rect 277392 427012 277510 427014
+rect 277392 426960 277420 427012
+rect 277472 426962 277510 427012
+rect 277562 427012 277912 427014
+rect 277562 427010 277772 427012
+rect 277562 427008 277686 427010
+rect 277562 426962 277598 427008
+rect 277472 426960 277598 426962
+rect 277392 426956 277598 426960
+rect 277650 426958 277686 427008
+rect 277738 426960 277772 427010
+rect 277824 426960 277848 427012
+rect 277900 426960 277912 427012
+rect 277738 426958 277912 426960
+rect 277650 426956 277912 426958
+rect 277392 426932 277912 426956
+rect 277392 426930 277690 426932
+rect 277392 426928 277598 426930
+rect 277392 426876 277420 426928
+rect 277472 426876 277510 426928
+rect 277562 426878 277598 426928
+rect 277650 426880 277690 426930
+rect 277742 426930 277912 426932
+rect 277742 426928 277846 426930
+rect 277742 426880 277766 426928
+rect 277650 426878 277766 426880
+rect 277562 426876 277766 426878
+rect 277818 426878 277846 426928
+rect 277898 426878 277912 426930
+rect 277818 426876 277912 426878
+rect 277392 390379 277912 426876
+rect 277392 390345 277413 390379
+rect 277447 390377 277912 390379
+rect 277447 390345 277853 390377
+rect 277392 390343 277853 390345
+rect 277887 390343 277912 390377
+rect 277392 390240 277912 390343
+rect 279952 397536 280032 397556
+rect 279952 397484 279966 397536
+rect 280018 397484 280032 397536
+rect 279952 397462 280032 397484
+rect 279952 397410 279964 397462
+rect 280016 397410 280032 397462
+rect 279952 397396 280032 397410
+rect 279952 397344 279966 397396
+rect 280018 397344 280032 397396
+rect 279952 397326 280032 397344
+rect 279952 397274 279966 397326
+rect 280018 397274 280032 397326
+rect 279952 390379 280032 397274
+rect 279952 390345 279973 390379
+rect 280007 390345 280032 390379
+rect 279952 390297 280032 390345
+rect 279952 390263 279973 390297
+rect 280007 390263 280032 390297
+rect 279952 390250 280032 390263
+rect 276632 389965 276653 389999
+rect 276687 389997 277152 389999
+rect 276687 389965 277093 389997
+rect 276632 389963 277093 389965
+rect 277127 389963 277152 389997
+rect 276632 389940 277152 389963
+rect 275952 389585 275973 389619
+rect 276007 389617 276472 389619
+rect 276007 389585 276413 389617
+rect 275952 389583 276413 389585
+rect 276447 389583 276472 389617
+rect 275952 389560 276472 389583
+rect 275332 389205 275353 389239
+rect 275387 389237 275852 389239
+rect 275387 389205 275793 389237
+rect 275332 389203 275793 389205
+rect 275827 389203 275852 389237
+rect 275332 389180 275852 389203
+rect 274752 388825 274773 388859
+rect 274807 388857 275262 388859
+rect 274807 388825 275203 388857
+rect 274752 388823 275203 388825
+rect 275237 388823 275262 388857
+rect 274752 388800 275262 388823
+rect 1950 388520 96848 388532
+rect 1950 388468 1964 388520
+rect 2016 388468 2040 388520
+rect 2092 388468 2114 388520
+rect 2166 388468 2188 388520
+rect 2240 388468 96558 388520
+rect 96610 388468 96634 388520
+rect 96686 388468 96708 388520
+rect 96760 388468 96782 388520
+rect 96834 388468 96848 388520
+rect 1950 388440 96848 388468
+rect 1950 388388 1964 388440
+rect 2016 388388 2040 388440
+rect 2092 388388 2114 388440
+rect 2166 388388 2188 388440
+rect 2240 388388 96558 388440
+rect 96610 388388 96634 388440
+rect 96686 388388 96708 388440
+rect 96760 388388 96782 388440
+rect 96834 388388 96848 388440
+rect 1950 388374 96848 388388
+rect 185352 388520 274682 388532
+rect 185352 388468 185366 388520
+rect 185418 388468 185442 388520
+rect 185494 388468 185516 388520
+rect 185568 388468 185590 388520
+rect 185642 388509 274682 388520
+rect 185642 388475 274625 388509
+rect 274659 388475 274682 388509
+rect 185642 388468 274682 388475
+rect 185352 388440 274682 388468
+rect 185352 388388 185366 388440
+rect 185418 388388 185442 388440
+rect 185494 388388 185516 388440
+rect 185568 388388 185590 388440
+rect 185642 388431 274682 388440
+rect 185642 388397 274623 388431
+rect 274657 388397 274682 388431
+rect 185642 388388 274682 388397
+rect 185352 388374 274682 388388
+rect 1950 388126 94122 388140
+rect 1950 388074 1964 388126
+rect 2016 388074 2040 388126
+rect 2092 388074 2114 388126
+rect 2166 388074 2188 388126
+rect 2240 388074 93832 388126
+rect 93884 388074 93908 388126
+rect 93960 388074 93982 388126
+rect 94034 388074 94056 388126
+rect 94108 388074 94122 388126
+rect 1950 388060 94122 388074
+rect 185900 388126 274490 388140
+rect 185900 388074 185914 388126
+rect 185966 388074 185990 388126
+rect 186042 388074 186064 388126
+rect 186116 388074 186138 388126
+rect 186190 388074 274490 388126
+rect 185900 388060 274490 388074
+rect 3950 387986 96122 388000
+rect 3950 387934 3964 387986
+rect 4016 387934 4040 387986
+rect 4092 387934 4114 387986
+rect 4166 387934 4188 387986
+rect 4240 387934 95832 387986
+rect 95884 387934 95908 387986
+rect 95960 387934 95982 387986
+rect 96034 387934 96056 387986
+rect 96108 387934 96122 387986
+rect 3950 387920 96122 387934
+rect 187900 387986 261450 388000
+rect 187900 387934 187914 387986
+rect 187966 387934 187990 387986
+rect 188042 387934 188064 387986
+rect 188116 387934 188138 387986
+rect 188190 387934 261150 387986
+rect 261202 387934 261226 387986
+rect 261278 387934 261300 387986
+rect 261352 387934 261374 387986
+rect 261426 387934 261450 387986
+rect 187900 387920 261450 387934
+rect 263162 387986 265206 388000
+rect 263162 387934 263176 387986
+rect 263228 387934 263252 387986
+rect 263304 387934 263326 387986
+rect 263378 387934 263400 387986
+rect 263452 387979 265206 387986
+rect 263452 387945 264991 387979
+rect 265025 387977 265151 387979
+rect 265025 387945 265069 387977
+rect 263452 387943 265069 387945
+rect 265103 387945 265151 387977
+rect 265185 387945 265206 387979
+rect 265103 387943 265206 387945
+rect 263452 387934 265206 387943
+rect 263162 387920 265206 387934
+rect 266850 387979 268984 388000
+rect 266850 387945 266875 387979
+rect 266909 387977 267035 387979
+rect 266909 387945 266953 387977
+rect 266850 387943 266953 387945
+rect 266987 387945 267035 387977
+rect 267069 387945 268769 387979
+rect 268803 387977 268929 387979
+rect 268803 387945 268847 387977
+rect 266987 387943 268847 387945
+rect 268881 387945 268929 387977
+rect 268963 387945 268984 387979
+rect 268881 387943 268984 387945
+rect 266850 387920 268984 387943
+rect 270650 387979 272792 388000
+rect 270650 387945 270675 387979
+rect 270709 387977 270835 387979
+rect 270709 387945 270753 387977
+rect 270650 387943 270753 387945
+rect 270787 387945 270835 387977
+rect 270869 387945 272577 387979
+rect 272611 387945 272659 387979
+rect 272693 387945 272741 387979
+rect 272775 387945 272792 387979
+rect 270787 387943 272792 387945
+rect 270650 387920 272792 387943
+rect 5950 387816 6248 387830
+rect 5950 387764 5964 387816
+rect 6016 387764 6040 387816
+rect 6092 387764 6114 387816
+rect 6166 387764 6188 387816
+rect 6240 387764 6248 387816
+rect 5950 343822 6248 387764
+rect 98032 387816 171582 387830
+rect 98032 387764 98046 387816
+rect 98098 387764 98122 387816
+rect 98174 387764 98196 387816
+rect 98248 387764 98270 387816
+rect 98322 387764 171282 387816
+rect 171334 387764 171358 387816
+rect 171410 387764 171432 387816
+rect 171484 387764 171506 387816
+rect 171558 387764 171582 387816
+rect 98032 387750 171582 387764
+rect 223720 387816 274428 387830
+rect 223720 387764 223734 387816
+rect 223786 387764 223810 387816
+rect 223862 387764 223884 387816
+rect 223936 387764 223958 387816
+rect 224010 387764 274428 387816
+rect 223720 387750 274428 387764
+rect 7950 387502 96848 387514
+rect 7950 387450 7964 387502
+rect 8016 387450 8040 387502
+rect 8092 387450 8114 387502
+rect 8166 387450 8188 387502
+rect 8240 387450 96558 387502
+rect 96610 387450 96634 387502
+rect 96686 387450 96708 387502
+rect 96760 387450 96782 387502
+rect 96834 387450 96848 387502
+rect 7950 387422 96848 387450
+rect 7950 387370 7964 387422
+rect 8016 387370 8040 387422
+rect 8092 387370 8114 387422
+rect 8166 387370 8188 387422
+rect 8240 387370 96558 387422
+rect 96610 387370 96634 387422
+rect 96686 387370 96708 387422
+rect 96760 387370 96782 387422
+rect 96834 387370 96848 387422
+rect 7950 387356 96848 387370
+rect 185352 387502 274682 387514
+rect 185352 387450 185366 387502
+rect 185418 387450 185442 387502
+rect 185494 387450 185516 387502
+rect 185568 387450 185590 387502
+rect 185642 387489 274682 387502
+rect 185642 387455 274623 387489
+rect 274657 387455 274682 387489
+rect 185642 387450 274682 387455
+rect 185352 387422 274682 387450
+rect 185352 387370 185366 387422
+rect 185418 387370 185442 387422
+rect 185494 387370 185516 387422
+rect 185568 387370 185590 387422
+rect 185642 387411 274682 387422
+rect 185642 387377 274623 387411
+rect 274657 387377 274682 387411
+rect 185642 387370 274682 387377
+rect 185352 387356 274682 387370
+rect 274752 387059 275262 387090
+rect 274752 387025 274773 387059
+rect 274807 387025 275203 387059
+rect 275237 387025 275262 387059
+rect 5950 343770 5964 343822
+rect 6016 343770 6040 343822
+rect 6092 343770 6114 343822
+rect 6166 343770 6188 343822
+rect 6240 343770 6248 343822
+rect 5950 343742 6248 343770
+rect 5950 343690 5964 343742
+rect 6016 343690 6040 343742
+rect 6092 343690 6114 343742
+rect 6166 343690 6188 343742
+rect 6240 343690 6248 343742
+rect 5950 343676 6248 343690
+rect 7950 343932 8248 343946
+rect 7950 343880 7964 343932
+rect 8016 343880 8040 343932
+rect 8092 343880 8114 343932
+rect 8166 343880 8188 343932
+rect 8240 343880 8248 343932
+rect 7950 343852 8248 343880
+rect 7950 343800 7964 343852
+rect 8016 343800 8040 343852
+rect 8092 343800 8114 343852
+rect 8166 343800 8188 343852
+rect 8240 343800 8248 343852
+rect 7950 300802 8248 343800
+rect 7950 300750 7964 300802
+rect 8016 300750 8040 300802
+rect 8092 300750 8114 300802
+rect 8166 300750 8188 300802
+rect 8240 300750 8248 300802
+rect 7950 300722 8248 300750
+rect 7950 300670 7964 300722
+rect 8016 300670 8040 300722
+rect 8092 300670 8114 300722
+rect 8166 300670 8188 300722
+rect 8240 300670 8248 300722
+rect 7950 300656 8248 300670
+rect 274752 256052 275262 387025
+rect 274752 256050 274858 256052
+rect 274752 255998 274768 256050
+rect 274820 256000 274858 256050
+rect 274910 256050 275262 256052
+rect 274910 256048 275120 256050
+rect 274910 256046 275034 256048
+rect 274910 256000 274946 256046
+rect 274820 255998 274946 256000
+rect 274752 255994 274946 255998
+rect 274998 255996 275034 256046
+rect 275086 255998 275120 256048
+rect 275172 255998 275196 256050
+rect 275248 255998 275262 256050
+rect 275086 255996 275262 255998
+rect 274998 255994 275262 255996
+rect 274752 255970 275262 255994
+rect 274752 255968 275038 255970
+rect 274752 255966 274946 255968
+rect 274752 255914 274768 255966
+rect 274820 255914 274858 255966
+rect 274910 255916 274946 255966
+rect 274998 255918 275038 255968
+rect 275090 255968 275262 255970
+rect 275090 255966 275194 255968
+rect 275090 255918 275114 255966
+rect 274998 255916 275114 255918
+rect 274910 255914 275114 255916
+rect 275166 255916 275194 255966
+rect 275246 255916 275262 255968
+rect 275166 255914 275262 255916
+rect 274752 255890 275262 255914
+rect 275332 386689 275852 386710
+rect 275332 386655 275355 386689
+rect 275389 386655 275795 386689
+rect 275829 386655 275852 386689
+rect 275332 234408 275852 386655
+rect 275332 234406 275450 234408
+rect 275332 234354 275360 234406
+rect 275412 234356 275450 234406
+rect 275502 234406 275852 234408
+rect 275502 234404 275712 234406
+rect 275502 234402 275626 234404
+rect 275502 234356 275538 234402
+rect 275412 234354 275538 234356
+rect 275332 234350 275538 234354
+rect 275590 234352 275626 234402
+rect 275678 234354 275712 234404
+rect 275764 234354 275788 234406
+rect 275840 234354 275852 234406
+rect 275678 234352 275852 234354
+rect 275590 234350 275852 234352
+rect 275332 234326 275852 234350
+rect 275332 234324 275630 234326
+rect 275332 234322 275538 234324
+rect 275332 234270 275360 234322
+rect 275412 234270 275450 234322
+rect 275502 234272 275538 234322
+rect 275590 234274 275630 234324
+rect 275682 234324 275852 234326
+rect 275682 234322 275786 234324
+rect 275682 234274 275706 234322
+rect 275590 234272 275706 234274
+rect 275502 234270 275706 234272
+rect 275758 234272 275786 234322
+rect 275838 234272 275852 234324
+rect 275758 234270 275852 234272
+rect 275332 234244 275852 234270
+rect 275952 386305 276472 386336
+rect 275952 386271 275973 386305
+rect 276007 386271 276415 386305
+rect 276449 386271 276472 386305
+rect 275952 212422 276472 386271
+rect 276632 384830 277152 385982
+rect 279952 385618 380834 385630
+rect 279952 385615 380544 385618
+rect 277392 385224 277912 385604
+rect 279952 385581 279975 385615
+rect 280009 385581 380544 385615
+rect 279952 385566 380544 385581
+rect 380596 385566 380620 385618
+rect 380672 385566 380694 385618
+rect 380746 385566 380768 385618
+rect 380820 385566 380834 385618
+rect 279952 385538 380834 385566
+rect 279952 385535 380544 385538
+rect 279952 385501 279973 385535
+rect 280007 385501 380544 385535
+rect 279952 385486 380544 385501
+rect 380596 385486 380620 385538
+rect 380672 385486 380694 385538
+rect 380746 385486 380768 385538
+rect 380820 385486 380834 385538
+rect 279952 385472 380834 385486
+rect 481218 385618 581960 385630
+rect 481218 385566 481232 385618
+rect 481284 385566 481308 385618
+rect 481360 385566 481382 385618
+rect 481434 385566 481456 385618
+rect 481508 385566 581678 385618
+rect 581730 385566 581754 385618
+rect 581806 385566 581828 385618
+rect 581880 385566 581902 385618
+rect 581954 385566 581960 385618
+rect 481218 385538 581960 385566
+rect 481218 385486 481232 385538
+rect 481284 385486 481308 385538
+rect 481360 385486 481382 385538
+rect 481434 385486 481456 385538
+rect 481508 385486 581678 385538
+rect 581730 385486 581754 385538
+rect 581806 385486 581828 385538
+rect 581880 385486 581902 385538
+rect 581954 385486 581960 385538
+rect 481218 385472 581960 385486
+rect 277392 385172 277408 385224
+rect 277460 385172 277484 385224
+rect 277536 385172 277558 385224
+rect 277610 385172 277632 385224
+rect 277684 385172 277706 385224
+rect 277758 385172 277782 385224
+rect 277834 385172 277856 385224
+rect 277908 385172 277912 385224
+rect 277392 385144 277912 385172
+rect 277392 385092 277408 385144
+rect 277460 385092 277484 385144
+rect 277536 385092 277558 385144
+rect 277610 385092 277632 385144
+rect 277684 385092 277706 385144
+rect 277758 385092 277782 385144
+rect 277834 385092 277856 385144
+rect 277908 385092 277912 385144
+rect 277392 385078 277912 385092
+rect 378130 385224 479168 385236
+rect 378130 385172 378146 385224
+rect 378198 385172 378222 385224
+rect 378274 385172 378296 385224
+rect 378348 385172 378370 385224
+rect 378422 385172 478886 385224
+rect 478938 385172 478962 385224
+rect 479014 385172 479036 385224
+rect 479088 385172 479110 385224
+rect 479162 385172 479168 385224
+rect 378130 385144 479168 385172
+rect 378130 385092 378146 385144
+rect 378198 385092 378222 385144
+rect 378274 385092 378296 385144
+rect 378348 385092 378370 385144
+rect 378422 385092 478886 385144
+rect 478938 385092 478962 385144
+rect 479014 385092 479036 385144
+rect 479088 385092 479110 385144
+rect 479162 385092 479168 385144
+rect 378130 385078 479168 385092
+rect 579564 385224 579864 385236
+rect 579564 385172 579580 385224
+rect 579632 385172 579656 385224
+rect 579708 385172 579730 385224
+rect 579782 385172 579804 385224
+rect 579856 385172 579864 385224
+rect 579564 385144 579864 385172
+rect 579564 385092 579580 385144
+rect 579632 385092 579656 385144
+rect 579708 385092 579730 385144
+rect 579782 385092 579804 385144
+rect 579856 385092 579864 385144
+rect 276632 384778 276648 384830
+rect 276700 384778 276724 384830
+rect 276776 384778 276798 384830
+rect 276850 384778 276872 384830
+rect 276924 384778 276946 384830
+rect 276998 384778 277022 384830
+rect 277074 384778 277096 384830
+rect 277148 384778 277152 384830
+rect 276632 384750 277152 384778
+rect 276632 384698 276648 384750
+rect 276700 384698 276724 384750
+rect 276776 384698 276798 384750
+rect 276850 384698 276872 384750
+rect 276924 384698 276946 384750
+rect 276998 384698 277022 384750
+rect 277074 384698 277096 384750
+rect 277148 384698 277152 384750
+rect 276632 384684 277152 384698
+rect 352208 384830 427738 384842
+rect 352208 384778 352224 384830
+rect 352276 384778 352300 384830
+rect 352352 384778 352374 384830
+rect 352426 384778 352448 384830
+rect 352500 384778 427454 384830
+rect 427506 384778 427530 384830
+rect 427582 384778 427604 384830
+rect 427656 384778 427678 384830
+rect 427730 384778 427738 384830
+rect 352208 384750 427738 384778
+rect 352208 384698 352224 384750
+rect 352276 384698 352300 384750
+rect 352352 384698 352374 384750
+rect 352426 384698 352448 384750
+rect 352500 384698 427454 384750
+rect 427506 384698 427530 384750
+rect 427582 384698 427604 384750
+rect 427656 384698 427678 384750
+rect 427730 384698 427738 384750
+rect 352208 384684 427738 384698
+rect 502662 384830 577904 384842
+rect 502662 384778 502678 384830
+rect 502730 384778 502754 384830
+rect 502806 384778 502828 384830
+rect 502880 384778 502902 384830
+rect 502954 384778 577620 384830
+rect 577672 384778 577696 384830
+rect 577748 384778 577770 384830
+rect 577822 384778 577844 384830
+rect 577896 384778 577904 384830
+rect 502662 384750 577904 384778
+rect 502662 384698 502678 384750
+rect 502730 384698 502754 384750
+rect 502806 384698 502828 384750
+rect 502880 384698 502902 384750
+rect 502954 384698 577620 384750
+rect 577672 384698 577696 384750
+rect 577748 384698 577770 384750
+rect 577822 384698 577844 384750
+rect 577896 384698 577904 384750
+rect 502662 384684 577904 384698
+rect 579564 381924 579864 385092
+rect 579564 355442 579862 381924
+rect 579564 355390 579580 355442
+rect 579632 355390 579656 355442
+rect 579708 355390 579730 355442
+rect 579782 355390 579804 355442
+rect 579856 355390 579862 355442
+rect 579564 355362 579862 355390
+rect 579564 355310 579580 355362
+rect 579632 355310 579656 355362
+rect 579708 355310 579730 355362
+rect 579782 355310 579804 355362
+rect 579856 355310 579862 355362
+rect 579564 355296 579862 355310
+rect 577604 340784 577902 340796
+rect 577604 340732 577620 340784
+rect 577672 340732 577696 340784
+rect 577748 340732 577770 340784
+rect 577822 340732 577844 340784
+rect 577896 340732 577902 340784
+rect 577604 340704 577902 340732
+rect 577604 340652 577620 340704
+rect 577672 340652 577696 340704
+rect 577748 340652 577770 340704
+rect 577822 340652 577844 340704
+rect 577896 340652 577902 340704
+rect 577604 311020 577902 340652
+rect 577604 310968 577620 311020
+rect 577672 310968 577696 311020
+rect 577748 310968 577770 311020
+rect 577822 310968 577844 311020
+rect 577896 310968 577902 311020
+rect 577604 310940 577902 310968
+rect 577604 310888 577620 310940
+rect 577672 310888 577696 310940
+rect 577748 310888 577770 310940
+rect 577822 310888 577844 310940
+rect 577896 310888 577902 310940
+rect 577604 310874 577902 310888
+rect 275952 212420 276070 212422
+rect 275952 212368 275980 212420
+rect 276032 212370 276070 212420
+rect 276122 212420 276472 212422
+rect 276122 212418 276332 212420
+rect 276122 212416 276246 212418
+rect 276122 212370 276158 212416
+rect 276032 212368 276158 212370
+rect 275952 212364 276158 212368
+rect 276210 212366 276246 212416
+rect 276298 212368 276332 212418
+rect 276384 212368 276408 212420
+rect 276460 212368 276472 212420
+rect 276298 212366 276472 212368
+rect 276210 212364 276472 212366
+rect 275952 212340 276472 212364
+rect 275952 212338 276250 212340
+rect 275952 212336 276158 212338
+rect 275952 212284 275980 212336
+rect 276032 212284 276070 212336
+rect 276122 212286 276158 212336
+rect 276210 212288 276250 212338
+rect 276302 212338 276472 212340
+rect 276302 212336 276406 212338
+rect 276302 212288 276326 212336
+rect 276210 212286 276326 212288
+rect 276122 212284 276326 212286
+rect 276378 212286 276406 212336
+rect 276458 212286 276472 212338
+rect 276378 212284 276472 212286
+rect 275952 212258 276472 212284
+<< via1 >>
+rect 568804 696079 568856 696131
+rect 568964 696079 569016 696131
+rect 568814 695959 568866 696011
+rect 568984 695959 569036 696011
+rect 525634 694504 525686 694556
+rect 525774 694504 525826 694556
+rect 567544 694199 567596 694251
+rect 567694 694199 567746 694251
+rect 275980 498554 276032 498606
+rect 276070 498556 276122 498608
+rect 276158 498550 276210 498602
+rect 276246 498552 276298 498604
+rect 276332 498554 276384 498606
+rect 276408 498554 276460 498606
+rect 275980 498470 276032 498522
+rect 276070 498470 276122 498522
+rect 276158 498472 276210 498524
+rect 276250 498474 276302 498526
+rect 276326 498470 276378 498522
+rect 276406 498472 276458 498524
+rect 275360 450514 275412 450566
+rect 275450 450516 275502 450568
+rect 275538 450510 275590 450562
+rect 275626 450512 275678 450564
+rect 275712 450514 275764 450566
+rect 275788 450514 275840 450566
+rect 275360 450430 275412 450482
+rect 275450 450430 275502 450482
+rect 275538 450432 275590 450484
+rect 275630 450434 275682 450486
+rect 275706 450430 275758 450482
+rect 275786 450432 275838 450484
+rect 274768 425828 274820 425880
+rect 274858 425830 274910 425882
+rect 274946 425824 274998 425876
+rect 275034 425826 275086 425878
+rect 275120 425828 275172 425880
+rect 275196 425828 275248 425880
+rect 274768 425744 274820 425796
+rect 274858 425744 274910 425796
+rect 274946 425746 274998 425798
+rect 275038 425748 275090 425800
+rect 275114 425744 275166 425796
+rect 275194 425746 275246 425798
+rect 276660 462964 276712 463016
+rect 276750 462966 276802 463018
+rect 276838 462960 276890 463012
+rect 276926 462962 276978 463014
+rect 277012 462964 277064 463016
+rect 277088 462964 277140 463016
+rect 276660 462880 276712 462932
+rect 276750 462880 276802 462932
+rect 276838 462882 276890 462934
+rect 276930 462884 276982 462936
+rect 277006 462880 277058 462932
+rect 277086 462882 277138 462934
+rect 277420 426960 277472 427012
+rect 277510 426962 277562 427014
+rect 277598 426956 277650 427008
+rect 277686 426958 277738 427010
+rect 277772 426960 277824 427012
+rect 277848 426960 277900 427012
+rect 277420 426876 277472 426928
+rect 277510 426876 277562 426928
+rect 277598 426878 277650 426930
+rect 277690 426880 277742 426932
+rect 277766 426876 277818 426928
+rect 277846 426878 277898 426930
+rect 279966 397484 280018 397536
+rect 279964 397410 280016 397462
+rect 279966 397344 280018 397396
+rect 279966 397274 280018 397326
+rect 1964 388468 2016 388520
+rect 2040 388468 2092 388520
+rect 2114 388468 2166 388520
+rect 2188 388468 2240 388520
+rect 96558 388468 96610 388520
+rect 96634 388468 96686 388520
+rect 96708 388468 96760 388520
+rect 96782 388468 96834 388520
+rect 1964 388388 2016 388440
+rect 2040 388388 2092 388440
+rect 2114 388388 2166 388440
+rect 2188 388388 2240 388440
+rect 96558 388388 96610 388440
+rect 96634 388388 96686 388440
+rect 96708 388388 96760 388440
+rect 96782 388388 96834 388440
+rect 185366 388468 185418 388520
+rect 185442 388468 185494 388520
+rect 185516 388468 185568 388520
+rect 185590 388468 185642 388520
+rect 185366 388388 185418 388440
+rect 185442 388388 185494 388440
+rect 185516 388388 185568 388440
+rect 185590 388388 185642 388440
+rect 1964 388074 2016 388126
+rect 2040 388074 2092 388126
+rect 2114 388074 2166 388126
+rect 2188 388074 2240 388126
+rect 93832 388074 93884 388126
+rect 93908 388074 93960 388126
+rect 93982 388074 94034 388126
+rect 94056 388074 94108 388126
+rect 185914 388074 185966 388126
+rect 185990 388074 186042 388126
+rect 186064 388074 186116 388126
+rect 186138 388074 186190 388126
+rect 3964 387934 4016 387986
+rect 4040 387934 4092 387986
+rect 4114 387934 4166 387986
+rect 4188 387934 4240 387986
+rect 95832 387934 95884 387986
+rect 95908 387934 95960 387986
+rect 95982 387934 96034 387986
+rect 96056 387934 96108 387986
+rect 187914 387934 187966 387986
+rect 187990 387934 188042 387986
+rect 188064 387934 188116 387986
+rect 188138 387934 188190 387986
+rect 261150 387934 261202 387986
+rect 261226 387934 261278 387986
+rect 261300 387934 261352 387986
+rect 261374 387934 261426 387986
+rect 263176 387934 263228 387986
+rect 263252 387934 263304 387986
+rect 263326 387934 263378 387986
+rect 263400 387934 263452 387986
+rect 5964 387764 6016 387816
+rect 6040 387764 6092 387816
+rect 6114 387764 6166 387816
+rect 6188 387764 6240 387816
+rect 98046 387764 98098 387816
+rect 98122 387764 98174 387816
+rect 98196 387764 98248 387816
+rect 98270 387764 98322 387816
+rect 171282 387764 171334 387816
+rect 171358 387764 171410 387816
+rect 171432 387764 171484 387816
+rect 171506 387764 171558 387816
+rect 223734 387764 223786 387816
+rect 223810 387764 223862 387816
+rect 223884 387764 223936 387816
+rect 223958 387764 224010 387816
+rect 7964 387450 8016 387502
+rect 8040 387450 8092 387502
+rect 8114 387450 8166 387502
+rect 8188 387450 8240 387502
+rect 96558 387450 96610 387502
+rect 96634 387450 96686 387502
+rect 96708 387450 96760 387502
+rect 96782 387450 96834 387502
+rect 7964 387370 8016 387422
+rect 8040 387370 8092 387422
+rect 8114 387370 8166 387422
+rect 8188 387370 8240 387422
+rect 96558 387370 96610 387422
+rect 96634 387370 96686 387422
+rect 96708 387370 96760 387422
+rect 96782 387370 96834 387422
+rect 185366 387450 185418 387502
+rect 185442 387450 185494 387502
+rect 185516 387450 185568 387502
+rect 185590 387450 185642 387502
+rect 185366 387370 185418 387422
+rect 185442 387370 185494 387422
+rect 185516 387370 185568 387422
+rect 185590 387370 185642 387422
+rect 5964 343770 6016 343822
+rect 6040 343770 6092 343822
+rect 6114 343770 6166 343822
+rect 6188 343770 6240 343822
+rect 5964 343690 6016 343742
+rect 6040 343690 6092 343742
+rect 6114 343690 6166 343742
+rect 6188 343690 6240 343742
+rect 7964 343880 8016 343932
+rect 8040 343880 8092 343932
+rect 8114 343880 8166 343932
+rect 8188 343880 8240 343932
+rect 7964 343800 8016 343852
+rect 8040 343800 8092 343852
+rect 8114 343800 8166 343852
+rect 8188 343800 8240 343852
+rect 7964 300750 8016 300802
+rect 8040 300750 8092 300802
+rect 8114 300750 8166 300802
+rect 8188 300750 8240 300802
+rect 7964 300670 8016 300722
+rect 8040 300670 8092 300722
+rect 8114 300670 8166 300722
+rect 8188 300670 8240 300722
+rect 274768 255998 274820 256050
+rect 274858 256000 274910 256052
+rect 274946 255994 274998 256046
+rect 275034 255996 275086 256048
+rect 275120 255998 275172 256050
+rect 275196 255998 275248 256050
+rect 274768 255914 274820 255966
+rect 274858 255914 274910 255966
+rect 274946 255916 274998 255968
+rect 275038 255918 275090 255970
+rect 275114 255914 275166 255966
+rect 275194 255916 275246 255968
+rect 275360 234354 275412 234406
+rect 275450 234356 275502 234408
+rect 275538 234350 275590 234402
+rect 275626 234352 275678 234404
+rect 275712 234354 275764 234406
+rect 275788 234354 275840 234406
+rect 275360 234270 275412 234322
+rect 275450 234270 275502 234322
+rect 275538 234272 275590 234324
+rect 275630 234274 275682 234326
+rect 275706 234270 275758 234322
+rect 275786 234272 275838 234324
+rect 380544 385566 380596 385618
+rect 380620 385566 380672 385618
+rect 380694 385566 380746 385618
+rect 380768 385566 380820 385618
+rect 380544 385486 380596 385538
+rect 380620 385486 380672 385538
+rect 380694 385486 380746 385538
+rect 380768 385486 380820 385538
+rect 481232 385566 481284 385618
+rect 481308 385566 481360 385618
+rect 481382 385566 481434 385618
+rect 481456 385566 481508 385618
+rect 581678 385566 581730 385618
+rect 581754 385566 581806 385618
+rect 581828 385566 581880 385618
+rect 581902 385566 581954 385618
+rect 481232 385486 481284 385538
+rect 481308 385486 481360 385538
+rect 481382 385486 481434 385538
+rect 481456 385486 481508 385538
+rect 581678 385486 581730 385538
+rect 581754 385486 581806 385538
+rect 581828 385486 581880 385538
+rect 581902 385486 581954 385538
+rect 277408 385172 277460 385224
+rect 277484 385172 277536 385224
+rect 277558 385172 277610 385224
+rect 277632 385172 277684 385224
+rect 277706 385172 277758 385224
+rect 277782 385172 277834 385224
+rect 277856 385172 277908 385224
+rect 277408 385092 277460 385144
+rect 277484 385092 277536 385144
+rect 277558 385092 277610 385144
+rect 277632 385092 277684 385144
+rect 277706 385092 277758 385144
+rect 277782 385092 277834 385144
+rect 277856 385092 277908 385144
+rect 378146 385172 378198 385224
+rect 378222 385172 378274 385224
+rect 378296 385172 378348 385224
+rect 378370 385172 378422 385224
+rect 478886 385172 478938 385224
+rect 478962 385172 479014 385224
+rect 479036 385172 479088 385224
+rect 479110 385172 479162 385224
+rect 378146 385092 378198 385144
+rect 378222 385092 378274 385144
+rect 378296 385092 378348 385144
+rect 378370 385092 378422 385144
+rect 478886 385092 478938 385144
+rect 478962 385092 479014 385144
+rect 479036 385092 479088 385144
+rect 479110 385092 479162 385144
+rect 579580 385172 579632 385224
+rect 579656 385172 579708 385224
+rect 579730 385172 579782 385224
+rect 579804 385172 579856 385224
+rect 579580 385092 579632 385144
+rect 579656 385092 579708 385144
+rect 579730 385092 579782 385144
+rect 579804 385092 579856 385144
+rect 276648 384778 276700 384830
+rect 276724 384778 276776 384830
+rect 276798 384778 276850 384830
+rect 276872 384778 276924 384830
+rect 276946 384778 276998 384830
+rect 277022 384778 277074 384830
+rect 277096 384778 277148 384830
+rect 276648 384698 276700 384750
+rect 276724 384698 276776 384750
+rect 276798 384698 276850 384750
+rect 276872 384698 276924 384750
+rect 276946 384698 276998 384750
+rect 277022 384698 277074 384750
+rect 277096 384698 277148 384750
+rect 352224 384778 352276 384830
+rect 352300 384778 352352 384830
+rect 352374 384778 352426 384830
+rect 352448 384778 352500 384830
+rect 427454 384778 427506 384830
+rect 427530 384778 427582 384830
+rect 427604 384778 427656 384830
+rect 427678 384778 427730 384830
+rect 352224 384698 352276 384750
+rect 352300 384698 352352 384750
+rect 352374 384698 352426 384750
+rect 352448 384698 352500 384750
+rect 427454 384698 427506 384750
+rect 427530 384698 427582 384750
+rect 427604 384698 427656 384750
+rect 427678 384698 427730 384750
+rect 502678 384778 502730 384830
+rect 502754 384778 502806 384830
+rect 502828 384778 502880 384830
+rect 502902 384778 502954 384830
+rect 577620 384778 577672 384830
+rect 577696 384778 577748 384830
+rect 577770 384778 577822 384830
+rect 577844 384778 577896 384830
+rect 502678 384698 502730 384750
+rect 502754 384698 502806 384750
+rect 502828 384698 502880 384750
+rect 502902 384698 502954 384750
+rect 577620 384698 577672 384750
+rect 577696 384698 577748 384750
+rect 577770 384698 577822 384750
+rect 577844 384698 577896 384750
+rect 579580 355390 579632 355442
+rect 579656 355390 579708 355442
+rect 579730 355390 579782 355442
+rect 579804 355390 579856 355442
+rect 579580 355310 579632 355362
+rect 579656 355310 579708 355362
+rect 579730 355310 579782 355362
+rect 579804 355310 579856 355362
+rect 577620 340732 577672 340784
+rect 577696 340732 577748 340784
+rect 577770 340732 577822 340784
+rect 577844 340732 577896 340784
+rect 577620 340652 577672 340704
+rect 577696 340652 577748 340704
+rect 577770 340652 577822 340704
+rect 577844 340652 577896 340704
+rect 577620 310968 577672 311020
+rect 577696 310968 577748 311020
+rect 577770 310968 577822 311020
+rect 577844 310968 577896 311020
+rect 577620 310888 577672 310940
+rect 577696 310888 577748 310940
+rect 577770 310888 577822 310940
+rect 577844 310888 577896 310940
+rect 275980 212368 276032 212420
+rect 276070 212370 276122 212422
+rect 276158 212364 276210 212416
+rect 276246 212366 276298 212418
+rect 276332 212368 276384 212420
+rect 276408 212368 276460 212420
+rect 275980 212284 276032 212336
+rect 276070 212284 276122 212336
+rect 276158 212286 276210 212338
+rect 276250 212288 276302 212340
+rect 276326 212284 276378 212336
+rect 276406 212286 276458 212338
+<< metal2 >>
+rect 568750 697283 569080 697330
+rect 568750 697227 568802 697283
+rect 568858 697227 568982 697283
+rect 569038 697227 569080 697283
+rect 568750 697183 569080 697227
+rect 568750 697173 568962 697183
+rect 568750 697117 568822 697173
+rect 568878 697127 568962 697173
+rect 569018 697127 569080 697183
+rect 568878 697117 569080 697127
+rect 568750 696131 569080 697117
+rect 568750 696079 568804 696131
+rect 568856 696079 568964 696131
+rect 569016 696079 569080 696131
+rect 568750 696011 569080 696079
+rect 568750 695959 568814 696011
+rect 568866 695959 568984 696011
+rect 569036 695959 569080 696011
+rect 568750 695910 569080 695959
+rect 511520 694568 525870 694610
+rect 511520 694558 512347 694568
+rect 511520 694502 511607 694558
+rect 511663 694502 511837 694558
+rect 511893 694502 512087 694558
+rect 512143 694512 512347 694558
+rect 512403 694558 524592 694568
+rect 512403 694548 523292 694558
+rect 512403 694512 512597 694548
+rect 512143 694502 512597 694512
+rect 511520 694492 512597 694502
+rect 512653 694492 512867 694548
+rect 512923 694502 523292 694548
+rect 523348 694502 523372 694558
+rect 523428 694502 523622 694558
+rect 523678 694502 523702 694558
+rect 523758 694502 523972 694558
+rect 524028 694502 524052 694558
+rect 524108 694502 524332 694558
+rect 524388 694502 524412 694558
+rect 524468 694512 524592 694558
+rect 524648 694512 524672 694568
+rect 524728 694556 525870 694568
+rect 524728 694512 525634 694556
+rect 524468 694504 525634 694512
+rect 525686 694504 525774 694556
+rect 525826 694504 525870 694556
+rect 524468 694502 525870 694504
+rect 512923 694492 525870 694502
+rect 511520 694450 525870 694492
+rect 567500 694251 567860 694300
+rect 567500 694199 567544 694251
+rect 567596 694199 567694 694251
+rect 567746 694199 567860 694251
+rect 567500 687290 567860 694199
+rect 275952 583646 276472 583672
+rect 275952 583590 275977 583646
+rect 276033 583590 276061 583646
+rect 276117 583590 276143 583646
+rect 276199 583590 276227 583646
+rect 276283 583590 276311 583646
+rect 276367 583590 276395 583646
+rect 276451 583590 276472 583646
+rect 14992 511614 53136 511642
+rect 14992 511558 15017 511614
+rect 15073 511558 15119 511614
+rect 15175 511558 15203 511614
+rect 15259 511558 52859 511614
+rect 52915 511558 52961 511614
+rect 53017 511558 53045 511614
+rect 53101 511558 53136 511614
+rect 14992 511530 53136 511558
+rect 92430 511614 137348 511642
+rect 92430 511558 92455 511614
+rect 92511 511558 92557 511614
+rect 92613 511558 92641 511614
+rect 92697 511558 137071 511614
+rect 137127 511558 137173 511614
+rect 137229 511558 137257 511614
+rect 137313 511558 137348 511614
+rect 92430 511530 137348 511558
+rect 185900 511614 230816 511642
+rect 185900 511558 185925 511614
+rect 185981 511558 186027 511614
+rect 186083 511558 186111 511614
+rect 186167 511558 230539 511614
+rect 230595 511558 230641 511614
+rect 230697 511558 230725 511614
+rect 230781 511558 230816 511614
+rect 185900 511530 230816 511558
+rect 275332 511614 275852 511642
+rect 275332 511558 275357 511614
+rect 275413 511558 275441 511614
+rect 275497 511558 275523 511614
+rect 275579 511558 275607 511614
+rect 275663 511558 275691 511614
+rect 275747 511558 275775 511614
+rect 275831 511558 275852 511614
+rect 14992 468392 53136 468420
+rect 14992 468336 15017 468392
+rect 15073 468336 15119 468392
+rect 15175 468336 15203 468392
+rect 15259 468336 52859 468392
+rect 52915 468336 52961 468392
+rect 53017 468336 53045 468392
+rect 53101 468336 53136 468392
+rect 14992 468308 53136 468336
+rect 92430 468392 137348 468420
+rect 92430 468336 92455 468392
+rect 92511 468336 92557 468392
+rect 92613 468336 92641 468392
+rect 92697 468336 137071 468392
+rect 137127 468336 137173 468392
+rect 137229 468336 137257 468392
+rect 137313 468336 137348 468392
+rect 92430 468308 137348 468336
+rect 185900 468392 230816 468420
+rect 185900 468336 185925 468392
+rect 185981 468336 186027 468392
+rect 186083 468336 186111 468392
+rect 186167 468336 230539 468392
+rect 230595 468336 230641 468392
+rect 230697 468336 230725 468392
+rect 230781 468336 230816 468392
+rect 185900 468308 230816 468336
+rect 274752 468392 275262 468420
+rect 274752 468336 274773 468392
+rect 274829 468336 274857 468392
+rect 274913 468336 274939 468392
+rect 274995 468336 275023 468392
+rect 275079 468336 275107 468392
+rect 275163 468336 275191 468392
+rect 275247 468336 275262 468392
+rect 274752 425882 275262 468336
+rect 275332 450568 275852 511558
+rect 275952 498608 276472 583590
+rect 289926 583646 328070 583674
+rect 289926 583590 289951 583646
+rect 290007 583590 290053 583646
+rect 290109 583590 290137 583646
+rect 290193 583590 327793 583646
+rect 327849 583590 327895 583646
+rect 327951 583590 327979 583646
+rect 328035 583590 328070 583646
+rect 289926 583562 328070 583590
+rect 367364 583646 412282 583674
+rect 367364 583590 367389 583646
+rect 367445 583590 367491 583646
+rect 367547 583590 367575 583646
+rect 367631 583590 412005 583646
+rect 412061 583590 412107 583646
+rect 412163 583590 412191 583646
+rect 412247 583590 412282 583646
+rect 367364 583562 412282 583590
+rect 460834 583646 505750 583674
+rect 460834 583590 460859 583646
+rect 460915 583590 460961 583646
+rect 461017 583590 461045 583646
+rect 461101 583590 505473 583646
+rect 505529 583590 505575 583646
+rect 505631 583590 505659 583646
+rect 505715 583590 505750 583646
+rect 460834 583562 505750 583590
+rect 567490 555098 567860 687290
+rect 567490 554962 567682 555098
+rect 567818 554962 567860 555098
+rect 567490 554868 567860 554962
+rect 567490 554732 567652 554868
+rect 567788 554732 567860 554868
+rect 567490 554618 567860 554732
+rect 567490 554482 567682 554618
+rect 567818 554482 567860 554618
+rect 567490 554378 567860 554482
+rect 567490 554242 567672 554378
+rect 567808 554242 567860 554378
+rect 567490 554138 567860 554242
+rect 567490 554002 567672 554138
+rect 567808 554002 567860 554138
+rect 567490 544888 567860 554002
+rect 567490 544752 567662 544888
+rect 567798 544752 567860 544888
+rect 567490 544628 567860 544752
+rect 567490 544492 567662 544628
+rect 567798 544492 567860 544628
+rect 567490 544288 567860 544492
+rect 567490 544152 567672 544288
+rect 567808 544152 567860 544288
+rect 567490 543948 567860 544152
+rect 567490 543812 567662 543948
+rect 567798 543812 567860 543948
+rect 567490 543730 567860 543812
+rect 275952 498606 276070 498608
+rect 275952 498554 275980 498606
+rect 276032 498556 276070 498606
+rect 276122 498606 276472 498608
+rect 276122 498604 276332 498606
+rect 276122 498602 276246 498604
+rect 276122 498556 276158 498602
+rect 276032 498554 276158 498556
+rect 275952 498550 276158 498554
+rect 276210 498552 276246 498602
+rect 276298 498554 276332 498604
+rect 276384 498554 276408 498606
+rect 276460 498554 276472 498606
+rect 276298 498552 276472 498554
+rect 276210 498550 276472 498552
+rect 275952 498526 276472 498550
+rect 275952 498524 276250 498526
+rect 275952 498522 276158 498524
+rect 275952 498470 275980 498522
+rect 276032 498470 276070 498522
+rect 276122 498472 276158 498522
+rect 276210 498474 276250 498524
+rect 276302 498524 276472 498526
+rect 276302 498522 276406 498524
+rect 276302 498474 276326 498522
+rect 276210 498472 276326 498474
+rect 276122 498470 276326 498472
+rect 276378 498472 276406 498522
+rect 276458 498472 276472 498524
+rect 276378 498470 276472 498472
+rect 275952 498446 276472 498470
+rect 276632 494224 277152 494252
+rect 276632 494168 276657 494224
+rect 276713 494168 276741 494224
+rect 276797 494168 276823 494224
+rect 276879 494168 276907 494224
+rect 276963 494168 276991 494224
+rect 277047 494168 277075 494224
+rect 277131 494168 277152 494224
+rect 276632 463018 277152 494168
+rect 290570 494224 328714 494252
+rect 290570 494168 290595 494224
+rect 290651 494168 290697 494224
+rect 290753 494168 290781 494224
+rect 290837 494168 328437 494224
+rect 328493 494168 328539 494224
+rect 328595 494168 328623 494224
+rect 328679 494168 328714 494224
+rect 290570 494140 328714 494168
+rect 368008 494224 412926 494252
+rect 368008 494168 368033 494224
+rect 368089 494168 368135 494224
+rect 368191 494168 368219 494224
+rect 368275 494168 412649 494224
+rect 412705 494168 412751 494224
+rect 412807 494168 412835 494224
+rect 412891 494168 412926 494224
+rect 368008 494140 412926 494168
+rect 461478 494224 506394 494252
+rect 461478 494168 461503 494224
+rect 461559 494168 461605 494224
+rect 461661 494168 461689 494224
+rect 461745 494168 506117 494224
+rect 506173 494168 506219 494224
+rect 506275 494168 506303 494224
+rect 506359 494168 506394 494224
+rect 461478 494140 506394 494168
+rect 276632 463016 276750 463018
+rect 276632 462964 276660 463016
+rect 276712 462966 276750 463016
+rect 276802 463016 277152 463018
+rect 276802 463014 277012 463016
+rect 276802 463012 276926 463014
+rect 276802 462966 276838 463012
+rect 276712 462964 276838 462966
+rect 276632 462960 276838 462964
+rect 276890 462962 276926 463012
+rect 276978 462964 277012 463014
+rect 277064 462964 277088 463016
+rect 277140 462964 277152 463016
+rect 276978 462962 277152 462964
+rect 276890 462960 277152 462962
+rect 276632 462936 277152 462960
+rect 276632 462934 276930 462936
+rect 276632 462932 276838 462934
+rect 276632 462880 276660 462932
+rect 276712 462880 276750 462932
+rect 276802 462882 276838 462932
+rect 276890 462884 276930 462934
+rect 276982 462934 277152 462936
+rect 276982 462932 277086 462934
+rect 276982 462884 277006 462932
+rect 276890 462882 277006 462884
+rect 276802 462880 277006 462882
+rect 277058 462882 277086 462932
+rect 277138 462882 277152 462934
+rect 277058 462880 277152 462882
+rect 276632 462856 277152 462880
+rect 275332 450566 275450 450568
+rect 275332 450514 275360 450566
+rect 275412 450516 275450 450566
+rect 275502 450566 275852 450568
+rect 275502 450564 275712 450566
+rect 275502 450562 275626 450564
+rect 275502 450516 275538 450562
+rect 275412 450514 275538 450516
+rect 275332 450510 275538 450514
+rect 275590 450512 275626 450562
+rect 275678 450514 275712 450564
+rect 275764 450514 275788 450566
+rect 275840 450514 275852 450566
+rect 275678 450512 275852 450514
+rect 275590 450510 275852 450512
+rect 275332 450486 275852 450510
+rect 275332 450484 275630 450486
+rect 275332 450482 275538 450484
+rect 275332 450430 275360 450482
+rect 275412 450430 275450 450482
+rect 275502 450432 275538 450482
+rect 275590 450434 275630 450484
+rect 275682 450484 275852 450486
+rect 275682 450482 275786 450484
+rect 275682 450434 275706 450482
+rect 275590 450432 275706 450434
+rect 275502 450430 275706 450432
+rect 275758 450432 275786 450482
+rect 275838 450432 275852 450484
+rect 275758 450430 275852 450432
+rect 275332 450406 275852 450430
+rect 277392 449802 277912 449830
+rect 277392 449746 277413 449802
+rect 277469 449746 277497 449802
+rect 277553 449746 277579 449802
+rect 277635 449746 277663 449802
+rect 277719 449746 277747 449802
+rect 277803 449746 277831 449802
+rect 277887 449746 277912 449802
+rect 277392 427014 277912 449746
+rect 292424 449802 330568 449830
+rect 292424 449746 292449 449802
+rect 292505 449746 292551 449802
+rect 292607 449746 292635 449802
+rect 292691 449746 330291 449802
+rect 330347 449746 330393 449802
+rect 330449 449746 330477 449802
+rect 330533 449746 330568 449802
+rect 292424 449718 330568 449746
+rect 369862 449802 414780 449830
+rect 369862 449746 369887 449802
+rect 369943 449746 369989 449802
+rect 370045 449746 370073 449802
+rect 370129 449746 414503 449802
+rect 414559 449746 414605 449802
+rect 414661 449746 414689 449802
+rect 414745 449746 414780 449802
+rect 369862 449718 414780 449746
+rect 463332 449802 508248 449830
+rect 463332 449746 463357 449802
+rect 463413 449746 463459 449802
+rect 463515 449746 463543 449802
+rect 463599 449746 507971 449802
+rect 508027 449746 508073 449802
+rect 508129 449746 508157 449802
+rect 508213 449746 508248 449802
+rect 463332 449718 508248 449746
+rect 277392 427012 277510 427014
+rect 277392 426960 277420 427012
+rect 277472 426962 277510 427012
+rect 277562 427012 277912 427014
+rect 277562 427010 277772 427012
+rect 277562 427008 277686 427010
+rect 277562 426962 277598 427008
+rect 277472 426960 277598 426962
+rect 277392 426956 277598 426960
+rect 277650 426958 277686 427008
+rect 277738 426960 277772 427010
+rect 277824 426960 277848 427012
+rect 277900 426960 277912 427012
+rect 277738 426958 277912 426960
+rect 277650 426956 277912 426958
+rect 277392 426932 277912 426956
+rect 277392 426930 277690 426932
+rect 277392 426928 277598 426930
+rect 277392 426876 277420 426928
+rect 277472 426876 277510 426928
+rect 277562 426878 277598 426928
+rect 277650 426880 277690 426930
+rect 277742 426930 277912 426932
+rect 277742 426928 277846 426930
+rect 277742 426880 277766 426928
+rect 277650 426878 277766 426880
+rect 277562 426876 277766 426878
+rect 277818 426878 277846 426928
+rect 277898 426878 277912 426930
+rect 277818 426876 277912 426878
+rect 277392 426852 277912 426876
+rect 274752 425880 274858 425882
+rect 274752 425828 274768 425880
+rect 274820 425830 274858 425880
+rect 274910 425880 275262 425882
+rect 274910 425878 275120 425880
+rect 274910 425876 275034 425878
+rect 274910 425830 274946 425876
+rect 274820 425828 274946 425830
+rect 274752 425824 274946 425828
+rect 274998 425826 275034 425876
+rect 275086 425828 275120 425878
+rect 275172 425828 275196 425880
+rect 275248 425828 275262 425880
+rect 275086 425826 275262 425828
+rect 274998 425824 275262 425826
+rect 274752 425800 275262 425824
+rect 274752 425798 275038 425800
+rect 274752 425796 274946 425798
+rect 274752 425744 274768 425796
+rect 274820 425744 274858 425796
+rect 274910 425746 274946 425796
+rect 274998 425748 275038 425798
+rect 275090 425798 275262 425800
+rect 275090 425796 275194 425798
+rect 275090 425748 275114 425796
+rect 274998 425746 275114 425748
+rect 274910 425744 275114 425746
+rect 275166 425746 275194 425796
+rect 275246 425746 275262 425798
+rect 275166 425744 275262 425746
+rect 274752 425682 275262 425744
+rect 1950 425170 2248 425198
+rect 1950 425114 1975 425170
+rect 2031 425114 2077 425170
+rect 2133 425114 2161 425170
+rect 2217 425114 2248 425170
+rect 1950 388520 2248 425114
+rect 279952 405380 280032 405408
+rect 279952 405324 279965 405380
+rect 280021 405324 280032 405380
+rect 279952 397536 280032 405324
+rect 292174 405380 330318 405408
+rect 292174 405324 292199 405380
+rect 292255 405324 292301 405380
+rect 292357 405324 292385 405380
+rect 292441 405324 330041 405380
+rect 330097 405324 330143 405380
+rect 330199 405324 330227 405380
+rect 330283 405324 330318 405380
+rect 292174 405296 330318 405324
+rect 369612 405380 414530 405408
+rect 369612 405324 369637 405380
+rect 369693 405324 369739 405380
+rect 369795 405324 369823 405380
+rect 369879 405324 414253 405380
+rect 414309 405324 414355 405380
+rect 414411 405324 414439 405380
+rect 414495 405324 414530 405380
+rect 369612 405296 414530 405324
+rect 463082 405380 507998 405408
+rect 463082 405324 463107 405380
+rect 463163 405324 463209 405380
+rect 463265 405324 463293 405380
+rect 463349 405324 507721 405380
+rect 507777 405324 507823 405380
+rect 507879 405324 507907 405380
+rect 507963 405324 507998 405380
+rect 463082 405296 507998 405324
+rect 279952 397484 279966 397536
+rect 280018 397484 280032 397536
+rect 279952 397462 280032 397484
+rect 279952 397410 279964 397462
+rect 280016 397410 280032 397462
+rect 279952 397396 280032 397410
+rect 279952 397344 279966 397396
+rect 280018 397344 280032 397396
+rect 279952 397326 280032 397344
+rect 279952 397274 279966 397326
+rect 280018 397274 280032 397326
+rect 279952 397252 280032 397274
+rect 1950 388468 1964 388520
+rect 2016 388468 2040 388520
+rect 2092 388468 2114 388520
+rect 2166 388468 2188 388520
+rect 2240 388468 2248 388520
+rect 1950 388440 2248 388468
+rect 1950 388388 1964 388440
+rect 2016 388388 2040 388440
+rect 2092 388388 2114 388440
+rect 2166 388388 2188 388440
+rect 2240 388388 2248 388440
+rect 1950 388374 2248 388388
+rect 96544 388520 185656 388532
+rect 96544 388468 96558 388520
+rect 96610 388468 96634 388520
+rect 96686 388468 96708 388520
+rect 96760 388468 96782 388520
+rect 96834 388468 185366 388520
+rect 185418 388468 185442 388520
+rect 185494 388468 185516 388520
+rect 185568 388468 185590 388520
+rect 185642 388468 185656 388520
+rect 96544 388440 185656 388468
+rect 96544 388388 96558 388440
+rect 96610 388388 96634 388440
+rect 96686 388388 96708 388440
+rect 96760 388388 96782 388440
+rect 96834 388388 185366 388440
+rect 185418 388388 185442 388440
+rect 185494 388388 185516 388440
+rect 185568 388388 185590 388440
+rect 185642 388388 185656 388440
+rect 96544 388374 185656 388388
+rect 1950 388126 2248 388140
+rect 1950 388074 1964 388126
+rect 2016 388074 2040 388126
+rect 2092 388074 2114 388126
+rect 2166 388074 2188 388126
+rect 2240 388074 2248 388126
+rect 1950 381948 2248 388074
+rect 93818 388126 186204 388140
+rect 93818 388074 93832 388126
+rect 93884 388074 93908 388126
+rect 93960 388074 93982 388126
+rect 94034 388074 94056 388126
+rect 94108 388074 185914 388126
+rect 185966 388074 185990 388126
+rect 186042 388074 186064 388126
+rect 186116 388074 186138 388126
+rect 186190 388074 186204 388126
+rect 93818 388060 186204 388074
+rect 1950 381892 1975 381948
+rect 2031 381892 2077 381948
+rect 2133 381892 2161 381948
+rect 2217 381892 2248 381948
+rect 1950 381864 2248 381892
+rect 3950 387986 4248 388000
+rect 3950 387934 3964 387986
+rect 4016 387934 4040 387986
+rect 4092 387934 4114 387986
+rect 4166 387934 4188 387986
+rect 4240 387934 4248 387986
+rect 3950 338726 4248 387934
+rect 95818 387986 188204 388000
+rect 95818 387934 95832 387986
+rect 95884 387934 95908 387986
+rect 95960 387934 95982 387986
+rect 96034 387934 96056 387986
+rect 96108 387934 187914 387986
+rect 187966 387934 187990 387986
+rect 188042 387934 188064 387986
+rect 188116 387934 188138 387986
+rect 188190 387934 188204 387986
+rect 95818 387920 188204 387934
+rect 261136 387986 263466 388000
+rect 261136 387934 261150 387986
+rect 261202 387934 261226 387986
+rect 261278 387934 261300 387986
+rect 261352 387934 261374 387986
+rect 261426 387934 263176 387986
+rect 263228 387934 263252 387986
+rect 263304 387934 263326 387986
+rect 263378 387934 263400 387986
+rect 263452 387934 263466 387986
+rect 261136 387920 263466 387934
+rect 5950 387816 98336 387830
+rect 5950 387764 5964 387816
+rect 6016 387764 6040 387816
+rect 6092 387764 6114 387816
+rect 6166 387764 6188 387816
+rect 6240 387764 98046 387816
+rect 98098 387764 98122 387816
+rect 98174 387764 98196 387816
+rect 98248 387764 98270 387816
+rect 98322 387764 98336 387816
+rect 5950 387750 98336 387764
+rect 171268 387816 224024 387830
+rect 171268 387764 171282 387816
+rect 171334 387764 171358 387816
+rect 171410 387764 171432 387816
+rect 171484 387764 171506 387816
+rect 171558 387764 223734 387816
+rect 223786 387764 223810 387816
+rect 223862 387764 223884 387816
+rect 223936 387764 223958 387816
+rect 224010 387764 224024 387816
+rect 171268 387750 224024 387764
+rect 7950 387502 8248 387514
+rect 7950 387450 7964 387502
+rect 8016 387450 8040 387502
+rect 8092 387450 8114 387502
+rect 8166 387450 8188 387502
+rect 8240 387450 8248 387502
+rect 7950 387422 8248 387450
+rect 7950 387370 7964 387422
+rect 8016 387370 8040 387422
+rect 8092 387370 8114 387422
+rect 8166 387370 8188 387422
+rect 8240 387370 8248 387422
+rect 7950 343932 8248 387370
+rect 96544 387502 185656 387514
+rect 96544 387450 96558 387502
+rect 96610 387450 96634 387502
+rect 96686 387450 96708 387502
+rect 96760 387450 96782 387502
+rect 96834 387450 185366 387502
+rect 185418 387450 185442 387502
+rect 185494 387450 185516 387502
+rect 185568 387450 185590 387502
+rect 185642 387450 185656 387502
+rect 96544 387422 185656 387450
+rect 96544 387370 96558 387422
+rect 96610 387370 96634 387422
+rect 96686 387370 96708 387422
+rect 96760 387370 96782 387422
+rect 96834 387370 185366 387422
+rect 185418 387370 185442 387422
+rect 185494 387370 185516 387422
+rect 185568 387370 185590 387422
+rect 185642 387370 185656 387422
+rect 96544 387356 185656 387370
+rect 380528 385618 481522 385630
+rect 380528 385566 380544 385618
+rect 380596 385566 380620 385618
+rect 380672 385566 380694 385618
+rect 380746 385566 380768 385618
+rect 380820 385566 481232 385618
+rect 481284 385566 481308 385618
+rect 481360 385566 481382 385618
+rect 481434 385566 481456 385618
+rect 481508 385566 481522 385618
+rect 380528 385538 481522 385566
+rect 380528 385486 380544 385538
+rect 380596 385486 380620 385538
+rect 380672 385486 380694 385538
+rect 380746 385486 380768 385538
+rect 380820 385486 481232 385538
+rect 481284 385486 481308 385538
+rect 481360 385486 481382 385538
+rect 481434 385486 481456 385538
+rect 481508 385486 481522 385538
+rect 380528 385472 481522 385486
+rect 581662 385618 581960 385630
+rect 581662 385566 581678 385618
+rect 581730 385566 581754 385618
+rect 581806 385566 581828 385618
+rect 581880 385566 581902 385618
+rect 581954 385566 581960 385618
+rect 581662 385538 581960 385566
+rect 581662 385486 581678 385538
+rect 581730 385486 581754 385538
+rect 581806 385486 581828 385538
+rect 581880 385486 581902 385538
+rect 581954 385486 581960 385538
+rect 277392 385224 378428 385236
+rect 277392 385172 277408 385224
+rect 277460 385172 277484 385224
+rect 277536 385172 277558 385224
+rect 277610 385172 277632 385224
+rect 277684 385172 277706 385224
+rect 277758 385172 277782 385224
+rect 277834 385172 277856 385224
+rect 277908 385172 378146 385224
+rect 378198 385172 378222 385224
+rect 378274 385172 378296 385224
+rect 378348 385172 378370 385224
+rect 378422 385172 378428 385224
+rect 277392 385144 378428 385172
+rect 277392 385092 277408 385144
+rect 277460 385092 277484 385144
+rect 277536 385092 277558 385144
+rect 277610 385092 277632 385144
+rect 277684 385092 277706 385144
+rect 277758 385092 277782 385144
+rect 277834 385092 277856 385144
+rect 277908 385092 378146 385144
+rect 378198 385092 378222 385144
+rect 378274 385092 378296 385144
+rect 378348 385092 378370 385144
+rect 378422 385092 378428 385144
+rect 277392 385078 378428 385092
+rect 478870 385224 579864 385236
+rect 478870 385172 478886 385224
+rect 478938 385172 478962 385224
+rect 479014 385172 479036 385224
+rect 479088 385172 479110 385224
+rect 479162 385172 579580 385224
+rect 579632 385172 579656 385224
+rect 579708 385172 579730 385224
+rect 579782 385172 579804 385224
+rect 579856 385172 579864 385224
+rect 478870 385144 579864 385172
+rect 478870 385092 478886 385144
+rect 478938 385092 478962 385144
+rect 479014 385092 479036 385144
+rect 479088 385092 479110 385144
+rect 479162 385092 579580 385144
+rect 579632 385092 579656 385144
+rect 579708 385092 579730 385144
+rect 579782 385092 579804 385144
+rect 579856 385092 579864 385144
+rect 478870 385078 579864 385092
+rect 276632 384830 352508 384842
+rect 276632 384778 276648 384830
+rect 276700 384778 276724 384830
+rect 276776 384778 276798 384830
+rect 276850 384778 276872 384830
+rect 276924 384778 276946 384830
+rect 276998 384778 277022 384830
+rect 277074 384778 277096 384830
+rect 277148 384778 352224 384830
+rect 352276 384778 352300 384830
+rect 352352 384778 352374 384830
+rect 352426 384778 352448 384830
+rect 352500 384778 352508 384830
+rect 276632 384750 352508 384778
+rect 276632 384698 276648 384750
+rect 276700 384698 276724 384750
+rect 276776 384698 276798 384750
+rect 276850 384698 276872 384750
+rect 276924 384698 276946 384750
+rect 276998 384698 277022 384750
+rect 277074 384698 277096 384750
+rect 277148 384698 352224 384750
+rect 352276 384698 352300 384750
+rect 352352 384698 352374 384750
+rect 352426 384698 352448 384750
+rect 352500 384698 352508 384750
+rect 276632 384684 352508 384698
+rect 427438 384830 502962 384842
+rect 427438 384778 427454 384830
+rect 427506 384778 427530 384830
+rect 427582 384778 427604 384830
+rect 427656 384778 427678 384830
+rect 427730 384778 502678 384830
+rect 502730 384778 502754 384830
+rect 502806 384778 502828 384830
+rect 502880 384778 502902 384830
+rect 502954 384778 502962 384830
+rect 427438 384750 502962 384778
+rect 427438 384698 427454 384750
+rect 427506 384698 427530 384750
+rect 427582 384698 427604 384750
+rect 427656 384698 427678 384750
+rect 427730 384698 502678 384750
+rect 502730 384698 502754 384750
+rect 502806 384698 502828 384750
+rect 502880 384698 502902 384750
+rect 502954 384698 502962 384750
+rect 427438 384684 502962 384698
+rect 577604 384830 577904 384842
+rect 577604 384778 577620 384830
+rect 577672 384778 577696 384830
+rect 577748 384778 577770 384830
+rect 577822 384778 577844 384830
+rect 577896 384778 577904 384830
+rect 577604 384750 577904 384778
+rect 577604 384698 577620 384750
+rect 577672 384698 577696 384750
+rect 577748 384698 577770 384750
+rect 577822 384698 577844 384750
+rect 577896 384698 577904 384750
+rect 7950 343880 7964 343932
+rect 8016 343880 8040 343932
+rect 8092 343880 8114 343932
+rect 8166 343880 8188 343932
+rect 8240 343880 8248 343932
+rect 7950 343852 8248 343880
+rect 3950 338670 3975 338726
+rect 4031 338670 4077 338726
+rect 4133 338670 4161 338726
+rect 4217 338670 4248 338726
+rect 3950 338642 4248 338670
+rect 5950 343822 6248 343836
+rect 5950 343770 5964 343822
+rect 6016 343770 6040 343822
+rect 6092 343770 6114 343822
+rect 6166 343770 6188 343822
+rect 6240 343770 6248 343822
+rect 7950 343800 7964 343852
+rect 8016 343800 8040 343852
+rect 8092 343800 8114 343852
+rect 8166 343800 8188 343852
+rect 8240 343800 8248 343852
+rect 7950 343786 8248 343800
+rect 577604 384556 577904 384698
+rect 5950 343742 6248 343770
+rect 5950 343690 5964 343742
+rect 6016 343690 6040 343742
+rect 6092 343690 6114 343742
+rect 6166 343690 6188 343742
+rect 6240 343690 6248 343742
+rect 5950 295504 6248 343690
+rect 577604 340784 577902 384556
+rect 581662 358958 581960 385486
+rect 581662 358902 581687 358958
+rect 581743 358902 581789 358958
+rect 581845 358902 581873 358958
+rect 581929 358902 581960 358958
+rect 581662 358874 581960 358902
+rect 577604 340732 577620 340784
+rect 577672 340732 577696 340784
+rect 577748 340732 577770 340784
+rect 577822 340732 577844 340784
+rect 577896 340732 577902 340784
+rect 577604 340704 577902 340732
+rect 577604 340652 577620 340704
+rect 577672 340652 577696 340704
+rect 577748 340652 577770 340704
+rect 577822 340652 577844 340704
+rect 577896 340652 577902 340704
+rect 577604 340638 577902 340652
+rect 579564 355442 579862 355454
+rect 579564 355390 579580 355442
+rect 579632 355390 579656 355442
+rect 579708 355390 579730 355442
+rect 579782 355390 579804 355442
+rect 579856 355390 579862 355442
+rect 579564 355362 579862 355390
+rect 579564 355310 579580 355362
+rect 579632 355310 579656 355362
+rect 579708 355310 579730 355362
+rect 579782 355310 579804 355362
+rect 579856 355310 579862 355362
+rect 579564 313736 579862 355310
+rect 579564 313680 579589 313736
+rect 579645 313680 579691 313736
+rect 579747 313680 579775 313736
+rect 579831 313680 579862 313736
+rect 579564 313652 579862 313680
+rect 577604 311020 577902 311032
+rect 577604 310968 577620 311020
+rect 577672 310968 577696 311020
+rect 577748 310968 577770 311020
+rect 577822 310968 577844 311020
+rect 577896 310968 577902 311020
+rect 577604 310940 577902 310968
+rect 577604 310888 577620 310940
+rect 577672 310888 577696 310940
+rect 577748 310888 577770 310940
+rect 577822 310888 577844 310940
+rect 577896 310888 577902 310940
+rect 5950 295448 5975 295504
+rect 6031 295448 6077 295504
+rect 6133 295448 6161 295504
+rect 6217 295448 6248 295504
+rect 5950 295420 6248 295448
+rect 7950 300802 8248 300816
+rect 7950 300750 7964 300802
+rect 8016 300750 8040 300802
+rect 8092 300750 8114 300802
+rect 8166 300750 8188 300802
+rect 8240 300750 8248 300802
+rect 7950 300722 8248 300750
+rect 7950 300670 7964 300722
+rect 8016 300670 8040 300722
+rect 8092 300670 8114 300722
+rect 8166 300670 8188 300722
+rect 8240 300670 8248 300722
+rect 7950 252482 8248 300670
+rect 577604 269314 577902 310888
+rect 577604 269258 577629 269314
+rect 577685 269258 577731 269314
+rect 577787 269258 577815 269314
+rect 577871 269258 577902 269314
+rect 577604 269230 577902 269258
+rect 7950 252426 7975 252482
+rect 8031 252426 8077 252482
+rect 8133 252426 8161 252482
+rect 8217 252426 8248 252482
+rect 7950 252398 8248 252426
+rect 274752 256052 275262 256064
+rect 274752 256050 274858 256052
+rect 274752 255998 274768 256050
+rect 274820 256000 274858 256050
+rect 274910 256050 275262 256052
+rect 274910 256048 275120 256050
+rect 274910 256046 275034 256048
+rect 274910 256000 274946 256046
+rect 274820 255998 274946 256000
+rect 274752 255994 274946 255998
+rect 274998 255996 275034 256046
+rect 275086 255998 275120 256048
+rect 275172 255998 275196 256050
+rect 275248 255998 275262 256050
+rect 275086 255996 275262 255998
+rect 274998 255994 275262 255996
+rect 274752 255970 275262 255994
+rect 274752 255968 275038 255970
+rect 274752 255966 274946 255968
+rect 274752 255914 274768 255966
+rect 274820 255914 274858 255966
+rect 274910 255916 274946 255966
+rect 274998 255918 275038 255968
+rect 275090 255968 275262 255970
+rect 275090 255966 275194 255968
+rect 275090 255918 275114 255966
+rect 274998 255916 275114 255918
+rect 274910 255914 275114 255916
+rect 275166 255916 275194 255966
+rect 275246 255916 275262 255968
+rect 275166 255914 275262 255916
+rect 14992 124860 53136 124888
+rect 14992 124804 15017 124860
+rect 15073 124804 15119 124860
+rect 15175 124804 15203 124860
+rect 15259 124804 52859 124860
+rect 52915 124804 52961 124860
+rect 53017 124804 53045 124860
+rect 53101 124804 53136 124860
+rect 14992 124776 53136 124804
+rect 92430 124860 137348 124888
+rect 92430 124804 92455 124860
+rect 92511 124804 92557 124860
+rect 92613 124804 92641 124860
+rect 92697 124804 137071 124860
+rect 137127 124804 137173 124860
+rect 137229 124804 137257 124860
+rect 137313 124804 137348 124860
+rect 92430 124776 137348 124804
+rect 185900 124860 230816 124888
+rect 185900 124804 185925 124860
+rect 185981 124804 186027 124860
+rect 186083 124804 186111 124860
+rect 186167 124804 230539 124860
+rect 230595 124804 230641 124860
+rect 230697 124804 230725 124860
+rect 230781 124804 230816 124860
+rect 185900 124776 230816 124804
+rect 274752 124860 275262 255914
+rect 274752 124804 274773 124860
+rect 274829 124804 274857 124860
+rect 274913 124804 274939 124860
+rect 274995 124804 275023 124860
+rect 275079 124804 275107 124860
+rect 275163 124804 275191 124860
+rect 275247 124804 275262 124860
+rect 274752 124776 275262 124804
+rect 275332 234408 275852 234420
+rect 275332 234406 275450 234408
+rect 275332 234354 275360 234406
+rect 275412 234356 275450 234406
+rect 275502 234406 275852 234408
+rect 275502 234404 275712 234406
+rect 275502 234402 275626 234404
+rect 275502 234356 275538 234402
+rect 275412 234354 275538 234356
+rect 275332 234350 275538 234354
+rect 275590 234352 275626 234402
+rect 275678 234354 275712 234404
+rect 275764 234354 275788 234406
+rect 275840 234354 275852 234406
+rect 275678 234352 275852 234354
+rect 275590 234350 275852 234352
+rect 275332 234326 275852 234350
+rect 275332 234324 275630 234326
+rect 275332 234322 275538 234324
+rect 275332 234270 275360 234322
+rect 275412 234270 275450 234322
+rect 275502 234272 275538 234322
+rect 275590 234274 275630 234324
+rect 275682 234324 275852 234326
+rect 275682 234322 275786 234324
+rect 275682 234274 275706 234322
+rect 275590 234272 275706 234274
+rect 275502 234270 275706 234272
+rect 275758 234272 275786 234322
+rect 275838 234272 275852 234324
+rect 275758 234270 275852 234272
+rect 14992 81638 53136 81666
+rect 14992 81582 15017 81638
+rect 15073 81582 15119 81638
+rect 15175 81582 15203 81638
+rect 15259 81582 52859 81638
+rect 52915 81582 52961 81638
+rect 53017 81582 53045 81638
+rect 53101 81582 53136 81638
+rect 14992 81554 53136 81582
+rect 92430 81638 137348 81666
+rect 92430 81582 92455 81638
+rect 92511 81582 92557 81638
+rect 92613 81582 92641 81638
+rect 92697 81582 137071 81638
+rect 137127 81582 137173 81638
+rect 137229 81582 137257 81638
+rect 137313 81582 137348 81638
+rect 92430 81554 137348 81582
+rect 185900 81638 230816 81666
+rect 185900 81582 185925 81638
+rect 185981 81582 186027 81638
+rect 186083 81582 186111 81638
+rect 186167 81582 230539 81638
+rect 230595 81582 230641 81638
+rect 230697 81582 230725 81638
+rect 230781 81582 230816 81638
+rect 185900 81554 230816 81582
+rect 275332 81638 275852 234270
+rect 275332 81582 275353 81638
+rect 275409 81582 275437 81638
+rect 275493 81582 275519 81638
+rect 275575 81582 275603 81638
+rect 275659 81582 275687 81638
+rect 275743 81582 275771 81638
+rect 275827 81582 275852 81638
+rect 275332 81554 275852 81582
+rect 275952 212422 276472 212434
+rect 275952 212420 276070 212422
+rect 275952 212368 275980 212420
+rect 276032 212370 276070 212420
+rect 276122 212420 276472 212422
+rect 276122 212418 276332 212420
+rect 276122 212416 276246 212418
+rect 276122 212370 276158 212416
+rect 276032 212368 276158 212370
+rect 275952 212364 276158 212368
+rect 276210 212366 276246 212416
+rect 276298 212368 276332 212418
+rect 276384 212368 276408 212420
+rect 276460 212368 276472 212420
+rect 276298 212366 276472 212368
+rect 276210 212364 276472 212366
+rect 275952 212340 276472 212364
+rect 275952 212338 276250 212340
+rect 275952 212336 276158 212338
+rect 275952 212284 275980 212336
+rect 276032 212284 276070 212336
+rect 276122 212286 276158 212336
+rect 276210 212288 276250 212338
+rect 276302 212338 276472 212340
+rect 276302 212336 276406 212338
+rect 276302 212288 276326 212336
+rect 276210 212286 276326 212288
+rect 276122 212284 276326 212286
+rect 276378 212286 276406 212336
+rect 276458 212286 276472 212338
+rect 276378 212284 276472 212286
+rect 14992 38416 53136 38444
+rect 14992 38360 15017 38416
+rect 15073 38360 15119 38416
+rect 15175 38360 15203 38416
+rect 15259 38360 52859 38416
+rect 52915 38360 52961 38416
+rect 53017 38360 53045 38416
+rect 53101 38360 53136 38416
+rect 14992 38332 53136 38360
+rect 92430 38416 137348 38444
+rect 92430 38360 92455 38416
+rect 92511 38360 92557 38416
+rect 92613 38360 92641 38416
+rect 92697 38360 137071 38416
+rect 137127 38360 137173 38416
+rect 137229 38360 137257 38416
+rect 137313 38360 137348 38416
+rect 92430 38332 137348 38360
+rect 185900 38416 230816 38444
+rect 185900 38360 185925 38416
+rect 185981 38360 186027 38416
+rect 186083 38360 186111 38416
+rect 186167 38360 230539 38416
+rect 230595 38360 230641 38416
+rect 230697 38360 230725 38416
+rect 230781 38360 230816 38416
+rect 185900 38332 230816 38360
+rect 275952 38416 276472 212284
+rect 275952 38360 275973 38416
+rect 276029 38360 276057 38416
+rect 276113 38360 276139 38416
+rect 276195 38360 276223 38416
+rect 276279 38360 276307 38416
+rect 276363 38360 276391 38416
+rect 276447 38360 276472 38416
+rect 275952 38332 276472 38360
+rect 524 -800 636 480
+rect 1706 -800 1818 480
+rect 2888 -800 3000 480
+rect 4070 -800 4182 480
+rect 5252 -800 5364 480
+rect 6434 -800 6546 480
+rect 7616 -800 7728 480
+rect 8798 -800 8910 480
+rect 9980 -800 10092 480
+rect 11162 -800 11274 480
+rect 12344 -800 12456 480
+rect 13526 -800 13638 480
+rect 14708 -800 14820 480
+rect 15890 -800 16002 480
+rect 17072 -800 17184 480
+rect 18254 -800 18366 480
+rect 19436 -800 19548 480
+rect 20618 -800 20730 480
+rect 21800 -800 21912 480
+rect 22982 -800 23094 480
+rect 24164 -800 24276 480
+rect 25346 -800 25458 480
+rect 26528 -800 26640 480
+rect 27710 -800 27822 480
+rect 28892 -800 29004 480
+rect 30074 -800 30186 480
+rect 31256 -800 31368 480
+rect 32438 -800 32550 480
+rect 33620 -800 33732 480
+rect 34802 -800 34914 480
+rect 35984 -800 36096 480
+rect 37166 -800 37278 480
+rect 38348 -800 38460 480
+rect 39530 -800 39642 480
+rect 40712 -800 40824 480
+rect 41894 -800 42006 480
+rect 43076 -800 43188 480
+rect 44258 -800 44370 480
+rect 45440 -800 45552 480
+rect 46622 -800 46734 480
+rect 47804 -800 47916 480
+rect 48986 -800 49098 480
+rect 50168 -800 50280 480
+rect 51350 -800 51462 480
+rect 52532 -800 52644 480
+rect 53714 -800 53826 480
+rect 54896 -800 55008 480
+rect 56078 -800 56190 480
+rect 57260 -800 57372 480
+rect 58442 -800 58554 480
+rect 59624 -800 59736 480
+rect 60806 -800 60918 480
+rect 61988 -800 62100 480
+rect 63170 -800 63282 480
+rect 64352 -800 64464 480
+rect 65534 -800 65646 480
+rect 66716 -800 66828 480
+rect 67898 -800 68010 480
+rect 69080 -800 69192 480
+rect 70262 -800 70374 480
+rect 71444 -800 71556 480
+rect 72626 -800 72738 480
+rect 73808 -800 73920 480
+rect 74990 -800 75102 480
+rect 76172 -800 76284 480
+rect 77354 -800 77466 480
+rect 78536 -800 78648 480
+rect 79718 -800 79830 480
+rect 80900 -800 81012 480
+rect 82082 -800 82194 480
+rect 83264 -800 83376 480
+rect 84446 -800 84558 480
+rect 85628 -800 85740 480
+rect 86810 -800 86922 480
+rect 87992 -800 88104 480
+rect 89174 -800 89286 480
+rect 90356 -800 90468 480
+rect 91538 -800 91650 480
+rect 92720 -800 92832 480
+rect 93902 -800 94014 480
+rect 95084 -800 95196 480
+rect 96266 -800 96378 480
+rect 97448 -800 97560 480
+rect 98630 -800 98742 480
+rect 99812 -800 99924 480
+rect 100994 -800 101106 480
+rect 102176 -800 102288 480
+rect 103358 -800 103470 480
+rect 104540 -800 104652 480
+rect 105722 -800 105834 480
+rect 106904 -800 107016 480
+rect 108086 -800 108198 480
+rect 109268 -800 109380 480
+rect 110450 -800 110562 480
+rect 111632 -800 111744 480
+rect 112814 -800 112926 480
+rect 113996 -800 114108 480
+rect 115178 -800 115290 480
+rect 116360 -800 116472 480
+rect 117542 -800 117654 480
+rect 118724 -800 118836 480
+rect 119906 -800 120018 480
+rect 121088 -800 121200 480
+rect 122270 -800 122382 480
+rect 123452 -800 123564 480
+rect 124634 -800 124746 480
+rect 125816 -800 125928 480
+rect 126998 -800 127110 480
+rect 128180 -800 128292 480
+rect 129362 -800 129474 480
+rect 130544 -800 130656 480
+rect 131726 -800 131838 480
+rect 132908 -800 133020 480
+rect 134090 -800 134202 480
+rect 135272 -800 135384 480
+rect 136454 -800 136566 480
+rect 137636 -800 137748 480
+rect 138818 -800 138930 480
+rect 140000 -800 140112 480
+rect 141182 -800 141294 480
+rect 142364 -800 142476 480
+rect 143546 -800 143658 480
+rect 144728 -800 144840 480
+rect 145910 -800 146022 480
+rect 147092 -800 147204 480
+rect 148274 -800 148386 480
+rect 149456 -800 149568 480
+rect 150638 -800 150750 480
+rect 151820 -800 151932 480
+rect 153002 -800 153114 480
+rect 154184 -800 154296 480
+rect 155366 -800 155478 480
+rect 156548 -800 156660 480
+rect 157730 -800 157842 480
+rect 158912 -800 159024 480
+rect 160094 -800 160206 480
+rect 161276 -800 161388 480
+rect 162458 -800 162570 480
+rect 163640 -800 163752 480
+rect 164822 -800 164934 480
+rect 166004 -800 166116 480
+rect 167186 -800 167298 480
+rect 168368 -800 168480 480
+rect 169550 -800 169662 480
+rect 170732 -800 170844 480
+rect 171914 -800 172026 480
+rect 173096 -800 173208 480
+rect 174278 -800 174390 480
+rect 175460 -800 175572 480
+rect 176642 -800 176754 480
+rect 177824 -800 177936 480
+rect 179006 -800 179118 480
+rect 180188 -800 180300 480
+rect 181370 -800 181482 480
+rect 182552 -800 182664 480
+rect 183734 -800 183846 480
+rect 184916 -800 185028 480
+rect 186098 -800 186210 480
+rect 187280 -800 187392 480
+rect 188462 -800 188574 480
+rect 189644 -800 189756 480
+rect 190826 -800 190938 480
+rect 192008 -800 192120 480
+rect 193190 -800 193302 480
+rect 194372 -800 194484 480
+rect 195554 -800 195666 480
+rect 196736 -800 196848 480
+rect 197918 -800 198030 480
+rect 199100 -800 199212 480
+rect 200282 -800 200394 480
+rect 201464 -800 201576 480
+rect 202646 -800 202758 480
+rect 203828 -800 203940 480
+rect 205010 -800 205122 480
+rect 206192 -800 206304 480
+rect 207374 -800 207486 480
+rect 208556 -800 208668 480
+rect 209738 -800 209850 480
+rect 210920 -800 211032 480
+rect 212102 -800 212214 480
+rect 213284 -800 213396 480
+rect 214466 -800 214578 480
+rect 215648 -800 215760 480
+rect 216830 -800 216942 480
+rect 218012 -800 218124 480
+rect 219194 -800 219306 480
+rect 220376 -800 220488 480
+rect 221558 -800 221670 480
+rect 222740 -800 222852 480
+rect 223922 -800 224034 480
+rect 225104 -800 225216 480
+rect 226286 -800 226398 480
+rect 227468 -800 227580 480
+rect 228650 -800 228762 480
+rect 229832 -800 229944 480
+rect 231014 -800 231126 480
+rect 232196 -800 232308 480
+rect 233378 -800 233490 480
+rect 234560 -800 234672 480
+rect 235742 -800 235854 480
+rect 236924 -800 237036 480
+rect 238106 -800 238218 480
+rect 239288 -800 239400 480
+rect 240470 -800 240582 480
+rect 241652 -800 241764 480
+rect 242834 -800 242946 480
+rect 244016 -800 244128 480
+rect 245198 -800 245310 480
+rect 246380 -800 246492 480
+rect 247562 -800 247674 480
+rect 248744 -800 248856 480
+rect 249926 -800 250038 480
+rect 251108 -800 251220 480
+rect 252290 -800 252402 480
+rect 253472 -800 253584 480
+rect 254654 -800 254766 480
+rect 255836 -800 255948 480
+rect 257018 -800 257130 480
+rect 258200 -800 258312 480
+rect 259382 -800 259494 480
+rect 260564 -800 260676 480
+rect 261746 -800 261858 480
+rect 262928 -800 263040 480
+rect 264110 -800 264222 480
+rect 265292 -800 265404 480
+rect 266474 -800 266586 480
+rect 267656 -800 267768 480
+rect 268838 -800 268950 480
+rect 270020 -800 270132 480
+rect 271202 -800 271314 480
+rect 272384 -800 272496 480
+rect 273566 -800 273678 480
+rect 274748 -800 274860 480
+rect 275930 -800 276042 480
+rect 277112 -800 277224 480
+rect 278294 -800 278406 480
+rect 279476 -800 279588 480
+rect 280658 -800 280770 480
+rect 281840 -800 281952 480
+rect 283022 -800 283134 480
+rect 284204 -800 284316 480
+rect 285386 -800 285498 480
+rect 286568 -800 286680 480
+rect 287750 -800 287862 480
+rect 288932 -800 289044 480
+rect 290114 -800 290226 480
+rect 291296 -800 291408 480
+rect 292478 -800 292590 480
+rect 293660 -800 293772 480
+rect 294842 -800 294954 480
+rect 296024 -800 296136 480
+rect 297206 -800 297318 480
+rect 298388 -800 298500 480
+rect 299570 -800 299682 480
+rect 300752 -800 300864 480
+rect 301934 -800 302046 480
+rect 303116 -800 303228 480
+rect 304298 -800 304410 480
+rect 305480 -800 305592 480
+rect 306662 -800 306774 480
+rect 307844 -800 307956 480
+rect 309026 -800 309138 480
+rect 310208 -800 310320 480
+rect 311390 -800 311502 480
+rect 312572 -800 312684 480
+rect 313754 -800 313866 480
+rect 314936 -800 315048 480
+rect 316118 -800 316230 480
+rect 317300 -800 317412 480
+rect 318482 -800 318594 480
+rect 319664 -800 319776 480
+rect 320846 -800 320958 480
+rect 322028 -800 322140 480
+rect 323210 -800 323322 480
+rect 324392 -800 324504 480
+rect 325574 -800 325686 480
+rect 326756 -800 326868 480
+rect 327938 -800 328050 480
+rect 329120 -800 329232 480
+rect 330302 -800 330414 480
+rect 331484 -800 331596 480
+rect 332666 -800 332778 480
+rect 333848 -800 333960 480
+rect 335030 -800 335142 480
+rect 336212 -800 336324 480
+rect 337394 -800 337506 480
+rect 338576 -800 338688 480
+rect 339758 -800 339870 480
+rect 340940 -800 341052 480
+rect 342122 -800 342234 480
+rect 343304 -800 343416 480
+rect 344486 -800 344598 480
+rect 345668 -800 345780 480
+rect 346850 -800 346962 480
+rect 348032 -800 348144 480
+rect 349214 -800 349326 480
+rect 350396 -800 350508 480
+rect 351578 -800 351690 480
+rect 352760 -800 352872 480
+rect 353942 -800 354054 480
+rect 355124 -800 355236 480
+rect 356306 -800 356418 480
+rect 357488 -800 357600 480
+rect 358670 -800 358782 480
+rect 359852 -800 359964 480
+rect 361034 -800 361146 480
+rect 362216 -800 362328 480
+rect 363398 -800 363510 480
+rect 364580 -800 364692 480
+rect 365762 -800 365874 480
+rect 366944 -800 367056 480
+rect 368126 -800 368238 480
+rect 369308 -800 369420 480
+rect 370490 -800 370602 480
+rect 371672 -800 371784 480
+rect 372854 -800 372966 480
+rect 374036 -800 374148 480
+rect 375218 -800 375330 480
+rect 376400 -800 376512 480
+rect 377582 -800 377694 480
+rect 378764 -800 378876 480
+rect 379946 -800 380058 480
+rect 381128 -800 381240 480
+rect 382310 -800 382422 480
+rect 383492 -800 383604 480
+rect 384674 -800 384786 480
+rect 385856 -800 385968 480
+rect 387038 -800 387150 480
+rect 388220 -800 388332 480
+rect 389402 -800 389514 480
+rect 390584 -800 390696 480
+rect 391766 -800 391878 480
+rect 392948 -800 393060 480
+rect 394130 -800 394242 480
+rect 395312 -800 395424 480
+rect 396494 -800 396606 480
+rect 397676 -800 397788 480
+rect 398858 -800 398970 480
+rect 400040 -800 400152 480
+rect 401222 -800 401334 480
+rect 402404 -800 402516 480
+rect 403586 -800 403698 480
+rect 404768 -800 404880 480
+rect 405950 -800 406062 480
+rect 407132 -800 407244 480
+rect 408314 -800 408426 480
+rect 409496 -800 409608 480
+rect 410678 -800 410790 480
+rect 411860 -800 411972 480
+rect 413042 -800 413154 480
+rect 414224 -800 414336 480
+rect 415406 -800 415518 480
+rect 416588 -800 416700 480
+rect 417770 -800 417882 480
+rect 418952 -800 419064 480
+rect 420134 -800 420246 480
+rect 421316 -800 421428 480
+rect 422498 -800 422610 480
+rect 423680 -800 423792 480
+rect 424862 -800 424974 480
+rect 426044 -800 426156 480
+rect 427226 -800 427338 480
+rect 428408 -800 428520 480
+rect 429590 -800 429702 480
+rect 430772 -800 430884 480
+rect 431954 -800 432066 480
+rect 433136 -800 433248 480
+rect 434318 -800 434430 480
+rect 435500 -800 435612 480
+rect 436682 -800 436794 480
+rect 437864 -800 437976 480
+rect 439046 -800 439158 480
+rect 440228 -800 440340 480
+rect 441410 -800 441522 480
+rect 442592 -800 442704 480
+rect 443774 -800 443886 480
+rect 444956 -800 445068 480
+rect 446138 -800 446250 480
+rect 447320 -800 447432 480
+rect 448502 -800 448614 480
+rect 449684 -800 449796 480
+rect 450866 -800 450978 480
+rect 452048 -800 452160 480
+rect 453230 -800 453342 480
+rect 454412 -800 454524 480
+rect 455594 -800 455706 480
+rect 456776 -800 456888 480
+rect 457958 -800 458070 480
+rect 459140 -800 459252 480
+rect 460322 -800 460434 480
+rect 461504 -800 461616 480
+rect 462686 -800 462798 480
+rect 463868 -800 463980 480
+rect 465050 -800 465162 480
+rect 466232 -800 466344 480
+rect 467414 -800 467526 480
+rect 468596 -800 468708 480
+rect 469778 -800 469890 480
+rect 470960 -800 471072 480
+rect 472142 -800 472254 480
+rect 473324 -800 473436 480
+rect 474506 -800 474618 480
+rect 475688 -800 475800 480
+rect 476870 -800 476982 480
+rect 478052 -800 478164 480
+rect 479234 -800 479346 480
+rect 480416 -800 480528 480
+rect 481598 -800 481710 480
+rect 482780 -800 482892 480
+rect 483962 -800 484074 480
+rect 485144 -800 485256 480
+rect 486326 -800 486438 480
+rect 487508 -800 487620 480
+rect 488690 -800 488802 480
+rect 489872 -800 489984 480
+rect 491054 -800 491166 480
+rect 492236 -800 492348 480
+rect 493418 -800 493530 480
+rect 494600 -800 494712 480
+rect 495782 -800 495894 480
+rect 496964 -800 497076 480
+rect 498146 -800 498258 480
+rect 499328 -800 499440 480
+rect 500510 -800 500622 480
+rect 501692 -800 501804 480
+rect 502874 -800 502986 480
+rect 504056 -800 504168 480
+rect 505238 -800 505350 480
+rect 506420 -800 506532 480
+rect 507602 -800 507714 480
+rect 508784 -800 508896 480
+rect 509966 -800 510078 480
+rect 511148 -800 511260 480
+rect 512330 -800 512442 480
+rect 513512 -800 513624 480
+rect 514694 -800 514806 480
+rect 515876 -800 515988 480
+rect 517058 -800 517170 480
+rect 518240 -800 518352 480
+rect 519422 -800 519534 480
+rect 520604 -800 520716 480
+rect 521786 -800 521898 480
+rect 522968 -800 523080 480
+rect 524150 -800 524262 480
+rect 525332 -800 525444 480
+rect 526514 -800 526626 480
+rect 527696 -800 527808 480
+rect 528878 -800 528990 480
+rect 530060 -800 530172 480
+rect 531242 -800 531354 480
+rect 532424 -800 532536 480
+rect 533606 -800 533718 480
+rect 534788 -800 534900 480
+rect 535970 -800 536082 480
+rect 537152 -800 537264 480
+rect 538334 -800 538446 480
+rect 539516 -800 539628 480
+rect 540698 -800 540810 480
+rect 541880 -800 541992 480
+rect 543062 -800 543174 480
+rect 544244 -800 544356 480
+rect 545426 -800 545538 480
+rect 546608 -800 546720 480
+rect 547790 -800 547902 480
+rect 548972 -800 549084 480
+rect 550154 -800 550266 480
+rect 551336 -800 551448 480
+rect 552518 -800 552630 480
+rect 553700 -800 553812 480
+rect 554882 -800 554994 480
+rect 556064 -800 556176 480
+rect 557246 -800 557358 480
+rect 558428 -800 558540 480
+rect 559610 -800 559722 480
+rect 560792 -800 560904 480
+rect 561974 -800 562086 480
+rect 563156 -800 563268 480
+rect 564338 -800 564450 480
+rect 565520 -800 565632 480
+rect 566702 -800 566814 480
+rect 567884 -800 567996 480
+rect 569066 -800 569178 480
+rect 570248 -800 570360 480
+rect 571430 -800 571542 480
+rect 572612 -800 572724 480
+rect 573794 -800 573906 480
+rect 574976 -800 575088 480
+rect 576158 -800 576270 480
+rect 577340 -800 577452 480
+rect 578522 -800 578634 480
+rect 579704 -800 579816 480
+rect 580886 -800 580998 480
+rect 582068 -800 582180 480
+rect 583250 -800 583362 480
+<< via2 >>
+rect 568802 697227 568858 697283
+rect 568982 697227 569038 697283
+rect 568822 697117 568878 697173
+rect 568962 697127 569018 697183
+rect 511607 694502 511663 694558
+rect 511837 694502 511893 694558
+rect 512087 694502 512143 694558
+rect 512347 694512 512403 694568
+rect 512597 694492 512653 694548
+rect 512867 694492 512923 694548
+rect 523292 694502 523348 694558
+rect 523372 694502 523428 694558
+rect 523622 694502 523678 694558
+rect 523702 694502 523758 694558
+rect 523972 694502 524028 694558
+rect 524052 694502 524108 694558
+rect 524332 694502 524388 694558
+rect 524412 694502 524468 694558
+rect 524592 694512 524648 694568
+rect 524672 694512 524728 694568
+rect 275977 583590 276033 583646
+rect 276061 583590 276117 583646
+rect 276143 583590 276199 583646
+rect 276227 583590 276283 583646
+rect 276311 583590 276367 583646
+rect 276395 583590 276451 583646
+rect 15017 511558 15073 511614
+rect 15119 511558 15175 511614
+rect 15203 511558 15259 511614
+rect 52859 511558 52915 511614
+rect 52961 511558 53017 511614
+rect 53045 511558 53101 511614
+rect 92455 511558 92511 511614
+rect 92557 511558 92613 511614
+rect 92641 511558 92697 511614
+rect 137071 511558 137127 511614
+rect 137173 511558 137229 511614
+rect 137257 511558 137313 511614
+rect 185925 511558 185981 511614
+rect 186027 511558 186083 511614
+rect 186111 511558 186167 511614
+rect 230539 511558 230595 511614
+rect 230641 511558 230697 511614
+rect 230725 511558 230781 511614
+rect 275357 511558 275413 511614
+rect 275441 511558 275497 511614
+rect 275523 511558 275579 511614
+rect 275607 511558 275663 511614
+rect 275691 511558 275747 511614
+rect 275775 511558 275831 511614
+rect 15017 468336 15073 468392
+rect 15119 468336 15175 468392
+rect 15203 468336 15259 468392
+rect 52859 468336 52915 468392
+rect 52961 468336 53017 468392
+rect 53045 468336 53101 468392
+rect 92455 468336 92511 468392
+rect 92557 468336 92613 468392
+rect 92641 468336 92697 468392
+rect 137071 468336 137127 468392
+rect 137173 468336 137229 468392
+rect 137257 468336 137313 468392
+rect 185925 468336 185981 468392
+rect 186027 468336 186083 468392
+rect 186111 468336 186167 468392
+rect 230539 468336 230595 468392
+rect 230641 468336 230697 468392
+rect 230725 468336 230781 468392
+rect 274773 468336 274829 468392
+rect 274857 468336 274913 468392
+rect 274939 468336 274995 468392
+rect 275023 468336 275079 468392
+rect 275107 468336 275163 468392
+rect 275191 468336 275247 468392
+rect 289951 583590 290007 583646
+rect 290053 583590 290109 583646
+rect 290137 583590 290193 583646
+rect 327793 583590 327849 583646
+rect 327895 583590 327951 583646
+rect 327979 583590 328035 583646
+rect 367389 583590 367445 583646
+rect 367491 583590 367547 583646
+rect 367575 583590 367631 583646
+rect 412005 583590 412061 583646
+rect 412107 583590 412163 583646
+rect 412191 583590 412247 583646
+rect 460859 583590 460915 583646
+rect 460961 583590 461017 583646
+rect 461045 583590 461101 583646
+rect 505473 583590 505529 583646
+rect 505575 583590 505631 583646
+rect 505659 583590 505715 583646
+rect 567682 554962 567818 555098
+rect 567652 554732 567788 554868
+rect 567682 554482 567818 554618
+rect 567672 554242 567808 554378
+rect 567672 554002 567808 554138
+rect 567662 544752 567798 544888
+rect 567662 544492 567798 544628
+rect 567672 544152 567808 544288
+rect 567662 543812 567798 543948
+rect 276657 494168 276713 494224
+rect 276741 494168 276797 494224
+rect 276823 494168 276879 494224
+rect 276907 494168 276963 494224
+rect 276991 494168 277047 494224
+rect 277075 494168 277131 494224
+rect 290595 494168 290651 494224
+rect 290697 494168 290753 494224
+rect 290781 494168 290837 494224
+rect 328437 494168 328493 494224
+rect 328539 494168 328595 494224
+rect 328623 494168 328679 494224
+rect 368033 494168 368089 494224
+rect 368135 494168 368191 494224
+rect 368219 494168 368275 494224
+rect 412649 494168 412705 494224
+rect 412751 494168 412807 494224
+rect 412835 494168 412891 494224
+rect 461503 494168 461559 494224
+rect 461605 494168 461661 494224
+rect 461689 494168 461745 494224
+rect 506117 494168 506173 494224
+rect 506219 494168 506275 494224
+rect 506303 494168 506359 494224
+rect 277413 449746 277469 449802
+rect 277497 449746 277553 449802
+rect 277579 449746 277635 449802
+rect 277663 449746 277719 449802
+rect 277747 449746 277803 449802
+rect 277831 449746 277887 449802
+rect 292449 449746 292505 449802
+rect 292551 449746 292607 449802
+rect 292635 449746 292691 449802
+rect 330291 449746 330347 449802
+rect 330393 449746 330449 449802
+rect 330477 449746 330533 449802
+rect 369887 449746 369943 449802
+rect 369989 449746 370045 449802
+rect 370073 449746 370129 449802
+rect 414503 449746 414559 449802
+rect 414605 449746 414661 449802
+rect 414689 449746 414745 449802
+rect 463357 449746 463413 449802
+rect 463459 449746 463515 449802
+rect 463543 449746 463599 449802
+rect 507971 449746 508027 449802
+rect 508073 449746 508129 449802
+rect 508157 449746 508213 449802
+rect 1975 425114 2031 425170
+rect 2077 425114 2133 425170
+rect 2161 425114 2217 425170
+rect 279965 405324 280021 405380
+rect 292199 405324 292255 405380
+rect 292301 405324 292357 405380
+rect 292385 405324 292441 405380
+rect 330041 405324 330097 405380
+rect 330143 405324 330199 405380
+rect 330227 405324 330283 405380
+rect 369637 405324 369693 405380
+rect 369739 405324 369795 405380
+rect 369823 405324 369879 405380
+rect 414253 405324 414309 405380
+rect 414355 405324 414411 405380
+rect 414439 405324 414495 405380
+rect 463107 405324 463163 405380
+rect 463209 405324 463265 405380
+rect 463293 405324 463349 405380
+rect 507721 405324 507777 405380
+rect 507823 405324 507879 405380
+rect 507907 405324 507963 405380
+rect 1975 381892 2031 381948
+rect 2077 381892 2133 381948
+rect 2161 381892 2217 381948
+rect 3975 338670 4031 338726
+rect 4077 338670 4133 338726
+rect 4161 338670 4217 338726
+rect 581687 358902 581743 358958
+rect 581789 358902 581845 358958
+rect 581873 358902 581929 358958
+rect 579589 313680 579645 313736
+rect 579691 313680 579747 313736
+rect 579775 313680 579831 313736
+rect 5975 295448 6031 295504
+rect 6077 295448 6133 295504
+rect 6161 295448 6217 295504
+rect 577629 269258 577685 269314
+rect 577731 269258 577787 269314
+rect 577815 269258 577871 269314
+rect 7975 252426 8031 252482
+rect 8077 252426 8133 252482
+rect 8161 252426 8217 252482
+rect 15017 124804 15073 124860
+rect 15119 124804 15175 124860
+rect 15203 124804 15259 124860
+rect 52859 124804 52915 124860
+rect 52961 124804 53017 124860
+rect 53045 124804 53101 124860
+rect 92455 124804 92511 124860
+rect 92557 124804 92613 124860
+rect 92641 124804 92697 124860
+rect 137071 124804 137127 124860
+rect 137173 124804 137229 124860
+rect 137257 124804 137313 124860
+rect 185925 124804 185981 124860
+rect 186027 124804 186083 124860
+rect 186111 124804 186167 124860
+rect 230539 124804 230595 124860
+rect 230641 124804 230697 124860
+rect 230725 124804 230781 124860
+rect 274773 124804 274829 124860
+rect 274857 124804 274913 124860
+rect 274939 124804 274995 124860
+rect 275023 124804 275079 124860
+rect 275107 124804 275163 124860
+rect 275191 124804 275247 124860
+rect 15017 81582 15073 81638
+rect 15119 81582 15175 81638
+rect 15203 81582 15259 81638
+rect 52859 81582 52915 81638
+rect 52961 81582 53017 81638
+rect 53045 81582 53101 81638
+rect 92455 81582 92511 81638
+rect 92557 81582 92613 81638
+rect 92641 81582 92697 81638
+rect 137071 81582 137127 81638
+rect 137173 81582 137229 81638
+rect 137257 81582 137313 81638
+rect 185925 81582 185981 81638
+rect 186027 81582 186083 81638
+rect 186111 81582 186167 81638
+rect 230539 81582 230595 81638
+rect 230641 81582 230697 81638
+rect 230725 81582 230781 81638
+rect 275353 81582 275409 81638
+rect 275437 81582 275493 81638
+rect 275519 81582 275575 81638
+rect 275603 81582 275659 81638
+rect 275687 81582 275743 81638
+rect 275771 81582 275827 81638
+rect 15017 38360 15073 38416
+rect 15119 38360 15175 38416
+rect 15203 38360 15259 38416
+rect 52859 38360 52915 38416
+rect 52961 38360 53017 38416
+rect 53045 38360 53101 38416
+rect 92455 38360 92511 38416
+rect 92557 38360 92613 38416
+rect 92641 38360 92697 38416
+rect 137071 38360 137127 38416
+rect 137173 38360 137229 38416
+rect 137257 38360 137313 38416
+rect 185925 38360 185981 38416
+rect 186027 38360 186083 38416
+rect 186111 38360 186167 38416
+rect 230539 38360 230595 38416
+rect 230641 38360 230697 38416
+rect 230725 38360 230781 38416
+rect 275973 38360 276029 38416
+rect 276057 38360 276113 38416
+rect 276139 38360 276195 38416
+rect 276223 38360 276279 38416
+rect 276307 38360 276363 38416
+rect 276391 38360 276447 38416
+<< metal3 >>
+rect 16194 702300 21194 704800
+rect 68194 702300 73194 704800
+rect 120194 702300 125194 704800
+rect 165594 702300 170594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 702300 418394 704800
+rect 465394 702300 470394 704800
+rect 510594 702340 515394 704800
+rect 520594 702340 525394 704800
+rect 18860 699047 20190 702300
+rect 69620 700200 70900 702300
+rect 69610 700162 70900 700200
+rect 69610 699458 69818 700162
+rect 70682 699458 70900 700162
+rect 69610 699100 70900 699458
+rect 18860 698183 19148 699047
+rect 19932 698183 20190 699047
+rect 18860 697980 20190 698183
+rect 511520 694568 513090 702340
+rect 511520 694558 512347 694568
+rect 511520 694502 511607 694558
+rect 511663 694502 511837 694558
+rect 511893 694502 512087 694558
+rect 512143 694512 512347 694558
+rect 512403 694548 513090 694568
+rect 512403 694512 512597 694548
+rect 512143 694502 512597 694512
+rect 511520 694492 512597 694502
+rect 512653 694492 512867 694548
+rect 512923 694492 513090 694548
+rect 511520 694450 513090 694492
+rect 523230 694568 524800 702340
+rect 566594 702300 571594 704800
+rect 568750 697283 569080 702300
+rect 568750 697227 568802 697283
+rect 568858 697227 568982 697283
+rect 569038 697227 569080 697283
+rect 568750 697183 569080 697227
+rect 568750 697173 568962 697183
+rect 568750 697117 568822 697173
+rect 568878 697127 568962 697173
+rect 569018 697127 569080 697183
+rect 568878 697117 569080 697127
+rect 568750 697080 569080 697117
+rect 523230 694558 524592 694568
+rect 523230 694502 523292 694558
+rect 523348 694502 523372 694558
+rect 523428 694502 523622 694558
+rect 523678 694502 523702 694558
+rect 523758 694502 523972 694558
+rect 524028 694502 524052 694558
+rect 524108 694502 524332 694558
+rect 524388 694502 524412 694558
+rect 524468 694512 524592 694558
+rect 524648 694512 524672 694568
+rect 524728 694512 524800 694568
+rect 524468 694502 524800 694512
+rect 523230 694450 524800 694502
+rect -800 680242 1700 685242
+rect 582300 677984 584800 682984
+rect -800 643842 1660 648642
+rect 582340 639784 584800 644584
+rect -800 633842 1660 638642
+rect 582340 629784 584800 634584
+rect 583520 589472 584800 589584
+rect 583520 588290 584800 588402
+rect 583520 587108 584800 587220
+rect 583520 585926 584800 586038
+rect 583520 584744 584800 584856
+rect 277180 583672 290224 583674
+rect 275952 583646 290224 583672
+rect 275952 583590 275977 583646
+rect 276033 583590 276061 583646
+rect 276117 583590 276143 583646
+rect 276199 583590 276227 583646
+rect 276283 583590 276311 583646
+rect 276367 583590 276395 583646
+rect 276451 583590 289951 583646
+rect 290007 583590 290053 583646
+rect 290109 583590 290137 583646
+rect 290193 583590 290224 583646
+rect 275952 583562 290224 583590
+rect 327768 583646 367662 583674
+rect 327768 583590 327793 583646
+rect 327849 583590 327895 583646
+rect 327951 583590 327979 583646
+rect 328035 583590 367389 583646
+rect 367445 583590 367491 583646
+rect 367547 583590 367575 583646
+rect 367631 583590 367662 583646
+rect 327768 583562 367662 583590
+rect 411980 583646 461132 583674
+rect 411980 583590 412005 583646
+rect 412061 583590 412107 583646
+rect 412163 583590 412191 583646
+rect 412247 583590 460859 583646
+rect 460915 583590 460961 583646
+rect 461017 583590 461045 583646
+rect 461101 583590 461132 583646
+rect 411980 583562 461132 583590
+rect 505448 583646 584800 583674
+rect 505448 583590 505473 583646
+rect 505529 583590 505575 583646
+rect 505631 583590 505659 583646
+rect 505715 583590 584800 583646
+rect 505448 583562 584800 583590
+rect -800 559442 1660 564242
+rect 582340 555200 584800 555362
+rect 567490 555098 584800 555200
+rect 567490 554962 567682 555098
+rect 567818 554962 584800 555098
+rect 567490 554868 584800 554962
+rect 567490 554732 567652 554868
+rect 567788 554732 584800 554868
+rect 567490 554618 584800 554732
+rect 567490 554482 567682 554618
+rect 567818 554482 584800 554618
+rect 567490 554378 584800 554482
+rect 567490 554242 567672 554378
+rect 567808 554242 584800 554378
+rect -800 549442 1660 554242
+rect 567490 554138 584800 554242
+rect 567490 554002 567672 554138
+rect 567808 554002 584800 554138
+rect 567490 553900 584800 554002
+rect 582340 550562 584800 553900
+rect 582340 545030 584800 545362
+rect 567490 544888 584800 545030
+rect 567490 544752 567662 544888
+rect 567798 544752 584800 544888
+rect 567490 544628 584800 544752
+rect 567490 544492 567662 544628
+rect 567798 544492 584800 544628
+rect 567490 544288 584800 544492
+rect 567490 544152 567672 544288
+rect 567808 544152 584800 544288
+rect 567490 543948 584800 544152
+rect 567490 543812 567662 543948
+rect 567798 543812 584800 543948
+rect 567490 543730 584800 543812
+rect 582340 540562 584800 543730
+rect -800 511614 15290 511642
+rect -800 511558 15017 511614
+rect 15073 511558 15119 511614
+rect 15175 511558 15203 511614
+rect 15259 511558 15290 511614
+rect -800 511530 15290 511558
+rect 52834 511614 92728 511642
+rect 52834 511558 52859 511614
+rect 52915 511558 52961 511614
+rect 53017 511558 53045 511614
+rect 53101 511558 92455 511614
+rect 92511 511558 92557 511614
+rect 92613 511558 92641 511614
+rect 92697 511558 92728 511614
+rect 52834 511530 92728 511558
+rect 137046 511614 186198 511642
+rect 137046 511558 137071 511614
+rect 137127 511558 137173 511614
+rect 137229 511558 137257 511614
+rect 137313 511558 185925 511614
+rect 185981 511558 186027 511614
+rect 186083 511558 186111 511614
+rect 186167 511558 186198 511614
+rect 137046 511530 186198 511558
+rect 230514 511614 275852 511642
+rect 230514 511558 230539 511614
+rect 230595 511558 230641 511614
+rect 230697 511558 230725 511614
+rect 230781 511558 275357 511614
+rect 275413 511558 275441 511614
+rect 275497 511558 275523 511614
+rect 275579 511558 275607 511614
+rect 275663 511558 275691 511614
+rect 275747 511558 275775 511614
+rect 275831 511558 275852 511614
+rect 230514 511530 275852 511558
+rect -800 510348 480 510460
+rect -800 509166 480 509278
+rect -800 507984 480 508096
+rect -800 506802 480 506914
+rect -800 505620 480 505732
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
+rect 583520 497686 584800 497798
+rect 583520 496504 584800 496616
+rect 583520 495322 584800 495434
+rect 276632 494224 290868 494252
+rect 276632 494168 276657 494224
+rect 276713 494168 276741 494224
+rect 276797 494168 276823 494224
+rect 276879 494168 276907 494224
+rect 276963 494168 276991 494224
+rect 277047 494168 277075 494224
+rect 277131 494168 290595 494224
+rect 290651 494168 290697 494224
+rect 290753 494168 290781 494224
+rect 290837 494168 290868 494224
+rect 276632 494140 290868 494168
+rect 328412 494224 368306 494252
+rect 328412 494168 328437 494224
+rect 328493 494168 328539 494224
+rect 328595 494168 328623 494224
+rect 328679 494168 368033 494224
+rect 368089 494168 368135 494224
+rect 368191 494168 368219 494224
+rect 368275 494168 368306 494224
+rect 328412 494140 368306 494168
+rect 412624 494224 461776 494252
+rect 412624 494168 412649 494224
+rect 412705 494168 412751 494224
+rect 412807 494168 412835 494224
+rect 412891 494168 461503 494224
+rect 461559 494168 461605 494224
+rect 461661 494168 461689 494224
+rect 461745 494168 461776 494224
+rect 412624 494140 461776 494168
+rect 506092 494224 584800 494252
+rect 506092 494168 506117 494224
+rect 506173 494168 506219 494224
+rect 506275 494168 506303 494224
+rect 506359 494168 584800 494224
+rect 506092 494140 584800 494168
+rect -800 468392 15290 468420
+rect -800 468336 15017 468392
+rect 15073 468336 15119 468392
+rect 15175 468336 15203 468392
+rect 15259 468336 15290 468392
+rect -800 468308 15290 468336
+rect 52834 468392 92728 468420
+rect 52834 468336 52859 468392
+rect 52915 468336 52961 468392
+rect 53017 468336 53045 468392
+rect 53101 468336 92455 468392
+rect 92511 468336 92557 468392
+rect 92613 468336 92641 468392
+rect 92697 468336 92728 468392
+rect 52834 468308 92728 468336
+rect 137046 468392 186198 468420
+rect 137046 468336 137071 468392
+rect 137127 468336 137173 468392
+rect 137229 468336 137257 468392
+rect 137313 468336 185925 468392
+rect 185981 468336 186027 468392
+rect 186083 468336 186111 468392
+rect 186167 468336 186198 468392
+rect 137046 468308 186198 468336
+rect 230514 468392 275262 468420
+rect 230514 468336 230539 468392
+rect 230595 468336 230641 468392
+rect 230697 468336 230725 468392
+rect 230781 468336 274773 468392
+rect 274829 468336 274857 468392
+rect 274913 468336 274939 468392
+rect 274995 468336 275023 468392
+rect 275079 468336 275107 468392
+rect 275163 468336 275191 468392
+rect 275247 468336 275262 468392
+rect 230514 468308 275262 468336
+rect -800 467126 480 467238
+rect -800 465944 480 466056
+rect -800 464762 480 464874
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
+rect 583520 453264 584800 453376
+rect 583520 452082 584800 452194
+rect 583520 450900 584800 451012
+rect 277392 449802 292722 449830
+rect 277392 449746 277413 449802
+rect 277469 449746 277497 449802
+rect 277553 449746 277579 449802
+rect 277635 449746 277663 449802
+rect 277719 449746 277747 449802
+rect 277803 449746 277831 449802
+rect 277887 449746 292449 449802
+rect 292505 449746 292551 449802
+rect 292607 449746 292635 449802
+rect 292691 449746 292722 449802
+rect 277392 449718 292722 449746
+rect 330266 449802 370160 449830
+rect 330266 449746 330291 449802
+rect 330347 449746 330393 449802
+rect 330449 449746 330477 449802
+rect 330533 449746 369887 449802
+rect 369943 449746 369989 449802
+rect 370045 449746 370073 449802
+rect 370129 449746 370160 449802
+rect 330266 449718 370160 449746
+rect 414478 449802 463630 449830
+rect 414478 449746 414503 449802
+rect 414559 449746 414605 449802
+rect 414661 449746 414689 449802
+rect 414745 449746 463357 449802
+rect 463413 449746 463459 449802
+rect 463515 449746 463543 449802
+rect 463599 449746 463630 449802
+rect 414478 449718 463630 449746
+rect 507946 449802 584800 449830
+rect 507946 449746 507971 449802
+rect 508027 449746 508073 449802
+rect 508129 449746 508157 449802
+rect 508213 449746 584800 449802
+rect 507946 449718 584800 449746
+rect -800 425170 2248 425198
+rect -800 425114 1975 425170
+rect 2031 425114 2077 425170
+rect 2133 425114 2161 425170
+rect 2217 425114 2248 425170
+rect -800 425086 2248 425114
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect 583520 411206 584800 411318
+rect 583520 410024 584800 410136
+rect 583520 408842 584800 408954
+rect 583520 407660 584800 407772
+rect 583520 406478 584800 406590
+rect 279952 405380 292472 405408
+rect 279952 405324 279965 405380
+rect 280021 405324 292199 405380
+rect 292255 405324 292301 405380
+rect 292357 405324 292385 405380
+rect 292441 405324 292472 405380
+rect 279952 405296 292472 405324
+rect 330016 405380 369910 405408
+rect 330016 405324 330041 405380
+rect 330097 405324 330143 405380
+rect 330199 405324 330227 405380
+rect 330283 405324 369637 405380
+rect 369693 405324 369739 405380
+rect 369795 405324 369823 405380
+rect 369879 405324 369910 405380
+rect 330016 405296 369910 405324
+rect 414228 405380 463380 405408
+rect 414228 405324 414253 405380
+rect 414309 405324 414355 405380
+rect 414411 405324 414439 405380
+rect 414495 405324 463107 405380
+rect 463163 405324 463209 405380
+rect 463265 405324 463293 405380
+rect 463349 405324 463380 405380
+rect 414228 405296 463380 405324
+rect 507696 405380 584800 405408
+rect 507696 405324 507721 405380
+rect 507777 405324 507823 405380
+rect 507879 405324 507907 405380
+rect 507963 405324 584800 405380
+rect 507696 405296 584800 405324
+rect -800 381948 2248 381976
+rect -800 381892 1975 381948
+rect 2031 381892 2077 381948
+rect 2133 381892 2161 381948
+rect 2217 381892 2248 381948
+rect -800 381864 2248 381892
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378318 480 378430
+rect -800 377136 480 377248
+rect -800 375954 480 376066
+rect 583520 364784 584800 364896
+rect 583520 363602 584800 363714
+rect 583520 362420 584800 362532
+rect 583520 361238 584800 361350
+rect 583520 360056 584800 360168
+rect 581662 358958 584800 358986
+rect 581662 358902 581687 358958
+rect 581743 358902 581789 358958
+rect 581845 358902 581873 358958
+rect 581929 358902 584800 358958
+rect 581662 358874 584800 358902
+rect -800 338726 4248 338754
+rect -800 338670 3975 338726
+rect 4031 338670 4077 338726
+rect 4133 338670 4161 338726
+rect 4217 338670 4248 338726
+rect -800 338642 4248 338670
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335096 480 335208
+rect -800 333914 480 334026
+rect -800 332732 480 332844
+rect 583520 319562 584800 319674
+rect 583520 318380 584800 318492
+rect 583520 317198 584800 317310
+rect 583520 316016 584800 316128
+rect 583520 314834 584800 314946
+rect 579564 313736 584800 313764
+rect 579564 313680 579589 313736
+rect 579645 313680 579691 313736
+rect 579747 313680 579775 313736
+rect 579831 313680 584800 313736
+rect 579564 313652 584800 313680
+rect -800 295504 6248 295532
+rect -800 295448 5975 295504
+rect 6031 295448 6077 295504
+rect 6133 295448 6161 295504
+rect 6217 295448 6248 295504
+rect -800 295420 6248 295448
+rect -800 294238 480 294350
+rect -800 293056 480 293168
+rect -800 291874 480 291986
+rect -800 290692 480 290804
+rect -800 289510 480 289622
+rect 583520 275140 584800 275252
+rect 583520 273958 584800 274070
+rect 583520 272776 584800 272888
+rect 583520 271594 584800 271706
+rect 583520 270412 584800 270524
+rect 577604 269314 584800 269342
+rect 577604 269258 577629 269314
+rect 577685 269258 577731 269314
+rect 577787 269258 577815 269314
+rect 577871 269258 584800 269314
+rect 577604 269230 584800 269258
+rect -800 252482 8248 252510
+rect -800 252426 7975 252482
+rect 8031 252426 8077 252482
+rect 8133 252426 8161 252482
+rect 8217 252426 8248 252482
+rect -800 252398 8248 252426
+rect -800 251216 480 251328
+rect -800 250034 480 250146
+rect -800 248852 480 248964
+rect -800 247670 480 247782
+rect -800 246488 480 246600
+rect 582340 235230 584800 240030
+rect 582340 225230 584800 230030
+rect -800 214888 1660 219688
+rect -800 204888 1660 209688
+rect 582340 191430 584800 196230
+rect 582340 181430 584800 186230
+rect -800 172888 1660 177688
+rect -800 162888 1660 167688
+rect 582340 146830 584800 151630
+rect 582340 136830 584800 141630
+rect -800 124860 15290 124888
+rect -800 124804 15017 124860
+rect 15073 124804 15119 124860
+rect 15175 124804 15203 124860
+rect 15259 124804 15290 124860
+rect -800 124776 15290 124804
+rect 52834 124860 92728 124888
+rect 52834 124804 52859 124860
+rect 52915 124804 52961 124860
+rect 53017 124804 53045 124860
+rect 53101 124804 92455 124860
+rect 92511 124804 92557 124860
+rect 92613 124804 92641 124860
+rect 92697 124804 92728 124860
+rect 52834 124776 92728 124804
+rect 137046 124860 186198 124888
+rect 137046 124804 137071 124860
+rect 137127 124804 137173 124860
+rect 137229 124804 137257 124860
+rect 137313 124804 185925 124860
+rect 185981 124804 186027 124860
+rect 186083 124804 186111 124860
+rect 186167 124804 186198 124860
+rect 137046 124776 186198 124804
+rect 230514 124860 275262 124888
+rect 230514 124804 230539 124860
+rect 230595 124804 230641 124860
+rect 230697 124804 230725 124860
+rect 230781 124804 274773 124860
+rect 274829 124804 274857 124860
+rect 274913 124804 274939 124860
+rect 274995 124804 275023 124860
+rect 275079 124804 275107 124860
+rect 275163 124804 275191 124860
+rect 275247 124804 275262 124860
+rect 230514 124776 275262 124804
+rect -800 123594 480 123706
+rect -800 122412 480 122524
+rect -800 121230 480 121342
+rect -800 120048 480 120160
+rect -800 118866 480 118978
+rect 583520 95118 584800 95230
+rect 583520 93936 584800 94048
+rect 583520 92754 584800 92866
+rect 583520 91572 584800 91684
+rect -800 81638 15290 81666
+rect -800 81582 15017 81638
+rect 15073 81582 15119 81638
+rect 15175 81582 15203 81638
+rect 15259 81582 15290 81638
+rect -800 81554 15290 81582
+rect 52834 81638 92728 81666
+rect 52834 81582 52859 81638
+rect 52915 81582 52961 81638
+rect 53017 81582 53045 81638
+rect 53101 81582 92455 81638
+rect 92511 81582 92557 81638
+rect 92613 81582 92641 81638
+rect 92697 81582 92728 81638
+rect 52834 81554 92728 81582
+rect 137046 81638 186198 81666
+rect 137046 81582 137071 81638
+rect 137127 81582 137173 81638
+rect 137229 81582 137257 81638
+rect 137313 81582 185925 81638
+rect 185981 81582 186027 81638
+rect 186083 81582 186111 81638
+rect 186167 81582 186198 81638
+rect 137046 81554 186198 81582
+rect 230514 81638 275852 81666
+rect 230514 81582 230539 81638
+rect 230595 81582 230641 81638
+rect 230697 81582 230725 81638
+rect 230781 81582 275353 81638
+rect 275409 81582 275437 81638
+rect 275493 81582 275519 81638
+rect 275575 81582 275603 81638
+rect 275659 81582 275687 81638
+rect 275743 81582 275771 81638
+rect 275827 81582 275852 81638
+rect 230514 81554 275852 81582
+rect -800 80372 480 80484
+rect -800 79190 480 79302
+rect -800 78008 480 78120
+rect -800 76826 480 76938
+rect -800 75644 480 75756
+rect 583520 50460 584800 50572
+rect 583520 49278 584800 49390
+rect 583520 48096 584800 48208
+rect 583520 46914 584800 47026
+rect -800 38416 15290 38444
+rect -800 38360 15017 38416
+rect 15073 38360 15119 38416
+rect 15175 38360 15203 38416
+rect 15259 38360 15290 38416
+rect -800 38332 15290 38360
+rect 52834 38416 92728 38444
+rect 52834 38360 52859 38416
+rect 52915 38360 52961 38416
+rect 53017 38360 53045 38416
+rect 53101 38360 92455 38416
+rect 92511 38360 92557 38416
+rect 92613 38360 92641 38416
+rect 92697 38360 92728 38416
+rect 52834 38332 92728 38360
+rect 137046 38416 186198 38444
+rect 137046 38360 137071 38416
+rect 137127 38360 137173 38416
+rect 137229 38360 137257 38416
+rect 137313 38360 185925 38416
+rect 185981 38360 186027 38416
+rect 186083 38360 186111 38416
+rect 186167 38360 186198 38416
+rect 137046 38332 186198 38360
+rect 230514 38416 276472 38444
+rect 230514 38360 230539 38416
+rect 230595 38360 230641 38416
+rect 230697 38360 230725 38416
+rect 230781 38360 275973 38416
+rect 276029 38360 276057 38416
+rect 276113 38360 276139 38416
+rect 276195 38360 276223 38416
+rect 276279 38360 276307 38416
+rect 276363 38360 276391 38416
+rect 276447 38360 276472 38416
+rect 230514 38332 276472 38360
+rect -800 37150 480 37262
+rect -800 35968 480 36080
+rect -800 34786 480 34898
+rect -800 33604 480 33716
+rect -800 32422 480 32534
+rect 583520 24002 584800 24114
+rect 583520 22820 584800 22932
+rect 583520 21638 584800 21750
+rect 583520 20456 584800 20568
+rect 583520 19274 584800 19386
+rect 583520 18092 584800 18204
+rect -800 16910 480 17022
+rect 583520 16910 584800 17022
+rect -800 15728 480 15840
+rect 583520 15728 584800 15840
+rect -800 14546 480 14658
+rect 583520 14546 584800 14658
+rect -800 13364 480 13476
+rect 583520 13364 584800 13476
+rect -800 12182 480 12294
+rect 583520 12182 584800 12294
+rect -800 11000 480 11112
+rect 583520 11000 584800 11112
+rect -800 9818 480 9930
+rect 583520 9818 584800 9930
+rect -800 8636 480 8748
+rect 583520 8636 584800 8748
+rect -800 7454 480 7566
+rect 583520 7454 584800 7566
+rect -800 6272 480 6384
+rect 583520 6272 584800 6384
+rect -800 5090 480 5202
+rect 583520 5090 584800 5202
+rect -800 3908 480 4020
+rect 583520 3908 584800 4020
+rect -800 2726 480 2838
+rect 583520 2726 584800 2838
+rect -800 1544 480 1656
+rect 583520 1544 584800 1656
+<< via3 >>
+rect 69818 699458 70682 700162
+rect 19148 698183 19932 699047
+<< metal4 >>
+rect 69620 700162 70910 700470
+rect 69620 699840 69818 700162
+rect 69610 699458 69818 699840
+rect 70682 699458 70910 700162
+rect 15030 699047 20190 699290
+rect 15030 698943 19148 699047
+rect 15030 698387 15392 698943
+rect 15948 698387 19148 698943
+rect 15030 698183 19148 698387
+rect 19932 698183 20190 699047
+rect 15030 697980 20190 698183
+rect 69610 697300 70910 699458
+<< via4 >>
+rect 15392 698387 15948 698943
+<< metal5 >>
+rect 15030 698943 16310 699290
+rect 15030 698387 15392 698943
+rect 15948 698387 16310 698943
+rect 15030 695930 16310 698387
+use indVCO  indVCO_0
+timestamp 1626418305
+transform 0 -1 96236 -1 0 717238
+box 19920 21200 81200 81200
+use ringosc  ringosc_0
+timestamp 1626418305
+transform 1 0 568214 0 -1 694722
+box -20 -100 1210 640
+use char  char_0
+timestamp 1626418305
+transform 1 0 274702 0 1 388050
+box -280 -2480 5390 2270
+<< labels >>
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1400 0 0 0 gpio_analog[0]
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1400 0 0 0 gpio_analog[10]
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1400 0 0 0 gpio_analog[11]
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1400 0 0 0 gpio_analog[12]
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1400 0 0 0 gpio_analog[13]
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1400 0 0 0 gpio_analog[14]
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1400 0 0 0 gpio_analog[15]
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1400 0 0 0 gpio_analog[16]
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1400 0 0 0 gpio_analog[17]
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1400 0 0 0 gpio_analog[1]
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1400 0 0 0 gpio_analog[2]
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1400 0 0 0 gpio_analog[3]
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1400 0 0 0 gpio_analog[4]
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1400 0 0 0 gpio_analog[5]
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1400 0 0 0 gpio_analog[6]
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1400 0 0 0 gpio_analog[7]
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1400 0 0 0 gpio_analog[8]
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1400 0 0 0 gpio_analog[9]
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1400 0 0 0 gpio_noesd[0]
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1400 0 0 0 gpio_noesd[10]
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1400 0 0 0 gpio_noesd[11]
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1400 0 0 0 gpio_noesd[12]
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1400 0 0 0 gpio_noesd[13]
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1400 0 0 0 gpio_noesd[14]
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1400 0 0 0 gpio_noesd[15]
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1400 0 0 0 gpio_noesd[16]
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1400 0 0 0 gpio_noesd[17]
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1400 0 0 0 gpio_noesd[1]
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1400 0 0 0 gpio_noesd[2]
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1400 0 0 0 gpio_noesd[3]
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1400 0 0 0 gpio_noesd[4]
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1400 0 0 0 gpio_noesd[5]
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1400 0 0 0 gpio_noesd[6]
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1400 0 0 0 gpio_noesd[7]
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1400 0 0 0 gpio_noesd[8]
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1400 0 0 0 gpio_noesd[9]
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1400 0 0 0 io_analog[0]
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1400 0 0 0 io_analog[10]
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 2400 180 0 0 io_analog[1]
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 2400 180 0 0 io_analog[2]
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 2400 180 0 0 io_analog[3]
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 2400 180 0 0 io_analog[7]
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 2400 180 0 0 io_analog[8]
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 2400 180 0 0 io_analog[9]
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 2400 180 0 0 io_clamp_high[0]
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 2400 180 0 0 io_clamp_high[1]
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 2400 180 0 0 io_clamp_high[2]
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 2400 180 0 0 io_clamp_low[0]
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 2400 180 0 0 io_clamp_low[1]
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 2400 180 0 0 io_clamp_low[2]
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1400 0 0 0 io_in[0]
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1400 0 0 0 io_in[10]
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1400 0 0 0 io_in[11]
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1400 0 0 0 io_in[12]
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1400 0 0 0 io_in[13]
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1400 0 0 0 io_in[14]
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1400 0 0 0 io_in[15]
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1400 0 0 0 io_in[16]
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1400 0 0 0 io_in[17]
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1400 0 0 0 io_in[18]
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1400 0 0 0 io_in[19]
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1400 0 0 0 io_in[1]
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1400 0 0 0 io_in[20]
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1400 0 0 0 io_in[21]
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1400 0 0 0 io_in[22]
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1400 0 0 0 io_in[23]
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1400 0 0 0 io_in[24]
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1400 0 0 0 io_in[25]
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1400 0 0 0 io_in[26]
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1400 0 0 0 io_in[2]
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1400 0 0 0 io_in[3]
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1400 0 0 0 io_in[4]
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1400 0 0 0 io_in[5]
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1400 0 0 0 io_in[6]
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1400 0 0 0 io_in[7]
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1400 0 0 0 io_in[8]
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1400 0 0 0 io_in[9]
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1400 0 0 0 io_in_3v3[0]
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1400 0 0 0 io_in_3v3[10]
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1400 0 0 0 io_in_3v3[11]
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1400 0 0 0 io_in_3v3[12]
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1400 0 0 0 io_in_3v3[13]
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1400 0 0 0 io_in_3v3[14]
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1400 0 0 0 io_in_3v3[15]
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1400 0 0 0 io_in_3v3[16]
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1400 0 0 0 io_in_3v3[17]
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1400 0 0 0 io_in_3v3[18]
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1400 0 0 0 io_in_3v3[19]
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1400 0 0 0 io_in_3v3[1]
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1400 0 0 0 io_in_3v3[20]
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1400 0 0 0 io_in_3v3[21]
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1400 0 0 0 io_in_3v3[22]
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1400 0 0 0 io_in_3v3[23]
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1400 0 0 0 io_in_3v3[24]
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1400 0 0 0 io_in_3v3[25]
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1400 0 0 0 io_in_3v3[26]
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1400 0 0 0 io_in_3v3[2]
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1400 0 0 0 io_in_3v3[3]
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1400 0 0 0 io_in_3v3[4]
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1400 0 0 0 io_in_3v3[5]
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1400 0 0 0 io_in_3v3[6]
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1400 0 0 0 io_in_3v3[7]
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1400 0 0 0 io_in_3v3[8]
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1400 0 0 0 io_in_3v3[9]
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1400 0 0 0 io_oeb[0]
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1400 0 0 0 io_oeb[10]
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1400 0 0 0 io_oeb[11]
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1400 0 0 0 io_oeb[12]
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1400 0 0 0 io_oeb[13]
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1400 0 0 0 io_oeb[14]
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1400 0 0 0 io_oeb[15]
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1400 0 0 0 io_oeb[16]
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1400 0 0 0 io_oeb[17]
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1400 0 0 0 io_oeb[18]
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1400 0 0 0 io_oeb[19]
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1400 0 0 0 io_oeb[1]
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1400 0 0 0 io_oeb[20]
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1400 0 0 0 io_oeb[21]
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1400 0 0 0 io_oeb[22]
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1400 0 0 0 io_oeb[23]
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1400 0 0 0 io_oeb[24]
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1400 0 0 0 io_oeb[25]
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1400 0 0 0 io_oeb[26]
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1400 0 0 0 io_oeb[2]
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1400 0 0 0 io_oeb[3]
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1400 0 0 0 io_oeb[4]
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1400 0 0 0 io_oeb[5]
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1400 0 0 0 io_oeb[6]
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1400 0 0 0 io_oeb[7]
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1400 0 0 0 io_oeb[8]
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1400 0 0 0 io_oeb[9]
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1400 0 0 0 io_out[0]
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1400 0 0 0 io_out[10]
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1400 0 0 0 io_out[11]
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1400 0 0 0 io_out[12]
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1400 0 0 0 io_out[13]
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1400 0 0 0 io_out[14]
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1400 0 0 0 io_out[15]
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1400 0 0 0 io_out[16]
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1400 0 0 0 io_out[17]
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1400 0 0 0 io_out[18]
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1400 0 0 0 io_out[19]
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1400 0 0 0 io_out[1]
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1400 0 0 0 io_out[20]
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1400 0 0 0 io_out[21]
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1400 0 0 0 io_out[22]
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1400 0 0 0 io_out[23]
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1400 0 0 0 io_out[24]
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1400 0 0 0 io_out[25]
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1400 0 0 0 io_out[26]
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1400 0 0 0 io_out[2]
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1400 0 0 0 io_out[3]
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1400 0 0 0 io_out[4]
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1400 0 0 0 io_out[5]
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1400 0 0 0 io_out[6]
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1400 0 0 0 io_out[7]
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1400 0 0 0 io_out[8]
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1400 0 0 0 io_out[9]
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1400 90 0 0 la_data_in[0]
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1400 90 0 0 la_data_in[100]
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1400 90 0 0 la_data_in[101]
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1400 90 0 0 la_data_in[102]
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1400 90 0 0 la_data_in[103]
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1400 90 0 0 la_data_in[104]
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1400 90 0 0 la_data_in[105]
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1400 90 0 0 la_data_in[106]
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1400 90 0 0 la_data_in[107]
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1400 90 0 0 la_data_in[108]
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1400 90 0 0 la_data_in[109]
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1400 90 0 0 la_data_in[10]
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1400 90 0 0 la_data_in[110]
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1400 90 0 0 la_data_in[111]
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1400 90 0 0 la_data_in[112]
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1400 90 0 0 la_data_in[113]
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1400 90 0 0 la_data_in[114]
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1400 90 0 0 la_data_in[115]
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1400 90 0 0 la_data_in[116]
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1400 90 0 0 la_data_in[117]
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1400 90 0 0 la_data_in[118]
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1400 90 0 0 la_data_in[119]
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1400 90 0 0 la_data_in[11]
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1400 90 0 0 la_data_in[120]
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1400 90 0 0 la_data_in[121]
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1400 90 0 0 la_data_in[122]
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1400 90 0 0 la_data_in[123]
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1400 90 0 0 la_data_in[124]
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1400 90 0 0 la_data_in[125]
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1400 90 0 0 la_data_in[126]
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1400 90 0 0 la_data_in[127]
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1400 90 0 0 la_data_in[12]
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1400 90 0 0 la_data_in[13]
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1400 90 0 0 la_data_in[14]
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1400 90 0 0 la_data_in[15]
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1400 90 0 0 la_data_in[16]
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1400 90 0 0 la_data_in[17]
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1400 90 0 0 la_data_in[18]
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1400 90 0 0 la_data_in[19]
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1400 90 0 0 la_data_in[1]
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1400 90 0 0 la_data_in[20]
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1400 90 0 0 la_data_in[21]
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1400 90 0 0 la_data_in[22]
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1400 90 0 0 la_data_in[23]
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1400 90 0 0 la_data_in[24]
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1400 90 0 0 la_data_in[25]
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1400 90 0 0 la_data_in[26]
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1400 90 0 0 la_data_in[27]
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1400 90 0 0 la_data_in[28]
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1400 90 0 0 la_data_in[29]
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1400 90 0 0 la_data_in[2]
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1400 90 0 0 la_data_in[30]
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1400 90 0 0 la_data_in[31]
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1400 90 0 0 la_data_in[32]
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1400 90 0 0 la_data_in[33]
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1400 90 0 0 la_data_in[34]
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1400 90 0 0 la_data_in[35]
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1400 90 0 0 la_data_in[36]
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1400 90 0 0 la_data_in[37]
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1400 90 0 0 la_data_in[38]
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1400 90 0 0 la_data_in[39]
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1400 90 0 0 la_data_in[3]
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1400 90 0 0 la_data_in[40]
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1400 90 0 0 la_data_in[41]
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1400 90 0 0 la_data_in[42]
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1400 90 0 0 la_data_in[43]
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1400 90 0 0 la_data_in[44]
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1400 90 0 0 la_data_in[45]
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1400 90 0 0 la_data_in[46]
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1400 90 0 0 la_data_in[47]
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1400 90 0 0 la_data_in[48]
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1400 90 0 0 la_data_in[49]
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1400 90 0 0 la_data_in[4]
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1400 90 0 0 la_data_in[50]
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1400 90 0 0 la_data_in[51]
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1400 90 0 0 la_data_in[52]
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1400 90 0 0 la_data_in[53]
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1400 90 0 0 la_data_in[54]
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1400 90 0 0 la_data_in[55]
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1400 90 0 0 la_data_in[56]
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1400 90 0 0 la_data_in[57]
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1400 90 0 0 la_data_in[58]
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1400 90 0 0 la_data_in[59]
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1400 90 0 0 la_data_in[5]
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1400 90 0 0 la_data_in[60]
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1400 90 0 0 la_data_in[61]
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1400 90 0 0 la_data_in[62]
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1400 90 0 0 la_data_in[63]
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1400 90 0 0 la_data_in[64]
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1400 90 0 0 la_data_in[65]
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1400 90 0 0 la_data_in[66]
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1400 90 0 0 la_data_in[67]
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1400 90 0 0 la_data_in[68]
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1400 90 0 0 la_data_in[69]
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1400 90 0 0 la_data_in[6]
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1400 90 0 0 la_data_in[70]
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1400 90 0 0 la_data_in[71]
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1400 90 0 0 la_data_in[72]
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1400 90 0 0 la_data_in[73]
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1400 90 0 0 la_data_in[74]
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1400 90 0 0 la_data_in[75]
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1400 90 0 0 la_data_in[76]
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1400 90 0 0 la_data_in[77]
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1400 90 0 0 la_data_in[78]
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1400 90 0 0 la_data_in[79]
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1400 90 0 0 la_data_in[7]
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1400 90 0 0 la_data_in[80]
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1400 90 0 0 la_data_in[81]
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1400 90 0 0 la_data_in[82]
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1400 90 0 0 la_data_in[83]
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1400 90 0 0 la_data_in[84]
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1400 90 0 0 la_data_in[85]
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1400 90 0 0 la_data_in[86]
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1400 90 0 0 la_data_in[87]
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1400 90 0 0 la_data_in[88]
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1400 90 0 0 la_data_in[89]
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1400 90 0 0 la_data_in[8]
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1400 90 0 0 la_data_in[90]
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1400 90 0 0 la_data_in[91]
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1400 90 0 0 la_data_in[92]
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1400 90 0 0 la_data_in[93]
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1400 90 0 0 la_data_in[94]
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1400 90 0 0 la_data_in[95]
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1400 90 0 0 la_data_in[96]
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1400 90 0 0 la_data_in[97]
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1400 90 0 0 la_data_in[98]
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1400 90 0 0 la_data_in[99]
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1400 90 0 0 la_data_in[9]
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1400 90 0 0 la_data_out[0]
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1400 90 0 0 la_data_out[100]
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1400 90 0 0 la_data_out[101]
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1400 90 0 0 la_data_out[102]
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1400 90 0 0 la_data_out[103]
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1400 90 0 0 la_data_out[104]
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1400 90 0 0 la_data_out[105]
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1400 90 0 0 la_data_out[106]
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1400 90 0 0 la_data_out[107]
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1400 90 0 0 la_data_out[108]
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1400 90 0 0 la_data_out[109]
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1400 90 0 0 la_data_out[10]
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1400 90 0 0 la_data_out[110]
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1400 90 0 0 la_data_out[111]
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1400 90 0 0 la_data_out[112]
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1400 90 0 0 la_data_out[113]
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1400 90 0 0 la_data_out[114]
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1400 90 0 0 la_data_out[115]
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1400 90 0 0 la_data_out[116]
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1400 90 0 0 la_data_out[117]
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1400 90 0 0 la_data_out[118]
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1400 90 0 0 la_data_out[119]
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1400 90 0 0 la_data_out[11]
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1400 90 0 0 la_data_out[120]
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1400 90 0 0 la_data_out[121]
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1400 90 0 0 la_data_out[122]
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1400 90 0 0 la_data_out[123]
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1400 90 0 0 la_data_out[124]
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1400 90 0 0 la_data_out[125]
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1400 90 0 0 la_data_out[126]
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1400 90 0 0 la_data_out[127]
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1400 90 0 0 la_data_out[12]
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1400 90 0 0 la_data_out[13]
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1400 90 0 0 la_data_out[14]
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1400 90 0 0 la_data_out[15]
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1400 90 0 0 la_data_out[16]
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1400 90 0 0 la_data_out[17]
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1400 90 0 0 la_data_out[18]
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1400 90 0 0 la_data_out[19]
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1400 90 0 0 la_data_out[1]
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1400 90 0 0 la_data_out[20]
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1400 90 0 0 la_data_out[21]
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1400 90 0 0 la_data_out[22]
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1400 90 0 0 la_data_out[23]
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1400 90 0 0 la_data_out[24]
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1400 90 0 0 la_data_out[25]
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1400 90 0 0 la_data_out[26]
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1400 90 0 0 la_data_out[27]
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1400 90 0 0 la_data_out[28]
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1400 90 0 0 la_data_out[29]
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1400 90 0 0 la_data_out[2]
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1400 90 0 0 la_data_out[30]
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1400 90 0 0 la_data_out[31]
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1400 90 0 0 la_data_out[32]
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1400 90 0 0 la_data_out[33]
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1400 90 0 0 la_data_out[34]
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1400 90 0 0 la_data_out[35]
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1400 90 0 0 la_data_out[36]
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1400 90 0 0 la_data_out[37]
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1400 90 0 0 la_data_out[38]
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1400 90 0 0 la_data_out[39]
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1400 90 0 0 la_data_out[3]
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1400 90 0 0 la_data_out[40]
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1400 90 0 0 la_data_out[41]
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1400 90 0 0 la_data_out[42]
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1400 90 0 0 la_data_out[43]
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1400 90 0 0 la_data_out[44]
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1400 90 0 0 la_data_out[45]
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1400 90 0 0 la_data_out[46]
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1400 90 0 0 la_data_out[47]
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1400 90 0 0 la_data_out[48]
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1400 90 0 0 la_data_out[49]
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1400 90 0 0 la_data_out[4]
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1400 90 0 0 la_data_out[50]
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1400 90 0 0 la_data_out[51]
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1400 90 0 0 la_data_out[52]
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1400 90 0 0 la_data_out[53]
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1400 90 0 0 la_data_out[54]
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1400 90 0 0 la_data_out[55]
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1400 90 0 0 la_data_out[56]
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1400 90 0 0 la_data_out[57]
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1400 90 0 0 la_data_out[58]
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1400 90 0 0 la_data_out[59]
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1400 90 0 0 la_data_out[5]
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1400 90 0 0 la_data_out[60]
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1400 90 0 0 la_data_out[61]
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1400 90 0 0 la_data_out[62]
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1400 90 0 0 la_data_out[63]
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1400 90 0 0 la_data_out[64]
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1400 90 0 0 la_data_out[65]
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1400 90 0 0 la_data_out[66]
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1400 90 0 0 la_data_out[67]
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1400 90 0 0 la_data_out[68]
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1400 90 0 0 la_data_out[69]
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1400 90 0 0 la_data_out[6]
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1400 90 0 0 la_data_out[70]
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1400 90 0 0 la_data_out[71]
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1400 90 0 0 la_data_out[72]
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1400 90 0 0 la_data_out[73]
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1400 90 0 0 la_data_out[74]
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1400 90 0 0 la_data_out[75]
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1400 90 0 0 la_data_out[76]
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1400 90 0 0 la_data_out[77]
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1400 90 0 0 la_data_out[78]
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1400 90 0 0 la_data_out[79]
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1400 90 0 0 la_data_out[7]
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1400 90 0 0 la_data_out[80]
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1400 90 0 0 la_data_out[81]
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1400 90 0 0 la_data_out[82]
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1400 90 0 0 la_data_out[83]
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1400 90 0 0 la_data_out[84]
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1400 90 0 0 la_data_out[85]
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1400 90 0 0 la_data_out[86]
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1400 90 0 0 la_data_out[87]
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1400 90 0 0 la_data_out[88]
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1400 90 0 0 la_data_out[89]
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1400 90 0 0 la_data_out[8]
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1400 90 0 0 la_data_out[90]
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1400 90 0 0 la_data_out[91]
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1400 90 0 0 la_data_out[92]
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1400 90 0 0 la_data_out[93]
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1400 90 0 0 la_data_out[94]
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1400 90 0 0 la_data_out[95]
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1400 90 0 0 la_data_out[96]
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1400 90 0 0 la_data_out[97]
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1400 90 0 0 la_data_out[98]
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1400 90 0 0 la_data_out[99]
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1400 90 0 0 la_data_out[9]
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1400 90 0 0 la_oenb[0]
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1400 90 0 0 la_oenb[100]
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1400 90 0 0 la_oenb[101]
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1400 90 0 0 la_oenb[102]
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1400 90 0 0 la_oenb[103]
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1400 90 0 0 la_oenb[104]
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1400 90 0 0 la_oenb[105]
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1400 90 0 0 la_oenb[106]
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1400 90 0 0 la_oenb[107]
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1400 90 0 0 la_oenb[108]
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1400 90 0 0 la_oenb[109]
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1400 90 0 0 la_oenb[10]
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1400 90 0 0 la_oenb[110]
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1400 90 0 0 la_oenb[111]
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1400 90 0 0 la_oenb[112]
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1400 90 0 0 la_oenb[113]
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1400 90 0 0 la_oenb[114]
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1400 90 0 0 la_oenb[115]
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1400 90 0 0 la_oenb[116]
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1400 90 0 0 la_oenb[117]
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1400 90 0 0 la_oenb[118]
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1400 90 0 0 la_oenb[119]
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1400 90 0 0 la_oenb[11]
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1400 90 0 0 la_oenb[120]
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1400 90 0 0 la_oenb[121]
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1400 90 0 0 la_oenb[122]
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1400 90 0 0 la_oenb[123]
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1400 90 0 0 la_oenb[124]
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1400 90 0 0 la_oenb[125]
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1400 90 0 0 la_oenb[126]
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1400 90 0 0 la_oenb[127]
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1400 90 0 0 la_oenb[12]
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1400 90 0 0 la_oenb[13]
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1400 90 0 0 la_oenb[14]
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1400 90 0 0 la_oenb[15]
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1400 90 0 0 la_oenb[16]
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1400 90 0 0 la_oenb[17]
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1400 90 0 0 la_oenb[18]
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1400 90 0 0 la_oenb[19]
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1400 90 0 0 la_oenb[1]
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1400 90 0 0 la_oenb[20]
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1400 90 0 0 la_oenb[21]
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1400 90 0 0 la_oenb[22]
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1400 90 0 0 la_oenb[23]
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1400 90 0 0 la_oenb[24]
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1400 90 0 0 la_oenb[25]
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1400 90 0 0 la_oenb[26]
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1400 90 0 0 la_oenb[27]
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1400 90 0 0 la_oenb[28]
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1400 90 0 0 la_oenb[29]
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1400 90 0 0 la_oenb[2]
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1400 90 0 0 la_oenb[30]
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1400 90 0 0 la_oenb[31]
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1400 90 0 0 la_oenb[32]
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1400 90 0 0 la_oenb[33]
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1400 90 0 0 la_oenb[34]
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1400 90 0 0 la_oenb[35]
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1400 90 0 0 la_oenb[36]
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1400 90 0 0 la_oenb[37]
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1400 90 0 0 la_oenb[38]
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1400 90 0 0 la_oenb[39]
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1400 90 0 0 la_oenb[3]
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1400 90 0 0 la_oenb[40]
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1400 90 0 0 la_oenb[41]
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1400 90 0 0 la_oenb[42]
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1400 90 0 0 la_oenb[43]
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1400 90 0 0 la_oenb[44]
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1400 90 0 0 la_oenb[45]
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1400 90 0 0 la_oenb[46]
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1400 90 0 0 la_oenb[47]
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1400 90 0 0 la_oenb[48]
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1400 90 0 0 la_oenb[49]
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1400 90 0 0 la_oenb[4]
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1400 90 0 0 la_oenb[50]
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1400 90 0 0 la_oenb[51]
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1400 90 0 0 la_oenb[52]
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1400 90 0 0 la_oenb[53]
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1400 90 0 0 la_oenb[54]
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1400 90 0 0 la_oenb[55]
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1400 90 0 0 la_oenb[56]
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1400 90 0 0 la_oenb[57]
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1400 90 0 0 la_oenb[58]
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1400 90 0 0 la_oenb[59]
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1400 90 0 0 la_oenb[5]
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1400 90 0 0 la_oenb[60]
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1400 90 0 0 la_oenb[61]
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1400 90 0 0 la_oenb[62]
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1400 90 0 0 la_oenb[63]
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1400 90 0 0 la_oenb[64]
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1400 90 0 0 la_oenb[65]
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1400 90 0 0 la_oenb[66]
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1400 90 0 0 la_oenb[67]
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1400 90 0 0 la_oenb[68]
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1400 90 0 0 la_oenb[69]
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1400 90 0 0 la_oenb[6]
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1400 90 0 0 la_oenb[70]
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1400 90 0 0 la_oenb[71]
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1400 90 0 0 la_oenb[72]
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1400 90 0 0 la_oenb[73]
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1400 90 0 0 la_oenb[74]
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1400 90 0 0 la_oenb[75]
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1400 90 0 0 la_oenb[76]
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1400 90 0 0 la_oenb[77]
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1400 90 0 0 la_oenb[78]
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1400 90 0 0 la_oenb[79]
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1400 90 0 0 la_oenb[7]
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1400 90 0 0 la_oenb[80]
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1400 90 0 0 la_oenb[81]
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1400 90 0 0 la_oenb[82]
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1400 90 0 0 la_oenb[83]
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1400 90 0 0 la_oenb[84]
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1400 90 0 0 la_oenb[85]
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1400 90 0 0 la_oenb[86]
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1400 90 0 0 la_oenb[87]
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1400 90 0 0 la_oenb[88]
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1400 90 0 0 la_oenb[89]
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1400 90 0 0 la_oenb[8]
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1400 90 0 0 la_oenb[90]
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1400 90 0 0 la_oenb[91]
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1400 90 0 0 la_oenb[92]
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1400 90 0 0 la_oenb[93]
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1400 90 0 0 la_oenb[94]
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1400 90 0 0 la_oenb[95]
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1400 90 0 0 la_oenb[96]
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1400 90 0 0 la_oenb[97]
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1400 90 0 0 la_oenb[98]
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1400 90 0 0 la_oenb[99]
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1400 90 0 0 la_oenb[9]
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1400 90 0 0 user_clock2
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1400 90 0 0 user_irq[0]
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1400 90 0 0 user_irq[1]
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1400 90 0 0 user_irq[2]
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1400 0 0 0 vccd1
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1400 0 0 0 vccd1
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1400 0 0 0 vccd2
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1400 0 0 0 vccd2
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1400 0 0 0 vdda1
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1400 0 0 0 vdda1
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1400 0 0 0 vdda1
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1400 0 0 0 vdda1
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1400 0 0 0 vdda2
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1400 0 0 0 vdda2
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 2400 180 0 0 vssa1
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 2400 180 0 0 vssa1
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1400 0 0 0 vssa1
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1400 0 0 0 vssa1
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1400 0 0 0 vssa2
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1400 0 0 0 vssa2
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1400 0 0 0 vssd1
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1400 0 0 0 vssd1
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1400 0 0 0 vssd2
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1400 0 0 0 vssd2
+flabel metal2 s 524 -800 636 480 0 FreeSans 1400 90 0 0 wb_clk_i
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1400 90 0 0 wb_rst_i
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1400 90 0 0 wbs_ack_o
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1400 90 0 0 wbs_adr_i[0]
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1400 90 0 0 wbs_adr_i[10]
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1400 90 0 0 wbs_adr_i[11]
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1400 90 0 0 wbs_adr_i[12]
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1400 90 0 0 wbs_adr_i[13]
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1400 90 0 0 wbs_adr_i[14]
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1400 90 0 0 wbs_adr_i[15]
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1400 90 0 0 wbs_adr_i[16]
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1400 90 0 0 wbs_adr_i[17]
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1400 90 0 0 wbs_adr_i[18]
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1400 90 0 0 wbs_adr_i[19]
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1400 90 0 0 wbs_adr_i[1]
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1400 90 0 0 wbs_adr_i[20]
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1400 90 0 0 wbs_adr_i[21]
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1400 90 0 0 wbs_adr_i[22]
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1400 90 0 0 wbs_adr_i[23]
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1400 90 0 0 wbs_adr_i[24]
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1400 90 0 0 wbs_adr_i[25]
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1400 90 0 0 wbs_adr_i[26]
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1400 90 0 0 wbs_adr_i[27]
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1400 90 0 0 wbs_adr_i[28]
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1400 90 0 0 wbs_adr_i[29]
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1400 90 0 0 wbs_adr_i[2]
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1400 90 0 0 wbs_adr_i[30]
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1400 90 0 0 wbs_adr_i[31]
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1400 90 0 0 wbs_adr_i[3]
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1400 90 0 0 wbs_adr_i[4]
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1400 90 0 0 wbs_adr_i[5]
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1400 90 0 0 wbs_adr_i[6]
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1400 90 0 0 wbs_adr_i[7]
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1400 90 0 0 wbs_adr_i[8]
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1400 90 0 0 wbs_adr_i[9]
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1400 90 0 0 wbs_cyc_i
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1400 90 0 0 wbs_dat_i[0]
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1400 90 0 0 wbs_dat_i[10]
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1400 90 0 0 wbs_dat_i[11]
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1400 90 0 0 wbs_dat_i[12]
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1400 90 0 0 wbs_dat_i[13]
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1400 90 0 0 wbs_dat_i[14]
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1400 90 0 0 wbs_dat_i[15]
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1400 90 0 0 wbs_dat_i[16]
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1400 90 0 0 wbs_dat_i[17]
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1400 90 0 0 wbs_dat_i[18]
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1400 90 0 0 wbs_dat_i[19]
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1400 90 0 0 wbs_dat_i[1]
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1400 90 0 0 wbs_dat_i[20]
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1400 90 0 0 wbs_dat_i[21]
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1400 90 0 0 wbs_dat_i[22]
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1400 90 0 0 wbs_dat_i[23]
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1400 90 0 0 wbs_dat_i[24]
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1400 90 0 0 wbs_dat_i[25]
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1400 90 0 0 wbs_dat_i[26]
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1400 90 0 0 wbs_dat_i[27]
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1400 90 0 0 wbs_dat_i[28]
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1400 90 0 0 wbs_dat_i[29]
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1400 90 0 0 wbs_dat_i[2]
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1400 90 0 0 wbs_dat_i[30]
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1400 90 0 0 wbs_dat_i[31]
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1400 90 0 0 wbs_dat_i[3]
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1400 90 0 0 wbs_dat_i[4]
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1400 90 0 0 wbs_dat_i[5]
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1400 90 0 0 wbs_dat_i[6]
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1400 90 0 0 wbs_dat_i[7]
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1400 90 0 0 wbs_dat_i[8]
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1400 90 0 0 wbs_dat_i[9]
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1400 90 0 0 wbs_dat_o[0]
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1400 90 0 0 wbs_dat_o[10]
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1400 90 0 0 wbs_dat_o[11]
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1400 90 0 0 wbs_dat_o[12]
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1400 90 0 0 wbs_dat_o[13]
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1400 90 0 0 wbs_dat_o[14]
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1400 90 0 0 wbs_dat_o[15]
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1400 90 0 0 wbs_dat_o[16]
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1400 90 0 0 wbs_dat_o[17]
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1400 90 0 0 wbs_dat_o[18]
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1400 90 0 0 wbs_dat_o[19]
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1400 90 0 0 wbs_dat_o[1]
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1400 90 0 0 wbs_dat_o[20]
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1400 90 0 0 wbs_dat_o[21]
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1400 90 0 0 wbs_dat_o[22]
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1400 90 0 0 wbs_dat_o[23]
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1400 90 0 0 wbs_dat_o[24]
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1400 90 0 0 wbs_dat_o[25]
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1400 90 0 0 wbs_dat_o[26]
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1400 90 0 0 wbs_dat_o[27]
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1400 90 0 0 wbs_dat_o[28]
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1400 90 0 0 wbs_dat_o[29]
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1400 90 0 0 wbs_dat_o[2]
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1400 90 0 0 wbs_dat_o[30]
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1400 90 0 0 wbs_dat_o[31]
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1400 90 0 0 wbs_dat_o[3]
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1400 90 0 0 wbs_dat_o[4]
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1400 90 0 0 wbs_dat_o[5]
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1400 90 0 0 wbs_dat_o[6]
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1400 90 0 0 wbs_dat_o[7]
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1400 90 0 0 wbs_dat_o[8]
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1400 90 0 0 wbs_dat_o[9]
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1400 90 0 0 wbs_sel_i[0]
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1400 90 0 0 wbs_sel_i[1]
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1400 90 0 0 wbs_sel_i[2]
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1400 90 0 0 wbs_sel_i[3]
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1400 90 0 0 wbs_stb_i
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1400 90 0 0 wbs_we_i
+<< properties >>
+string FIXED_BBOX 0 0 584000 704000
+<< end >>
diff --git a/checks/user_analog_project_wrapper.xor.gds b/checks/user_analog_project_wrapper.xor.gds
new file mode 100644
index 0000000..ca9c9c1
--- /dev/null
+++ b/checks/user_analog_project_wrapper.xor.gds
Binary files differ
diff --git a/checks/user_analog_project_wrapper_empty.gds b/checks/user_analog_project_wrapper_empty.gds
new file mode 100644
index 0000000..6bb65fc
--- /dev/null
+++ b/checks/user_analog_project_wrapper_empty.gds
Binary files differ
diff --git a/checks/user_analog_project_wrapper_empty_erased.gds b/checks/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e793e55
--- /dev/null
+++ b/checks/user_analog_project_wrapper_empty_erased.gds
Binary files differ
diff --git a/checks/user_analog_project_wrapper_erased.gds b/checks/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..acefe3f
--- /dev/null
+++ b/checks/user_analog_project_wrapper_erased.gds
Binary files differ
diff --git a/checks/user_analog_project_wrapper_klayout.lydrc b/checks/user_analog_project_wrapper_klayout.lydrc
new file mode 100644
index 0000000..f7bd1ec
--- /dev/null
+++ b/checks/user_analog_project_wrapper_klayout.lydrc
@@ -0,0 +1,213 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/usr/local/bin/drc_checks/../tech-files/sky130A_mr.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. difftap width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be mnually merge if less : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1b/c</name>
+   <description>licon.1b/c : minimum/maximum width/length of licon inside poly resistor : 2.0/0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : tap must not straddle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. m2 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via2 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via2 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/checks/xor.log b/checks/xor.log
new file mode 100644
index 0000000..04f36d3
--- /dev/null
+++ b/checks/xor.log
@@ -0,0 +1,38 @@
+First Layout: /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_empty_erased.gds
+Second Layout: /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_erased.gds
+Design Name: xor_target
+Output GDS will be: /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper.xor.gds
+Reading /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_empty_erased.gds ..
+Reading /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper_erased.gds ..
+--- Running XOR for 69/20 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+--- Running XOR for 70/20 ---
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+--- Running XOR for 81/14 ---
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+Writing layout file: /mnt/shuttles/mpw-two/slot-006/opencryo_testchip/checks/user_analog_project_wrapper.xor.gds ..
+Total run time: 0.080s
diff --git a/checks/xor_total.txt b/checks/xor_total.txt
new file mode 100644
index 0000000..05b29a4
--- /dev/null
+++ b/checks/xor_total.txt
@@ -0,0 +1 @@
+Total XOR differences = 0
\ No newline at end of file
diff --git a/gds/caravan.gds.gz b/gds/caravan.gds.gz
new file mode 100644
index 0000000..5616c9a
--- /dev/null
+++ b/gds/caravan.gds.gz
Binary files differ
diff --git a/gds/caravel_00020006.gds.gz.00.split b/gds/caravel_00020006.gds.gz.00.split
new file mode 100644
index 0000000..ddd47e1
--- /dev/null
+++ b/gds/caravel_00020006.gds.gz.00.split
Binary files differ
diff --git a/gds/caravel_00020006.gds.gz.01.split b/gds/caravel_00020006.gds.gz.01.split
new file mode 100644
index 0000000..6b04c5e
--- /dev/null
+++ b/gds/caravel_00020006.gds.gz.01.split
Binary files differ
diff --git a/gds/caravel_00020006.gds.gz.02.split b/gds/caravel_00020006.gds.gz.02.split
new file mode 100644
index 0000000..b222aa1
--- /dev/null
+++ b/gds/caravel_00020006.gds.gz.02.split
Binary files differ
diff --git a/gds/caravel_00020006.gds.gz.03.split b/gds/caravel_00020006.gds.gz.03.split
new file mode 100644
index 0000000..0c80a6c
--- /dev/null
+++ b/gds/caravel_00020006.gds.gz.03.split
Binary files differ
diff --git a/gds/caravel_00020006.gds.gz.04.split b/gds/caravel_00020006.gds.gz.04.split
new file mode 100644
index 0000000..c38fceb
--- /dev/null
+++ b/gds/caravel_00020006.gds.gz.04.split
Binary files differ
diff --git a/gds/caravel_00020006_fill_pattern.gds.gz.00.split b/gds/caravel_00020006_fill_pattern.gds.gz.00.split
new file mode 100644
index 0000000..41c2da5
--- /dev/null
+++ b/gds/caravel_00020006_fill_pattern.gds.gz.00.split
Binary files differ
diff --git a/gds/caravel_00020006_fill_pattern.gds.gz.01.split b/gds/caravel_00020006_fill_pattern.gds.gz.01.split
new file mode 100644
index 0000000..c62e1ba
--- /dev/null
+++ b/gds/caravel_00020006_fill_pattern.gds.gz.01.split
Binary files differ
diff --git a/gds/caravel_00020006_fill_pattern.gds.gz.02.split b/gds/caravel_00020006_fill_pattern.gds.gz.02.split
new file mode 100644
index 0000000..38a1253
--- /dev/null
+++ b/gds/caravel_00020006_fill_pattern.gds.gz.02.split
Binary files differ
diff --git a/gds/caravel_00020006_fill_pattern.gds.gz.03.split b/gds/caravel_00020006_fill_pattern.gds.gz.03.split
new file mode 100644
index 0000000..47b85df
--- /dev/null
+++ b/gds/caravel_00020006_fill_pattern.gds.gz.03.split
Binary files differ
diff --git a/gds/caravel_00020006_fill_pattern.gds.gz.04.split b/gds/caravel_00020006_fill_pattern.gds.gz.04.split
new file mode 100644
index 0000000..615e4d5
--- /dev/null
+++ b/gds/caravel_00020006_fill_pattern.gds.gz.04.split
Binary files differ
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
deleted file mode 100644
index 5788fd8..0000000
--- a/gds/user_analog_project_wrapper.gds
+++ /dev/null
Binary files differ
diff --git a/gds/user_analog_project_wrapper.gds.gz b/gds/user_analog_project_wrapper.gds.gz
new file mode 100644
index 0000000..7b81f9d
--- /dev/null
+++ b/gds/user_analog_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/user_id_prog_zero.gds.gz b/gds/user_id_prog_zero.gds.gz
new file mode 100644
index 0000000..fd7f8c8
--- /dev/null
+++ b/gds/user_id_prog_zero.gds.gz
Binary files differ
diff --git a/gds/user_id_programming.gds.gz b/gds/user_id_programming.gds.gz
new file mode 100644
index 0000000..e18d938
--- /dev/null
+++ b/gds/user_id_programming.gds.gz
Binary files differ
diff --git a/info.yaml b/info.yaml
index 8ba8660..15c8369 100644
--- a/info.yaml
+++ b/info.yaml
@@ -1,19 +1,20 @@
----
 project:
-  description: "An analog project for Google sponsored Open MPW shuttles for SKY130."
-  foundry: "SkyWater"
-  git_url: "https://github.com/efabless/caravel_analog_user.git"
-  organization: "Efabless"
-  organization_url: "http://efabless.com"
-  owner: "Tim Edwards"
-  process: "SKY130"
-  project_name: "Caravel Analog User"
-  project_id: "00000000"
+  category: Test Harness
+  cover_image: docs/source/_static/caravel_harness.png
+  description: An analog project for Google sponsored Open MPW shuttles for SKY130.
+  foundry: SkyWater
+  git_url: https://github.com/efabless/caravel_analog_user.git
+  layout_image: ./gds/caravan.png
+  organization: Efabless
+  organization_url: http://efabless.com
+  owner: Tim Edwards
+  process: SKY130
+  project_id: '00020006'
+  project_name: Caravel Analog User
+  shuttle_url: https://foss-eda-tools.googlesource.com/third_party/shuttle/mpw-two/slot-006.git
   tags:
-    - "Open MPW"
-    - "Test Harness"
-  category: "Test Harness"
-  top_level_netlist: "caravel/verilog/gl/caravan.v"
-  user_level_netlist: "verilog/rtl/user_analog_project_wrapper.v"
-  version: "1.00"
-  cover_image: "docs/source/_static/caravel_harness.png"
+  - Open MPW
+  - Test Harness
+  top_level_netlist: caravel/verilog/gl/caravan.v
+  user_level_netlist: verilog/rtl/user_analog_project_wrapper.v
+  version: '1.00'
diff --git a/mag/.magicrc b/mag/.magicrc
new file mode 100644
index 0000000..12328c6
--- /dev/null
+++ b/mag/.magicrc
@@ -0,0 +1,97 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+puts stdout "Sourcing design .magicrc for technology sky130A ..."
+
+# Put grid on 0.005 pitch.  This is important, as some commands don't
+# rescale the grid automatically (such as lef read?).
+
+set scalefac [tech lambda]
+if {[lindex $scalefac 1] < 2} {
+    scalegrid 1 2
+}
+
+drc off
+drc euclidean on
+
+# Allow override of PDK path from environment variable PDKPATH
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "$::env(PDK_ROOT)/sky130A"
+}
+
+# loading technology
+tech load $PDKPATH/libs.tech/magic/sky130A.tech
+
+# load device generator
+source $PDKPATH/libs.tech/magic/sky130A.tcl
+
+# load bind keys (optional)
+# source $PDKPATH/libs.tech/magic/sky130A-BindKeys
+
+# set units to lambda grid 
+snap lambda
+
+# set sky130 standard power, ground, and substrate names
+set VDD VPWR
+set GND VGND
+set SUB VSUBS
+
+# Allow override of type of magic library views used, "mag" or "maglef",
+# from environment variable MAGTYPE
+
+if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
+   set MAGTYPE maglef
+}
+
+	path search [concat "../$MAGTYPE" [path search]]
+
+
+# add path to reference cells
+if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
+    addpath ${PDKPATH}/libs.ref/mag/sky130_ml_xx_hd
+} else {
+    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_ml_xx_hd/mag
+}
+
+addpath hexdigits
+addpath ../subcells/simple_por/mag
+
+# add path to GDS cells
+
+# add path to IP from catalog.  This procedure defined in the PDK script.
+catch {magic::query_mylib_ip}
+# add path to local IP from user design space.  Defined in the PDK script.
+catch {magic::query_my_projects}
diff --git a/mag/compose_final.tcl b/mag/compose_final.tcl
new file mode 100644
index 0000000..dd0dda8
--- /dev/null
+++ b/mag/compose_final.tcl
@@ -0,0 +1,28 @@
+#!/bin/env wish
+drc off
+random seed 131078
+load caravan -dereference
+property GDS_FILE /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/gds/caravan.gds
+property GDS_START 0
+select top cell
+set bbox [box values]
+load caravel_00020006_fill_pattern -quiet
+snap internal
+box values {*}$bbox
+paint comment
+property GDS_FILE /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/gds/caravel_00020006_fill_pattern.gds
+property GDS_START 0
+property FIXED_BBOX "$bbox"
+load caravel_00020006 -quiet
+box values 0 0 0 0
+box position 6um 6um
+getcell caravan child 0 0
+getcell caravel_00020006_fill_pattern child 0 0
+box position 0 0
+getcell advSeal_6um_gen
+puts stdout "Writing final GDS. . . "
+flush stdout
+gds undefined allow
+cif *hier write disable
+gds write /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/gds/caravel_00020006.gds
+quit -noprompt
diff --git a/mag/core.365 b/mag/core.365
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/mag/core.365
diff --git a/mag/core.387 b/mag/core.387
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/mag/core.387
diff --git a/mag/user_id_programming.mag b/mag/user_id_programming.mag
new file mode 100644
index 0000000..12006b5
--- /dev/null
+++ b/mag/user_id_programming.mag
@@ -0,0 +1,3159 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1606755340
+<< nwell >>
+rect 2304 2369 2397 2389
+<< viali >>
+rect 4353 5117 4387 5151
+rect 5273 5117 5307 5151
+rect 2145 4641 2179 4675
+rect 3249 4641 3283 4675
+rect 3617 4641 3651 4675
+rect 4721 4641 4755 4675
+rect 1593 4029 1627 4063
+rect 2053 4029 2087 4063
+rect 4445 4029 4479 4063
+rect 4721 4029 4755 4063
+rect 5549 4029 5583 4063
+rect 1685 3553 1719 3587
+rect 1961 3553 1995 3587
+rect 2697 3553 2731 3587
+rect 3157 3553 3191 3587
+rect 3433 3553 3467 3587
+rect 4261 3553 4295 3587
+rect 4813 3553 4847 3587
+rect 5273 3553 5307 3587
+rect 1593 2941 1627 2975
+rect 4813 2397 4847 2431
+rect 5089 2397 5123 2431
+rect 1593 1853 1627 1887
+rect 2145 1853 2179 1887
+rect 2605 1853 2639 1887
+rect 2881 1853 2915 1887
+rect 3249 1853 3283 1887
+rect 4077 1853 4111 1887
+rect 5641 1853 5675 1887
+rect 1685 1377 1719 1411
+rect 2973 1377 3007 1411
+rect 4629 1377 4663 1411
+<< metal1 >>
+rect 1104 6010 5980 6032
+rect 1104 5958 2607 6010
+rect 2659 5958 2671 6010
+rect 2723 5958 2735 6010
+rect 2787 5958 2799 6010
+rect 2851 5958 4232 6010
+rect 4284 5958 4296 6010
+rect 4348 5958 4360 6010
+rect 4412 5958 4424 6010
+rect 4476 5958 5980 6010
+rect 1104 5936 5980 5958
+rect 1104 5466 5980 5488
+rect 1104 5414 1794 5466
+rect 1846 5414 1858 5466
+rect 1910 5414 1922 5466
+rect 1974 5414 1986 5466
+rect 2038 5414 3420 5466
+rect 3472 5414 3484 5466
+rect 3536 5414 3548 5466
+rect 3600 5414 3612 5466
+rect 3664 5414 5045 5466
+rect 5097 5414 5109 5466
+rect 5161 5414 5173 5466
+rect 5225 5414 5237 5466
+rect 5289 5414 5980 5466
+rect 1104 5392 5980 5414
+rect 4062 5108 4068 5160
+rect 4120 5148 4126 5160
+rect 4157 5148 4215 5157
+rect 4341 5151 4399 5157
+rect 4341 5148 4353 5151
+rect 4120 5120 4353 5148
+rect 4120 5108 4126 5120
+rect 4157 5111 4215 5120
+rect 4341 5117 4353 5120
+rect 4387 5117 4399 5151
+rect 4341 5111 4399 5117
+rect 4614 5108 4620 5160
+rect 4672 5148 4678 5160
+rect 5077 5148 5135 5157
+rect 5261 5151 5319 5157
+rect 5261 5148 5273 5151
+rect 4672 5120 5273 5148
+rect 4672 5108 4678 5120
+rect 5077 5111 5135 5120
+rect 5261 5117 5273 5120
+rect 5307 5117 5319 5151
+rect 5261 5111 5319 5117
+rect 1104 4922 5980 4944
+rect 1104 4870 2607 4922
+rect 2659 4870 2671 4922
+rect 2723 4870 2735 4922
+rect 2787 4870 2799 4922
+rect 2851 4870 4232 4922
+rect 4284 4870 4296 4922
+rect 4348 4870 4360 4922
+rect 4412 4870 4424 4922
+rect 4476 4870 5980 4922
+rect 1104 4848 5980 4870
+rect 1949 4672 2007 4681
+rect 2133 4675 2191 4681
+rect 2133 4672 2145 4675
+rect 1949 4644 2145 4672
+rect 1949 4635 2007 4644
+rect 2133 4641 2145 4644
+rect 2179 4672 2191 4675
+rect 2958 4672 2964 4684
+rect 2179 4644 2964 4672
+rect 2179 4641 2191 4644
+rect 2133 4635 2191 4641
+rect 2958 4632 2964 4644
+rect 3016 4632 3022 4684
+rect 3050 4672 3114 4684
+rect 3234 4672 3240 4684
+rect 3050 4644 3240 4672
+rect 3050 4632 3114 4644
+rect 3234 4632 3240 4644
+rect 3292 4632 3298 4684
+rect 3418 4672 3482 4684
+rect 3602 4675 3666 4684
+rect 3602 4672 3617 4675
+rect 3418 4644 3617 4672
+rect 3418 4632 3482 4644
+rect 3602 4641 3617 4644
+rect 3651 4641 3666 4675
+rect 3602 4632 3666 4641
+rect 4522 4674 4586 4684
+rect 4706 4675 4770 4684
+rect 4706 4674 4721 4675
+rect 4522 4644 4721 4674
+rect 4522 4632 4586 4644
+rect 4706 4641 4721 4644
+rect 4755 4641 4770 4675
+rect 4706 4632 4770 4641
+rect 3620 4468 3648 4632
+rect 4720 4539 4755 4632
+rect 4720 4505 4754 4539
+rect 4720 4480 4755 4505
+rect 3878 4468 3884 4480
+rect 3620 4440 3884 4468
+rect 3878 4428 3884 4440
+rect 3936 4428 3942 4480
+rect 4706 4428 4712 4480
+rect 4764 4428 4770 4480
+rect 1104 4378 5980 4400
+rect 1104 4326 1794 4378
+rect 1846 4326 1858 4378
+rect 1910 4326 1922 4378
+rect 1974 4326 1986 4378
+rect 2038 4326 3420 4378
+rect 3472 4326 3484 4378
+rect 3536 4326 3548 4378
+rect 3600 4326 3612 4378
+rect 3664 4326 5045 4378
+rect 5097 4326 5109 4378
+rect 5161 4326 5173 4378
+rect 5225 4326 5237 4378
+rect 5289 4326 5980 4378
+rect 1104 4304 5980 4326
+rect 3050 4224 3056 4276
+rect 3108 4264 3114 4276
+rect 3108 4236 4568 4264
+rect 3108 4224 3114 4236
+rect 1394 4156 1400 4208
+rect 1452 4196 1458 4208
+rect 1452 4168 1902 4196
+rect 1452 4156 1458 4168
+rect 1394 4060 1458 4072
+rect 1578 4060 1584 4072
+rect 1394 4032 1584 4060
+rect 1394 4020 1458 4032
+rect 1578 4020 1584 4032
+rect 1636 4020 1642 4072
+rect 1870 4069 1902 4168
+rect 2222 4088 2228 4140
+rect 2280 4128 2286 4140
+rect 2280 4100 3924 4128
+rect 2280 4088 2286 4100
+rect 1857 4059 1915 4069
+rect 2041 4063 2099 4069
+rect 2041 4059 2053 4063
+rect 1857 4031 2053 4059
+rect 1857 4023 1915 4031
+rect 2041 4029 2053 4031
+rect 2087 4029 2099 4063
+rect 2041 4023 2099 4029
+rect 3896 3992 3924 4100
+rect 4062 4020 4068 4072
+rect 4120 4060 4126 4072
+rect 4540 4069 4568 4236
+rect 4249 4060 4307 4069
+rect 4433 4063 4491 4069
+rect 4433 4060 4445 4063
+rect 4120 4032 4445 4060
+rect 4120 4020 4126 4032
+rect 4249 4023 4307 4032
+rect 4433 4029 4445 4032
+rect 4479 4029 4491 4063
+rect 4433 4023 4491 4029
+rect 4525 4060 4583 4069
+rect 4709 4063 4767 4069
+rect 4709 4060 4721 4063
+rect 4525 4032 4721 4060
+rect 4525 4023 4583 4032
+rect 4709 4029 4721 4032
+rect 4755 4029 4767 4063
+rect 4709 4023 4767 4029
+rect 5350 4020 5356 4072
+rect 5408 4060 5414 4072
+rect 5537 4063 5595 4069
+rect 5537 4060 5549 4063
+rect 5408 4031 5549 4060
+rect 5408 4020 5414 4031
+rect 5537 4029 5549 4031
+rect 5583 4029 5595 4063
+rect 5537 4023 5595 4029
+rect 4890 3992 4896 4004
+rect 3896 3964 4896 3992
+rect 4890 3952 4896 3964
+rect 4948 3952 4954 4004
+rect 1104 3834 5980 3856
+rect 1104 3782 2607 3834
+rect 2659 3782 2671 3834
+rect 2723 3782 2735 3834
+rect 2787 3782 2799 3834
+rect 2851 3782 4232 3834
+rect 4284 3782 4296 3834
+rect 4348 3782 4360 3834
+rect 4412 3782 4424 3834
+rect 4476 3782 5980 3834
+rect 1104 3760 5980 3782
+rect 566 3680 572 3732
+rect 624 3720 630 3732
+rect 4706 3720 4712 3732
+rect 624 3692 4712 3720
+rect 624 3680 630 3692
+rect 4706 3680 4712 3692
+rect 4764 3680 4770 3732
+rect 5902 3652 5908 3664
+rect 1964 3624 5908 3652
+rect 1486 3584 1550 3596
+rect 1670 3584 1676 3596
+rect 1486 3556 1676 3584
+rect 1486 3544 1550 3556
+rect 1670 3544 1676 3556
+rect 1728 3544 1734 3596
+rect 1964 3593 1992 3624
+rect 5902 3612 5908 3624
+rect 5960 3612 5966 3664
+rect 1765 3584 1823 3593
+rect 1949 3587 2007 3593
+rect 1949 3584 1961 3587
+rect 1765 3556 1961 3584
+rect 1765 3547 1823 3556
+rect 1949 3553 1961 3556
+rect 1995 3553 2007 3587
+rect 1949 3547 2007 3553
+rect 2501 3586 2559 3593
+rect 2685 3587 2743 3593
+rect 2685 3586 2697 3587
+rect 2501 3555 2697 3586
+rect 2501 3547 2559 3555
+rect 2685 3553 2697 3555
+rect 2731 3586 2743 3587
+rect 2774 3586 2780 3596
+rect 2731 3555 2780 3586
+rect 2731 3553 2743 3555
+rect 2685 3547 2743 3553
+rect 2774 3544 2780 3555
+rect 2832 3544 2838 3596
+rect 2958 3584 3022 3596
+rect 3142 3584 3148 3596
+rect 2958 3556 3148 3584
+rect 2958 3544 3022 3556
+rect 3142 3544 3148 3556
+rect 3200 3544 3206 3596
+rect 3234 3585 3298 3596
+rect 3418 3587 3482 3596
+rect 3418 3585 3433 3587
+rect 3234 3557 3433 3585
+rect 3234 3544 3298 3557
+rect 3418 3553 3433 3557
+rect 3467 3553 3482 3587
+rect 3418 3544 3482 3553
+rect 4065 3584 4123 3593
+rect 4249 3587 4307 3593
+rect 4249 3584 4261 3587
+rect 4065 3556 4261 3584
+rect 4065 3547 4123 3556
+rect 4249 3553 4261 3556
+rect 4295 3584 4307 3587
+rect 4522 3584 4528 3596
+rect 4295 3556 4528 3584
+rect 4295 3553 4307 3556
+rect 4249 3547 4307 3553
+rect 4522 3544 4528 3556
+rect 4580 3544 4586 3596
+rect 4614 3585 4678 3596
+rect 4798 3587 4862 3596
+rect 4798 3585 4813 3587
+rect 4614 3557 4813 3585
+rect 4614 3544 4678 3557
+rect 4798 3553 4813 3557
+rect 4847 3553 4862 3587
+rect 4798 3544 4862 3553
+rect 4982 3544 4988 3596
+rect 5040 3584 5046 3596
+rect 5077 3584 5135 3593
+rect 5261 3587 5319 3593
+rect 5261 3584 5273 3587
+rect 5040 3556 5273 3584
+rect 5040 3544 5046 3556
+rect 5077 3547 5135 3556
+rect 5261 3553 5273 3556
+rect 5307 3553 5319 3587
+rect 5261 3547 5319 3553
+rect 2222 3408 2228 3460
+rect 2280 3448 2286 3460
+rect 3438 3448 3466 3544
+rect 4706 3448 4712 3460
+rect 2280 3420 3280 3448
+rect 3438 3420 4712 3448
+rect 2280 3408 2286 3420
+rect 3252 3380 3280 3420
+rect 4706 3408 4712 3420
+rect 4764 3408 4770 3460
+rect 4814 3380 4842 3544
+rect 3252 3352 4842 3380
+rect 1104 3290 2150 3312
+rect 1104 3238 1794 3290
+rect 1846 3238 1858 3290
+rect 1910 3238 1922 3290
+rect 1974 3238 1986 3290
+rect 2038 3238 2150 3290
+rect 1104 3216 2150 3238
+rect 2181 3290 5902 3312
+rect 2181 3238 3420 3290
+rect 3472 3238 3484 3290
+rect 3536 3238 3548 3290
+rect 3600 3238 3612 3290
+rect 3664 3238 5045 3290
+rect 5097 3238 5109 3290
+rect 5161 3238 5173 3290
+rect 5225 3238 5237 3290
+rect 5289 3238 5902 3290
+rect 2181 3216 5902 3238
+rect 5966 3216 5980 3312
+rect 2773 3131 2779 3183
+rect 2831 3174 2837 3183
+rect 5902 3174 5908 3188
+rect 2831 3143 5908 3174
+rect 2831 3131 2837 3143
+rect 5902 3136 5908 3143
+rect 5960 3136 5966 3188
+rect 1397 2972 1455 2981
+rect 1581 2975 1639 2981
+rect 1581 2972 1593 2975
+rect 1397 2944 1593 2972
+rect 1397 2935 1455 2944
+rect 1581 2941 1593 2944
+rect 1627 2972 1639 2975
+rect 3050 2972 3056 2984
+rect 1627 2944 3056 2972
+rect 1627 2941 1639 2944
+rect 1581 2935 1639 2941
+rect 3050 2932 3056 2944
+rect 3108 2932 3114 2984
+rect 1670 2796 1676 2848
+rect 1728 2836 1734 2848
+rect 4614 2836 4620 2848
+rect 1728 2808 4620 2836
+rect 1728 2796 1734 2808
+rect 4614 2796 4620 2808
+rect 4672 2796 4678 2848
+rect 1104 2746 5980 2768
+rect 1104 2694 2607 2746
+rect 2659 2694 2671 2746
+rect 2723 2694 2735 2746
+rect 2787 2694 2799 2746
+rect 2851 2694 4232 2746
+rect 4284 2694 4296 2746
+rect 4348 2694 4360 2746
+rect 4412 2694 4424 2746
+rect 4476 2694 5980 2746
+rect 1104 2672 5980 2694
+rect 5442 2496 5448 2508
+rect 4815 2468 5448 2496
+rect 4815 2437 4843 2468
+rect 5442 2456 5448 2468
+rect 5500 2456 5506 2508
+rect 4617 2429 4675 2437
+rect 4801 2431 4859 2437
+rect 4801 2429 4813 2431
+rect 4617 2401 4813 2429
+rect 4617 2391 4675 2401
+rect 4801 2397 4813 2401
+rect 4847 2397 4859 2431
+rect 4801 2391 4859 2397
+rect 4890 2388 4896 2440
+rect 4948 2428 4954 2440
+rect 5077 2431 5135 2437
+rect 5077 2428 5089 2431
+rect 4948 2400 5089 2428
+rect 4948 2388 4954 2400
+rect 5077 2397 5089 2400
+rect 5123 2397 5135 2431
+rect 5077 2391 5135 2397
+rect 2314 2320 2320 2372
+rect 2372 2360 2378 2372
+rect 6454 2360 6460 2372
+rect 2372 2332 6460 2360
+rect 2372 2320 2378 2332
+rect 6454 2320 6460 2332
+rect 6512 2320 6518 2372
+rect 1104 2202 5980 2224
+rect 1104 2150 1794 2202
+rect 1846 2150 1858 2202
+rect 1910 2150 1922 2202
+rect 1974 2150 1986 2202
+rect 2038 2150 3420 2202
+rect 3472 2150 3484 2202
+rect 3536 2150 3548 2202
+rect 3600 2150 3612 2202
+rect 3664 2150 5045 2202
+rect 5097 2150 5109 2202
+rect 5161 2150 5173 2202
+rect 5225 2150 5237 2202
+rect 5289 2150 5980 2202
+rect 1104 2128 5980 2150
+rect 3970 2020 3976 2032
+rect 2976 1992 3976 2020
+rect 1210 1912 1216 1964
+rect 1268 1952 1274 1964
+rect 1268 1924 2452 1952
+rect 1268 1912 1274 1924
+rect 1397 1884 1455 1893
+rect 1581 1887 1639 1893
+rect 1581 1884 1593 1887
+rect 1397 1856 1593 1884
+rect 1397 1847 1455 1856
+rect 1581 1853 1593 1856
+rect 1627 1853 1639 1887
+rect 1581 1847 1639 1853
+rect 1949 1884 2007 1893
+rect 2133 1887 2191 1893
+rect 2133 1884 2145 1887
+rect 1949 1856 2145 1884
+rect 1949 1847 2007 1856
+rect 2133 1853 2145 1856
+rect 2179 1884 2191 1887
+rect 2314 1884 2320 1896
+rect 2179 1856 2320 1884
+rect 2179 1853 2191 1856
+rect 2133 1847 2191 1853
+rect 1596 1816 1624 1847
+rect 2314 1844 2320 1856
+rect 2372 1844 2378 1896
+rect 2424 1893 2452 1924
+rect 2409 1884 2467 1893
+rect 2593 1887 2651 1893
+rect 2593 1884 2605 1887
+rect 2409 1856 2605 1884
+rect 2409 1847 2467 1856
+rect 2593 1853 2605 1856
+rect 2639 1853 2651 1887
+rect 2593 1847 2651 1853
+rect 2685 1884 2743 1893
+rect 2869 1887 2927 1893
+rect 2869 1884 2881 1887
+rect 2685 1856 2881 1884
+rect 2685 1847 2743 1856
+rect 2869 1853 2881 1856
+rect 2915 1884 2927 1887
+rect 2976 1884 3004 1992
+rect 3970 1980 3976 1992
+rect 4028 1980 4034 2032
+rect 2915 1856 3004 1884
+rect 3050 1884 3114 1896
+rect 3234 1884 3240 1896
+rect 3050 1856 3240 1884
+rect 2915 1853 2927 1856
+rect 2869 1847 2927 1853
+rect 3050 1844 3114 1856
+rect 3234 1844 3240 1856
+rect 3292 1844 3298 1896
+rect 3786 1844 3792 1896
+rect 3844 1884 3850 1896
+rect 3881 1884 3939 1893
+rect 4065 1887 4123 1893
+rect 4065 1884 4077 1887
+rect 3844 1856 4077 1884
+rect 3844 1844 3850 1856
+rect 3881 1847 3939 1856
+rect 4065 1853 4077 1856
+rect 4111 1853 4123 1887
+rect 4065 1847 4123 1853
+rect 5442 1884 5506 1896
+rect 5626 1884 5632 1896
+rect 5442 1856 5632 1884
+rect 5442 1844 5506 1856
+rect 5626 1844 5632 1856
+rect 5684 1844 5690 1896
+rect 1596 1788 3004 1816
+rect 2976 1748 3004 1788
+rect 3234 1748 3240 1760
+rect 2976 1720 3240 1748
+rect 3234 1708 3240 1720
+rect 3292 1708 3298 1760
+rect 1104 1658 5980 1680
+rect 1104 1606 2607 1658
+rect 2659 1606 2671 1658
+rect 2723 1606 2735 1658
+rect 2787 1606 2799 1658
+rect 2851 1606 4232 1658
+rect 4284 1606 4296 1658
+rect 4348 1606 4360 1658
+rect 4412 1606 4424 1658
+rect 4476 1606 5980 1658
+rect 1104 1584 5980 1606
+rect 1118 1368 1124 1420
+rect 1176 1408 1182 1420
+rect 1489 1408 1547 1417
+rect 1673 1411 1731 1417
+rect 1673 1408 1685 1411
+rect 1176 1380 1685 1408
+rect 1176 1368 1182 1380
+rect 1489 1371 1547 1380
+rect 1673 1377 1685 1380
+rect 1719 1377 1731 1411
+rect 1673 1371 1731 1377
+rect 2774 1408 2838 1420
+rect 2958 1408 2964 1420
+rect 2774 1380 2964 1408
+rect 2774 1368 2838 1380
+rect 2958 1368 2964 1380
+rect 3016 1368 3022 1420
+rect 4430 1408 4494 1420
+rect 4614 1408 4620 1420
+rect 4430 1380 4620 1408
+rect 4430 1368 4494 1380
+rect 4614 1368 4620 1380
+rect 4672 1368 4678 1420
+rect 1104 1114 5980 1136
+rect 1104 1062 1794 1114
+rect 1846 1062 1858 1114
+rect 1910 1062 1922 1114
+rect 1974 1062 1986 1114
+rect 2038 1062 3420 1114
+rect 3472 1062 3484 1114
+rect 3536 1062 3548 1114
+rect 3600 1062 3612 1114
+rect 3664 1062 5045 1114
+rect 5097 1062 5109 1114
+rect 5161 1062 5173 1114
+rect 5225 1062 5237 1114
+rect 5289 1062 5980 1114
+rect 1104 1040 5980 1062
+<< via1 >>
+rect 2607 5958 2659 6010
+rect 2671 5958 2723 6010
+rect 2735 5958 2787 6010
+rect 2799 5958 2851 6010
+rect 4232 5958 4284 6010
+rect 4296 5958 4348 6010
+rect 4360 5958 4412 6010
+rect 4424 5958 4476 6010
+rect 1794 5414 1846 5466
+rect 1858 5414 1910 5466
+rect 1922 5414 1974 5466
+rect 1986 5414 2038 5466
+rect 3420 5414 3472 5466
+rect 3484 5414 3536 5466
+rect 3548 5414 3600 5466
+rect 3612 5414 3664 5466
+rect 5045 5414 5097 5466
+rect 5109 5414 5161 5466
+rect 5173 5414 5225 5466
+rect 5237 5414 5289 5466
+rect 4068 5108 4120 5160
+rect 4620 5108 4672 5160
+rect 2607 4870 2659 4922
+rect 2671 4870 2723 4922
+rect 2735 4870 2787 4922
+rect 2799 4870 2851 4922
+rect 4232 4870 4284 4922
+rect 4296 4870 4348 4922
+rect 4360 4870 4412 4922
+rect 4424 4870 4476 4922
+rect 2964 4632 3016 4684
+rect 3240 4675 3292 4684
+rect 3240 4641 3249 4675
+rect 3249 4641 3283 4675
+rect 3283 4641 3292 4675
+rect 3240 4632 3292 4641
+rect 3884 4428 3936 4480
+rect 4712 4428 4764 4480
+rect 1794 4326 1846 4378
+rect 1858 4326 1910 4378
+rect 1922 4326 1974 4378
+rect 1986 4326 2038 4378
+rect 3420 4326 3472 4378
+rect 3484 4326 3536 4378
+rect 3548 4326 3600 4378
+rect 3612 4326 3664 4378
+rect 5045 4326 5097 4378
+rect 5109 4326 5161 4378
+rect 5173 4326 5225 4378
+rect 5237 4326 5289 4378
+rect 3056 4224 3108 4276
+rect 1400 4156 1452 4208
+rect 1584 4063 1636 4072
+rect 1584 4029 1593 4063
+rect 1593 4029 1627 4063
+rect 1627 4029 1636 4063
+rect 1584 4020 1636 4029
+rect 2228 4088 2280 4140
+rect 4068 4020 4120 4072
+rect 5356 4020 5408 4072
+rect 4896 3952 4948 4004
+rect 2607 3782 2659 3834
+rect 2671 3782 2723 3834
+rect 2735 3782 2787 3834
+rect 2799 3782 2851 3834
+rect 4232 3782 4284 3834
+rect 4296 3782 4348 3834
+rect 4360 3782 4412 3834
+rect 4424 3782 4476 3834
+rect 572 3680 624 3732
+rect 4712 3680 4764 3732
+rect 1676 3587 1728 3596
+rect 1676 3553 1685 3587
+rect 1685 3553 1719 3587
+rect 1719 3553 1728 3587
+rect 1676 3544 1728 3553
+rect 5908 3612 5960 3664
+rect 2780 3544 2832 3596
+rect 3148 3587 3200 3596
+rect 3148 3553 3157 3587
+rect 3157 3553 3191 3587
+rect 3191 3553 3200 3587
+rect 3148 3544 3200 3553
+rect 4528 3544 4580 3596
+rect 4988 3544 5040 3596
+rect 2228 3408 2280 3460
+rect 4712 3408 4764 3460
+rect 1794 3238 1846 3290
+rect 1858 3238 1910 3290
+rect 1922 3238 1974 3290
+rect 1986 3238 2038 3290
+rect 3420 3238 3472 3290
+rect 3484 3238 3536 3290
+rect 3548 3238 3600 3290
+rect 3612 3238 3664 3290
+rect 5045 3238 5097 3290
+rect 5109 3238 5161 3290
+rect 5173 3238 5225 3290
+rect 5237 3238 5289 3290
+rect 2779 3131 2831 3183
+rect 5908 3136 5960 3188
+rect 3056 2932 3108 2984
+rect 1676 2796 1728 2848
+rect 4620 2796 4672 2848
+rect 2607 2694 2659 2746
+rect 2671 2694 2723 2746
+rect 2735 2694 2787 2746
+rect 2799 2694 2851 2746
+rect 4232 2694 4284 2746
+rect 4296 2694 4348 2746
+rect 4360 2694 4412 2746
+rect 4424 2694 4476 2746
+rect 5448 2456 5500 2508
+rect 4896 2388 4948 2440
+rect 2320 2320 2372 2372
+rect 6460 2320 6512 2372
+rect 1794 2150 1846 2202
+rect 1858 2150 1910 2202
+rect 1922 2150 1974 2202
+rect 1986 2150 2038 2202
+rect 3420 2150 3472 2202
+rect 3484 2150 3536 2202
+rect 3548 2150 3600 2202
+rect 3612 2150 3664 2202
+rect 5045 2150 5097 2202
+rect 5109 2150 5161 2202
+rect 5173 2150 5225 2202
+rect 5237 2150 5289 2202
+rect 1216 1912 1268 1964
+rect 2320 1844 2372 1896
+rect 3976 1980 4028 2032
+rect 3240 1887 3292 1896
+rect 3240 1853 3249 1887
+rect 3249 1853 3283 1887
+rect 3283 1853 3292 1887
+rect 3240 1844 3292 1853
+rect 3792 1844 3844 1896
+rect 5632 1887 5684 1896
+rect 5632 1853 5641 1887
+rect 5641 1853 5675 1887
+rect 5675 1853 5684 1887
+rect 5632 1844 5684 1853
+rect 3240 1708 3292 1760
+rect 2607 1606 2659 1658
+rect 2671 1606 2723 1658
+rect 2735 1606 2787 1658
+rect 2799 1606 2851 1658
+rect 4232 1606 4284 1658
+rect 4296 1606 4348 1658
+rect 4360 1606 4412 1658
+rect 4424 1606 4476 1658
+rect 1124 1368 1176 1420
+rect 2964 1411 3016 1420
+rect 2964 1377 2973 1411
+rect 2973 1377 3007 1411
+rect 3007 1377 3016 1411
+rect 2964 1368 3016 1377
+rect 4620 1411 4672 1420
+rect 4620 1377 4629 1411
+rect 4629 1377 4663 1411
+rect 4663 1377 4672 1411
+rect 4620 1368 4672 1377
+rect 1794 1062 1846 1114
+rect 1858 1062 1910 1114
+rect 1922 1062 1974 1114
+rect 1986 1062 2038 1114
+rect 3420 1062 3472 1114
+rect 3484 1062 3536 1114
+rect 3548 1062 3600 1114
+rect 3612 1062 3664 1114
+rect 5045 1062 5097 1114
+rect 5109 1062 5161 1114
+rect 5173 1062 5225 1114
+rect 5237 1062 5289 1114
+<< metal2 >>
+rect 1122 6277 1178 7077
+rect 1674 6277 1730 7077
+rect 2226 6277 2282 7077
+rect 2962 6277 3018 7077
+rect 3514 6277 3570 7077
+rect 4066 6277 4122 7077
+rect 4802 6277 4858 7077
+rect 5354 6277 5410 7077
+rect 5906 6277 5962 7077
+rect 6458 6277 6514 7077
+rect 572 3732 624 3738
+rect 572 3674 624 3680
+rect 584 800 612 3674
+rect 1136 1426 1164 6277
+rect 1582 4448 1638 4457
+rect 1582 4383 1638 4392
+rect 1400 4208 1452 4214
+rect 1400 4150 1452 4156
+rect 1412 2553 1440 4150
+rect 1596 4078 1624 4383
+rect 1584 4072 1636 4078
+rect 1584 4014 1636 4020
+rect 1688 3602 1716 6277
+rect 1768 5468 2064 5488
+rect 1824 5466 1848 5468
+rect 1904 5466 1928 5468
+rect 1984 5466 2008 5468
+rect 1846 5414 1848 5466
+rect 1910 5414 1922 5466
+rect 1984 5414 1986 5466
+rect 1824 5412 1848 5414
+rect 1904 5412 1928 5414
+rect 1984 5412 2008 5414
+rect 1768 5392 2064 5412
+rect 1768 4380 2064 4400
+rect 1824 4378 1848 4380
+rect 1904 4378 1928 4380
+rect 1984 4378 2008 4380
+rect 1846 4326 1848 4378
+rect 1910 4326 1922 4378
+rect 1984 4326 1986 4378
+rect 1824 4324 1848 4326
+rect 1904 4324 1928 4326
+rect 1984 4324 2008 4326
+rect 1768 4304 2064 4324
+rect 2240 4146 2268 6277
+rect 2581 6012 2877 6032
+rect 2637 6010 2661 6012
+rect 2717 6010 2741 6012
+rect 2797 6010 2821 6012
+rect 2659 5958 2661 6010
+rect 2723 5958 2735 6010
+rect 2797 5958 2799 6010
+rect 2637 5956 2661 5958
+rect 2717 5956 2741 5958
+rect 2797 5956 2821 5958
+rect 2581 5936 2877 5956
+rect 2581 4924 2877 4944
+rect 2637 4922 2661 4924
+rect 2717 4922 2741 4924
+rect 2797 4922 2821 4924
+rect 2659 4870 2661 4922
+rect 2723 4870 2735 4922
+rect 2797 4870 2799 4922
+rect 2637 4868 2661 4870
+rect 2717 4868 2741 4870
+rect 2797 4868 2821 4870
+rect 2581 4848 2877 4868
+rect 2976 4690 3004 6277
+rect 3528 5658 3556 6277
+rect 3528 5630 3832 5658
+rect 3394 5468 3690 5488
+rect 3450 5466 3474 5468
+rect 3530 5466 3554 5468
+rect 3610 5466 3634 5468
+rect 3472 5414 3474 5466
+rect 3536 5414 3548 5466
+rect 3610 5414 3612 5466
+rect 3450 5412 3474 5414
+rect 3530 5412 3554 5414
+rect 3610 5412 3634 5414
+rect 3394 5392 3690 5412
+rect 3054 5264 3110 5273
+rect 3054 5199 3110 5208
+rect 3238 5264 3294 5273
+rect 3238 5199 3294 5208
+rect 2964 4684 3016 4690
+rect 2964 4626 3016 4632
+rect 3068 4282 3096 5199
+rect 3252 4690 3280 5199
+rect 3240 4684 3292 4690
+rect 3240 4626 3292 4632
+rect 3394 4380 3690 4400
+rect 3450 4378 3474 4380
+rect 3530 4378 3554 4380
+rect 3610 4378 3634 4380
+rect 3472 4326 3474 4378
+rect 3536 4326 3548 4378
+rect 3610 4326 3612 4378
+rect 3450 4324 3474 4326
+rect 3530 4324 3554 4326
+rect 3610 4324 3634 4326
+rect 3394 4304 3690 4324
+rect 3056 4276 3108 4282
+rect 3056 4218 3108 4224
+rect 2228 4140 2280 4146
+rect 2228 4082 2280 4088
+rect 2581 3836 2877 3856
+rect 2637 3834 2661 3836
+rect 2717 3834 2741 3836
+rect 2797 3834 2821 3836
+rect 2659 3782 2661 3834
+rect 2723 3782 2735 3834
+rect 2797 3782 2799 3834
+rect 2637 3780 2661 3782
+rect 2717 3780 2741 3782
+rect 2797 3780 2821 3782
+rect 2581 3760 2877 3780
+rect 1676 3596 1728 3602
+rect 1676 3538 1728 3544
+rect 2780 3596 2832 3602
+rect 2780 3538 2832 3544
+rect 3148 3596 3200 3602
+rect 3148 3538 3200 3544
+rect 2228 3460 2280 3466
+rect 2228 3402 2280 3408
+rect 1768 3292 2064 3312
+rect 1824 3290 1848 3292
+rect 1904 3290 1928 3292
+rect 1984 3290 2008 3292
+rect 1846 3238 1848 3290
+rect 1910 3238 1922 3290
+rect 1984 3238 1986 3290
+rect 1824 3236 1848 3238
+rect 1904 3236 1928 3238
+rect 1984 3236 2008 3238
+rect 1768 3216 2064 3236
+rect 1676 2848 1728 2854
+rect 1676 2790 1728 2796
+rect 1398 2544 1454 2553
+rect 1398 2479 1454 2488
+rect 1216 1964 1268 1970
+rect 1216 1906 1268 1912
+rect 1124 1420 1176 1426
+rect 1124 1362 1176 1368
+rect 1228 1034 1256 1906
+rect 1136 1006 1256 1034
+rect 1136 800 1164 1006
+rect 1688 800 1716 2790
+rect 1768 2204 2064 2224
+rect 1824 2202 1848 2204
+rect 1904 2202 1928 2204
+rect 1984 2202 2008 2204
+rect 1846 2150 1848 2202
+rect 1910 2150 1922 2202
+rect 1984 2150 1986 2202
+rect 1824 2148 1848 2150
+rect 1904 2148 1928 2150
+rect 1984 2148 2008 2150
+rect 1768 2128 2064 2148
+rect 1768 1116 2064 1136
+rect 1824 1114 1848 1116
+rect 1904 1114 1928 1116
+rect 1984 1114 2008 1116
+rect 1846 1062 1848 1114
+rect 1910 1062 1922 1114
+rect 1984 1062 1986 1114
+rect 1824 1060 1848 1062
+rect 1904 1060 1928 1062
+rect 1984 1060 2008 1062
+rect 1768 1040 2064 1060
+rect 2240 800 2268 3402
+rect 2792 3189 2820 3538
+rect 2779 3183 2831 3189
+rect 2779 3125 2831 3131
+rect 3056 2984 3108 2990
+rect 3056 2926 3108 2932
+rect 2581 2748 2877 2768
+rect 2637 2746 2661 2748
+rect 2717 2746 2741 2748
+rect 2797 2746 2821 2748
+rect 2659 2694 2661 2746
+rect 2723 2694 2735 2746
+rect 2797 2694 2799 2746
+rect 2637 2692 2661 2694
+rect 2717 2692 2741 2694
+rect 2797 2692 2821 2694
+rect 2581 2672 2877 2692
+rect 2962 2544 3018 2553
+rect 2962 2479 3018 2488
+rect 2320 2372 2372 2378
+rect 2320 2314 2372 2320
+rect 2332 1902 2360 2314
+rect 2320 1896 2372 1902
+rect 2320 1838 2372 1844
+rect 2581 1660 2877 1680
+rect 2637 1658 2661 1660
+rect 2717 1658 2741 1660
+rect 2797 1658 2821 1660
+rect 2659 1606 2661 1658
+rect 2723 1606 2735 1658
+rect 2797 1606 2799 1658
+rect 2637 1604 2661 1606
+rect 2717 1604 2741 1606
+rect 2797 1604 2821 1606
+rect 2581 1584 2877 1604
+rect 2976 1426 3004 2479
+rect 2964 1420 3016 1426
+rect 2964 1362 3016 1368
+rect 3068 1170 3096 2926
+rect 3160 1873 3188 3538
+rect 3394 3292 3690 3312
+rect 3450 3290 3474 3292
+rect 3530 3290 3554 3292
+rect 3610 3290 3634 3292
+rect 3472 3238 3474 3290
+rect 3536 3238 3548 3290
+rect 3610 3238 3612 3290
+rect 3450 3236 3474 3238
+rect 3530 3236 3554 3238
+rect 3610 3236 3634 3238
+rect 3394 3216 3690 3236
+rect 3238 3088 3294 3097
+rect 3238 3023 3294 3032
+rect 3252 1902 3280 3023
+rect 3394 2204 3690 2224
+rect 3450 2202 3474 2204
+rect 3530 2202 3554 2204
+rect 3610 2202 3634 2204
+rect 3472 2150 3474 2202
+rect 3536 2150 3548 2202
+rect 3610 2150 3612 2202
+rect 3450 2148 3474 2150
+rect 3530 2148 3554 2150
+rect 3610 2148 3634 2150
+rect 3394 2128 3690 2148
+rect 3804 1902 3832 5630
+rect 4080 5250 4108 6277
+rect 4206 6012 4502 6032
+rect 4262 6010 4286 6012
+rect 4342 6010 4366 6012
+rect 4422 6010 4446 6012
+rect 4284 5958 4286 6010
+rect 4348 5958 4360 6010
+rect 4422 5958 4424 6010
+rect 4262 5956 4286 5958
+rect 4342 5956 4366 5958
+rect 4422 5956 4446 5958
+rect 4206 5936 4502 5956
+rect 4526 5808 4582 5817
+rect 4526 5743 4582 5752
+rect 3988 5222 4108 5250
+rect 3884 4480 3936 4486
+rect 3884 4422 3936 4428
+rect 3240 1896 3292 1902
+rect 3146 1864 3202 1873
+rect 3240 1838 3292 1844
+rect 3792 1896 3844 1902
+rect 3792 1838 3844 1844
+rect 3146 1799 3202 1808
+rect 3240 1760 3292 1766
+rect 3240 1702 3292 1708
+rect 2976 1142 3096 1170
+rect 2976 800 3004 1142
+rect 3252 898 3280 1702
+rect 3394 1116 3690 1136
+rect 3450 1114 3474 1116
+rect 3530 1114 3554 1116
+rect 3610 1114 3634 1116
+rect 3472 1062 3474 1114
+rect 3536 1062 3548 1114
+rect 3610 1062 3612 1114
+rect 3450 1060 3474 1062
+rect 3530 1060 3554 1062
+rect 3610 1060 3634 1062
+rect 3394 1040 3690 1060
+rect 3896 921 3924 4422
+rect 3988 2038 4016 5222
+rect 4068 5160 4120 5166
+rect 4068 5102 4120 5108
+rect 4080 4593 4108 5102
+rect 4206 4924 4502 4944
+rect 4262 4922 4286 4924
+rect 4342 4922 4366 4924
+rect 4422 4922 4446 4924
+rect 4284 4870 4286 4922
+rect 4348 4870 4360 4922
+rect 4422 4870 4424 4922
+rect 4262 4868 4286 4870
+rect 4342 4868 4366 4870
+rect 4422 4868 4446 4870
+rect 4206 4848 4502 4868
+rect 4066 4584 4122 4593
+rect 4066 4519 4122 4528
+rect 4068 4072 4120 4078
+rect 4068 4014 4120 4020
+rect 3976 2032 4028 2038
+rect 3976 1974 4028 1980
+rect 3882 912 3938 921
+rect 3252 870 3556 898
+rect 3528 800 3556 870
+rect 3882 847 3938 856
+rect 4080 800 4108 4014
+rect 4206 3836 4502 3856
+rect 4262 3834 4286 3836
+rect 4342 3834 4366 3836
+rect 4422 3834 4446 3836
+rect 4284 3782 4286 3834
+rect 4348 3782 4360 3834
+rect 4422 3782 4424 3834
+rect 4262 3780 4286 3782
+rect 4342 3780 4366 3782
+rect 4422 3780 4446 3782
+rect 4206 3760 4502 3780
+rect 4540 3602 4568 5743
+rect 4620 5160 4672 5166
+rect 4620 5102 4672 5108
+rect 4528 3596 4580 3602
+rect 4528 3538 4580 3544
+rect 4632 2854 4660 5102
+rect 4712 4480 4764 4486
+rect 4712 4422 4764 4428
+rect 4724 3738 4752 4422
+rect 4816 4127 4844 6277
+rect 5019 5468 5315 5488
+rect 5075 5466 5099 5468
+rect 5155 5466 5179 5468
+rect 5235 5466 5259 5468
+rect 5097 5414 5099 5466
+rect 5161 5414 5173 5466
+rect 5235 5414 5237 5466
+rect 5075 5412 5099 5414
+rect 5155 5412 5179 5414
+rect 5235 5412 5259 5414
+rect 5019 5392 5315 5412
+rect 5019 4380 5315 4400
+rect 5075 4378 5099 4380
+rect 5155 4378 5179 4380
+rect 5235 4378 5259 4380
+rect 5097 4326 5099 4378
+rect 5161 4326 5173 4378
+rect 5235 4326 5237 4378
+rect 5075 4324 5099 4326
+rect 5155 4324 5179 4326
+rect 5235 4324 5259 4326
+rect 5019 4304 5315 4324
+rect 5368 4298 5396 6277
+rect 5368 4270 5488 4298
+rect 4816 4099 5028 4127
+rect 4896 4004 4948 4010
+rect 4896 3946 4948 3952
+rect 4712 3732 4764 3738
+rect 4712 3674 4764 3680
+rect 4712 3460 4764 3466
+rect 4712 3402 4764 3408
+rect 4620 2848 4672 2854
+rect 4620 2790 4672 2796
+rect 4206 2748 4502 2768
+rect 4262 2746 4286 2748
+rect 4342 2746 4366 2748
+rect 4422 2746 4446 2748
+rect 4284 2694 4286 2746
+rect 4348 2694 4360 2746
+rect 4422 2694 4424 2746
+rect 4262 2692 4286 2694
+rect 4342 2692 4366 2694
+rect 4422 2692 4446 2694
+rect 4206 2672 4502 2692
+rect 4618 1728 4674 1737
+rect 4206 1660 4502 1680
+rect 4724 1714 4752 3402
+rect 4908 2446 4936 3946
+rect 5000 3602 5028 4099
+rect 5356 4072 5408 4078
+rect 5356 4014 5408 4020
+rect 4988 3596 5040 3602
+rect 4988 3538 5040 3544
+rect 5019 3292 5315 3312
+rect 5075 3290 5099 3292
+rect 5155 3290 5179 3292
+rect 5235 3290 5259 3292
+rect 5097 3238 5099 3290
+rect 5161 3238 5173 3290
+rect 5235 3238 5237 3290
+rect 5075 3236 5099 3238
+rect 5155 3236 5179 3238
+rect 5235 3236 5259 3238
+rect 5019 3216 5315 3236
+rect 4896 2440 4948 2446
+rect 4896 2382 4948 2388
+rect 5019 2204 5315 2224
+rect 5075 2202 5099 2204
+rect 5155 2202 5179 2204
+rect 5235 2202 5259 2204
+rect 5097 2150 5099 2202
+rect 5161 2150 5173 2202
+rect 5235 2150 5237 2202
+rect 5075 2148 5099 2150
+rect 5155 2148 5179 2150
+rect 5235 2148 5259 2150
+rect 5019 2128 5315 2148
+rect 4724 1686 4844 1714
+rect 4618 1663 4674 1672
+rect 4262 1658 4286 1660
+rect 4342 1658 4366 1660
+rect 4422 1658 4446 1660
+rect 4284 1606 4286 1658
+rect 4348 1606 4360 1658
+rect 4422 1606 4424 1658
+rect 4262 1604 4286 1606
+rect 4342 1604 4366 1606
+rect 4422 1604 4446 1606
+rect 4206 1584 4502 1604
+rect 4632 1426 4660 1663
+rect 4620 1420 4672 1426
+rect 4620 1362 4672 1368
+rect 4816 800 4844 1686
+rect 5019 1116 5315 1136
+rect 5075 1114 5099 1116
+rect 5155 1114 5179 1116
+rect 5235 1114 5259 1116
+rect 5097 1062 5099 1114
+rect 5161 1062 5173 1114
+rect 5235 1062 5237 1114
+rect 5075 1060 5099 1062
+rect 5155 1060 5179 1062
+rect 5235 1060 5259 1062
+rect 5019 1040 5315 1060
+rect 5368 800 5396 4014
+rect 5460 2514 5488 4270
+rect 5920 3670 5948 6277
+rect 5908 3664 5960 3670
+rect 5630 3632 5686 3641
+rect 5908 3606 5960 3612
+rect 5630 3567 5686 3576
+rect 5448 2508 5500 2514
+rect 5448 2450 5500 2456
+rect 5644 1902 5672 3567
+rect 5908 3188 5960 3194
+rect 5908 3130 5960 3136
+rect 5632 1896 5684 1902
+rect 5632 1838 5684 1844
+rect 5920 800 5948 3130
+rect 6472 2378 6500 6277
+rect 6460 2372 6512 2378
+rect 6460 2314 6512 2320
+rect 570 0 626 800
+rect 1122 0 1178 800
+rect 1674 0 1730 800
+rect 2226 0 2282 800
+rect 2962 0 3018 800
+rect 3514 0 3570 800
+rect 4066 0 4122 800
+rect 4802 0 4858 800
+rect 5354 0 5410 800
+rect 5906 0 5962 800
+<< via2 >>
+rect 1582 4392 1638 4448
+rect 1768 5466 1824 5468
+rect 1848 5466 1904 5468
+rect 1928 5466 1984 5468
+rect 2008 5466 2064 5468
+rect 1768 5414 1794 5466
+rect 1794 5414 1824 5466
+rect 1848 5414 1858 5466
+rect 1858 5414 1904 5466
+rect 1928 5414 1974 5466
+rect 1974 5414 1984 5466
+rect 2008 5414 2038 5466
+rect 2038 5414 2064 5466
+rect 1768 5412 1824 5414
+rect 1848 5412 1904 5414
+rect 1928 5412 1984 5414
+rect 2008 5412 2064 5414
+rect 1768 4378 1824 4380
+rect 1848 4378 1904 4380
+rect 1928 4378 1984 4380
+rect 2008 4378 2064 4380
+rect 1768 4326 1794 4378
+rect 1794 4326 1824 4378
+rect 1848 4326 1858 4378
+rect 1858 4326 1904 4378
+rect 1928 4326 1974 4378
+rect 1974 4326 1984 4378
+rect 2008 4326 2038 4378
+rect 2038 4326 2064 4378
+rect 1768 4324 1824 4326
+rect 1848 4324 1904 4326
+rect 1928 4324 1984 4326
+rect 2008 4324 2064 4326
+rect 2581 6010 2637 6012
+rect 2661 6010 2717 6012
+rect 2741 6010 2797 6012
+rect 2821 6010 2877 6012
+rect 2581 5958 2607 6010
+rect 2607 5958 2637 6010
+rect 2661 5958 2671 6010
+rect 2671 5958 2717 6010
+rect 2741 5958 2787 6010
+rect 2787 5958 2797 6010
+rect 2821 5958 2851 6010
+rect 2851 5958 2877 6010
+rect 2581 5956 2637 5958
+rect 2661 5956 2717 5958
+rect 2741 5956 2797 5958
+rect 2821 5956 2877 5958
+rect 2581 4922 2637 4924
+rect 2661 4922 2717 4924
+rect 2741 4922 2797 4924
+rect 2821 4922 2877 4924
+rect 2581 4870 2607 4922
+rect 2607 4870 2637 4922
+rect 2661 4870 2671 4922
+rect 2671 4870 2717 4922
+rect 2741 4870 2787 4922
+rect 2787 4870 2797 4922
+rect 2821 4870 2851 4922
+rect 2851 4870 2877 4922
+rect 2581 4868 2637 4870
+rect 2661 4868 2717 4870
+rect 2741 4868 2797 4870
+rect 2821 4868 2877 4870
+rect 3394 5466 3450 5468
+rect 3474 5466 3530 5468
+rect 3554 5466 3610 5468
+rect 3634 5466 3690 5468
+rect 3394 5414 3420 5466
+rect 3420 5414 3450 5466
+rect 3474 5414 3484 5466
+rect 3484 5414 3530 5466
+rect 3554 5414 3600 5466
+rect 3600 5414 3610 5466
+rect 3634 5414 3664 5466
+rect 3664 5414 3690 5466
+rect 3394 5412 3450 5414
+rect 3474 5412 3530 5414
+rect 3554 5412 3610 5414
+rect 3634 5412 3690 5414
+rect 3054 5208 3110 5264
+rect 3238 5208 3294 5264
+rect 3394 4378 3450 4380
+rect 3474 4378 3530 4380
+rect 3554 4378 3610 4380
+rect 3634 4378 3690 4380
+rect 3394 4326 3420 4378
+rect 3420 4326 3450 4378
+rect 3474 4326 3484 4378
+rect 3484 4326 3530 4378
+rect 3554 4326 3600 4378
+rect 3600 4326 3610 4378
+rect 3634 4326 3664 4378
+rect 3664 4326 3690 4378
+rect 3394 4324 3450 4326
+rect 3474 4324 3530 4326
+rect 3554 4324 3610 4326
+rect 3634 4324 3690 4326
+rect 2581 3834 2637 3836
+rect 2661 3834 2717 3836
+rect 2741 3834 2797 3836
+rect 2821 3834 2877 3836
+rect 2581 3782 2607 3834
+rect 2607 3782 2637 3834
+rect 2661 3782 2671 3834
+rect 2671 3782 2717 3834
+rect 2741 3782 2787 3834
+rect 2787 3782 2797 3834
+rect 2821 3782 2851 3834
+rect 2851 3782 2877 3834
+rect 2581 3780 2637 3782
+rect 2661 3780 2717 3782
+rect 2741 3780 2797 3782
+rect 2821 3780 2877 3782
+rect 1768 3290 1824 3292
+rect 1848 3290 1904 3292
+rect 1928 3290 1984 3292
+rect 2008 3290 2064 3292
+rect 1768 3238 1794 3290
+rect 1794 3238 1824 3290
+rect 1848 3238 1858 3290
+rect 1858 3238 1904 3290
+rect 1928 3238 1974 3290
+rect 1974 3238 1984 3290
+rect 2008 3238 2038 3290
+rect 2038 3238 2064 3290
+rect 1768 3236 1824 3238
+rect 1848 3236 1904 3238
+rect 1928 3236 1984 3238
+rect 2008 3236 2064 3238
+rect 1398 2488 1454 2544
+rect 1768 2202 1824 2204
+rect 1848 2202 1904 2204
+rect 1928 2202 1984 2204
+rect 2008 2202 2064 2204
+rect 1768 2150 1794 2202
+rect 1794 2150 1824 2202
+rect 1848 2150 1858 2202
+rect 1858 2150 1904 2202
+rect 1928 2150 1974 2202
+rect 1974 2150 1984 2202
+rect 2008 2150 2038 2202
+rect 2038 2150 2064 2202
+rect 1768 2148 1824 2150
+rect 1848 2148 1904 2150
+rect 1928 2148 1984 2150
+rect 2008 2148 2064 2150
+rect 1768 1114 1824 1116
+rect 1848 1114 1904 1116
+rect 1928 1114 1984 1116
+rect 2008 1114 2064 1116
+rect 1768 1062 1794 1114
+rect 1794 1062 1824 1114
+rect 1848 1062 1858 1114
+rect 1858 1062 1904 1114
+rect 1928 1062 1974 1114
+rect 1974 1062 1984 1114
+rect 2008 1062 2038 1114
+rect 2038 1062 2064 1114
+rect 1768 1060 1824 1062
+rect 1848 1060 1904 1062
+rect 1928 1060 1984 1062
+rect 2008 1060 2064 1062
+rect 2581 2746 2637 2748
+rect 2661 2746 2717 2748
+rect 2741 2746 2797 2748
+rect 2821 2746 2877 2748
+rect 2581 2694 2607 2746
+rect 2607 2694 2637 2746
+rect 2661 2694 2671 2746
+rect 2671 2694 2717 2746
+rect 2741 2694 2787 2746
+rect 2787 2694 2797 2746
+rect 2821 2694 2851 2746
+rect 2851 2694 2877 2746
+rect 2581 2692 2637 2694
+rect 2661 2692 2717 2694
+rect 2741 2692 2797 2694
+rect 2821 2692 2877 2694
+rect 2962 2488 3018 2544
+rect 2581 1658 2637 1660
+rect 2661 1658 2717 1660
+rect 2741 1658 2797 1660
+rect 2821 1658 2877 1660
+rect 2581 1606 2607 1658
+rect 2607 1606 2637 1658
+rect 2661 1606 2671 1658
+rect 2671 1606 2717 1658
+rect 2741 1606 2787 1658
+rect 2787 1606 2797 1658
+rect 2821 1606 2851 1658
+rect 2851 1606 2877 1658
+rect 2581 1604 2637 1606
+rect 2661 1604 2717 1606
+rect 2741 1604 2797 1606
+rect 2821 1604 2877 1606
+rect 3394 3290 3450 3292
+rect 3474 3290 3530 3292
+rect 3554 3290 3610 3292
+rect 3634 3290 3690 3292
+rect 3394 3238 3420 3290
+rect 3420 3238 3450 3290
+rect 3474 3238 3484 3290
+rect 3484 3238 3530 3290
+rect 3554 3238 3600 3290
+rect 3600 3238 3610 3290
+rect 3634 3238 3664 3290
+rect 3664 3238 3690 3290
+rect 3394 3236 3450 3238
+rect 3474 3236 3530 3238
+rect 3554 3236 3610 3238
+rect 3634 3236 3690 3238
+rect 3238 3032 3294 3088
+rect 3394 2202 3450 2204
+rect 3474 2202 3530 2204
+rect 3554 2202 3610 2204
+rect 3634 2202 3690 2204
+rect 3394 2150 3420 2202
+rect 3420 2150 3450 2202
+rect 3474 2150 3484 2202
+rect 3484 2150 3530 2202
+rect 3554 2150 3600 2202
+rect 3600 2150 3610 2202
+rect 3634 2150 3664 2202
+rect 3664 2150 3690 2202
+rect 3394 2148 3450 2150
+rect 3474 2148 3530 2150
+rect 3554 2148 3610 2150
+rect 3634 2148 3690 2150
+rect 4206 6010 4262 6012
+rect 4286 6010 4342 6012
+rect 4366 6010 4422 6012
+rect 4446 6010 4502 6012
+rect 4206 5958 4232 6010
+rect 4232 5958 4262 6010
+rect 4286 5958 4296 6010
+rect 4296 5958 4342 6010
+rect 4366 5958 4412 6010
+rect 4412 5958 4422 6010
+rect 4446 5958 4476 6010
+rect 4476 5958 4502 6010
+rect 4206 5956 4262 5958
+rect 4286 5956 4342 5958
+rect 4366 5956 4422 5958
+rect 4446 5956 4502 5958
+rect 4526 5752 4582 5808
+rect 3146 1808 3202 1864
+rect 3394 1114 3450 1116
+rect 3474 1114 3530 1116
+rect 3554 1114 3610 1116
+rect 3634 1114 3690 1116
+rect 3394 1062 3420 1114
+rect 3420 1062 3450 1114
+rect 3474 1062 3484 1114
+rect 3484 1062 3530 1114
+rect 3554 1062 3600 1114
+rect 3600 1062 3610 1114
+rect 3634 1062 3664 1114
+rect 3664 1062 3690 1114
+rect 3394 1060 3450 1062
+rect 3474 1060 3530 1062
+rect 3554 1060 3610 1062
+rect 3634 1060 3690 1062
+rect 4206 4922 4262 4924
+rect 4286 4922 4342 4924
+rect 4366 4922 4422 4924
+rect 4446 4922 4502 4924
+rect 4206 4870 4232 4922
+rect 4232 4870 4262 4922
+rect 4286 4870 4296 4922
+rect 4296 4870 4342 4922
+rect 4366 4870 4412 4922
+rect 4412 4870 4422 4922
+rect 4446 4870 4476 4922
+rect 4476 4870 4502 4922
+rect 4206 4868 4262 4870
+rect 4286 4868 4342 4870
+rect 4366 4868 4422 4870
+rect 4446 4868 4502 4870
+rect 4066 4528 4122 4584
+rect 3882 856 3938 912
+rect 4206 3834 4262 3836
+rect 4286 3834 4342 3836
+rect 4366 3834 4422 3836
+rect 4446 3834 4502 3836
+rect 4206 3782 4232 3834
+rect 4232 3782 4262 3834
+rect 4286 3782 4296 3834
+rect 4296 3782 4342 3834
+rect 4366 3782 4412 3834
+rect 4412 3782 4422 3834
+rect 4446 3782 4476 3834
+rect 4476 3782 4502 3834
+rect 4206 3780 4262 3782
+rect 4286 3780 4342 3782
+rect 4366 3780 4422 3782
+rect 4446 3780 4502 3782
+rect 5019 5466 5075 5468
+rect 5099 5466 5155 5468
+rect 5179 5466 5235 5468
+rect 5259 5466 5315 5468
+rect 5019 5414 5045 5466
+rect 5045 5414 5075 5466
+rect 5099 5414 5109 5466
+rect 5109 5414 5155 5466
+rect 5179 5414 5225 5466
+rect 5225 5414 5235 5466
+rect 5259 5414 5289 5466
+rect 5289 5414 5315 5466
+rect 5019 5412 5075 5414
+rect 5099 5412 5155 5414
+rect 5179 5412 5235 5414
+rect 5259 5412 5315 5414
+rect 5019 4378 5075 4380
+rect 5099 4378 5155 4380
+rect 5179 4378 5235 4380
+rect 5259 4378 5315 4380
+rect 5019 4326 5045 4378
+rect 5045 4326 5075 4378
+rect 5099 4326 5109 4378
+rect 5109 4326 5155 4378
+rect 5179 4326 5225 4378
+rect 5225 4326 5235 4378
+rect 5259 4326 5289 4378
+rect 5289 4326 5315 4378
+rect 5019 4324 5075 4326
+rect 5099 4324 5155 4326
+rect 5179 4324 5235 4326
+rect 5259 4324 5315 4326
+rect 4206 2746 4262 2748
+rect 4286 2746 4342 2748
+rect 4366 2746 4422 2748
+rect 4446 2746 4502 2748
+rect 4206 2694 4232 2746
+rect 4232 2694 4262 2746
+rect 4286 2694 4296 2746
+rect 4296 2694 4342 2746
+rect 4366 2694 4412 2746
+rect 4412 2694 4422 2746
+rect 4446 2694 4476 2746
+rect 4476 2694 4502 2746
+rect 4206 2692 4262 2694
+rect 4286 2692 4342 2694
+rect 4366 2692 4422 2694
+rect 4446 2692 4502 2694
+rect 4618 1672 4674 1728
+rect 5019 3290 5075 3292
+rect 5099 3290 5155 3292
+rect 5179 3290 5235 3292
+rect 5259 3290 5315 3292
+rect 5019 3238 5045 3290
+rect 5045 3238 5075 3290
+rect 5099 3238 5109 3290
+rect 5109 3238 5155 3290
+rect 5179 3238 5225 3290
+rect 5225 3238 5235 3290
+rect 5259 3238 5289 3290
+rect 5289 3238 5315 3290
+rect 5019 3236 5075 3238
+rect 5099 3236 5155 3238
+rect 5179 3236 5235 3238
+rect 5259 3236 5315 3238
+rect 5019 2202 5075 2204
+rect 5099 2202 5155 2204
+rect 5179 2202 5235 2204
+rect 5259 2202 5315 2204
+rect 5019 2150 5045 2202
+rect 5045 2150 5075 2202
+rect 5099 2150 5109 2202
+rect 5109 2150 5155 2202
+rect 5179 2150 5225 2202
+rect 5225 2150 5235 2202
+rect 5259 2150 5289 2202
+rect 5289 2150 5315 2202
+rect 5019 2148 5075 2150
+rect 5099 2148 5155 2150
+rect 5179 2148 5235 2150
+rect 5259 2148 5315 2150
+rect 4206 1658 4262 1660
+rect 4286 1658 4342 1660
+rect 4366 1658 4422 1660
+rect 4446 1658 4502 1660
+rect 4206 1606 4232 1658
+rect 4232 1606 4262 1658
+rect 4286 1606 4296 1658
+rect 4296 1606 4342 1658
+rect 4366 1606 4412 1658
+rect 4412 1606 4422 1658
+rect 4446 1606 4476 1658
+rect 4476 1606 4502 1658
+rect 4206 1604 4262 1606
+rect 4286 1604 4342 1606
+rect 4366 1604 4422 1606
+rect 4446 1604 4502 1606
+rect 5019 1114 5075 1116
+rect 5099 1114 5155 1116
+rect 5179 1114 5235 1116
+rect 5259 1114 5315 1116
+rect 5019 1062 5045 1114
+rect 5045 1062 5075 1114
+rect 5099 1062 5109 1114
+rect 5109 1062 5155 1114
+rect 5179 1062 5225 1114
+rect 5225 1062 5235 1114
+rect 5259 1062 5289 1114
+rect 5289 1062 5315 1114
+rect 5019 1060 5075 1062
+rect 5099 1060 5155 1062
+rect 5179 1060 5235 1062
+rect 5259 1060 5315 1062
+rect 5630 3576 5686 3632
+<< metal3 >>
+rect 0 6082 800 6112
+rect 0 6022 2330 6082
+rect 0 5992 800 6022
+rect 2270 5810 2330 6022
+rect 2569 6016 2889 6017
+rect 2569 5952 2577 6016
+rect 2641 5952 2657 6016
+rect 2721 5952 2737 6016
+rect 2801 5952 2817 6016
+rect 2881 5952 2889 6016
+rect 2569 5951 2889 5952
+rect 4194 6016 4514 6017
+rect 4194 5952 4202 6016
+rect 4266 5952 4282 6016
+rect 4346 5952 4362 6016
+rect 4426 5952 4442 6016
+rect 4506 5952 4514 6016
+rect 4194 5951 4514 5952
+rect 4521 5810 4587 5813
+rect 2270 5808 4587 5810
+rect 2270 5752 4526 5808
+rect 4582 5752 4587 5808
+rect 2270 5750 4587 5752
+rect 4521 5747 4587 5750
+rect 1756 5472 2076 5473
+rect 1756 5408 1764 5472
+rect 1828 5408 1844 5472
+rect 1908 5408 1924 5472
+rect 1988 5408 2004 5472
+rect 2068 5408 2076 5472
+rect 1756 5407 2076 5408
+rect 3382 5472 3702 5473
+rect 3382 5408 3390 5472
+rect 3454 5408 3470 5472
+rect 3534 5408 3550 5472
+rect 3614 5408 3630 5472
+rect 3694 5408 3702 5472
+rect 3382 5407 3702 5408
+rect 5007 5472 5327 5473
+rect 5007 5408 5015 5472
+rect 5079 5408 5095 5472
+rect 5159 5408 5175 5472
+rect 5239 5408 5255 5472
+rect 5319 5408 5327 5472
+rect 5007 5407 5327 5408
+rect 0 5266 800 5296
+rect 3049 5266 3115 5269
+rect 0 5264 3115 5266
+rect 0 5208 3054 5264
+rect 3110 5208 3115 5264
+rect 0 5206 3115 5208
+rect 0 5176 800 5206
+rect 3049 5203 3115 5206
+rect 3233 5266 3299 5269
+rect 6309 5266 7109 5296
+rect 3233 5264 7109 5266
+rect 3233 5208 3238 5264
+rect 3294 5208 7109 5264
+rect 3233 5206 7109 5208
+rect 3233 5203 3299 5206
+rect 6309 5176 7109 5206
+rect 2569 4928 2889 4929
+rect 2569 4864 2577 4928
+rect 2641 4864 2657 4928
+rect 2721 4864 2737 4928
+rect 2801 4864 2817 4928
+rect 2881 4864 2889 4928
+rect 2569 4863 2889 4864
+rect 4194 4928 4514 4929
+rect 4194 4864 4202 4928
+rect 4266 4864 4282 4928
+rect 4346 4864 4362 4928
+rect 4426 4864 4442 4928
+rect 4506 4864 4514 4928
+rect 4194 4863 4514 4864
+rect 4061 4586 4127 4589
+rect 4061 4584 5458 4586
+rect 4061 4528 4066 4584
+rect 4122 4528 5458 4584
+rect 4061 4526 5458 4528
+rect 4061 4523 4127 4526
+rect 0 4450 800 4480
+rect 1577 4450 1643 4453
+rect 0 4448 1643 4450
+rect 0 4392 1582 4448
+rect 1638 4392 1643 4448
+rect 0 4390 1643 4392
+rect 5398 4450 5458 4526
+rect 6309 4450 7109 4480
+rect 5398 4390 7109 4450
+rect 0 4360 800 4390
+rect 1577 4387 1643 4390
+rect 1756 4384 2076 4385
+rect 1756 4320 1764 4384
+rect 1828 4320 1844 4384
+rect 1908 4320 1924 4384
+rect 1988 4320 2004 4384
+rect 2068 4320 2076 4384
+rect 1756 4319 2076 4320
+rect 3382 4384 3702 4385
+rect 3382 4320 3390 4384
+rect 3454 4320 3470 4384
+rect 3534 4320 3550 4384
+rect 3614 4320 3630 4384
+rect 3694 4320 3702 4384
+rect 3382 4319 3702 4320
+rect 5007 4384 5327 4385
+rect 5007 4320 5015 4384
+rect 5079 4320 5095 4384
+rect 5159 4320 5175 4384
+rect 5239 4320 5255 4384
+rect 5319 4320 5327 4384
+rect 6309 4360 7109 4390
+rect 5007 4319 5327 4320
+rect 2569 3840 2889 3841
+rect 2569 3776 2577 3840
+rect 2641 3776 2657 3840
+rect 2721 3776 2737 3840
+rect 2801 3776 2817 3840
+rect 2881 3776 2889 3840
+rect 2569 3775 2889 3776
+rect 4194 3840 4514 3841
+rect 4194 3776 4202 3840
+rect 4266 3776 4282 3840
+rect 4346 3776 4362 3840
+rect 4426 3776 4442 3840
+rect 4506 3776 4514 3840
+rect 4194 3775 4514 3776
+rect 5625 3634 5691 3637
+rect 6309 3634 7109 3664
+rect 5625 3632 7109 3634
+rect 5625 3576 5630 3632
+rect 5686 3576 7109 3632
+rect 5625 3574 7109 3576
+rect 5625 3571 5691 3574
+rect 6309 3544 7109 3574
+rect 0 3362 800 3392
+rect 0 3302 1594 3362
+rect 0 3272 800 3302
+rect 1534 3090 1594 3302
+rect 1756 3296 2076 3297
+rect 1756 3232 1764 3296
+rect 1828 3232 1844 3296
+rect 1908 3232 1924 3296
+rect 1988 3232 2004 3296
+rect 2068 3232 2076 3296
+rect 1756 3231 2076 3232
+rect 3382 3296 3702 3297
+rect 3382 3232 3390 3296
+rect 3454 3232 3470 3296
+rect 3534 3232 3550 3296
+rect 3614 3232 3630 3296
+rect 3694 3232 3702 3296
+rect 3382 3231 3702 3232
+rect 5007 3296 5327 3297
+rect 5007 3232 5015 3296
+rect 5079 3232 5095 3296
+rect 5159 3232 5175 3296
+rect 5239 3232 5255 3296
+rect 5319 3232 5327 3296
+rect 5007 3231 5327 3232
+rect 3233 3090 3299 3093
+rect 1534 3088 3299 3090
+rect 1534 3032 3238 3088
+rect 3294 3032 3299 3088
+rect 1534 3030 3299 3032
+rect 3233 3027 3299 3030
+rect 2569 2752 2889 2753
+rect 2569 2688 2577 2752
+rect 2641 2688 2657 2752
+rect 2721 2688 2737 2752
+rect 2801 2688 2817 2752
+rect 2881 2688 2889 2752
+rect 2569 2687 2889 2688
+rect 4194 2752 4514 2753
+rect 4194 2688 4202 2752
+rect 4266 2688 4282 2752
+rect 4346 2688 4362 2752
+rect 4426 2688 4442 2752
+rect 4506 2688 4514 2752
+rect 4194 2687 4514 2688
+rect 0 2546 800 2576
+rect 1393 2546 1459 2549
+rect 0 2544 1459 2546
+rect 0 2488 1398 2544
+rect 1454 2488 1459 2544
+rect 0 2486 1459 2488
+rect 0 2456 800 2486
+rect 1393 2483 1459 2486
+rect 2957 2546 3023 2549
+rect 6309 2546 7109 2576
+rect 2957 2544 7109 2546
+rect 2957 2488 2962 2544
+rect 3018 2488 7109 2544
+rect 2957 2486 7109 2488
+rect 2957 2483 3023 2486
+rect 6309 2456 7109 2486
+rect 1756 2208 2076 2209
+rect 1756 2144 1764 2208
+rect 1828 2144 1844 2208
+rect 1908 2144 1924 2208
+rect 1988 2144 2004 2208
+rect 2068 2144 2076 2208
+rect 1756 2143 2076 2144
+rect 3382 2208 3702 2209
+rect 3382 2144 3390 2208
+rect 3454 2144 3470 2208
+rect 3534 2144 3550 2208
+rect 3614 2144 3630 2208
+rect 3694 2144 3702 2208
+rect 3382 2143 3702 2144
+rect 5007 2208 5327 2209
+rect 5007 2144 5015 2208
+rect 5079 2144 5095 2208
+rect 5159 2144 5175 2208
+rect 5239 2144 5255 2208
+rect 5319 2144 5327 2208
+rect 5007 2143 5327 2144
+rect 3141 1866 3207 1869
+rect 1534 1864 3207 1866
+rect 1534 1808 3146 1864
+rect 3202 1808 3207 1864
+rect 1534 1806 3207 1808
+rect 0 1730 800 1760
+rect 1534 1730 1594 1806
+rect 3141 1803 3207 1806
+rect 0 1670 1594 1730
+rect 4613 1730 4679 1733
+rect 6309 1730 7109 1760
+rect 4613 1728 7109 1730
+rect 4613 1672 4618 1728
+rect 4674 1672 7109 1728
+rect 4613 1670 7109 1672
+rect 0 1640 800 1670
+rect 4613 1667 4679 1670
+rect 2569 1664 2889 1665
+rect 2569 1600 2577 1664
+rect 2641 1600 2657 1664
+rect 2721 1600 2737 1664
+rect 2801 1600 2817 1664
+rect 2881 1600 2889 1664
+rect 2569 1599 2889 1600
+rect 4194 1664 4514 1665
+rect 4194 1600 4202 1664
+rect 4266 1600 4282 1664
+rect 4346 1600 4362 1664
+rect 4426 1600 4442 1664
+rect 4506 1600 4514 1664
+rect 6309 1640 7109 1670
+rect 4194 1599 4514 1600
+rect 1756 1120 2076 1121
+rect 1756 1056 1764 1120
+rect 1828 1056 1844 1120
+rect 1908 1056 1924 1120
+rect 1988 1056 2004 1120
+rect 2068 1056 2076 1120
+rect 1756 1055 2076 1056
+rect 3382 1120 3702 1121
+rect 3382 1056 3390 1120
+rect 3454 1056 3470 1120
+rect 3534 1056 3550 1120
+rect 3614 1056 3630 1120
+rect 3694 1056 3702 1120
+rect 3382 1055 3702 1056
+rect 5007 1120 5327 1121
+rect 5007 1056 5015 1120
+rect 5079 1056 5095 1120
+rect 5159 1056 5175 1120
+rect 5239 1056 5255 1120
+rect 5319 1056 5327 1120
+rect 5007 1055 5327 1056
+rect 3877 914 3943 917
+rect 6309 914 7109 944
+rect 3877 912 7109 914
+rect 3877 856 3882 912
+rect 3938 856 7109 912
+rect 3877 854 7109 856
+rect 3877 851 3943 854
+rect 6309 824 7109 854
+<< via3 >>
+rect 2577 6012 2641 6016
+rect 2577 5956 2581 6012
+rect 2581 5956 2637 6012
+rect 2637 5956 2641 6012
+rect 2577 5952 2641 5956
+rect 2657 6012 2721 6016
+rect 2657 5956 2661 6012
+rect 2661 5956 2717 6012
+rect 2717 5956 2721 6012
+rect 2657 5952 2721 5956
+rect 2737 6012 2801 6016
+rect 2737 5956 2741 6012
+rect 2741 5956 2797 6012
+rect 2797 5956 2801 6012
+rect 2737 5952 2801 5956
+rect 2817 6012 2881 6016
+rect 2817 5956 2821 6012
+rect 2821 5956 2877 6012
+rect 2877 5956 2881 6012
+rect 2817 5952 2881 5956
+rect 4202 6012 4266 6016
+rect 4202 5956 4206 6012
+rect 4206 5956 4262 6012
+rect 4262 5956 4266 6012
+rect 4202 5952 4266 5956
+rect 4282 6012 4346 6016
+rect 4282 5956 4286 6012
+rect 4286 5956 4342 6012
+rect 4342 5956 4346 6012
+rect 4282 5952 4346 5956
+rect 4362 6012 4426 6016
+rect 4362 5956 4366 6012
+rect 4366 5956 4422 6012
+rect 4422 5956 4426 6012
+rect 4362 5952 4426 5956
+rect 4442 6012 4506 6016
+rect 4442 5956 4446 6012
+rect 4446 5956 4502 6012
+rect 4502 5956 4506 6012
+rect 4442 5952 4506 5956
+rect 1764 5468 1828 5472
+rect 1764 5412 1768 5468
+rect 1768 5412 1824 5468
+rect 1824 5412 1828 5468
+rect 1764 5408 1828 5412
+rect 1844 5468 1908 5472
+rect 1844 5412 1848 5468
+rect 1848 5412 1904 5468
+rect 1904 5412 1908 5468
+rect 1844 5408 1908 5412
+rect 1924 5468 1988 5472
+rect 1924 5412 1928 5468
+rect 1928 5412 1984 5468
+rect 1984 5412 1988 5468
+rect 1924 5408 1988 5412
+rect 2004 5468 2068 5472
+rect 2004 5412 2008 5468
+rect 2008 5412 2064 5468
+rect 2064 5412 2068 5468
+rect 2004 5408 2068 5412
+rect 3390 5468 3454 5472
+rect 3390 5412 3394 5468
+rect 3394 5412 3450 5468
+rect 3450 5412 3454 5468
+rect 3390 5408 3454 5412
+rect 3470 5468 3534 5472
+rect 3470 5412 3474 5468
+rect 3474 5412 3530 5468
+rect 3530 5412 3534 5468
+rect 3470 5408 3534 5412
+rect 3550 5468 3614 5472
+rect 3550 5412 3554 5468
+rect 3554 5412 3610 5468
+rect 3610 5412 3614 5468
+rect 3550 5408 3614 5412
+rect 3630 5468 3694 5472
+rect 3630 5412 3634 5468
+rect 3634 5412 3690 5468
+rect 3690 5412 3694 5468
+rect 3630 5408 3694 5412
+rect 5015 5468 5079 5472
+rect 5015 5412 5019 5468
+rect 5019 5412 5075 5468
+rect 5075 5412 5079 5468
+rect 5015 5408 5079 5412
+rect 5095 5468 5159 5472
+rect 5095 5412 5099 5468
+rect 5099 5412 5155 5468
+rect 5155 5412 5159 5468
+rect 5095 5408 5159 5412
+rect 5175 5468 5239 5472
+rect 5175 5412 5179 5468
+rect 5179 5412 5235 5468
+rect 5235 5412 5239 5468
+rect 5175 5408 5239 5412
+rect 5255 5468 5319 5472
+rect 5255 5412 5259 5468
+rect 5259 5412 5315 5468
+rect 5315 5412 5319 5468
+rect 5255 5408 5319 5412
+rect 2577 4924 2641 4928
+rect 2577 4868 2581 4924
+rect 2581 4868 2637 4924
+rect 2637 4868 2641 4924
+rect 2577 4864 2641 4868
+rect 2657 4924 2721 4928
+rect 2657 4868 2661 4924
+rect 2661 4868 2717 4924
+rect 2717 4868 2721 4924
+rect 2657 4864 2721 4868
+rect 2737 4924 2801 4928
+rect 2737 4868 2741 4924
+rect 2741 4868 2797 4924
+rect 2797 4868 2801 4924
+rect 2737 4864 2801 4868
+rect 2817 4924 2881 4928
+rect 2817 4868 2821 4924
+rect 2821 4868 2877 4924
+rect 2877 4868 2881 4924
+rect 2817 4864 2881 4868
+rect 4202 4924 4266 4928
+rect 4202 4868 4206 4924
+rect 4206 4868 4262 4924
+rect 4262 4868 4266 4924
+rect 4202 4864 4266 4868
+rect 4282 4924 4346 4928
+rect 4282 4868 4286 4924
+rect 4286 4868 4342 4924
+rect 4342 4868 4346 4924
+rect 4282 4864 4346 4868
+rect 4362 4924 4426 4928
+rect 4362 4868 4366 4924
+rect 4366 4868 4422 4924
+rect 4422 4868 4426 4924
+rect 4362 4864 4426 4868
+rect 4442 4924 4506 4928
+rect 4442 4868 4446 4924
+rect 4446 4868 4502 4924
+rect 4502 4868 4506 4924
+rect 4442 4864 4506 4868
+rect 1764 4380 1828 4384
+rect 1764 4324 1768 4380
+rect 1768 4324 1824 4380
+rect 1824 4324 1828 4380
+rect 1764 4320 1828 4324
+rect 1844 4380 1908 4384
+rect 1844 4324 1848 4380
+rect 1848 4324 1904 4380
+rect 1904 4324 1908 4380
+rect 1844 4320 1908 4324
+rect 1924 4380 1988 4384
+rect 1924 4324 1928 4380
+rect 1928 4324 1984 4380
+rect 1984 4324 1988 4380
+rect 1924 4320 1988 4324
+rect 2004 4380 2068 4384
+rect 2004 4324 2008 4380
+rect 2008 4324 2064 4380
+rect 2064 4324 2068 4380
+rect 2004 4320 2068 4324
+rect 3390 4380 3454 4384
+rect 3390 4324 3394 4380
+rect 3394 4324 3450 4380
+rect 3450 4324 3454 4380
+rect 3390 4320 3454 4324
+rect 3470 4380 3534 4384
+rect 3470 4324 3474 4380
+rect 3474 4324 3530 4380
+rect 3530 4324 3534 4380
+rect 3470 4320 3534 4324
+rect 3550 4380 3614 4384
+rect 3550 4324 3554 4380
+rect 3554 4324 3610 4380
+rect 3610 4324 3614 4380
+rect 3550 4320 3614 4324
+rect 3630 4380 3694 4384
+rect 3630 4324 3634 4380
+rect 3634 4324 3690 4380
+rect 3690 4324 3694 4380
+rect 3630 4320 3694 4324
+rect 5015 4380 5079 4384
+rect 5015 4324 5019 4380
+rect 5019 4324 5075 4380
+rect 5075 4324 5079 4380
+rect 5015 4320 5079 4324
+rect 5095 4380 5159 4384
+rect 5095 4324 5099 4380
+rect 5099 4324 5155 4380
+rect 5155 4324 5159 4380
+rect 5095 4320 5159 4324
+rect 5175 4380 5239 4384
+rect 5175 4324 5179 4380
+rect 5179 4324 5235 4380
+rect 5235 4324 5239 4380
+rect 5175 4320 5239 4324
+rect 5255 4380 5319 4384
+rect 5255 4324 5259 4380
+rect 5259 4324 5315 4380
+rect 5315 4324 5319 4380
+rect 5255 4320 5319 4324
+rect 2577 3836 2641 3840
+rect 2577 3780 2581 3836
+rect 2581 3780 2637 3836
+rect 2637 3780 2641 3836
+rect 2577 3776 2641 3780
+rect 2657 3836 2721 3840
+rect 2657 3780 2661 3836
+rect 2661 3780 2717 3836
+rect 2717 3780 2721 3836
+rect 2657 3776 2721 3780
+rect 2737 3836 2801 3840
+rect 2737 3780 2741 3836
+rect 2741 3780 2797 3836
+rect 2797 3780 2801 3836
+rect 2737 3776 2801 3780
+rect 2817 3836 2881 3840
+rect 2817 3780 2821 3836
+rect 2821 3780 2877 3836
+rect 2877 3780 2881 3836
+rect 2817 3776 2881 3780
+rect 4202 3836 4266 3840
+rect 4202 3780 4206 3836
+rect 4206 3780 4262 3836
+rect 4262 3780 4266 3836
+rect 4202 3776 4266 3780
+rect 4282 3836 4346 3840
+rect 4282 3780 4286 3836
+rect 4286 3780 4342 3836
+rect 4342 3780 4346 3836
+rect 4282 3776 4346 3780
+rect 4362 3836 4426 3840
+rect 4362 3780 4366 3836
+rect 4366 3780 4422 3836
+rect 4422 3780 4426 3836
+rect 4362 3776 4426 3780
+rect 4442 3836 4506 3840
+rect 4442 3780 4446 3836
+rect 4446 3780 4502 3836
+rect 4502 3780 4506 3836
+rect 4442 3776 4506 3780
+rect 1764 3292 1828 3296
+rect 1764 3236 1768 3292
+rect 1768 3236 1824 3292
+rect 1824 3236 1828 3292
+rect 1764 3232 1828 3236
+rect 1844 3292 1908 3296
+rect 1844 3236 1848 3292
+rect 1848 3236 1904 3292
+rect 1904 3236 1908 3292
+rect 1844 3232 1908 3236
+rect 1924 3292 1988 3296
+rect 1924 3236 1928 3292
+rect 1928 3236 1984 3292
+rect 1984 3236 1988 3292
+rect 1924 3232 1988 3236
+rect 2004 3292 2068 3296
+rect 2004 3236 2008 3292
+rect 2008 3236 2064 3292
+rect 2064 3236 2068 3292
+rect 2004 3232 2068 3236
+rect 3390 3292 3454 3296
+rect 3390 3236 3394 3292
+rect 3394 3236 3450 3292
+rect 3450 3236 3454 3292
+rect 3390 3232 3454 3236
+rect 3470 3292 3534 3296
+rect 3470 3236 3474 3292
+rect 3474 3236 3530 3292
+rect 3530 3236 3534 3292
+rect 3470 3232 3534 3236
+rect 3550 3292 3614 3296
+rect 3550 3236 3554 3292
+rect 3554 3236 3610 3292
+rect 3610 3236 3614 3292
+rect 3550 3232 3614 3236
+rect 3630 3292 3694 3296
+rect 3630 3236 3634 3292
+rect 3634 3236 3690 3292
+rect 3690 3236 3694 3292
+rect 3630 3232 3694 3236
+rect 5015 3292 5079 3296
+rect 5015 3236 5019 3292
+rect 5019 3236 5075 3292
+rect 5075 3236 5079 3292
+rect 5015 3232 5079 3236
+rect 5095 3292 5159 3296
+rect 5095 3236 5099 3292
+rect 5099 3236 5155 3292
+rect 5155 3236 5159 3292
+rect 5095 3232 5159 3236
+rect 5175 3292 5239 3296
+rect 5175 3236 5179 3292
+rect 5179 3236 5235 3292
+rect 5235 3236 5239 3292
+rect 5175 3232 5239 3236
+rect 5255 3292 5319 3296
+rect 5255 3236 5259 3292
+rect 5259 3236 5315 3292
+rect 5315 3236 5319 3292
+rect 5255 3232 5319 3236
+rect 2577 2748 2641 2752
+rect 2577 2692 2581 2748
+rect 2581 2692 2637 2748
+rect 2637 2692 2641 2748
+rect 2577 2688 2641 2692
+rect 2657 2748 2721 2752
+rect 2657 2692 2661 2748
+rect 2661 2692 2717 2748
+rect 2717 2692 2721 2748
+rect 2657 2688 2721 2692
+rect 2737 2748 2801 2752
+rect 2737 2692 2741 2748
+rect 2741 2692 2797 2748
+rect 2797 2692 2801 2748
+rect 2737 2688 2801 2692
+rect 2817 2748 2881 2752
+rect 2817 2692 2821 2748
+rect 2821 2692 2877 2748
+rect 2877 2692 2881 2748
+rect 2817 2688 2881 2692
+rect 4202 2748 4266 2752
+rect 4202 2692 4206 2748
+rect 4206 2692 4262 2748
+rect 4262 2692 4266 2748
+rect 4202 2688 4266 2692
+rect 4282 2748 4346 2752
+rect 4282 2692 4286 2748
+rect 4286 2692 4342 2748
+rect 4342 2692 4346 2748
+rect 4282 2688 4346 2692
+rect 4362 2748 4426 2752
+rect 4362 2692 4366 2748
+rect 4366 2692 4422 2748
+rect 4422 2692 4426 2748
+rect 4362 2688 4426 2692
+rect 4442 2748 4506 2752
+rect 4442 2692 4446 2748
+rect 4446 2692 4502 2748
+rect 4502 2692 4506 2748
+rect 4442 2688 4506 2692
+rect 1764 2204 1828 2208
+rect 1764 2148 1768 2204
+rect 1768 2148 1824 2204
+rect 1824 2148 1828 2204
+rect 1764 2144 1828 2148
+rect 1844 2204 1908 2208
+rect 1844 2148 1848 2204
+rect 1848 2148 1904 2204
+rect 1904 2148 1908 2204
+rect 1844 2144 1908 2148
+rect 1924 2204 1988 2208
+rect 1924 2148 1928 2204
+rect 1928 2148 1984 2204
+rect 1984 2148 1988 2204
+rect 1924 2144 1988 2148
+rect 2004 2204 2068 2208
+rect 2004 2148 2008 2204
+rect 2008 2148 2064 2204
+rect 2064 2148 2068 2204
+rect 2004 2144 2068 2148
+rect 3390 2204 3454 2208
+rect 3390 2148 3394 2204
+rect 3394 2148 3450 2204
+rect 3450 2148 3454 2204
+rect 3390 2144 3454 2148
+rect 3470 2204 3534 2208
+rect 3470 2148 3474 2204
+rect 3474 2148 3530 2204
+rect 3530 2148 3534 2204
+rect 3470 2144 3534 2148
+rect 3550 2204 3614 2208
+rect 3550 2148 3554 2204
+rect 3554 2148 3610 2204
+rect 3610 2148 3614 2204
+rect 3550 2144 3614 2148
+rect 3630 2204 3694 2208
+rect 3630 2148 3634 2204
+rect 3634 2148 3690 2204
+rect 3690 2148 3694 2204
+rect 3630 2144 3694 2148
+rect 5015 2204 5079 2208
+rect 5015 2148 5019 2204
+rect 5019 2148 5075 2204
+rect 5075 2148 5079 2204
+rect 5015 2144 5079 2148
+rect 5095 2204 5159 2208
+rect 5095 2148 5099 2204
+rect 5099 2148 5155 2204
+rect 5155 2148 5159 2204
+rect 5095 2144 5159 2148
+rect 5175 2204 5239 2208
+rect 5175 2148 5179 2204
+rect 5179 2148 5235 2204
+rect 5235 2148 5239 2204
+rect 5175 2144 5239 2148
+rect 5255 2204 5319 2208
+rect 5255 2148 5259 2204
+rect 5259 2148 5315 2204
+rect 5315 2148 5319 2204
+rect 5255 2144 5319 2148
+rect 2577 1660 2641 1664
+rect 2577 1604 2581 1660
+rect 2581 1604 2637 1660
+rect 2637 1604 2641 1660
+rect 2577 1600 2641 1604
+rect 2657 1660 2721 1664
+rect 2657 1604 2661 1660
+rect 2661 1604 2717 1660
+rect 2717 1604 2721 1660
+rect 2657 1600 2721 1604
+rect 2737 1660 2801 1664
+rect 2737 1604 2741 1660
+rect 2741 1604 2797 1660
+rect 2797 1604 2801 1660
+rect 2737 1600 2801 1604
+rect 2817 1660 2881 1664
+rect 2817 1604 2821 1660
+rect 2821 1604 2877 1660
+rect 2877 1604 2881 1660
+rect 2817 1600 2881 1604
+rect 4202 1660 4266 1664
+rect 4202 1604 4206 1660
+rect 4206 1604 4262 1660
+rect 4262 1604 4266 1660
+rect 4202 1600 4266 1604
+rect 4282 1660 4346 1664
+rect 4282 1604 4286 1660
+rect 4286 1604 4342 1660
+rect 4342 1604 4346 1660
+rect 4282 1600 4346 1604
+rect 4362 1660 4426 1664
+rect 4362 1604 4366 1660
+rect 4366 1604 4422 1660
+rect 4422 1604 4426 1660
+rect 4362 1600 4426 1604
+rect 4442 1660 4506 1664
+rect 4442 1604 4446 1660
+rect 4446 1604 4502 1660
+rect 4502 1604 4506 1660
+rect 4442 1600 4506 1604
+rect 1764 1116 1828 1120
+rect 1764 1060 1768 1116
+rect 1768 1060 1824 1116
+rect 1824 1060 1828 1116
+rect 1764 1056 1828 1060
+rect 1844 1116 1908 1120
+rect 1844 1060 1848 1116
+rect 1848 1060 1904 1116
+rect 1904 1060 1908 1116
+rect 1844 1056 1908 1060
+rect 1924 1116 1988 1120
+rect 1924 1060 1928 1116
+rect 1928 1060 1984 1116
+rect 1984 1060 1988 1116
+rect 1924 1056 1988 1060
+rect 2004 1116 2068 1120
+rect 2004 1060 2008 1116
+rect 2008 1060 2064 1116
+rect 2064 1060 2068 1116
+rect 2004 1056 2068 1060
+rect 3390 1116 3454 1120
+rect 3390 1060 3394 1116
+rect 3394 1060 3450 1116
+rect 3450 1060 3454 1116
+rect 3390 1056 3454 1060
+rect 3470 1116 3534 1120
+rect 3470 1060 3474 1116
+rect 3474 1060 3530 1116
+rect 3530 1060 3534 1116
+rect 3470 1056 3534 1060
+rect 3550 1116 3614 1120
+rect 3550 1060 3554 1116
+rect 3554 1060 3610 1116
+rect 3610 1060 3614 1116
+rect 3550 1056 3614 1060
+rect 3630 1116 3694 1120
+rect 3630 1060 3634 1116
+rect 3634 1060 3690 1116
+rect 3690 1060 3694 1116
+rect 3630 1056 3694 1060
+rect 5015 1116 5079 1120
+rect 5015 1060 5019 1116
+rect 5019 1060 5075 1116
+rect 5075 1060 5079 1116
+rect 5015 1056 5079 1060
+rect 5095 1116 5159 1120
+rect 5095 1060 5099 1116
+rect 5099 1060 5155 1116
+rect 5155 1060 5159 1116
+rect 5095 1056 5159 1060
+rect 5175 1116 5239 1120
+rect 5175 1060 5179 1116
+rect 5179 1060 5235 1116
+rect 5235 1060 5239 1116
+rect 5175 1056 5239 1060
+rect 5255 1116 5319 1120
+rect 5255 1060 5259 1116
+rect 5259 1060 5315 1116
+rect 5315 1060 5319 1116
+rect 5255 1056 5319 1060
+<< metal4 >>
+rect 1756 5472 2076 6032
+rect 1756 5408 1764 5472
+rect 1828 5408 1844 5472
+rect 1908 5408 1924 5472
+rect 1988 5408 2004 5472
+rect 2068 5408 2076 5472
+rect 1756 5238 2076 5408
+rect 1756 5002 1798 5238
+rect 2034 5002 2076 5238
+rect 1756 4384 2076 5002
+rect 1756 4320 1764 4384
+rect 1828 4320 1844 4384
+rect 1908 4320 1924 4384
+rect 1988 4320 2004 4384
+rect 2068 4320 2076 4384
+rect 1756 3606 2076 4320
+rect 1756 3370 1798 3606
+rect 2034 3370 2076 3606
+rect 1756 3296 2076 3370
+rect 1756 3232 1764 3296
+rect 1828 3232 1844 3296
+rect 1908 3232 1924 3296
+rect 1988 3232 2004 3296
+rect 2068 3232 2076 3296
+rect 1756 2208 2076 3232
+rect 1756 2144 1764 2208
+rect 1828 2144 1844 2208
+rect 1908 2144 1924 2208
+rect 1988 2144 2004 2208
+rect 2068 2144 2076 2208
+rect 1756 1974 2076 2144
+rect 1756 1738 1798 1974
+rect 2034 1738 2076 1974
+rect 1756 1120 2076 1738
+rect 1756 1056 1764 1120
+rect 1828 1056 1844 1120
+rect 1908 1056 1924 1120
+rect 1988 1056 2004 1120
+rect 2068 1056 2076 1120
+rect 1756 1040 2076 1056
+rect 2569 6016 2889 6032
+rect 2569 5952 2577 6016
+rect 2641 5952 2657 6016
+rect 2721 5952 2737 6016
+rect 2801 5952 2817 6016
+rect 2881 5952 2889 6016
+rect 2569 4928 2889 5952
+rect 2569 4864 2577 4928
+rect 2641 4864 2657 4928
+rect 2721 4864 2737 4928
+rect 2801 4864 2817 4928
+rect 2881 4864 2889 4928
+rect 2569 4422 2889 4864
+rect 2569 4186 2611 4422
+rect 2847 4186 2889 4422
+rect 2569 3840 2889 4186
+rect 2569 3776 2577 3840
+rect 2641 3776 2657 3840
+rect 2721 3776 2737 3840
+rect 2801 3776 2817 3840
+rect 2881 3776 2889 3840
+rect 2569 2790 2889 3776
+rect 2569 2752 2611 2790
+rect 2847 2752 2889 2790
+rect 2569 2688 2577 2752
+rect 2881 2688 2889 2752
+rect 2569 2554 2611 2688
+rect 2847 2554 2889 2688
+rect 2569 1664 2889 2554
+rect 2569 1600 2577 1664
+rect 2641 1600 2657 1664
+rect 2721 1600 2737 1664
+rect 2801 1600 2817 1664
+rect 2881 1600 2889 1664
+rect 2569 1040 2889 1600
+rect 3382 5472 3702 6032
+rect 3382 5408 3390 5472
+rect 3454 5408 3470 5472
+rect 3534 5408 3550 5472
+rect 3614 5408 3630 5472
+rect 3694 5408 3702 5472
+rect 3382 5238 3702 5408
+rect 3382 5002 3424 5238
+rect 3660 5002 3702 5238
+rect 3382 4384 3702 5002
+rect 3382 4320 3390 4384
+rect 3454 4320 3470 4384
+rect 3534 4320 3550 4384
+rect 3614 4320 3630 4384
+rect 3694 4320 3702 4384
+rect 3382 3606 3702 4320
+rect 3382 3370 3424 3606
+rect 3660 3370 3702 3606
+rect 3382 3296 3702 3370
+rect 3382 3232 3390 3296
+rect 3454 3232 3470 3296
+rect 3534 3232 3550 3296
+rect 3614 3232 3630 3296
+rect 3694 3232 3702 3296
+rect 3382 2208 3702 3232
+rect 3382 2144 3390 2208
+rect 3454 2144 3470 2208
+rect 3534 2144 3550 2208
+rect 3614 2144 3630 2208
+rect 3694 2144 3702 2208
+rect 3382 1974 3702 2144
+rect 3382 1738 3424 1974
+rect 3660 1738 3702 1974
+rect 3382 1120 3702 1738
+rect 3382 1056 3390 1120
+rect 3454 1056 3470 1120
+rect 3534 1056 3550 1120
+rect 3614 1056 3630 1120
+rect 3694 1056 3702 1120
+rect 3382 1040 3702 1056
+rect 4194 6016 4514 6032
+rect 4194 5952 4202 6016
+rect 4266 5952 4282 6016
+rect 4346 5952 4362 6016
+rect 4426 5952 4442 6016
+rect 4506 5952 4514 6016
+rect 4194 4928 4514 5952
+rect 4194 4864 4202 4928
+rect 4266 4864 4282 4928
+rect 4346 4864 4362 4928
+rect 4426 4864 4442 4928
+rect 4506 4864 4514 4928
+rect 4194 4422 4514 4864
+rect 4194 4186 4236 4422
+rect 4472 4186 4514 4422
+rect 4194 3840 4514 4186
+rect 4194 3776 4202 3840
+rect 4266 3776 4282 3840
+rect 4346 3776 4362 3840
+rect 4426 3776 4442 3840
+rect 4506 3776 4514 3840
+rect 4194 2790 4514 3776
+rect 4194 2752 4236 2790
+rect 4472 2752 4514 2790
+rect 4194 2688 4202 2752
+rect 4506 2688 4514 2752
+rect 4194 2554 4236 2688
+rect 4472 2554 4514 2688
+rect 4194 1664 4514 2554
+rect 4194 1600 4202 1664
+rect 4266 1600 4282 1664
+rect 4346 1600 4362 1664
+rect 4426 1600 4442 1664
+rect 4506 1600 4514 1664
+rect 4194 1040 4514 1600
+rect 5007 5472 5327 6032
+rect 5007 5408 5015 5472
+rect 5079 5408 5095 5472
+rect 5159 5408 5175 5472
+rect 5239 5408 5255 5472
+rect 5319 5408 5327 5472
+rect 5007 5238 5327 5408
+rect 5007 5002 5049 5238
+rect 5285 5002 5327 5238
+rect 5007 4384 5327 5002
+rect 5007 4320 5015 4384
+rect 5079 4320 5095 4384
+rect 5159 4320 5175 4384
+rect 5239 4320 5255 4384
+rect 5319 4320 5327 4384
+rect 5007 3606 5327 4320
+rect 5007 3370 5049 3606
+rect 5285 3370 5327 3606
+rect 5007 3296 5327 3370
+rect 5007 3232 5015 3296
+rect 5079 3232 5095 3296
+rect 5159 3232 5175 3296
+rect 5239 3232 5255 3296
+rect 5319 3232 5327 3296
+rect 5007 2208 5327 3232
+rect 5007 2144 5015 2208
+rect 5079 2144 5095 2208
+rect 5159 2144 5175 2208
+rect 5239 2144 5255 2208
+rect 5319 2144 5327 2208
+rect 5007 1974 5327 2144
+rect 5007 1738 5049 1974
+rect 5285 1738 5327 1974
+rect 5007 1120 5327 1738
+rect 5007 1056 5015 1120
+rect 5079 1056 5095 1120
+rect 5159 1056 5175 1120
+rect 5239 1056 5255 1120
+rect 5319 1056 5327 1120
+rect 5007 1040 5327 1056
+<< via4 >>
+rect 1798 5002 2034 5238
+rect 1798 3370 2034 3606
+rect 1798 1738 2034 1974
+rect 2611 4186 2847 4422
+rect 2611 2752 2847 2790
+rect 2611 2688 2641 2752
+rect 2641 2688 2657 2752
+rect 2657 2688 2721 2752
+rect 2721 2688 2737 2752
+rect 2737 2688 2801 2752
+rect 2801 2688 2817 2752
+rect 2817 2688 2847 2752
+rect 2611 2554 2847 2688
+rect 3424 5002 3660 5238
+rect 3424 3370 3660 3606
+rect 3424 1738 3660 1974
+rect 4236 4186 4472 4422
+rect 4236 2752 4472 2790
+rect 4236 2688 4266 2752
+rect 4266 2688 4282 2752
+rect 4282 2688 4346 2752
+rect 4346 2688 4362 2752
+rect 4362 2688 4426 2752
+rect 4426 2688 4442 2752
+rect 4442 2688 4472 2752
+rect 4236 2554 4472 2688
+rect 5049 5002 5285 5238
+rect 5049 3370 5285 3606
+rect 5049 1738 5285 1974
+<< metal5 >>
+rect 1104 5238 5980 5280
+rect 1104 5002 1798 5238
+rect 2034 5002 3424 5238
+rect 3660 5002 5049 5238
+rect 5285 5002 5980 5238
+rect 1104 4960 5980 5002
+rect 1104 4422 5980 4464
+rect 1104 4186 2611 4422
+rect 2847 4186 4236 4422
+rect 4472 4186 5980 4422
+rect 1104 4144 5980 4186
+rect 1104 3606 5980 3648
+rect 1104 3370 1798 3606
+rect 2034 3370 3424 3606
+rect 3660 3370 5049 3606
+rect 5285 3370 5980 3606
+rect 1104 3328 5980 3370
+rect 1104 2790 5980 2832
+rect 1104 2554 2611 2790
+rect 2847 2554 4236 2790
+rect 4472 2554 5980 2790
+rect 1104 2512 5980 2554
+rect 1104 1974 5980 2016
+rect 1104 1738 1798 1974
+rect 2034 1738 3424 1974
+rect 3660 1738 5049 1974
+rect 5285 1738 5980 1974
+rect 1104 1696 5980 1738
+use sky130_fd_sc_hd__decap_3  PHY_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1606755340
+transform 1 0 1104 0 -1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_2
+timestamp 1606755340
+transform 1 0 1104 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  FILLER_1_6
+timestamp 1606755340
+transform 1 0 1656 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[27\] $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1606755340
+transform 1 0 1472 0 -1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[28\]
+timestamp 1606755340
+transform 1 0 1932 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[3\]
+timestamp 1606755340
+transform 1 0 1380 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_0_3 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1606755340
+transform 1 0 1380 0 -1 1632
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_0_7 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1606755340
+transform 1 0 1748 0 -1 1632
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_1_12 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1606755340
+transform 1 0 2208 0 1 1632
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_3  FILLER_0_15
+timestamp 1606755340
+transform 1 0 2484 0 -1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[0\]
+timestamp 1606755340
+transform 1 0 2392 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[11\]
+timestamp 1606755340
+transform 1 0 2668 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[1\]
+timestamp 1606755340
+transform 1 0 3036 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[20\]
+timestamp 1606755340
+transform 1 0 2760 0 -1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_1_20
+timestamp 1606755340
+transform 1 0 2944 0 1 1632
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_0_21
+timestamp 1606755340
+transform 1 0 3036 0 -1 1632
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_6  FILLER_1_24 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1606755340
+transform 1 0 3312 0 1 1632
+box -38 -48 590 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[15\]
+timestamp 1606755340
+transform 1 0 4416 0 -1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[7\]
+timestamp 1606755340
+transform 1 0 3864 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_18 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1606755340
+transform 1 0 3956 0 -1 1632
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_0_29
+timestamp 1606755340
+transform 1 0 3772 0 -1 1632
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_4  FILLER_0_32 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1606755340
+transform 1 0 4048 0 -1 1632
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_33 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1606755340
+transform 1 0 4140 0 1 1632
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_1
+timestamp 1606755340
+transform -1 0 5980 0 -1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_3
+timestamp 1606755340
+transform -1 0 5980 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  FILLER_0_47
+timestamp 1606755340
+transform 1 0 5428 0 -1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[4\]
+timestamp 1606755340
+transform 1 0 5428 0 1 1632
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_0_39
+timestamp 1606755340
+transform 1 0 4692 0 -1 1632
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_1_45
+timestamp 1606755340
+transform 1 0 5244 0 1 1632
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_3  PHY_4
+timestamp 1606755340
+transform 1 0 1104 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_3
+timestamp 1606755340
+transform 1 0 1380 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_15
+timestamp 1606755340
+transform 1 0 2484 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[13\]
+timestamp 1606755340
+transform 1 0 4600 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_19
+timestamp 1606755340
+transform 1 0 3956 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_2_27
+timestamp 1606755340
+transform 1 0 3588 0 -1 2720
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_6  FILLER_2_32
+timestamp 1606755340
+transform 1 0 4048 0 -1 2720
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  PHY_5
+timestamp 1606755340
+transform -1 0 5980 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[9\]
+timestamp 1606755340
+transform 1 0 4876 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_6  FILLER_2_44
+timestamp 1606755340
+transform 1 0 5152 0 -1 2720
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  PHY_6
+timestamp 1606755340
+transform 1 0 1104 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[31\]
+timestamp 1606755340
+transform 1 0 1380 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_6
+timestamp 1606755340
+transform 1 0 1656 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_18
+timestamp 1606755340
+transform 1 0 2760 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_30
+timestamp 1606755340
+transform 1 0 3864 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_7
+timestamp 1606755340
+transform -1 0 5980 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_42
+timestamp 1606755340
+transform 1 0 4968 0 1 2720
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_8
+timestamp 1606755340
+transform 1 0 1104 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[17\]
+timestamp 1606755340
+transform 1 0 1472 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[24\]
+timestamp 1606755340
+transform 1 0 1748 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_3
+timestamp 1606755340
+transform 1 0 1380 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_4_10
+timestamp 1606755340
+transform 1 0 2024 0 -1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[14\]
+timestamp 1606755340
+transform 1 0 2484 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[25\]
+timestamp 1606755340
+transform 1 0 2944 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[8\]
+timestamp 1606755340
+transform 1 0 3220 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_14
+timestamp 1606755340
+transform 1 0 2392 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_4_18
+timestamp 1606755340
+transform 1 0 2760 0 -1 3808
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_3  FILLER_4_35
+timestamp 1606755340
+transform 1 0 4324 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[16\]
+timestamp 1606755340
+transform 1 0 4600 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[22\]
+timestamp 1606755340
+transform 1 0 4048 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_30
+timestamp 1606755340
+transform 1 0 3864 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_20
+timestamp 1606755340
+transform 1 0 3956 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_4_26
+timestamp 1606755340
+transform 1 0 3496 0 -1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_3  PHY_9
+timestamp 1606755340
+transform -1 0 5980 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[26\]
+timestamp 1606755340
+transform 1 0 5060 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2  FILLER_4_41
+timestamp 1606755340
+transform 1 0 4876 0 -1 3808
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_4  FILLER_4_46
+timestamp 1606755340
+transform 1 0 5336 0 -1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_3  PHY_10
+timestamp 1606755340
+transform 1 0 1104 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[2\]
+timestamp 1606755340
+transform 1 0 1840 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[6\]
+timestamp 1606755340
+transform 1 0 1380 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2  FILLER_5_6
+timestamp 1606755340
+transform 1 0 1656 0 1 3808
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_11
+timestamp 1606755340
+transform 1 0 2116 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_23
+timestamp 1606755340
+transform 1 0 3220 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_5_31
+timestamp 1606755340
+transform 1 0 3956 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[10\]
+timestamp 1606755340
+transform 1 0 4232 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[18\]
+timestamp 1606755340
+transform 1 0 4508 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_11
+timestamp 1606755340
+transform -1 0 5980 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[29\]
+timestamp 1606755340
+transform 1 0 5336 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_5_49
+timestamp 1606755340
+transform 1 0 5612 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_5_40
+timestamp 1606755340
+transform 1 0 4784 0 1 3808
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  PHY_12
+timestamp 1606755340
+transform 1 0 1104 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_14
+timestamp 1606755340
+transform 1 0 1104 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[19\]
+timestamp 1606755340
+transform 1 0 1932 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_12
+timestamp 1606755340
+transform 1 0 2208 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_6  FILLER_6_3
+timestamp 1606755340
+transform 1 0 1380 0 -1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_3
+timestamp 1606755340
+transform 1 0 1380 0 1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[21\]
+timestamp 1606755340
+transform 1 0 3404 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[30\]
+timestamp 1606755340
+transform 1 0 3036 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_6_20
+timestamp 1606755340
+transform 1 0 2944 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_6_24
+timestamp 1606755340
+transform 1 0 3312 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_15
+timestamp 1606755340
+transform 1 0 2484 0 1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_28
+timestamp 1606755340
+transform 1 0 3680 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[12\]
+timestamp 1606755340
+transform 1 0 4508 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[5\]
+timestamp 1606755340
+transform 1 0 4140 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_6_36
+timestamp 1606755340
+transform 1 0 4416 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_21
+timestamp 1606755340
+transform 1 0 3956 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_6_32
+timestamp 1606755340
+transform 1 0 4048 0 -1 4896
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_6  FILLER_7_27
+timestamp 1606755340
+transform 1 0 3588 0 1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_6  FILLER_7_36
+timestamp 1606755340
+transform 1 0 4416 0 1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  PHY_13
+timestamp 1606755340
+transform -1 0 5980 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_15
+timestamp 1606755340
+transform -1 0 5980 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__conb_1  mask_rev_value\[23\]
+timestamp 1606755340
+transform 1 0 5060 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_42
+timestamp 1606755340
+transform 1 0 4968 0 1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_40
+timestamp 1606755340
+transform 1 0 4784 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_6_48
+timestamp 1606755340
+transform 1 0 5520 0 -1 4896
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_4  FILLER_7_46
+timestamp 1606755340
+transform 1 0 5336 0 1 4896
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_3  PHY_16
+timestamp 1606755340
+transform 1 0 1104 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_3
+timestamp 1606755340
+transform 1 0 1380 0 -1 5984
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_15
+timestamp 1606755340
+transform 1 0 2484 0 -1 5984
+box -38 -48 1142 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_22
+timestamp 1606755340
+transform 1 0 3956 0 -1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_8_27
+timestamp 1606755340
+transform 1 0 3588 0 -1 5984
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_32
+timestamp 1606755340
+transform 1 0 4048 0 -1 5984
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_17
+timestamp 1606755340
+transform -1 0 5980 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_6  FILLER_8_44
+timestamp 1606755340
+transform 1 0 5152 0 -1 5984
+box -38 -48 590 592
+<< labels >>
+rlabel metal2 s 4066 6277 4122 7077 4 mask_rev[0]
+port 1 nsew
+rlabel metal2 s 4066 0 4122 800 4 mask_rev[10]
+port 2 nsew
+rlabel metal2 s 1122 0 1178 800 4 mask_rev[11]
+port 3 nsew
+rlabel metal2 s 570 0 626 800 4 mask_rev[12]
+port 4 nsew
+rlabel metal2 s 5354 6277 5410 7077 4 mask_rev[13]
+port 5 nsew
+rlabel metal2 s 5906 0 5962 800 4 mask_rev[14]
+port 6 nsew
+rlabel metal3 s 6309 1640 7109 1760 4 mask_rev[15]
+port 7 nsew
+rlabel metal2 s 2226 0 2282 800 4 mask_rev[16]
+port 8 nsew
+rlabel metal2 s 1674 6277 1730 7077 4 mask_rev[17]
+port 9 nsew
+rlabel metal3 s 0 5176 800 5296 4 mask_rev[18]
+port 10 nsew
+rlabel metal2 s 2962 6277 3018 7077 4 mask_rev[19]
+port 11 nsew
+rlabel metal3 s 0 3272 800 3392 4 mask_rev[1]
+port 12 nsew
+rlabel metal3 s 6309 2456 7109 2576 4 mask_rev[20]
+port 13 nsew
+rlabel metal3 s 6309 824 7109 944 4 mask_rev[21]
+port 14 nsew
+rlabel metal3 s 0 5992 800 6112 4 mask_rev[22]
+port 15 nsew
+rlabel metal2 s 1674 0 1730 800 4 mask_rev[23]
+port 16 nsew
+rlabel metal2 s 5906 6277 5962 7077 4 mask_rev[24]
+port 17 nsew
+rlabel metal3 s 0 1640 800 1760 4 mask_rev[25]
+port 18 nsew
+rlabel metal2 s 4802 6277 4858 7077 4 mask_rev[26]
+port 19 nsew
+rlabel metal2 s 1122 6277 1178 7077 4 mask_rev[27]
+port 20 nsew
+rlabel metal2 s 6458 6277 6514 7077 4 mask_rev[28]
+port 21 nsew
+rlabel metal2 s 5354 0 5410 800 4 mask_rev[29]
+port 22 nsew
+rlabel metal3 s 0 2456 800 2576 4 mask_rev[2]
+port 23 nsew
+rlabel metal3 s 6309 5176 7109 5296 4 mask_rev[30]
+port 24 nsew
+rlabel metal2 s 2962 0 3018 800 4 mask_rev[31]
+port 25 nsew
+rlabel metal2 s 3514 0 3570 800 4 mask_rev[3]
+port 26 nsew
+rlabel metal3 s 6309 3544 7109 3664 4 mask_rev[4]
+port 27 nsew
+rlabel metal3 s 6309 4360 7109 4480 4 mask_rev[5]
+port 28 nsew
+rlabel metal3 s 0 4360 800 4480 4 mask_rev[6]
+port 29 nsew
+rlabel metal2 s 3514 6277 3570 7077 4 mask_rev[7]
+port 30 nsew
+rlabel metal2 s 4802 0 4858 800 4 mask_rev[8]
+port 31 nsew
+rlabel metal2 s 2226 6277 2282 7077 4 mask_rev[9]
+port 32 nsew
+rlabel metal5 s 1104 1696 5980 2016 4 VPWR
+port 33 nsew
+rlabel metal5 s 1104 2512 5980 2832 4 VGND
+port 34 nsew
+<< properties >>
+string FIXED_BBOX 0 0 7109 7077
+string GDS_FILE ../gds/user_id_programming.gds
+string GDS_START 0
+<< end >>
diff --git a/mag/user_id_textblock.mag b/mag/user_id_textblock.mag
new file mode 100644
index 0000000..8917f0a
--- /dev/null
+++ b/mag/user_id_textblock.mag
@@ -0,0 +1,43 @@
+magic
+tech sky130A
+timestamp 1608324878
+<< checkpaint >>
+rect 1495 5490 6500 6570
+rect -630 428 19262 5490
+rect -630 -630 6210 428
+rect 16092 356 18972 428
+<< fillblock >>
+rect -328 754 16860 5172
+use alpha_0  alphaX_7 hexdigits
+timestamp 1598786981
+transform 1 0 0 0 1 1080
+box 0 0 1620 3780
+use alpha_0  alphaX_6 hexdigits
+timestamp 1598786981
+transform 1 0 2125 0 1 1080
+box 0 0 1620 3780
+use alpha_0  alphaX_5 hexdigits
+timestamp 1598786981
+transform 1 0 4250 0 1 1080
+box 0 0 1620 3780
+use alpha_2  alphaX_4 hexdigits
+timestamp 1598786981
+transform 1 0 6375 0 1 1080
+box 0 0 1620 3780
+use alpha_0  alphaX_3 hexdigits
+timestamp 1598786981
+transform 1 0 8500 0 1 1080
+box 0 0 1620 3780
+use alpha_0  alphaX_2 hexdigits
+timestamp 1598786981
+transform 1 0 10625 0 1 1080
+box 0 0 1620 3780
+use alpha_0  alphaX_1 hexdigits
+timestamp 1598786981
+transform 1 0 12750 0 1 1080
+box 0 0 1620 3780
+use alpha_6  alphaX_0 hexdigits
+timestamp 1598786981
+transform 1 0 14887 0 1 1080
+box 0 0 1620 3780
+<< end >>
diff --git a/signoff/.gitignore b/signoff/.gitignore
new file mode 100644
index 0000000..6407046
--- /dev/null
+++ b/signoff/.gitignore
@@ -0,0 +1 @@
+cdrcpost/*
diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v
new file mode 100644
index 0000000..5761ac9
--- /dev/null
+++ b/verilog/rtl/caravel.v
@@ -0,0 +1,817 @@
+// `default_nettype none
+// SPDX-FileCopyrightText: 2020 Efabless Corporation
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+/*--------------------------------------------------------------*/
+/* caravel, a project harness for the Google/SkyWater sky130	*/
+/* fabrication process and open source PDK			*/
+/*                                                          	*/
+/* Copyright 2020 efabless, Inc.                            	*/
+/* Written by Tim Edwards, December 2019                    	*/
+/* and Mohamed Shalan, August 2020			    	*/
+/* This file is open source hardware released under the     	*/
+/* Apache 2.0 license.  See file LICENSE.                   	*/
+/*                                                          	*/
+/*--------------------------------------------------------------*/
+
+module caravel (
+    inout vddio,	// Common 3.3V padframe/ESD power
+    inout vddio_2,	// Common 3.3V padframe/ESD power
+    inout vssio,	// Common padframe/ESD ground
+    inout vssio_2,	// Common padframe/ESD ground
+    inout vdda,		// Management 3.3V power
+    inout vssa,		// Common analog ground
+    inout vccd,		// Management/Common 1.8V power
+    inout vssd,		// Common digital ground
+    inout vdda1,	// User area 1 3.3V power
+    inout vdda1_2,	// User area 1 3.3V power
+    inout vdda2,	// User area 2 3.3V power
+    inout vssa1,	// User area 1 analog ground
+	inout vssa1_2,  // User area 1 analog ground
+    inout vssa2,	// User area 2 analog ground
+    inout vccd1,	// User area 1 1.8V power
+    inout vccd2,	// User area 2 1.8V power
+    inout vssd1,	// User area 1 digital ground
+    inout vssd2,	// User area 2 digital ground
+
+    inout gpio,			// Used for external LDO control
+    inout [`MPRJ_IO_PADS-1:0] mprj_io,
+    output [`MPRJ_PWR_PADS-1:0] pwr_ctrl_out,
+    input clock,	    	// CMOS core clock input, not a crystal
+    input resetb,
+
+    // Note that only two pins are available on the flash so dual and
+    // quad flash modes are not available.
+
+    output flash_csb,
+    output flash_clk,
+    output flash_io0,
+    output flash_io1
+);
+
+    //------------------------------------------------------------
+    // This value is uniquely defined for each user project.
+    //------------------------------------------------------------
+    parameter USER_PROJECT_ID = 32'h00020006;
+
+    // These pins are overlaid on mprj_io space.  They have the function
+    // below when the management processor is in reset, or in the default
+    // configuration.  They are assigned to uses in the user space by the
+    // configuration program running off of the SPI flash.  Note that even
+    // when the user has taken control of these pins, they can be restored
+    // to the original use by setting the resetb pin low.  The SPI pins and
+    // UART pins can be connected directly to an FTDI chip as long as the
+    // FTDI chip sets these lines to high impedence (input function) at
+    // all times except when holding the chip in reset.
+
+    // JTAG      = mprj_io[0]		(inout)
+    // SDO 	 = mprj_io[1]		(output)
+    // SDI 	 = mprj_io[2]		(input)
+    // CSB 	 = mprj_io[3]		(input)
+    // SCK	 = mprj_io[4]		(input)
+    // ser_rx    = mprj_io[5]		(input)
+    // ser_tx    = mprj_io[6]		(output)
+    // irq 	 = mprj_io[7]		(input)
+
+    // These pins are reserved for any project that wants to incorporate
+    // its own processor and flash controller.  While a user project can
+    // technically use any available I/O pins for the purpose, these
+    // four pins connect to a pass-through mode from the SPI slave (pins
+    // 1-4 above) so that any SPI flash connected to these specific pins
+    // can be accessed through the SPI slave even when the processor is in
+    // reset.
+
+    // user_flash_csb = mprj_io[8]
+    // user_flash_sck = mprj_io[9]
+    // user_flash_io0 = mprj_io[10]
+    // user_flash_io1 = mprj_io[11]
+
+    // One-bit GPIO dedicated to management SoC (outside of user control)
+    wire gpio_out_core;
+    wire gpio_in_core;
+    wire gpio_mode0_core;
+    wire gpio_mode1_core;
+    wire gpio_outenb_core;
+    wire gpio_inenb_core;
+
+    // User Project Control (pad-facing)
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_inp_dis;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_oeb;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_ib_mode_sel;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_vtrip_sel;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_slow_sel;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_holdover;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_en;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_sel;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_pol;
+    wire [`MPRJ_IO_PADS*3-1:0] mprj_io_dm;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_in;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_out;
+
+    // User Project Control (user-facing)
+    wire [`MPRJ_IO_PADS-1:0] user_io_oeb;
+    wire [`MPRJ_IO_PADS-1:0] user_io_in;
+    wire [`MPRJ_IO_PADS-1:0] user_io_out;
+    wire [`MPRJ_IO_PADS-10:0] user_analog_io;
+
+    /* Padframe control signals */
+    wire [`MPRJ_IO_PADS_1-1:0] gpio_serial_link_1;
+    wire [`MPRJ_IO_PADS_2-1:0] gpio_serial_link_2;
+    wire mprj_io_loader_resetn;
+    wire mprj_io_loader_clock;
+    wire mprj_io_loader_data_1;		/* user1 side serial loader */
+    wire mprj_io_loader_data_2;		/* user2 side serial loader */
+
+    // User Project Control management I/O
+    // There are two types of GPIO connections:
+    // (1) Full Bidirectional: Management connects to in, out, and oeb
+    //     Uses:  JTAG and SDO
+    // (2) Selectable bidirectional:  Management connects to in and out,
+    //	   which are tied together.  oeb is grounded (oeb from the
+    //	   configuration is used)
+
+    // SDI 	 = mprj_io[2]		(input)
+    // CSB 	 = mprj_io[3]		(input)
+    // SCK	 = mprj_io[4]		(input)
+    // ser_rx    = mprj_io[5]		(input)
+    // ser_tx    = mprj_io[6]		(output)
+    // irq 	 = mprj_io[7]		(input)
+
+    wire [`MPRJ_IO_PADS-1:0] mgmt_io_in;
+    wire jtag_out, sdo_out;
+    wire jtag_outenb, sdo_outenb;
+    wire gpio_flash_io2_out, gpio_flash_io3_out;
+
+    wire [1:0] mgmt_io_nc;			/* no-connects */
+
+    wire clock_core;
+
+    // Power-on-reset signal.  The reset pad generates the sense-inverted
+    // reset at 3.3V.  The 1.8V signal and the inverted 1.8V signal are
+    // derived.
+
+    wire porb_h;
+    wire porb_l;
+    wire por_l;
+
+    wire rstb_h;
+    wire rstb_l;
+
+    wire flash_clk_core,     flash_csb_core;
+    wire flash_clk_oeb_core, flash_csb_oeb_core;
+    wire flash_clk_ieb_core, flash_csb_ieb_core;
+    wire flash_io0_oeb_core, flash_io1_oeb_core;
+    wire flash_io2_oeb_core, flash_io3_oeb_core;
+    wire flash_io0_ieb_core, flash_io1_ieb_core;
+    wire flash_io0_do_core,  flash_io1_do_core;
+    wire flash_io0_di_core,  flash_io1_di_core;
+
+    chip_io padframe(
+	`ifndef TOP_ROUTING
+		// Package Pins
+		.vddio_pad	(vddio),		// Common padframe/ESD supply
+		.vddio_pad2	(vddio_2),
+		.vssio_pad	(vssio),		// Common padframe/ESD ground
+		.vssio_pad2	(vssio_2),
+		.vccd_pad	(vccd),			// Common 1.8V supply
+		.vssd_pad	(vssd),			// Common digital ground
+		.vdda_pad	(vdda),			// Management analog 3.3V supply
+		.vssa_pad	(vssa),			// Management analog ground
+		.vdda1_pad	(vdda1),		// User area 1 3.3V supply
+		.vdda1_pad2	(vdda1_2),		
+		.vdda2_pad	(vdda2),		// User area 2 3.3V supply
+		.vssa1_pad	(vssa1),		// User area 1 analog ground
+		.vssa1_pad2	(vssa1_2),
+		.vssa2_pad	(vssa2),		// User area 2 analog ground
+		.vccd1_pad	(vccd1),		// User area 1 1.8V supply
+		.vccd2_pad	(vccd2),		// User area 2 1.8V supply
+		.vssd1_pad	(vssd1),		// User area 1 digital ground
+		.vssd2_pad	(vssd2),		// User area 2 digital ground
+	`endif
+	// Core Side Pins
+	.vddio	(vddio_core),
+	.vssio	(vssio_core),
+	.vdda	(vdda_core),
+	.vssa	(vssa_core),
+	.vccd	(vccd_core),
+	.vssd	(vssd_core),
+	.vdda1	(vdda1_core),
+	.vdda2	(vdda2_core),
+	.vssa1	(vssa1_core),
+	.vssa2	(vssa2_core),
+	.vccd1	(vccd1_core),
+	.vccd2	(vccd2_core),
+	.vssd1	(vssd1_core),
+	.vssd2	(vssd2_core),
+
+	.gpio(gpio),
+	.mprj_io(mprj_io),
+	.clock(clock),
+	.resetb(resetb),
+	.flash_csb(flash_csb),
+	.flash_clk(flash_clk),
+	.flash_io0(flash_io0),
+	.flash_io1(flash_io1),
+	// SoC Core Interface
+	.porb_h(porb_h),
+	.por(por_l),
+	.resetb_core_h(rstb_h),
+	.clock_core(clock_core),
+	.gpio_out_core(gpio_out_core),
+	.gpio_in_core(gpio_in_core),
+	.gpio_mode0_core(gpio_mode0_core),
+	.gpio_mode1_core(gpio_mode1_core),
+	.gpio_outenb_core(gpio_outenb_core),
+	.gpio_inenb_core(gpio_inenb_core),
+	.flash_csb_core(flash_csb_core),
+	.flash_clk_core(flash_clk_core),
+	.flash_csb_oeb_core(flash_csb_oeb_core),
+	.flash_clk_oeb_core(flash_clk_oeb_core),
+	.flash_io0_oeb_core(flash_io0_oeb_core),
+	.flash_io1_oeb_core(flash_io1_oeb_core),
+	.flash_csb_ieb_core(flash_csb_ieb_core),
+	.flash_clk_ieb_core(flash_clk_ieb_core),
+	.flash_io0_ieb_core(flash_io0_ieb_core),
+	.flash_io1_ieb_core(flash_io1_ieb_core),
+	.flash_io0_do_core(flash_io0_do_core),
+	.flash_io1_do_core(flash_io1_do_core),
+	.flash_io0_di_core(flash_io0_di_core),
+	.flash_io1_di_core(flash_io1_di_core),
+	.mprj_io_in(mprj_io_in),
+	.mprj_io_out(mprj_io_out),
+	.mprj_io_oeb(mprj_io_oeb),
+	.mprj_io_inp_dis(mprj_io_inp_dis),
+	.mprj_io_ib_mode_sel(mprj_io_ib_mode_sel),
+	.mprj_io_vtrip_sel(mprj_io_vtrip_sel),
+	.mprj_io_slow_sel(mprj_io_slow_sel),
+	.mprj_io_holdover(mprj_io_holdover),
+	.mprj_io_analog_en(mprj_io_analog_en),
+	.mprj_io_analog_sel(mprj_io_analog_sel),
+	.mprj_io_analog_pol(mprj_io_analog_pol),
+	.mprj_io_dm(mprj_io_dm),
+	.mprj_analog_io(user_analog_io)
+    );
+
+    // SoC core
+    wire caravel_clk;
+    wire caravel_clk2;
+    wire caravel_rstn;
+
+    wire [7:0] spi_ro_config_core;
+
+    // LA signals
+    wire [127:0] la_data_in_user;  // From CPU to MPRJ
+    wire [127:0] la_data_in_mprj;  // From MPRJ to CPU
+    wire [127:0] la_data_out_mprj; // From CPU to MPRJ
+    wire [127:0] la_data_out_user; // From MPRJ to CPU
+    wire [127:0] la_oenb_user;     // From CPU to MPRJ
+    wire [127:0] la_oenb_mprj;	   // From CPU to MPRJ
+    wire [127:0] la_iena_mprj;	   // From CPU only
+    wire [2:0]   user_irq;	   // From MRPJ to CPU
+    wire [2:0]   user_irq_core;
+    wire [2:0]   user_irq_ena;
+
+    // WB MI A (User Project)
+    wire mprj_cyc_o_core;
+    wire mprj_stb_o_core;
+    wire mprj_we_o_core;
+    wire [3:0] mprj_sel_o_core;
+    wire [31:0] mprj_adr_o_core;
+    wire [31:0] mprj_dat_o_core;
+    wire mprj_ack_i_core;
+    wire [31:0] mprj_dat_i_core;
+
+    // WB MI B (xbar)
+    wire xbar_cyc_o_core;
+    wire xbar_stb_o_core;
+    wire xbar_we_o_core;
+    wire [3:0] xbar_sel_o_core;
+    wire [31:0] xbar_adr_o_core;
+    wire [31:0] xbar_dat_o_core;
+    wire xbar_ack_i_core;
+    wire [31:0] xbar_dat_i_core;
+
+    // Mask revision
+    wire [31:0] mask_rev;
+
+	wire 	    mprj_clock;
+	wire 	    mprj_clock2;
+	wire 	    mprj_reset;
+	wire 	    mprj_cyc_o_user;
+	wire 	    mprj_stb_o_user;
+	wire 	    mprj_we_o_user;
+	wire [3:0]  mprj_sel_o_user;
+	wire [31:0] mprj_adr_o_user;
+	wire [31:0] mprj_dat_o_user;
+	wire	    mprj_vcc_pwrgood;
+	wire	    mprj2_vcc_pwrgood;
+	wire	    mprj_vdd_pwrgood;
+	wire	    mprj2_vdd_pwrgood;
+
+	// Storage area
+	// Management R/W interface
+	wire [`RAM_BLOCKS-1:0] mgmt_ena;
+    wire [`RAM_BLOCKS-1:0] mgmt_wen;
+    wire [(`RAM_BLOCKS*4)-1:0] mgmt_wen_mask;
+    wire [7:0] mgmt_addr;
+    wire [31:0] mgmt_wdata;
+    wire [(`RAM_BLOCKS*32)-1:0] mgmt_rdata;
+	// Management RO interface
+	wire mgmt_ena_ro;
+    wire [7:0] mgmt_addr_ro;
+    wire [31:0] mgmt_rdata_ro;
+
+    mgmt_core soc (
+	`ifdef USE_POWER_PINS
+		.VPWR(vccd_core),
+		.VGND(vssd_core),
+	`endif
+		// GPIO (1 pin)
+		.gpio_out_pad(gpio_out_core),
+		.gpio_in_pad(gpio_in_core),
+		.gpio_mode0_pad(gpio_mode0_core),
+		.gpio_mode1_pad(gpio_mode1_core),
+		.gpio_outenb_pad(gpio_outenb_core),
+		.gpio_inenb_pad(gpio_inenb_core),
+		// Primary SPI flash controller
+		.flash_csb(flash_csb_core),
+		.flash_clk(flash_clk_core),
+		.flash_csb_oeb(flash_csb_oeb_core),
+		.flash_clk_oeb(flash_clk_oeb_core),
+		.flash_io0_oeb(flash_io0_oeb_core),
+		.flash_io1_oeb(flash_io1_oeb_core),
+		.flash_csb_ieb(flash_csb_ieb_core),
+		.flash_clk_ieb(flash_clk_ieb_core),
+		.flash_io0_ieb(flash_io0_ieb_core),
+		.flash_io1_ieb(flash_io1_ieb_core),
+		.flash_io0_do(flash_io0_do_core),
+		.flash_io1_do(flash_io1_do_core),
+		.flash_io0_di(flash_io0_di_core),
+		.flash_io1_di(flash_io1_di_core),
+		// Master Reset
+		.resetb(rstb_l),
+		.porb(porb_l),
+		// Clocks and reset
+		.clock(clock_core),
+		.core_clk(caravel_clk),
+		.user_clk(caravel_clk2),
+		.core_rstn(caravel_rstn),
+		// IRQ
+		.user_irq(user_irq),
+		.user_irq_ena(user_irq_ena),
+		// Logic Analyzer
+		.la_input(la_data_in_mprj),
+		.la_output(la_data_out_mprj),
+		.la_oenb(la_oenb_mprj),
+		.la_iena(la_iena_mprj),
+		// User Project IO Control
+		.mprj_vcc_pwrgood(mprj_vcc_pwrgood),
+		.mprj2_vcc_pwrgood(mprj2_vcc_pwrgood),
+		.mprj_vdd_pwrgood(mprj_vdd_pwrgood),
+		.mprj2_vdd_pwrgood(mprj2_vdd_pwrgood),
+		.mprj_io_loader_resetn(mprj_io_loader_resetn),
+		.mprj_io_loader_clock(mprj_io_loader_clock),
+		.mprj_io_loader_data_1(mprj_io_loader_data_1),
+		.mprj_io_loader_data_2(mprj_io_loader_data_2),
+		.mgmt_in_data(mgmt_io_in),
+		.mgmt_out_data({gpio_flash_io3_out, gpio_flash_io2_out,
+				mgmt_io_in[(`MPRJ_IO_PADS-3):2], mgmt_io_nc}),
+		.pwr_ctrl_out(pwr_ctrl_out),
+		.sdo_out(sdo_out),
+		.sdo_outenb(sdo_outenb),
+		.jtag_out(jtag_out),
+		.jtag_outenb(jtag_outenb),
+		.flash_io2_oeb(flash_io2_oeb_core),
+		.flash_io3_oeb(flash_io3_oeb_core),
+		// User Project Slave ports (WB MI A)
+		.mprj_cyc_o(mprj_cyc_o_core),
+		.mprj_stb_o(mprj_stb_o_core),
+		.mprj_we_o(mprj_we_o_core),
+		.mprj_sel_o(mprj_sel_o_core),
+		.mprj_adr_o(mprj_adr_o_core),
+		.mprj_dat_o(mprj_dat_o_core),
+		.mprj_ack_i(mprj_ack_i_core),
+		.mprj_dat_i(mprj_dat_i_core),
+		// mask data
+		.mask_rev(mask_rev),
+		// MGMT area R/W interface
+		.mgmt_ena(mgmt_ena),
+		.mgmt_wen_mask(mgmt_wen_mask),
+		.mgmt_wen(mgmt_wen),
+		.mgmt_addr(mgmt_addr),
+		.mgmt_wdata(mgmt_wdata),
+		.mgmt_rdata(mgmt_rdata),
+		// MGMT area RO interface
+		.mgmt_ena_ro(mgmt_ena_ro),
+		.mgmt_addr_ro(mgmt_addr_ro),
+		.mgmt_rdata_ro(mgmt_rdata_ro)
+    	);
+
+	/* Clock and reset to user space are passed through a tristate	*/
+	/* buffer like the above, but since they are intended to be	*/
+	/* always active, connect the enable to the logic-1 output from	*/
+	/* the vccd1 domain.						*/
+
+	mgmt_protect mgmt_buffers (
+	`ifdef USE_POWER_PINS
+		.vccd(vccd_core),
+		.vssd(vssd_core),
+		.vccd1(vccd1_core),
+		.vssd1(vssd1_core),
+		.vccd2(vccd2_core),
+		.vssd2(vssd2_core),
+		.vdda1(vdda1_core),
+		.vssa1(vssa1_core),
+		.vdda2(vdda2_core),
+		.vssa2(vssa2_core),
+    `endif
+		.caravel_clk(caravel_clk),
+		.caravel_clk2(caravel_clk2),
+		.caravel_rstn(caravel_rstn),
+		.mprj_cyc_o_core(mprj_cyc_o_core),
+		.mprj_stb_o_core(mprj_stb_o_core),
+		.mprj_we_o_core(mprj_we_o_core),
+		.mprj_sel_o_core(mprj_sel_o_core),
+		.mprj_adr_o_core(mprj_adr_o_core),
+		.mprj_dat_o_core(mprj_dat_o_core),
+		.user_irq_core(user_irq_core),
+		.la_data_out_core(la_data_out_user),
+		.la_data_out_mprj(la_data_out_mprj),
+		.la_data_in_core(la_data_in_user),
+		.la_data_in_mprj(la_data_in_mprj),
+		.la_oenb_mprj(la_oenb_mprj),
+		.la_oenb_core(la_oenb_user),
+		.la_iena_mprj(la_iena_mprj),
+		.user_irq_ena(user_irq_ena),
+
+		.user_clock(mprj_clock),
+		.user_clock2(mprj_clock2),
+		.user_reset(mprj_reset),
+		.mprj_cyc_o_user(mprj_cyc_o_user),
+		.mprj_stb_o_user(mprj_stb_o_user),
+		.mprj_we_o_user(mprj_we_o_user),
+		.mprj_sel_o_user(mprj_sel_o_user),
+		.mprj_adr_o_user(mprj_adr_o_user),
+		.mprj_dat_o_user(mprj_dat_o_user),
+		.user_irq(user_irq),
+		.user1_vcc_powergood(mprj_vcc_pwrgood),
+		.user2_vcc_powergood(mprj2_vcc_pwrgood),
+		.user1_vdd_powergood(mprj_vdd_pwrgood),
+		.user2_vdd_powergood(mprj2_vdd_pwrgood)
+	);
+
+
+	/*----------------------------------------------*/
+	/* Wrapper module around the user project 	*/
+	/*----------------------------------------------*/
+
+	user_project_wrapper mprj ( 
+	`ifdef USE_POWER_PINS
+		.vdda1(vdda1_core),		// User area 1 3.3V power
+		.vdda2(vdda2_core),		// User area 2 3.3V power
+		.vssa1(vssa1_core),		// User area 1 analog ground
+		.vssa2(vssa2_core),		// User area 2 analog ground
+		.vccd1(vccd1_core),		// User area 1 1.8V power
+		.vccd2(vccd2_core),		// User area 2 1.8V power
+		.vssd1(vssd1_core),		// User area 1 digital ground
+		.vssd2(vssd2_core),		// User area 2 digital ground
+    `endif
+
+    		.wb_clk_i(mprj_clock),
+    		.wb_rst_i(mprj_reset),
+		// MGMT SoC Wishbone Slave
+		.wbs_cyc_i(mprj_cyc_o_user),
+		.wbs_stb_i(mprj_stb_o_user),
+		.wbs_we_i(mprj_we_o_user),
+		.wbs_sel_i(mprj_sel_o_user),
+	    	.wbs_adr_i(mprj_adr_o_user),
+		.wbs_dat_i(mprj_dat_o_user),
+	    	.wbs_ack_o(mprj_ack_i_core),
+		.wbs_dat_o(mprj_dat_i_core),
+		// Logic Analyzer
+		.la_data_in(la_data_in_user),
+		.la_data_out(la_data_out_user),
+		.la_oenb(la_oenb_user),
+		// IO Pads
+		.io_in (user_io_in),
+    		.io_out(user_io_out),
+    		.io_oeb(user_io_oeb),
+		.analog_io(user_analog_io),
+		// Independent clock
+		.user_clock2(mprj_clock2),
+		// IRQ
+		.user_irq(user_irq_core)
+	);
+
+	/*--------------------------------------*/
+	/* End user project instantiation	*/
+	/*--------------------------------------*/
+
+    wire [`MPRJ_IO_PADS_1-1:0] gpio_serial_link_1_shifted;
+    wire [`MPRJ_IO_PADS_2-1:0] gpio_serial_link_2_shifted;
+
+    assign gpio_serial_link_1_shifted = {gpio_serial_link_1[`MPRJ_IO_PADS_1-2:0],
+					 mprj_io_loader_data_1};
+    // Note that serial_link_2 is backwards compared to serial_link_1, so it
+    // shifts in the other direction.
+    assign gpio_serial_link_2_shifted = {mprj_io_loader_data_2,
+					 gpio_serial_link_2[`MPRJ_IO_PADS_2-1:1]};
+
+    // Propagating clock and reset to mitigate timing and fanout issues
+    wire [`MPRJ_IO_PADS_1-1:0] gpio_clock_1;
+    wire [`MPRJ_IO_PADS_2-1:0] gpio_clock_2;
+    wire [`MPRJ_IO_PADS_1-1:0] gpio_resetn_1;
+    wire [`MPRJ_IO_PADS_2-1:0] gpio_resetn_2;
+    wire [`MPRJ_IO_PADS_1-1:0] gpio_clock_1_shifted;
+    wire [`MPRJ_IO_PADS_2-1:0] gpio_clock_2_shifted;
+    wire [`MPRJ_IO_PADS_1-1:0] gpio_resetn_1_shifted;
+    wire [`MPRJ_IO_PADS_2-1:0] gpio_resetn_2_shifted;
+
+    assign gpio_clock_1_shifted = {gpio_clock_1[`MPRJ_IO_PADS_1-2:0],
+					 mprj_io_loader_clock};
+    assign gpio_clock_2_shifted = {mprj_io_loader_clock,
+					gpio_clock_2[`MPRJ_IO_PADS_2-1:1]};
+    assign gpio_resetn_1_shifted = {gpio_resetn_1[`MPRJ_IO_PADS_1-2:0],
+					 mprj_io_loader_resetn};
+    assign gpio_resetn_2_shifted = {mprj_io_loader_resetn,
+					gpio_resetn_2[`MPRJ_IO_PADS_2-1:1]};
+
+    // Each control block sits next to an I/O pad in the user area.
+    // It gets input through a serial chain from the previous control
+    // block and passes it to the next control block.  Due to the nature
+    // of the shift register, bits are presented in reverse, as the first
+    // bit in ends up as the last bit of the last I/O pad control block.
+
+    // There are two types of block;  the first two and the last two
+    // are configured to be full bidirectional under control of the
+    // management Soc (JTAG and SDO for the first two;  flash_io2 and
+    // flash_io3 for the last two).  The rest are configured to be default
+    // (input).  Note that the first two and last two are the ones closest
+    // to the management SoC on either side, which minimizes the wire length
+    // of the extra signals those pads need.
+
+    /* First two GPIOs (JTAG and SDO) */
+    gpio_control_block #(
+	.DM_INIT(`DM_INIT),	// Mode = output, strong up/down
+	.OENB_INIT(`OENB_INIT)	// Enable output signaling from wire
+    ) gpio_control_bidir_1 [1:0] (
+    	`ifdef USE_POWER_PINS
+			.vccd(vccd_core),
+			.vssd(vssd_core),
+			.vccd1(vccd1_core),
+			.vssd1(vssd1_core),
+        `endif
+
+    	// Management Soc-facing signals
+
+    	.resetn(gpio_resetn_1_shifted[1:0]),
+    	.serial_clock(gpio_clock_1_shifted[1:0]),
+
+    	.resetn_out(gpio_resetn_1[1:0]),
+    	.serial_clock_out(gpio_clock_1[1:0]),
+
+    	.mgmt_gpio_in(mgmt_io_in[1:0]),
+		.mgmt_gpio_out({sdo_out, jtag_out}),
+		.mgmt_gpio_oeb({sdo_outenb, jtag_outenb}),
+
+        .one(),
+        .zero(),
+
+    	// Serial data chain for pad configuration
+    	.serial_data_in(gpio_serial_link_1_shifted[1:0]),
+    	.serial_data_out(gpio_serial_link_1[1:0]),
+
+    	// User-facing signals
+    	.user_gpio_out(user_io_out[1:0]),
+    	.user_gpio_oeb(user_io_oeb[1:0]),
+    	.user_gpio_in(user_io_in[1:0]),
+
+    	// Pad-facing signals (Pad GPIOv2)
+    	.pad_gpio_inenb(mprj_io_inp_dis[1:0]),
+    	.pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[1:0]),
+    	.pad_gpio_vtrip_sel(mprj_io_vtrip_sel[1:0]),
+    	.pad_gpio_slow_sel(mprj_io_slow_sel[1:0]),
+    	.pad_gpio_holdover(mprj_io_holdover[1:0]),
+    	.pad_gpio_ana_en(mprj_io_analog_en[1:0]),
+    	.pad_gpio_ana_sel(mprj_io_analog_sel[1:0]),
+    	.pad_gpio_ana_pol(mprj_io_analog_pol[1:0]),
+    	.pad_gpio_dm(mprj_io_dm[5:0]),
+    	.pad_gpio_outenb(mprj_io_oeb[1:0]),
+    	.pad_gpio_out(mprj_io_out[1:0]),
+    	.pad_gpio_in(mprj_io_in[1:0])
+    );
+
+    /* Section 1 GPIOs (GPIO 0 to 18) */
+    wire [`MPRJ_IO_PADS_1-1:2] one_loop1;
+    gpio_control_block gpio_control_in_1 [`MPRJ_IO_PADS_1-3:0] (
+    `ifdef USE_POWER_PINS
+        .vccd(vccd_core),
+		.vssd(vssd_core),
+		.vccd1(vccd1_core),
+		.vssd1(vssd1_core),
+    `endif
+
+    	// Management Soc-facing signals
+
+    	.resetn(gpio_resetn_1_shifted[(`MPRJ_IO_PADS_1-1):2]),
+    	.serial_clock(gpio_clock_1_shifted[(`MPRJ_IO_PADS_1-1):2]),
+
+    	.resetn_out(gpio_resetn_1[(`MPRJ_IO_PADS_1-1):2]),
+    	.serial_clock_out(gpio_clock_1[(`MPRJ_IO_PADS_1-1):2]),
+
+		.mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS_1-1):2]),
+		.mgmt_gpio_out(mgmt_io_in[(`MPRJ_IO_PADS_1-1):2]),
+		.mgmt_gpio_oeb(one_loop1),
+
+        .one(one_loop1),
+        .zero(),
+
+    	// Serial data chain for pad configuration
+    	.serial_data_in(gpio_serial_link_1_shifted[(`MPRJ_IO_PADS_1-1):2]),
+    	.serial_data_out(gpio_serial_link_1[(`MPRJ_IO_PADS_1-1):2]),
+
+    	// User-facing signals
+    	.user_gpio_out(user_io_out[(`MPRJ_IO_PADS_1-1):2]),
+    	.user_gpio_oeb(user_io_oeb[(`MPRJ_IO_PADS_1-1):2]),
+    	.user_gpio_in(user_io_in[(`MPRJ_IO_PADS_1-1):2]),
+
+    	// Pad-facing signals (Pad GPIOv2)
+    	.pad_gpio_inenb(mprj_io_inp_dis[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_vtrip_sel(mprj_io_vtrip_sel[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_slow_sel(mprj_io_slow_sel[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_holdover(mprj_io_holdover[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_ana_en(mprj_io_analog_en[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_ana_sel(mprj_io_analog_sel[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_ana_pol(mprj_io_analog_pol[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_dm(mprj_io_dm[(`MPRJ_IO_PADS_1*3-1):6]),
+    	.pad_gpio_outenb(mprj_io_oeb[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_out(mprj_io_out[(`MPRJ_IO_PADS_1-1):2]),
+    	.pad_gpio_in(mprj_io_in[(`MPRJ_IO_PADS_1-1):2])
+    );
+
+    /* Last two GPIOs (flash_io2 and flash_io3) */
+    gpio_control_block #(
+	.DM_INIT(`DM_INIT),	// Mode = output, strong up/down
+	.OENB_INIT(`OENB_INIT)	// Enable output signaling from wire
+    ) gpio_control_bidir_2 [1:0] (
+    	`ifdef USE_POWER_PINS
+			.vccd(vccd_core),
+			.vssd(vssd_core),
+			.vccd1(vccd1_core),
+			.vssd1(vssd1_core),
+        `endif
+
+    	// Management Soc-facing signals
+
+    	.resetn(gpio_resetn_1_shifted[(`MPRJ_IO_PADS_2-1):(`MPRJ_IO_PADS_2-2)]),
+    	.serial_clock(gpio_clock_1_shifted[(`MPRJ_IO_PADS_2-1):(`MPRJ_IO_PADS_2-2)]),
+
+    	.resetn_out(gpio_resetn_1[(`MPRJ_IO_PADS_2-1):(`MPRJ_IO_PADS_2-2)]),
+    	.serial_clock_out(gpio_clock_1[(`MPRJ_IO_PADS_2-1):(`MPRJ_IO_PADS_2-2)]),
+
+    	.mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+		.mgmt_gpio_out({gpio_flash_io3_out, gpio_flash_io2_out}),
+		.mgmt_gpio_oeb({flash_io3_oeb_core, flash_io2_oeb_core}),
+
+        .one(),
+        .zero(),
+
+    	// Serial data chain for pad configuration
+    	.serial_data_in(gpio_serial_link_2_shifted[(`MPRJ_IO_PADS_2-1):(`MPRJ_IO_PADS_2-2)]),
+    	.serial_data_out(gpio_serial_link_2[(`MPRJ_IO_PADS_2-1):(`MPRJ_IO_PADS_2-2)]),
+
+    	// User-facing signals
+    	.user_gpio_out(user_io_out[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.user_gpio_oeb(user_io_oeb[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.user_gpio_in(user_io_in[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+
+    	// Pad-facing signals (Pad GPIOv2)
+    	.pad_gpio_inenb(mprj_io_inp_dis[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_vtrip_sel(mprj_io_vtrip_sel[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_slow_sel(mprj_io_slow_sel[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_holdover(mprj_io_holdover[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_ana_en(mprj_io_analog_en[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_ana_sel(mprj_io_analog_sel[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_ana_pol(mprj_io_analog_pol[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_dm(mprj_io_dm[(`MPRJ_IO_PADS*3-1):(`MPRJ_IO_PADS*3-6)]),
+    	.pad_gpio_outenb(mprj_io_oeb[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_out(mprj_io_out[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)]),
+    	.pad_gpio_in(mprj_io_in[(`MPRJ_IO_PADS-1):(`MPRJ_IO_PADS-2)])
+    );
+
+    /* Section 2 GPIOs (GPIO 19 to 37) */
+    wire [`MPRJ_IO_PADS_2-3:0] one_loop2;
+    gpio_control_block gpio_control_in_2 [`MPRJ_IO_PADS_2-3:0] (
+    	`ifdef USE_POWER_PINS
+        .vccd(vccd_core),
+		.vssd(vssd_core),
+		.vccd1(vccd1_core),
+		.vssd1(vssd1_core),
+        `endif
+
+    	// Management Soc-facing signals
+
+    	.resetn(gpio_resetn_1_shifted[(`MPRJ_IO_PADS_2-3):0]),
+    	.serial_clock(gpio_clock_1_shifted[(`MPRJ_IO_PADS_2-3):0]),
+
+    	.resetn_out(gpio_resetn_1[(`MPRJ_IO_PADS_2-3):0]),
+    	.serial_clock_out(gpio_clock_1[(`MPRJ_IO_PADS_2-3):0]),
+
+		.mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+		.mgmt_gpio_out(mgmt_io_in[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+		.mgmt_gpio_oeb(one_loop2),
+
+        .one(one_loop2),
+        .zero(),
+
+    	// Serial data chain for pad configuration
+    	.serial_data_in(gpio_serial_link_2_shifted[(`MPRJ_IO_PADS_2-3):0]),
+    	.serial_data_out(gpio_serial_link_2[(`MPRJ_IO_PADS_2-3):0]),
+
+    	// User-facing signals
+    	.user_gpio_out(user_io_out[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.user_gpio_oeb(user_io_oeb[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.user_gpio_in(user_io_in[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+
+    	// Pad-facing signals (Pad GPIOv2)
+    	.pad_gpio_inenb(mprj_io_inp_dis[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_vtrip_sel(mprj_io_vtrip_sel[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_slow_sel(mprj_io_slow_sel[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_holdover(mprj_io_holdover[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_ana_en(mprj_io_analog_en[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_ana_sel(mprj_io_analog_sel[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_ana_pol(mprj_io_analog_pol[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_dm(mprj_io_dm[(`MPRJ_IO_PADS*3-7):(`MPRJ_IO_PADS_1*3)]),
+    	.pad_gpio_outenb(mprj_io_oeb[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_out(mprj_io_out[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)]),
+    	.pad_gpio_in(mprj_io_in[(`MPRJ_IO_PADS-3):(`MPRJ_IO_PADS_1)])
+    );
+
+    user_id_programming #(
+	.USER_PROJECT_ID(USER_PROJECT_ID)
+    ) user_id_value (
+	`ifdef USE_POWER_PINS
+		.VPWR(vccd_core),
+		.VGND(vssd_core),
+	`endif
+	.mask_rev(mask_rev)
+    );
+
+    // Power-on-reset circuit
+    simple_por por (
+	`ifdef USE_POWER_PINS
+		.vdd3v3(vddio_core),
+		.vdd1v8(vccd_core),
+		.vss(vssio_core),
+	`endif
+		.porb_h(porb_h),
+		.porb_l(porb_l),
+		.por_l(por_l)
+    );
+
+    // XRES (chip input pin reset) reset level converter
+    sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped rstb_level (
+	`ifdef USE_POWER_PINS
+		.VPWR(vddio_core),
+		.LVPWR(vccd_core),
+		.VGND(vssio_core),
+	`endif
+		.A(rstb_h),
+		.X(rstb_l)
+    );
+
+	// Storage area
+	storage storage(
+	`ifdef USE_POWER_PINS
+        .VPWR(vccd_core),
+        .VGND(vssd_core),
+    `endif
+		.mgmt_clk(caravel_clk),
+        .mgmt_ena(mgmt_ena),
+        .mgmt_wen(mgmt_wen),
+        .mgmt_wen_mask(mgmt_wen_mask),
+        .mgmt_addr(mgmt_addr),
+        .mgmt_wdata(mgmt_wdata),
+        .mgmt_rdata(mgmt_rdata),
+        // Management RO interface
+        .mgmt_ena_ro(mgmt_ena_ro),
+        .mgmt_addr_ro(mgmt_addr_ro),
+        .mgmt_rdata_ro(mgmt_rdata_ro)
+	);
+
+endmodule
+// `default_nettype wire