repo fix
diff --git a/.github/scripts/build/run-set-id.sh b/.github/scripts/build/run-set-id.sh
new file mode 100644
index 0000000..b6e9cfb
--- /dev/null
+++ b/.github/scripts/build/run-set-id.sh
@@ -0,0 +1,38 @@
+#!/bin/bash
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+export UPRJ_ROOT=$(pwd)
+cd ..
+export PDK_ROOT=$(pwd)/pdks
+export CARAVEL_ROOT=$(pwd)/caravel
+export IMAGE_NAME=efabless/openlane:$OPENLANE_TAG
+export USER_ID=00000001
+
+cd $UPRJ_ROOT
+
+# Install full version of caravel
+git clone https://github.com/efabless/caravel --branch develop --depth 1 $CARAVEL_ROOT
+
+LOG_FILE=out.log
+docker run -v $UPRJ_ROOT:$UPRJ_ROOT -v $PDK_ROOT:$PDK_ROOT -v $CARAVEL_ROOT:$CARAVEL_ROOT -e UPRJ_ROOT=$UPRJ_ROOT -e PDK_ROOT=$PDK_ROOT -e CARAVEL_ROOT=$CARAVEL_ROOT -u $(id -u $USER):$(id -g $USER) $IMAGE_NAME bash -c "cd $CARAVEL_ROOT; make uncompress; cd $UPRJ_ROOT; export USER_ID=$USER_ID; make set_user_id | tee $LOG_FILE;"
+
+cnt=$(grep "Done" $LOG_FILE -s | wc -l)
+
+if [[ $cnt -ne 3 ]]; then 
+        exit 2; 
+fi
+
+exit 0
\ No newline at end of file
diff --git a/.github/scripts/build/run-truck.sh b/.github/scripts/build/run-truck.sh
new file mode 100644
index 0000000..84f183b
--- /dev/null
+++ b/.github/scripts/build/run-truck.sh
@@ -0,0 +1,36 @@
+#!/bin/bash
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+export UPRJ_ROOT=$(pwd)
+cd ..
+export PDK_ROOT=$(pwd)/pdks
+export CARAVEL_ROOT=$(pwd)/caravel
+export IMAGE_NAME=efabless/openlane:$OPENLANE_TAG
+
+cd $UPRJ_ROOT
+
+# Install full version of caravel
+git clone https://github.com/efabless/caravel --branch develop --depth 1 $CARAVEL_ROOT
+
+docker run -v $UPRJ_ROOT:$UPRJ_ROOT -v $PDK_ROOT:$PDK_ROOT -v $CARAVEL_ROOT:$CARAVEL_ROOT -e UPRJ_ROOT=$UPRJ_ROOT -e PDK_ROOT=$PDK_ROOT -e CARAVEL_ROOT=$CARAVEL_ROOT -u $(id -u $USER):$(id -g $USER) $IMAGE_NAME bash -c "cd $CARAVEL_ROOT; make uncompress; cd $UPRJ_ROOT; make truck;"
+
+SHIP_FILE=$UPRJ_ROOT/gds/caravan.gds
+
+if test -f "$SHIP_FILE"; then
+    exit 0
+else
+    exit 2
+fi
diff --git a/.github/scripts/build/run-xor.sh b/.github/scripts/build/run-xor.sh
new file mode 100644
index 0000000..e666188
--- /dev/null
+++ b/.github/scripts/build/run-xor.sh
@@ -0,0 +1,39 @@
+#!/bin/bash
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+export UPRJ_ROOT=$(pwd)
+cd ..
+export PDK_ROOT=$(pwd)/pdks
+export CARAVEL_ROOT=$(pwd)/caravel
+export IMAGE_NAME=efabless/openlane:$OPENLANE_TAG
+
+cd $UPRJ_ROOT
+
+# Install full version of caravel
+git clone https://github.com/efabless/caravel --branch develop --depth 1 $CARAVEL_ROOT
+
+LOG_FILE=out.log
+docker run -v $UPRJ_ROOT:$UPRJ_ROOT -v $PDK_ROOT:$PDK_ROOT -v $CARAVEL_ROOT:$CARAVEL_ROOT -e UPRJ_ROOT=$UPRJ_ROOT -e PDK_ROOT=$PDK_ROOT -e CARAVEL_ROOT=$CARAVEL_ROOT -u $(id -u $USER):$(id -g $USER) $IMAGE_NAME bash -c "cd $UPRJ_ROOT; export USER_ID=$USER_ID; make xor-analog-wrapper | tee $LOG_FILE;"
+
+cnt=$(grep -oP '(?<=Total XOR differences = )[0-9]+' $LOG_FILE)
+
+echo "Total XOR differences = $cnt"
+
+if [[ $cnt -ne 0 ]]; then 
+    exit 2; 
+fi
+
+exit 0
diff --git a/.github/scripts/dv/pdkBuild.sh b/.github/scripts/dv/pdkBuild.sh
new file mode 100644
index 0000000..9f9ac93
--- /dev/null
+++ b/.github/scripts/dv/pdkBuild.sh
@@ -0,0 +1,59 @@
+#!/bin/bash
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+export RUN_ROOT=$(pwd)
+
+
+# By default skip timing since we don't need the libs in any of the CI tests
+export SKIP_TIMING=${1:-1}
+export IMAGE_NAME=efabless/openlane:$OPENLANE_TAG
+docker pull $IMAGE_NAME
+
+cd $RUN_ROOT/..
+export PDK_ROOT=$(pwd)/pdks
+mkdir $PDK_ROOT
+echo $PDK_ROOT
+echo $RUN_ROOT
+cd $RUN_ROOT
+make skywater-pdk
+make skywater-library
+# The following section is for running on the CI.
+# If you're running locally you should replace them with: `make skywater-library`
+# This is because sometimes while setting up the conda env (skywater's make timing) it fails to fetch something
+# Then it exits without retrying. So, here we're retrying, and if something goes wrong it will exit after 5 retries.
+# Section Begin
+if [ $SKIP_TIMING -eq 0 ]; then
+	cnt=0
+	until make skywater-timing; do
+	cnt=$((cnt+1))
+	if [ $cnt -eq 5 ]; then
+		exit 2
+	fi
+	rm -rf $PDK_ROOT/skywater-pdk
+	make skywater-pdk
+	make skywater-library
+	done
+fi
+# Section End
+
+make open_pdks
+docker run -v $RUN_ROOT:/openLANE_flow -v $PDK_ROOT:$PDK_ROOT -e PDK_ROOT=$PDK_ROOT -u $(id -u $USER):$(id -g $USER) $IMAGE_NAME  bash -c "make build-pdk"
+
+rm -rf $PDK_ROOT/open_pdks
+rm -rf $PDK_ROOT/skywater-pdk
+
+echo "done installing"
+cd $RUN_ROOT
+exit 0
\ No newline at end of file
diff --git a/.github/scripts/dv/run-dv-wrapper.sh b/.github/scripts/dv/run-dv-wrapper.sh
new file mode 100644
index 0000000..f431eca
--- /dev/null
+++ b/.github/scripts/dv/run-dv-wrapper.sh
@@ -0,0 +1,77 @@
+#!/bin/bash
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+# comma seperated test ids
+IDS=$1
+# simulation mode : RTL/GL
+SIM_MODE=$2
+
+DV_TEST_IDS=(${IDS//,/ })
+
+export TARGET_PATH=$(pwd)
+export CARAVEL_ROOT=$(pwd)/caravel
+
+if [ ! -d $TARGET_PATH ] 
+then
+    echo "Directory /path/to/dir DOES NOT exists." 
+    exit 9999 
+fi
+
+cd ..
+
+export PDK_PATH=$(pwd)/pdks/sky130A
+if [ ! -d $PDK_PATH ] 
+then
+    echo "Directory /path/to/dir DOES NOT exists." 
+    exit 9999 
+fi
+
+DV_PATH=$TARGET_PATH/verilog/dv
+if [ ! -d $DV_PATH ] 
+then
+    echo "Directory /path/to/dir DOES NOT exists." 
+    exit 9999
+fi
+
+for id in "${DV_TEST_IDS[@]}"
+do 
+    docker run -v $TARGET_PATH:$TARGET_PATH -v $PDK_PATH:$PDK_PATH \
+                -v $CARAVEL_ROOT:$CARAVEL_ROOT \
+                -e TARGET_PATH=$TARGET_PATH -e PDK_PATH=$PDK_PATH \
+                -e CARAVEL_ROOT=$CARAVEL_ROOT \
+                -u $(id -u $USER):$(id -g $USER) efabless/dv_setup:latest \
+                bash -c "bash $TARGET_PATH/.github/scripts/dv/run-dv.sh $PDK_PATH $DV_PATH $id $SIM_MODE"
+
+    echo "DONE!"
+
+    VERDICT_FILE=$TARGET_PATH/verilog/dv/$id.out
+
+    if [ -f $VERDICT_FILE ]; then
+        cnt=$(grep "Pass" $VERDICT_FILE -s | wc -l)
+        if ! [[ $cnt ]]; then cnt = 0; fi
+    else
+        echo "DV check failed due to subscript failure. Please review the logs";
+        exit 2;
+    fi
+
+    echo "Verdict: $cnt"
+
+    if [[ $cnt -ne 1 ]]; then 
+        exit 2; 
+    fi
+done
+
+exit 0;
diff --git a/.github/scripts/dv/run-dv.sh b/.github/scripts/dv/run-dv.sh
new file mode 100644
index 0000000..125cf3c
--- /dev/null
+++ b/.github/scripts/dv/run-dv.sh
@@ -0,0 +1,40 @@
+PDK_PATH=$1
+DV_PATH=$2
+DV_TEST_ID=$3
+SIM_MODE=$4
+
+cd $DV_PATH
+
+## get the name of all subdfolders under verilog/dv 
+ALL_DV_TESTS="$(find * -maxdepth 0 -type d)"
+## convert all ALL_DV_TESTS to an array
+TESTS_ARR=($ALL_DV_TESTS)
+## get length of the TESTS array
+len=${#TESTS_ARR[@]}
+
+## make sure that the test ID is less than the array length
+if [ $DV_TEST_ID -ge $len ]
+then
+    echo "Error: Invalid Test ID"
+    exit 1
+fi
+
+## get the name corresponding to the test ID
+PATTERN=${TESTS_ARR[$DV_TEST_ID]}
+
+OUT_FILE=$DV_PATH/$DV_TEST_ID.out
+
+export SIM=$SIM_MODE
+echo "Running $PATTERN $SIM.."
+logFile=$DV_PATH/$PATTERN.$SIM.dv.out
+cd $PATTERN
+echo $(pwd)
+make 2>&1 | tee $logFile
+grep "Monitor" $logFile >> $OUT_FILE
+make clean
+
+echo "Execution Done on $PATTERN !"
+
+cat $OUT_FILE
+
+exit 0
\ No newline at end of file
diff --git a/.github/scripts/precheck/precheckBuild.sh b/.github/scripts/precheck/precheckBuild.sh
new file mode 100644
index 0000000..d618190
--- /dev/null
+++ b/.github/scripts/precheck/precheckBuild.sh
@@ -0,0 +1,36 @@
+#!/bin/bash
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+# By default build pdk since we don't need the other script for the main purpose
+export SKIP_PDK_BUILD=${1:-0}
+
+export TARGET_PATH=$(pwd)
+git clone https://github.com/efabless/open_mpw_precheck.git
+
+docker pull efabless/open_mpw_precheck:latest
+
+
+if [ $SKIP_PDK_BUILD -eq 0 ]; then
+    cd $TARGET_PATH/..
+    export PDK_ROOT=$(pwd)/precheck_pdks
+    mkdir $PDK_ROOT
+    cd $TARGET_PATH/open_mpw_precheck/dependencies
+    sh build-pdk.sh
+    cd $TARGET_DIR
+
+fi
+
+exit 0
diff --git a/.github/scripts/precheck/run-precheck-drc.sh b/.github/scripts/precheck/run-precheck-drc.sh
new file mode 100644
index 0000000..489b314
--- /dev/null
+++ b/.github/scripts/precheck/run-precheck-drc.sh
@@ -0,0 +1,36 @@
+#!/bin/bash
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+export TARGET_PATH=$(pwd)
+export CARAVEL_ROOT=$(pwd)/caravel
+cd ..
+export PDK_ROOT=$(pwd)/precheck_pdks
+cd $TARGET_PATH/open_mpw_precheck/
+
+docker run -v $(pwd):/usr/local/bin -v $TARGET_PATH:$TARGET_PATH -v $CARAVEL_ROOT:$CARAVEL_ROOT  -v $PDK_ROOT:$PDK_ROOT -u $(id -u $USER):$(id -g $USER) efabless/open_mpw_precheck:latest bash -c "python3 open_mpw_prechecker.py --drc_only -p $PDK_ROOT -t $TARGET_PATH -c $CARAVEL_ROOT"
+output=$TARGET_PATH/checks/full_log.log
+
+gzipped_file=$TARGET_PATH/checks/full_log.log.gz
+
+if [[ -f $gzipped_file ]]; then
+    gzip -d $gzipped_file
+fi
+
+grep "Violation Message" $output
+
+cnt=$(grep -c "All Checks PASSED!" $output)
+if ! [[ $cnt ]]; then cnt=0; fi
+if [[ $cnt -eq 1 ]]; then exit 0; fi
+exit 2
\ No newline at end of file
diff --git a/.github/scripts/precheck/run-precheck.sh b/.github/scripts/precheck/run-precheck.sh
new file mode 100644
index 0000000..b248a79
--- /dev/null
+++ b/.github/scripts/precheck/run-precheck.sh
@@ -0,0 +1,36 @@
+#!/bin/bash
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+export TARGET_PATH=$(pwd)
+export CARAVEL_ROOT=$(pwd)/caravel
+cd ..
+export PDK_ROOT=$(pwd)/precheck_pdks
+cd $TARGET_PATH/open_mpw_precheck/
+
+docker run -v $(pwd):/usr/local/bin -v $TARGET_PATH:$TARGET_PATH -v $PDK_ROOT:$PDK_ROOT -v $CARAVEL_ROOT:$CARAVEL_ROOT -u $(id -u $USER):$(id -g $USER) efabless/open_mpw_precheck:latest bash -c "python3 open_mpw_prechecker.py --skip_drc -p $PDK_ROOT -t $TARGET_PATH -c $CARAVEL_ROOT"
+output=$TARGET_PATH/checks/full_log.log
+
+gzipped_file=$TARGET_PATH/checks/full_log.log.gz
+
+if [[ -f $gzipped_file ]]; then
+    gzip -d $gzipped_file
+fi
+
+grep "Violation Message" $output
+
+cnt=$(grep -c "All Checks PASSED!" $output)
+if ! [[ $cnt ]]; then cnt=0; fi
+if [[ $cnt -eq 1 ]]; then exit 0; fi
+exit 2
diff --git a/.github/workflows/auto_update_submodule.yml b/.github/workflows/auto_update_submodule.yml
new file mode 100644
index 0000000..7219ddf
--- /dev/null
+++ b/.github/workflows/auto_update_submodule.yml
@@ -0,0 +1,41 @@
+    
+name: 'Auto-update Submodules'
+
+on:
+  workflow_dispatch:
+  schedule:
+    - cron: "0 0 * * *"
+
+jobs:
+  sync:
+    name: 'Auto-update Submodules'
+    runs-on: ubuntu-latest
+
+    # Use the Bash shell regardless whether the GitHub Actions runner is ubuntu-latest, macos-latest, or windows-latest
+    defaults:
+      run:
+        shell: bash
+
+    steps:
+    # Checkout the repository to the GitHub Actions runner
+    - name: Checkout
+      uses: actions/checkout@v2
+      with:
+        submodules: true
+    
+    # Git config
+    - name: Git Configurations
+      run: |
+        git config --global user.name 'Git bot'
+        git config --global user.email 'bot@noreply.github.com'
+        
+    # Update references
+    - name: Git Sumbodule Update
+      run: |
+        git submodule update --init --recursive
+        git submodule update --remote --recursive
+
+    - name: Commit update
+      run: |
+        git remote set-url origin https://x-access-token:${{ secrets.GITHUB_TOKEN }}@github.com/${{ github.repository }}
+        git commit -am "Auto updated submodule references" && git push || echo "No changes to commit"
diff --git a/.github/workflows/caravan_build.yml b/.github/workflows/caravan_build.yml
new file mode 100644
index 0000000..ea05126
--- /dev/null
+++ b/.github/workflows/caravan_build.yml
@@ -0,0 +1,78 @@
+name: Caravan Build
+
+
+on:
+    # Runs on Every Push
+    push:
+    # Runs on Pull Requests
+    pull_request:
+
+jobs:
+  make_truck:
+    runs-on: ubuntu-latest
+    steps:
+       - uses: actions/checkout@v2
+         with:
+          submodules: 'true'
+      
+       - name: Set up QEMU
+         uses: docker/setup-qemu-action@v1
+
+       - name: Set up Docker Buildx
+         uses: docker/setup-buildx-action@v1
+        
+       - name: Install The PDK
+         run:  bash ${GITHUB_WORKSPACE}/.github/scripts/dv/pdkBuild.sh
+         env: 
+          OPENLANE_TAG: v0.12
+
+       - name: Run make truck
+         run: bash ${GITHUB_WORKSPACE}/.github/scripts/build/run-truck.sh 
+         env: 
+          OPENLANE_TAG: v0.12
+          
+  set_user_id:
+    runs-on: ubuntu-latest
+    steps:
+       - uses: actions/checkout@v2
+         with:
+          submodules: 'true'
+      
+       - name: Set up QEMU
+         uses: docker/setup-qemu-action@v1
+
+       - name: Set up Docker Buildx
+         uses: docker/setup-buildx-action@v1
+        
+       - name: Install The PDK
+         run:  bash ${GITHUB_WORKSPACE}/.github/scripts/dv/pdkBuild.sh
+         env: 
+          OPENLANE_TAG: v0.12
+
+       - name: Run Set User ID
+         run: bash ${GITHUB_WORKSPACE}/.github/scripts/build/run-set-id.sh 
+         env: 
+          OPENLANE_TAG: v0.12
+
+  xor_wrapper:
+    runs-on: ubuntu-latest
+    steps:
+       - uses: actions/checkout@v2
+         with:
+          submodules: 'true'
+      
+       - name: Set up QEMU
+         uses: docker/setup-qemu-action@v1
+
+       - name: Set up Docker Buildx
+         uses: docker/setup-buildx-action@v1
+        
+       - name: Install The PDK
+         run:  bash ${GITHUB_WORKSPACE}/.github/scripts/dv/pdkBuild.sh
+         env: 
+          OPENLANE_TAG: v0.12
+
+       - name: Run XOR Check
+         run: bash ${GITHUB_WORKSPACE}/.github/scripts/build/run-xor.sh 
+         env: 
+          OPENLANE_TAG: v0.12
diff --git a/.github/workflows/user_project_ci.yml b/.github/workflows/user_project_ci.yml
new file mode 100644
index 0000000..15a4916
--- /dev/null
+++ b/.github/workflows/user_project_ci.yml
@@ -0,0 +1,99 @@
+name: UPRJ_CI
+
+
+on:
+    # Runs on Every Push
+    push:
+    # Runs on Pull Requests
+    pull_request:
+
+jobs:
+  precheck:
+    runs-on: ubuntu-latest
+    timeout-minutes: 720
+    steps:
+      - uses: actions/checkout@v2
+        with:
+          submodules: 'true'
+
+      - name: Set up QEMU
+        uses: docker/setup-qemu-action@v1
+
+      - name: Set up Docker Buildx
+        uses: docker/setup-buildx-action@v1
+
+      - name: Install The Precheck
+        run: sh ${GITHUB_WORKSPACE}/.github/scripts/precheck/precheckBuild.sh
+
+      - name: Run The Precheck
+        run: bash ${GITHUB_WORKSPACE}/.github/scripts/precheck/run-precheck.sh
+  
+  precheck-drc:
+    timeout-minutes: 720
+    runs-on: ubuntu-latest
+    steps:
+      - uses: actions/checkout@v2
+        with:
+          submodules: 'true'
+
+      - name: Set up QEMU
+        uses: docker/setup-qemu-action@v1
+
+      - name: Set up Docker Buildx
+        uses: docker/setup-buildx-action@v1
+
+      - name: Install The Precheck
+        run: sh ${GITHUB_WORKSPACE}/.github/scripts/precheck/precheckBuild.sh
+
+      - name: Run The Precheck
+        run: bash ${GITHUB_WORKSPACE}/.github/scripts/precheck/run-precheck-drc.sh
+  
+  dv_rtl:
+    runs-on: ubuntu-latest
+    steps:
+       - uses: actions/checkout@v2
+         with:
+          submodules: 'true'
+      
+       - name: Set up QEMU
+         uses: docker/setup-qemu-action@v1
+
+       - name: Set up Docker Buildx
+         uses: docker/setup-buildx-action@v1
+        
+       - name: Install The PDK
+         run:  bash ${GITHUB_WORKSPACE}/.github/scripts/dv/pdkBuild.sh
+         env: 
+          OPENLANE_TAG: v0.12
+          
+       - name: Install The Dockerized DV Setup
+         run:  docker pull efabless/dv_setup:latest
+       
+       - name: Run DV tests
+         # Run test number 0,1,2,3,4 in one job                            <test-ids>   <sim-mode>
+         run: bash ${GITHUB_WORKSPACE}/.github/scripts/dv/run-dv-wrapper.sh 0             RTL
+
+  # dv_gl:
+  #   runs-on: ubuntu-latest
+  #   steps:
+  #      - uses: actions/checkout@v2
+  #        with:
+  #         submodules: 'true'
+      
+  #      - name: Set up QEMU
+  #        uses: docker/setup-qemu-action@v1
+
+  #      - name: Set up Docker Buildx
+  #        uses: docker/setup-buildx-action@v1
+        
+  #      - name: Install The PDK
+  #        run:  bash ${GITHUB_WORKSPACE}/.github/scripts/dv/pdkBuild.sh
+  #        env: 
+  #         OPENLANE_TAG: v0.12
+          
+  #      - name: Install The Dockerized DV Setup
+  #        run:  docker pull efabless/dv_setup:latest
+       
+  #      - name: Run DV tests
+  #        # Run test number 0,1,2,3,4 in one job                             <test-ids>   <sim-mode>
+  #        run: bash ${GITHUB_WORKSPACE}/.github/scripts/dv/run-dv-wrapper.sh 0,1,2,3,4      GL
\ No newline at end of file
diff --git a/caravel/gds/user_analog_project_wrapper.gds b/caravel/gds/user_analog_project_wrapper.gds
deleted file mode 100644
index f0959a4..0000000
--- a/caravel/gds/user_analog_project_wrapper.gds
+++ /dev/null
Binary files differ
diff --git a/caravel/mag/user_analog_project_wrapper.mag b/caravel/mag/user_analog_project_wrapper.mag
deleted file mode 100644
index 640da11..0000000
--- a/caravel/mag/user_analog_project_wrapper.mag
+++ /dev/null
@@ -1,2204 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1628083528
-<< metal1 >>
-rect 483170 675810 491654 675818
-rect 483166 675530 483176 675810
-rect 483960 675530 491654 675810
-rect 483170 675526 491654 675530
-rect 509406 673476 510751 673494
-rect 509406 673124 510006 673476
-rect 509996 673098 510006 673124
-rect 510688 673124 510751 673476
-rect 510688 673098 510698 673124
-rect 486598 672906 491662 672930
-rect 486598 672642 486634 672906
-rect 487384 672642 491662 672906
-rect 486598 672638 491662 672642
-rect 489873 670160 491597 670186
-rect 489873 669880 489910 670160
-rect 490702 669880 491597 670160
-rect 489873 669860 491597 669880
-<< via1 >>
-rect 483176 675530 483960 675810
-rect 510006 673098 510688 673476
-rect 486634 672642 487384 672906
-rect 489910 669880 490702 670160
-<< metal2 >>
-rect 502036 675944 504502 675954
-rect 483176 675810 483960 675820
-rect 483176 675520 483960 675530
-rect 502036 674686 504502 674696
-rect 510006 673476 510688 673486
-rect 510006 673088 510688 673098
-rect 486634 672906 487384 672916
-rect 486634 672632 487384 672642
-rect 502380 671230 507200 671240
-rect 489910 670160 490702 670170
-rect 489910 669870 490702 669880
-rect 502380 669798 507200 669808
-rect 524 -800 636 480
-rect 1706 -800 1818 480
-rect 2888 -800 3000 480
-rect 4070 -800 4182 480
-rect 5252 -800 5364 480
-rect 6434 -800 6546 480
-rect 7616 -800 7728 480
-rect 8798 -800 8910 480
-rect 9980 -800 10092 480
-rect 11162 -800 11274 480
-rect 12344 -800 12456 480
-rect 13526 -800 13638 480
-rect 14708 -800 14820 480
-rect 15890 -800 16002 480
-rect 17072 -800 17184 480
-rect 18254 -800 18366 480
-rect 19436 -800 19548 480
-rect 20618 -800 20730 480
-rect 21800 -800 21912 480
-rect 22982 -800 23094 480
-rect 24164 -800 24276 480
-rect 25346 -800 25458 480
-rect 26528 -800 26640 480
-rect 27710 -800 27822 480
-rect 28892 -800 29004 480
-rect 30074 -800 30186 480
-rect 31256 -800 31368 480
-rect 32438 -800 32550 480
-rect 33620 -800 33732 480
-rect 34802 -800 34914 480
-rect 35984 -800 36096 480
-rect 37166 -800 37278 480
-rect 38348 -800 38460 480
-rect 39530 -800 39642 480
-rect 40712 -800 40824 480
-rect 41894 -800 42006 480
-rect 43076 -800 43188 480
-rect 44258 -800 44370 480
-rect 45440 -800 45552 480
-rect 46622 -800 46734 480
-rect 47804 -800 47916 480
-rect 48986 -800 49098 480
-rect 50168 -800 50280 480
-rect 51350 -800 51462 480
-rect 52532 -800 52644 480
-rect 53714 -800 53826 480
-rect 54896 -800 55008 480
-rect 56078 -800 56190 480
-rect 57260 -800 57372 480
-rect 58442 -800 58554 480
-rect 59624 -800 59736 480
-rect 60806 -800 60918 480
-rect 61988 -800 62100 480
-rect 63170 -800 63282 480
-rect 64352 -800 64464 480
-rect 65534 -800 65646 480
-rect 66716 -800 66828 480
-rect 67898 -800 68010 480
-rect 69080 -800 69192 480
-rect 70262 -800 70374 480
-rect 71444 -800 71556 480
-rect 72626 -800 72738 480
-rect 73808 -800 73920 480
-rect 74990 -800 75102 480
-rect 76172 -800 76284 480
-rect 77354 -800 77466 480
-rect 78536 -800 78648 480
-rect 79718 -800 79830 480
-rect 80900 -800 81012 480
-rect 82082 -800 82194 480
-rect 83264 -800 83376 480
-rect 84446 -800 84558 480
-rect 85628 -800 85740 480
-rect 86810 -800 86922 480
-rect 87992 -800 88104 480
-rect 89174 -800 89286 480
-rect 90356 -800 90468 480
-rect 91538 -800 91650 480
-rect 92720 -800 92832 480
-rect 93902 -800 94014 480
-rect 95084 -800 95196 480
-rect 96266 -800 96378 480
-rect 97448 -800 97560 480
-rect 98630 -800 98742 480
-rect 99812 -800 99924 480
-rect 100994 -800 101106 480
-rect 102176 -800 102288 480
-rect 103358 -800 103470 480
-rect 104540 -800 104652 480
-rect 105722 -800 105834 480
-rect 106904 -800 107016 480
-rect 108086 -800 108198 480
-rect 109268 -800 109380 480
-rect 110450 -800 110562 480
-rect 111632 -800 111744 480
-rect 112814 -800 112926 480
-rect 113996 -800 114108 480
-rect 115178 -800 115290 480
-rect 116360 -800 116472 480
-rect 117542 -800 117654 480
-rect 118724 -800 118836 480
-rect 119906 -800 120018 480
-rect 121088 -800 121200 480
-rect 122270 -800 122382 480
-rect 123452 -800 123564 480
-rect 124634 -800 124746 480
-rect 125816 -800 125928 480
-rect 126998 -800 127110 480
-rect 128180 -800 128292 480
-rect 129362 -800 129474 480
-rect 130544 -800 130656 480
-rect 131726 -800 131838 480
-rect 132908 -800 133020 480
-rect 134090 -800 134202 480
-rect 135272 -800 135384 480
-rect 136454 -800 136566 480
-rect 137636 -800 137748 480
-rect 138818 -800 138930 480
-rect 140000 -800 140112 480
-rect 141182 -800 141294 480
-rect 142364 -800 142476 480
-rect 143546 -800 143658 480
-rect 144728 -800 144840 480
-rect 145910 -800 146022 480
-rect 147092 -800 147204 480
-rect 148274 -800 148386 480
-rect 149456 -800 149568 480
-rect 150638 -800 150750 480
-rect 151820 -800 151932 480
-rect 153002 -800 153114 480
-rect 154184 -800 154296 480
-rect 155366 -800 155478 480
-rect 156548 -800 156660 480
-rect 157730 -800 157842 480
-rect 158912 -800 159024 480
-rect 160094 -800 160206 480
-rect 161276 -800 161388 480
-rect 162458 -800 162570 480
-rect 163640 -800 163752 480
-rect 164822 -800 164934 480
-rect 166004 -800 166116 480
-rect 167186 -800 167298 480
-rect 168368 -800 168480 480
-rect 169550 -800 169662 480
-rect 170732 -800 170844 480
-rect 171914 -800 172026 480
-rect 173096 -800 173208 480
-rect 174278 -800 174390 480
-rect 175460 -800 175572 480
-rect 176642 -800 176754 480
-rect 177824 -800 177936 480
-rect 179006 -800 179118 480
-rect 180188 -800 180300 480
-rect 181370 -800 181482 480
-rect 182552 -800 182664 480
-rect 183734 -800 183846 480
-rect 184916 -800 185028 480
-rect 186098 -800 186210 480
-rect 187280 -800 187392 480
-rect 188462 -800 188574 480
-rect 189644 -800 189756 480
-rect 190826 -800 190938 480
-rect 192008 -800 192120 480
-rect 193190 -800 193302 480
-rect 194372 -800 194484 480
-rect 195554 -800 195666 480
-rect 196736 -800 196848 480
-rect 197918 -800 198030 480
-rect 199100 -800 199212 480
-rect 200282 -800 200394 480
-rect 201464 -800 201576 480
-rect 202646 -800 202758 480
-rect 203828 -800 203940 480
-rect 205010 -800 205122 480
-rect 206192 -800 206304 480
-rect 207374 -800 207486 480
-rect 208556 -800 208668 480
-rect 209738 -800 209850 480
-rect 210920 -800 211032 480
-rect 212102 -800 212214 480
-rect 213284 -800 213396 480
-rect 214466 -800 214578 480
-rect 215648 -800 215760 480
-rect 216830 -800 216942 480
-rect 218012 -800 218124 480
-rect 219194 -800 219306 480
-rect 220376 -800 220488 480
-rect 221558 -800 221670 480
-rect 222740 -800 222852 480
-rect 223922 -800 224034 480
-rect 225104 -800 225216 480
-rect 226286 -800 226398 480
-rect 227468 -800 227580 480
-rect 228650 -800 228762 480
-rect 229832 -800 229944 480
-rect 231014 -800 231126 480
-rect 232196 -800 232308 480
-rect 233378 -800 233490 480
-rect 234560 -800 234672 480
-rect 235742 -800 235854 480
-rect 236924 -800 237036 480
-rect 238106 -800 238218 480
-rect 239288 -800 239400 480
-rect 240470 -800 240582 480
-rect 241652 -800 241764 480
-rect 242834 -800 242946 480
-rect 244016 -800 244128 480
-rect 245198 -800 245310 480
-rect 246380 -800 246492 480
-rect 247562 -800 247674 480
-rect 248744 -800 248856 480
-rect 249926 -800 250038 480
-rect 251108 -800 251220 480
-rect 252290 -800 252402 480
-rect 253472 -800 253584 480
-rect 254654 -800 254766 480
-rect 255836 -800 255948 480
-rect 257018 -800 257130 480
-rect 258200 -800 258312 480
-rect 259382 -800 259494 480
-rect 260564 -800 260676 480
-rect 261746 -800 261858 480
-rect 262928 -800 263040 480
-rect 264110 -800 264222 480
-rect 265292 -800 265404 480
-rect 266474 -800 266586 480
-rect 267656 -800 267768 480
-rect 268838 -800 268950 480
-rect 270020 -800 270132 480
-rect 271202 -800 271314 480
-rect 272384 -800 272496 480
-rect 273566 -800 273678 480
-rect 274748 -800 274860 480
-rect 275930 -800 276042 480
-rect 277112 -800 277224 480
-rect 278294 -800 278406 480
-rect 279476 -800 279588 480
-rect 280658 -800 280770 480
-rect 281840 -800 281952 480
-rect 283022 -800 283134 480
-rect 284204 -800 284316 480
-rect 285386 -800 285498 480
-rect 286568 -800 286680 480
-rect 287750 -800 287862 480
-rect 288932 -800 289044 480
-rect 290114 -800 290226 480
-rect 291296 -800 291408 480
-rect 292478 -800 292590 480
-rect 293660 -800 293772 480
-rect 294842 -800 294954 480
-rect 296024 -800 296136 480
-rect 297206 -800 297318 480
-rect 298388 -800 298500 480
-rect 299570 -800 299682 480
-rect 300752 -800 300864 480
-rect 301934 -800 302046 480
-rect 303116 -800 303228 480
-rect 304298 -800 304410 480
-rect 305480 -800 305592 480
-rect 306662 -800 306774 480
-rect 307844 -800 307956 480
-rect 309026 -800 309138 480
-rect 310208 -800 310320 480
-rect 311390 -800 311502 480
-rect 312572 -800 312684 480
-rect 313754 -800 313866 480
-rect 314936 -800 315048 480
-rect 316118 -800 316230 480
-rect 317300 -800 317412 480
-rect 318482 -800 318594 480
-rect 319664 -800 319776 480
-rect 320846 -800 320958 480
-rect 322028 -800 322140 480
-rect 323210 -800 323322 480
-rect 324392 -800 324504 480
-rect 325574 -800 325686 480
-rect 326756 -800 326868 480
-rect 327938 -800 328050 480
-rect 329120 -800 329232 480
-rect 330302 -800 330414 480
-rect 331484 -800 331596 480
-rect 332666 -800 332778 480
-rect 333848 -800 333960 480
-rect 335030 -800 335142 480
-rect 336212 -800 336324 480
-rect 337394 -800 337506 480
-rect 338576 -800 338688 480
-rect 339758 -800 339870 480
-rect 340940 -800 341052 480
-rect 342122 -800 342234 480
-rect 343304 -800 343416 480
-rect 344486 -800 344598 480
-rect 345668 -800 345780 480
-rect 346850 -800 346962 480
-rect 348032 -800 348144 480
-rect 349214 -800 349326 480
-rect 350396 -800 350508 480
-rect 351578 -800 351690 480
-rect 352760 -800 352872 480
-rect 353942 -800 354054 480
-rect 355124 -800 355236 480
-rect 356306 -800 356418 480
-rect 357488 -800 357600 480
-rect 358670 -800 358782 480
-rect 359852 -800 359964 480
-rect 361034 -800 361146 480
-rect 362216 -800 362328 480
-rect 363398 -800 363510 480
-rect 364580 -800 364692 480
-rect 365762 -800 365874 480
-rect 366944 -800 367056 480
-rect 368126 -800 368238 480
-rect 369308 -800 369420 480
-rect 370490 -800 370602 480
-rect 371672 -800 371784 480
-rect 372854 -800 372966 480
-rect 374036 -800 374148 480
-rect 375218 -800 375330 480
-rect 376400 -800 376512 480
-rect 377582 -800 377694 480
-rect 378764 -800 378876 480
-rect 379946 -800 380058 480
-rect 381128 -800 381240 480
-rect 382310 -800 382422 480
-rect 383492 -800 383604 480
-rect 384674 -800 384786 480
-rect 385856 -800 385968 480
-rect 387038 -800 387150 480
-rect 388220 -800 388332 480
-rect 389402 -800 389514 480
-rect 390584 -800 390696 480
-rect 391766 -800 391878 480
-rect 392948 -800 393060 480
-rect 394130 -800 394242 480
-rect 395312 -800 395424 480
-rect 396494 -800 396606 480
-rect 397676 -800 397788 480
-rect 398858 -800 398970 480
-rect 400040 -800 400152 480
-rect 401222 -800 401334 480
-rect 402404 -800 402516 480
-rect 403586 -800 403698 480
-rect 404768 -800 404880 480
-rect 405950 -800 406062 480
-rect 407132 -800 407244 480
-rect 408314 -800 408426 480
-rect 409496 -800 409608 480
-rect 410678 -800 410790 480
-rect 411860 -800 411972 480
-rect 413042 -800 413154 480
-rect 414224 -800 414336 480
-rect 415406 -800 415518 480
-rect 416588 -800 416700 480
-rect 417770 -800 417882 480
-rect 418952 -800 419064 480
-rect 420134 -800 420246 480
-rect 421316 -800 421428 480
-rect 422498 -800 422610 480
-rect 423680 -800 423792 480
-rect 424862 -800 424974 480
-rect 426044 -800 426156 480
-rect 427226 -800 427338 480
-rect 428408 -800 428520 480
-rect 429590 -800 429702 480
-rect 430772 -800 430884 480
-rect 431954 -800 432066 480
-rect 433136 -800 433248 480
-rect 434318 -800 434430 480
-rect 435500 -800 435612 480
-rect 436682 -800 436794 480
-rect 437864 -800 437976 480
-rect 439046 -800 439158 480
-rect 440228 -800 440340 480
-rect 441410 -800 441522 480
-rect 442592 -800 442704 480
-rect 443774 -800 443886 480
-rect 444956 -800 445068 480
-rect 446138 -800 446250 480
-rect 447320 -800 447432 480
-rect 448502 -800 448614 480
-rect 449684 -800 449796 480
-rect 450866 -800 450978 480
-rect 452048 -800 452160 480
-rect 453230 -800 453342 480
-rect 454412 -800 454524 480
-rect 455594 -800 455706 480
-rect 456776 -800 456888 480
-rect 457958 -800 458070 480
-rect 459140 -800 459252 480
-rect 460322 -800 460434 480
-rect 461504 -800 461616 480
-rect 462686 -800 462798 480
-rect 463868 -800 463980 480
-rect 465050 -800 465162 480
-rect 466232 -800 466344 480
-rect 467414 -800 467526 480
-rect 468596 -800 468708 480
-rect 469778 -800 469890 480
-rect 470960 -800 471072 480
-rect 472142 -800 472254 480
-rect 473324 -800 473436 480
-rect 474506 -800 474618 480
-rect 475688 -800 475800 480
-rect 476870 -800 476982 480
-rect 478052 -800 478164 480
-rect 479234 -800 479346 480
-rect 480416 -800 480528 480
-rect 481598 -800 481710 480
-rect 482780 -800 482892 480
-rect 483962 -800 484074 480
-rect 485144 -800 485256 480
-rect 486326 -800 486438 480
-rect 487508 -800 487620 480
-rect 488690 -800 488802 480
-rect 489872 -800 489984 480
-rect 491054 -800 491166 480
-rect 492236 -800 492348 480
-rect 493418 -800 493530 480
-rect 494600 -800 494712 480
-rect 495782 -800 495894 480
-rect 496964 -800 497076 480
-rect 498146 -800 498258 480
-rect 499328 -800 499440 480
-rect 500510 -800 500622 480
-rect 501692 -800 501804 480
-rect 502874 -800 502986 480
-rect 504056 -800 504168 480
-rect 505238 -800 505350 480
-rect 506420 -800 506532 480
-rect 507602 -800 507714 480
-rect 508784 -800 508896 480
-rect 509966 -800 510078 480
-rect 511148 -800 511260 480
-rect 512330 -800 512442 480
-rect 513512 -800 513624 480
-rect 514694 -800 514806 480
-rect 515876 -800 515988 480
-rect 517058 -800 517170 480
-rect 518240 -800 518352 480
-rect 519422 -800 519534 480
-rect 520604 -800 520716 480
-rect 521786 -800 521898 480
-rect 522968 -800 523080 480
-rect 524150 -800 524262 480
-rect 525332 -800 525444 480
-rect 526514 -800 526626 480
-rect 527696 -800 527808 480
-rect 528878 -800 528990 480
-rect 530060 -800 530172 480
-rect 531242 -800 531354 480
-rect 532424 -800 532536 480
-rect 533606 -800 533718 480
-rect 534788 -800 534900 480
-rect 535970 -800 536082 480
-rect 537152 -800 537264 480
-rect 538334 -800 538446 480
-rect 539516 -800 539628 480
-rect 540698 -800 540810 480
-rect 541880 -800 541992 480
-rect 543062 -800 543174 480
-rect 544244 -800 544356 480
-rect 545426 -800 545538 480
-rect 546608 -800 546720 480
-rect 547790 -800 547902 480
-rect 548972 -800 549084 480
-rect 550154 -800 550266 480
-rect 551336 -800 551448 480
-rect 552518 -800 552630 480
-rect 553700 -800 553812 480
-rect 554882 -800 554994 480
-rect 556064 -800 556176 480
-rect 557246 -800 557358 480
-rect 558428 -800 558540 480
-rect 559610 -800 559722 480
-rect 560792 -800 560904 480
-rect 561974 -800 562086 480
-rect 563156 -800 563268 480
-rect 564338 -800 564450 480
-rect 565520 -800 565632 480
-rect 566702 -800 566814 480
-rect 567884 -800 567996 480
-rect 569066 -800 569178 480
-rect 570248 -800 570360 480
-rect 571430 -800 571542 480
-rect 572612 -800 572724 480
-rect 573794 -800 573906 480
-rect 574976 -800 575088 480
-rect 576158 -800 576270 480
-rect 577340 -800 577452 480
-rect 578522 -800 578634 480
-rect 579704 -800 579816 480
-rect 580886 -800 580998 480
-rect 582068 -800 582180 480
-rect 583250 -800 583362 480
-<< via2 >>
-rect 483176 675530 483960 675810
-rect 502036 674696 504502 675944
-rect 510006 673098 510688 673476
-rect 486634 672642 487384 672906
-rect 489910 669880 490702 670160
-rect 502380 669808 507200 671230
-<< metal3 >>
-rect 16194 702300 21194 704800
-rect 68194 702300 73194 704800
-rect 120194 702300 125194 704800
-rect 165594 702300 170594 704800
-rect 170894 702300 173094 704800
-rect 173394 702300 175594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 222594 702300 224794 704800
-rect 225094 702300 227294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 324294 702300 326494 704800
-rect 326794 702300 328994 704800
-rect 329294 702300 334294 704800
-rect 413394 702300 418394 704800
-rect 465394 702300 470394 704800
-rect 510594 702340 515394 704800
-rect 520594 702340 525394 704800
-rect -800 680242 1700 685242
-rect -800 643842 1660 648642
-rect 228210 644394 232594 702300
-rect 329978 656706 334294 702300
-rect 414038 661808 418176 702300
-rect 466154 666304 470314 702300
-rect 510594 702006 513054 702340
-rect 566594 702300 571594 704800
-rect 502048 699546 513054 702006
-rect 502048 675949 504508 699546
-rect 567072 691859 571475 702300
-rect 509988 688359 571475 691859
-rect 502026 675944 504512 675949
-rect 483166 675812 483970 675815
-rect 483166 675516 483176 675812
-rect 483956 675810 483970 675812
-rect 483960 675530 483970 675810
-rect 483956 675525 483970 675530
-rect 483956 675516 483966 675525
-rect 502026 674696 502036 675944
-rect 504502 674696 504512 675944
-rect 502026 674691 504512 674696
-rect 509988 674344 511198 688359
-rect 582300 681627 584800 682984
-rect 517314 678444 584800 681627
-rect 509904 674036 511202 674344
-rect 509996 673476 510698 673481
-rect 509996 673098 510006 673476
-rect 510688 673098 510698 673476
-rect 509996 673093 510698 673098
-rect 486624 672906 487394 672911
-rect 486624 672642 486634 672906
-rect 487384 672642 487394 672906
-rect 486624 672637 487394 672642
-rect 510080 672084 510676 673093
-rect 517314 672084 518816 678444
-rect 582300 677984 584800 678444
-rect 510080 671776 518816 672084
-rect 517314 671748 518816 671776
-rect 502370 671230 507210 671235
-rect 489900 670160 490712 670165
-rect 489900 669880 489910 670160
-rect 490702 669880 490712 670160
-rect 489900 669875 490712 669880
-rect 502370 669808 502380 671230
-rect 507200 671192 507210 671230
-rect 507200 669808 507216 671192
-rect 502370 669803 507216 669808
-rect 494639 666304 494910 669002
-rect 466154 664962 494910 666304
-rect 468721 664907 494910 664962
-rect 495257 661808 495617 669214
-rect 414038 660367 495617 661808
-rect 416512 660264 495617 660367
-rect 495257 660232 495617 660264
-rect 496081 656706 496579 669599
-rect 329978 654774 496579 656706
-rect 332899 654733 496579 654774
-rect 497736 644394 498362 669281
-rect 228210 640021 498362 644394
-rect 228210 640010 498296 640021
-rect -800 633842 1660 638642
-rect -800 559442 1660 564242
-rect 502416 555362 507216 669803
-rect 582340 639784 584800 644584
-rect 582340 629784 584800 634584
-rect 583520 589472 584800 589584
-rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
-rect 583520 585926 584800 586038
-rect 583520 584744 584800 584856
-rect 575452 583054 575462 584022
-rect 576392 583674 576402 584022
-rect 576392 583562 584800 583674
-rect 576392 583054 576402 583562
-rect -800 549442 1660 554242
-rect 502416 550562 584800 555362
-rect 582340 540562 584800 545362
-rect -800 511530 480 511642
-rect -800 510348 480 510460
-rect -800 509166 480 509278
-rect -800 507984 480 508096
-rect -800 506802 480 506914
-rect -800 505620 480 505732
-rect 583520 500050 584800 500162
-rect 583520 498868 584800 498980
-rect 583520 497686 584800 497798
-rect 583520 496504 584800 496616
-rect 583520 495322 584800 495434
-rect 578768 493816 578778 494618
-rect 579516 494252 579526 494618
-rect 579516 494140 584800 494252
-rect 579516 493816 579526 494140
-rect -800 468308 480 468420
-rect -800 467126 480 467238
-rect -800 465944 480 466056
-rect -800 464762 480 464874
-rect -800 463580 480 463692
-rect -800 462398 480 462510
-rect 583520 455628 584800 455740
-rect 583520 454446 584800 454558
-rect 583520 453264 584800 453376
-rect 583520 452082 584800 452194
-rect 583520 450900 584800 451012
-rect 579274 449364 579284 450184
-rect 580126 449830 580136 450184
-rect 580126 449718 584800 449830
-rect 580126 449364 580136 449718
-rect -800 425086 480 425198
-rect -800 423904 480 424016
-rect -800 422722 480 422834
-rect -800 421540 480 421652
-rect -800 420358 480 420470
-rect -800 419176 480 419288
-rect 583520 411206 584800 411318
-rect 583520 410024 584800 410136
-rect 583520 408842 584800 408954
-rect 583520 407660 584800 407772
-rect 583520 406478 584800 406590
-rect 583520 405296 584800 405408
-rect -800 381864 480 381976
-rect -800 380682 480 380794
-rect -800 379500 480 379612
-rect -800 378318 480 378430
-rect -800 377136 480 377248
-rect -800 375954 480 376066
-rect 583520 364784 584800 364896
-rect 583520 363602 584800 363714
-rect 583520 362420 584800 362532
-rect 583520 361238 584800 361350
-rect 583520 360056 584800 360168
-rect 583520 358874 584800 358986
-rect -800 338642 480 338754
-rect -800 337460 480 337572
-rect -800 336278 480 336390
-rect -800 335096 480 335208
-rect -800 333914 480 334026
-rect -800 332732 480 332844
-rect 583520 319562 584800 319674
-rect 583520 318380 584800 318492
-rect 583520 317198 584800 317310
-rect 583520 316016 584800 316128
-rect 583520 314834 584800 314946
-rect 583520 313652 584800 313764
-rect -800 295420 480 295532
-rect -800 294238 480 294350
-rect -800 293056 480 293168
-rect -800 291874 480 291986
-rect -800 290692 480 290804
-rect -800 289510 480 289622
-rect 583520 275140 584800 275252
-rect 583520 273958 584800 274070
-rect 583520 272776 584800 272888
-rect 583520 271594 584800 271706
-rect 583520 270412 584800 270524
-rect 583520 269230 584800 269342
-rect -800 252398 480 252510
-rect -800 251216 480 251328
-rect -800 250034 480 250146
-rect -800 248852 480 248964
-rect -800 247670 480 247782
-rect -800 246488 480 246600
-rect 582340 235230 584800 240030
-rect 582340 225230 584800 230030
-rect -800 214888 1660 219688
-rect -800 204888 1660 209688
-rect 582340 191430 584800 196230
-rect 582340 181430 584800 186230
-rect -800 172888 1660 177688
-rect -800 162888 1660 167688
-rect 582340 146830 584800 151630
-rect 582340 136830 584800 141630
-rect -800 124776 480 124888
-rect -800 123594 480 123706
-rect -800 122412 480 122524
-rect -800 121230 480 121342
-rect -800 120048 480 120160
-rect -800 118866 480 118978
-rect 583520 95118 584800 95230
-rect 583520 93936 584800 94048
-rect 583520 92754 584800 92866
-rect 583520 91572 584800 91684
-rect -800 81554 480 81666
-rect -800 80372 480 80484
-rect -800 79190 480 79302
-rect -800 78008 480 78120
-rect -800 76826 480 76938
-rect -800 75644 480 75756
-rect 583520 50460 584800 50572
-rect 583520 49278 584800 49390
-rect 583520 48096 584800 48208
-rect 583520 46914 584800 47026
-rect -800 38332 480 38444
-rect -800 37150 480 37262
-rect -800 35968 480 36080
-rect -800 34786 480 34898
-rect -800 33604 480 33716
-rect -800 32422 480 32534
-rect 583520 24002 584800 24114
-rect 583520 22820 584800 22932
-rect 583520 21638 584800 21750
-rect 583520 20456 584800 20568
-rect 583520 19274 584800 19386
-rect 583520 18092 584800 18204
-rect -800 16910 480 17022
-rect 583520 16910 584800 17022
-rect -800 15728 480 15840
-rect 583520 15728 584800 15840
-rect -800 14546 480 14658
-rect 583520 14546 584800 14658
-rect -800 13364 480 13476
-rect 583520 13364 584800 13476
-rect -800 12182 480 12294
-rect 583520 12182 584800 12294
-rect -800 11000 480 11112
-rect 583520 11000 584800 11112
-rect -800 9818 480 9930
-rect 583520 9818 584800 9930
-rect -800 8636 480 8748
-rect 583520 8636 584800 8748
-rect -800 7454 480 7566
-rect 583520 7454 584800 7566
-rect -800 6272 480 6384
-rect 583520 6272 584800 6384
-rect -800 5090 480 5202
-rect 583520 5090 584800 5202
-rect -800 3908 480 4020
-rect 583520 3908 584800 4020
-rect -800 2726 480 2838
-rect 583520 2726 584800 2838
-rect -800 1544 480 1656
-rect 583520 1544 584800 1656
-<< via3 >>
-rect 483176 675810 483956 675812
-rect 483176 675530 483956 675810
-rect 483176 675516 483956 675530
-rect 486634 672642 487384 672906
-rect 489910 669880 490702 670160
-rect 575462 583054 576392 584022
-rect 578778 493816 579516 494618
-rect 579284 449364 580126 450184
-<< metal4 >>
-rect 483175 675812 483957 675813
-rect 483175 675516 483176 675812
-rect 483956 675516 483957 675812
-rect 483175 675515 483957 675516
-rect 483176 450159 483946 675515
-rect 486633 672906 487385 672907
-rect 486633 672642 486634 672906
-rect 487384 672642 487385 672906
-rect 486633 672641 487385 672642
-rect 486634 494572 487346 672641
-rect 489882 670160 490744 670198
-rect 489882 669880 489910 670160
-rect 490702 669880 490744 670160
-rect 489882 583963 490744 669880
-rect 575461 584022 576393 584023
-rect 575461 583963 575462 584022
-rect 489882 583101 575462 583963
-rect 575461 583054 575462 583101
-rect 576392 583054 576393 584022
-rect 575461 583053 576393 583054
-rect 578777 494618 579517 494619
-rect 578777 494572 578778 494618
-rect 486634 493860 578778 494572
-rect 578777 493816 578778 493860
-rect 579516 493816 579517 494618
-rect 578777 493815 579517 493816
-rect 579283 450184 580127 450185
-rect 579283 450159 579284 450184
-rect 483176 449389 579284 450159
-rect 579283 449364 579284 449389
-rect 580126 449364 580127 450184
-rect 579283 449363 580127 449364
-<< comment >>
-rect -100 704000 584100 704100
-rect -100 0 0 704000
-rect 584000 0 584100 704000
-rect -100 -100 584100 0
-use neuron_s  neuron_s_0
-timestamp 1628080429
-transform 1 0 497290 0 -1 674534
-box -6200 -3042 13048 5990
-<< labels >>
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
-port 0 nsew signal bidirectional
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
-port 1 nsew signal bidirectional
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
-port 2 nsew signal bidirectional
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
-port 3 nsew signal bidirectional
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
-port 4 nsew signal bidirectional
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
-port 5 nsew signal bidirectional
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
-port 6 nsew signal bidirectional
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
-port 7 nsew signal bidirectional
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
-port 8 nsew signal bidirectional
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
-port 9 nsew signal bidirectional
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
-port 10 nsew signal bidirectional
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
-port 11 nsew signal bidirectional
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
-port 12 nsew signal bidirectional
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
-port 13 nsew signal bidirectional
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
-port 14 nsew signal bidirectional
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
-port 15 nsew signal bidirectional
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
-port 16 nsew signal bidirectional
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
-port 17 nsew signal bidirectional
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
-port 18 nsew signal bidirectional
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
-port 19 nsew signal bidirectional
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
-port 20 nsew signal bidirectional
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
-port 21 nsew signal bidirectional
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
-port 22 nsew signal bidirectional
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
-port 23 nsew signal bidirectional
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
-port 24 nsew signal bidirectional
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
-port 25 nsew signal bidirectional
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
-port 26 nsew signal bidirectional
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
-port 27 nsew signal bidirectional
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
-port 28 nsew signal bidirectional
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
-port 29 nsew signal bidirectional
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
-port 30 nsew signal bidirectional
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
-port 31 nsew signal bidirectional
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
-port 32 nsew signal bidirectional
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
-port 33 nsew signal bidirectional
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
-port 34 nsew signal bidirectional
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
-port 35 nsew signal bidirectional
-flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
-port 36 nsew signal bidirectional
-flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
-port 37 nsew signal bidirectional
-flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
-port 38 nsew signal bidirectional
-flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
-port 39 nsew signal bidirectional
-flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
-port 40 nsew signal bidirectional
-flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 41 nsew signal bidirectional
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
-port 44 nsew signal bidirectional
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
-port 45 nsew signal bidirectional
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
-port 46 nsew signal bidirectional
-flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
-flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
-port 50 nsew signal bidirectional
-flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
-port 51 nsew signal bidirectional
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
-port 52 nsew signal bidirectional
-flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
-port 53 nsew signal bidirectional
-flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
-port 54 nsew signal bidirectional
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
-port 55 nsew signal bidirectional
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
-port 56 nsew signal input
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
-port 57 nsew signal input
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
-port 58 nsew signal input
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
-port 59 nsew signal input
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
-port 60 nsew signal input
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
-port 61 nsew signal input
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
-port 62 nsew signal input
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
-port 63 nsew signal input
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
-port 64 nsew signal input
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
-port 65 nsew signal input
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
-port 66 nsew signal input
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
-port 67 nsew signal input
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
-port 68 nsew signal input
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
-port 69 nsew signal input
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
-port 70 nsew signal input
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
-port 71 nsew signal input
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
-port 72 nsew signal input
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
-port 73 nsew signal input
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
-port 74 nsew signal input
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
-port 75 nsew signal input
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
-port 76 nsew signal input
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
-port 77 nsew signal input
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
-port 78 nsew signal input
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
-port 79 nsew signal input
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
-port 80 nsew signal input
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
-port 81 nsew signal input
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
-port 82 nsew signal input
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
-port 83 nsew signal input
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
-port 84 nsew signal input
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
-port 85 nsew signal input
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
-port 86 nsew signal input
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
-port 87 nsew signal input
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
-port 88 nsew signal input
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
-port 89 nsew signal input
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
-port 90 nsew signal input
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
-port 91 nsew signal input
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
-port 92 nsew signal input
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
-port 93 nsew signal input
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
-port 94 nsew signal input
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
-port 95 nsew signal input
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
-port 96 nsew signal input
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
-port 97 nsew signal input
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
-port 98 nsew signal input
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
-port 99 nsew signal input
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
-port 100 nsew signal input
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
-port 101 nsew signal input
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
-port 102 nsew signal input
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
-port 103 nsew signal input
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
-port 104 nsew signal input
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
-port 105 nsew signal input
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
-port 106 nsew signal input
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
-port 107 nsew signal input
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
-port 108 nsew signal input
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
-port 109 nsew signal input
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
-port 110 nsew signal tristate
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
-port 111 nsew signal tristate
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
-port 112 nsew signal tristate
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
-port 113 nsew signal tristate
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
-port 114 nsew signal tristate
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
-port 115 nsew signal tristate
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
-port 116 nsew signal tristate
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
-port 117 nsew signal tristate
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
-port 118 nsew signal tristate
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
-port 119 nsew signal tristate
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
-port 120 nsew signal tristate
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
-port 121 nsew signal tristate
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
-port 122 nsew signal tristate
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
-port 123 nsew signal tristate
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
-port 124 nsew signal tristate
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
-port 125 nsew signal tristate
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
-port 126 nsew signal tristate
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
-port 127 nsew signal tristate
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
-port 128 nsew signal tristate
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
-port 129 nsew signal tristate
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
-port 130 nsew signal tristate
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
-port 131 nsew signal tristate
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
-port 132 nsew signal tristate
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
-port 133 nsew signal tristate
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
-port 134 nsew signal tristate
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
-port 135 nsew signal tristate
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
-port 136 nsew signal tristate
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
-port 137 nsew signal tristate
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
-port 138 nsew signal tristate
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
-port 139 nsew signal tristate
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
-port 140 nsew signal tristate
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
-port 141 nsew signal tristate
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
-port 142 nsew signal tristate
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
-port 143 nsew signal tristate
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
-port 144 nsew signal tristate
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
-port 145 nsew signal tristate
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
-port 146 nsew signal tristate
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
-port 147 nsew signal tristate
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
-port 148 nsew signal tristate
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
-port 149 nsew signal tristate
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
-port 150 nsew signal tristate
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
-port 151 nsew signal tristate
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
-port 152 nsew signal tristate
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
-port 153 nsew signal tristate
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
-port 154 nsew signal tristate
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
-port 155 nsew signal tristate
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
-port 156 nsew signal tristate
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
-port 157 nsew signal tristate
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
-port 158 nsew signal tristate
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
-port 159 nsew signal tristate
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
-port 160 nsew signal tristate
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
-port 161 nsew signal tristate
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
-port 162 nsew signal tristate
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
-port 163 nsew signal tristate
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
-port 164 nsew signal input
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
-port 165 nsew signal input
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
-port 166 nsew signal input
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
-port 167 nsew signal input
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
-port 168 nsew signal input
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
-port 169 nsew signal input
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
-port 170 nsew signal input
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
-port 171 nsew signal input
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
-port 172 nsew signal input
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
-port 173 nsew signal input
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
-port 174 nsew signal input
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
-port 175 nsew signal input
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
-port 176 nsew signal input
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
-port 177 nsew signal input
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
-port 178 nsew signal input
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
-port 179 nsew signal input
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
-port 180 nsew signal input
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
-port 181 nsew signal input
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
-port 182 nsew signal input
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
-port 183 nsew signal input
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
-port 184 nsew signal input
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
-port 185 nsew signal input
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
-port 186 nsew signal input
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
-port 187 nsew signal input
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
-port 188 nsew signal input
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
-port 189 nsew signal input
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
-port 190 nsew signal input
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
-port 191 nsew signal input
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
-port 192 nsew signal input
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
-port 193 nsew signal input
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
-port 194 nsew signal input
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
-port 195 nsew signal input
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
-port 196 nsew signal input
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
-port 197 nsew signal input
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
-port 198 nsew signal input
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
-port 199 nsew signal input
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
-port 200 nsew signal input
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
-port 201 nsew signal input
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
-port 202 nsew signal input
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
-port 203 nsew signal input
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
-port 204 nsew signal input
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
-port 205 nsew signal input
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
-port 206 nsew signal input
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
-port 207 nsew signal input
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
-port 208 nsew signal input
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
-port 209 nsew signal input
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
-port 210 nsew signal input
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
-port 211 nsew signal input
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
-port 212 nsew signal input
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
-port 213 nsew signal input
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
-port 214 nsew signal input
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
-port 215 nsew signal input
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
-port 216 nsew signal input
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
-port 217 nsew signal input
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
-port 218 nsew signal input
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
-port 219 nsew signal input
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
-port 220 nsew signal input
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
-port 221 nsew signal input
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
-port 222 nsew signal input
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
-port 223 nsew signal input
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
-port 224 nsew signal input
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
-port 225 nsew signal input
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
-port 226 nsew signal input
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
-port 227 nsew signal input
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
-port 228 nsew signal input
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
-port 229 nsew signal input
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
-port 230 nsew signal input
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
-port 231 nsew signal input
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
-port 232 nsew signal input
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
-port 233 nsew signal input
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
-port 234 nsew signal input
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
-port 235 nsew signal input
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
-port 236 nsew signal input
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
-port 237 nsew signal input
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
-port 238 nsew signal input
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
-port 239 nsew signal input
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
-port 240 nsew signal input
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
-port 241 nsew signal input
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
-port 242 nsew signal input
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
-port 243 nsew signal input
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
-port 244 nsew signal input
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
-port 245 nsew signal input
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
-port 246 nsew signal input
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
-port 247 nsew signal input
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
-port 248 nsew signal input
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
-port 249 nsew signal input
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
-port 250 nsew signal input
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
-port 251 nsew signal input
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
-port 252 nsew signal input
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
-port 253 nsew signal input
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
-port 254 nsew signal input
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
-port 255 nsew signal input
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
-port 256 nsew signal input
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
-port 257 nsew signal input
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
-port 258 nsew signal input
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
-port 259 nsew signal input
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
-port 260 nsew signal input
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
-port 261 nsew signal input
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
-port 262 nsew signal input
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
-port 263 nsew signal input
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
-port 264 nsew signal input
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
-port 265 nsew signal input
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
-port 266 nsew signal input
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
-port 267 nsew signal input
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
-port 268 nsew signal input
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
-port 269 nsew signal input
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
-port 270 nsew signal input
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
-port 271 nsew signal input
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
-port 272 nsew signal input
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
-port 273 nsew signal input
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
-port 274 nsew signal input
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
-port 275 nsew signal input
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
-port 276 nsew signal input
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
-port 277 nsew signal input
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
-port 278 nsew signal input
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
-port 279 nsew signal input
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
-port 280 nsew signal input
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
-port 281 nsew signal input
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
-port 282 nsew signal input
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
-port 283 nsew signal input
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
-port 284 nsew signal input
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
-port 285 nsew signal input
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
-port 286 nsew signal input
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
-port 287 nsew signal input
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
-port 288 nsew signal input
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
-port 289 nsew signal input
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
-port 290 nsew signal input
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
-port 291 nsew signal input
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
-port 292 nsew signal tristate
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
-port 293 nsew signal tristate
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
-port 294 nsew signal tristate
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
-port 295 nsew signal tristate
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
-port 296 nsew signal tristate
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
-port 297 nsew signal tristate
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
-port 298 nsew signal tristate
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
-port 299 nsew signal tristate
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
-port 300 nsew signal tristate
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
-port 301 nsew signal tristate
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
-port 302 nsew signal tristate
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
-port 303 nsew signal tristate
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
-port 304 nsew signal tristate
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
-port 305 nsew signal tristate
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
-port 306 nsew signal tristate
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
-port 307 nsew signal tristate
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
-port 308 nsew signal tristate
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
-port 309 nsew signal tristate
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
-port 310 nsew signal tristate
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
-port 311 nsew signal tristate
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
-port 312 nsew signal tristate
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
-port 313 nsew signal tristate
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
-port 314 nsew signal tristate
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
-port 315 nsew signal tristate
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
-port 316 nsew signal tristate
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
-port 317 nsew signal tristate
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
-port 318 nsew signal tristate
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
-port 319 nsew signal tristate
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
-port 320 nsew signal tristate
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
-port 321 nsew signal tristate
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
-port 322 nsew signal tristate
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
-port 323 nsew signal tristate
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
-port 324 nsew signal tristate
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
-port 325 nsew signal tristate
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
-port 326 nsew signal tristate
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
-port 327 nsew signal tristate
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
-port 328 nsew signal tristate
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
-port 329 nsew signal tristate
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
-port 330 nsew signal tristate
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
-port 331 nsew signal tristate
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
-port 332 nsew signal tristate
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
-port 333 nsew signal tristate
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
-port 334 nsew signal tristate
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
-port 335 nsew signal tristate
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
-port 336 nsew signal tristate
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
-port 337 nsew signal tristate
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
-port 338 nsew signal tristate
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
-port 339 nsew signal tristate
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
-port 340 nsew signal tristate
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
-port 341 nsew signal tristate
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
-port 342 nsew signal tristate
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
-port 343 nsew signal tristate
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
-port 344 nsew signal tristate
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
-port 345 nsew signal tristate
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
-port 346 nsew signal tristate
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
-port 347 nsew signal tristate
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
-port 348 nsew signal tristate
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
-port 349 nsew signal tristate
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
-port 350 nsew signal tristate
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
-port 351 nsew signal tristate
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
-port 352 nsew signal tristate
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
-port 353 nsew signal tristate
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
-port 354 nsew signal tristate
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
-port 355 nsew signal tristate
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
-port 356 nsew signal tristate
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
-port 357 nsew signal tristate
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
-port 358 nsew signal tristate
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
-port 359 nsew signal tristate
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
-port 360 nsew signal tristate
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
-port 361 nsew signal tristate
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
-port 362 nsew signal tristate
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
-port 363 nsew signal tristate
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
-port 364 nsew signal tristate
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
-port 365 nsew signal tristate
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
-port 366 nsew signal tristate
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
-port 367 nsew signal tristate
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
-port 368 nsew signal tristate
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
-port 369 nsew signal tristate
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
-port 370 nsew signal tristate
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
-port 371 nsew signal tristate
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
-port 372 nsew signal tristate
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
-port 373 nsew signal tristate
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
-port 374 nsew signal tristate
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
-port 375 nsew signal tristate
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
-port 376 nsew signal tristate
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
-port 377 nsew signal tristate
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
-port 378 nsew signal tristate
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
-port 379 nsew signal tristate
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
-port 380 nsew signal tristate
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
-port 381 nsew signal tristate
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
-port 382 nsew signal tristate
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
-port 383 nsew signal tristate
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
-port 384 nsew signal tristate
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
-port 385 nsew signal tristate
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
-port 386 nsew signal tristate
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
-port 387 nsew signal tristate
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
-port 388 nsew signal tristate
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
-port 389 nsew signal tristate
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
-port 390 nsew signal tristate
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
-port 391 nsew signal tristate
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
-port 392 nsew signal tristate
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
-port 393 nsew signal tristate
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
-port 394 nsew signal tristate
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
-port 395 nsew signal tristate
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
-port 396 nsew signal tristate
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
-port 397 nsew signal tristate
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
-port 398 nsew signal tristate
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
-port 399 nsew signal tristate
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
-port 400 nsew signal tristate
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
-port 401 nsew signal tristate
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
-port 402 nsew signal tristate
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
-port 403 nsew signal tristate
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
-port 404 nsew signal tristate
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
-port 405 nsew signal tristate
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
-port 406 nsew signal tristate
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
-port 407 nsew signal tristate
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
-port 408 nsew signal tristate
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
-port 409 nsew signal tristate
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
-port 410 nsew signal tristate
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
-port 411 nsew signal tristate
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
-port 412 nsew signal tristate
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
-port 413 nsew signal tristate
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
-port 414 nsew signal tristate
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
-port 415 nsew signal tristate
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
-port 416 nsew signal tristate
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
-port 417 nsew signal tristate
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
-port 418 nsew signal tristate
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
-port 419 nsew signal tristate
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
-port 420 nsew signal input
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
-port 421 nsew signal input
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
-port 422 nsew signal input
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
-port 423 nsew signal input
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
-port 424 nsew signal input
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
-port 425 nsew signal input
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
-port 426 nsew signal input
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
-port 427 nsew signal input
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
-port 428 nsew signal input
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
-port 429 nsew signal input
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
-port 430 nsew signal input
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
-port 431 nsew signal input
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
-port 432 nsew signal input
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
-port 433 nsew signal input
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
-port 434 nsew signal input
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
-port 435 nsew signal input
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
-port 436 nsew signal input
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
-port 437 nsew signal input
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
-port 438 nsew signal input
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
-port 439 nsew signal input
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
-port 440 nsew signal input
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
-port 441 nsew signal input
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
-port 442 nsew signal input
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
-port 443 nsew signal input
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
-port 444 nsew signal input
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
-port 445 nsew signal input
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
-port 446 nsew signal input
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
-port 447 nsew signal input
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
-port 448 nsew signal input
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
-port 449 nsew signal input
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
-port 450 nsew signal input
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
-port 451 nsew signal input
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
-port 452 nsew signal input
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
-port 453 nsew signal input
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
-port 454 nsew signal input
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
-port 455 nsew signal input
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
-port 456 nsew signal input
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
-port 457 nsew signal input
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
-port 458 nsew signal input
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
-port 459 nsew signal input
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
-port 460 nsew signal input
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
-port 461 nsew signal input
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
-port 462 nsew signal input
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
-port 463 nsew signal input
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
-port 464 nsew signal input
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
-port 465 nsew signal input
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
-port 466 nsew signal input
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
-port 467 nsew signal input
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
-port 468 nsew signal input
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
-port 469 nsew signal input
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
-port 470 nsew signal input
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
-port 471 nsew signal input
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
-port 472 nsew signal input
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
-port 473 nsew signal input
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
-port 474 nsew signal input
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
-port 475 nsew signal input
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
-port 476 nsew signal input
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
-port 477 nsew signal input
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
-port 478 nsew signal input
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
-port 479 nsew signal input
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
-port 480 nsew signal input
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
-port 481 nsew signal input
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
-port 482 nsew signal input
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
-port 483 nsew signal input
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
-port 484 nsew signal input
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
-port 485 nsew signal input
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
-port 486 nsew signal input
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
-port 487 nsew signal input
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
-port 488 nsew signal input
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
-port 489 nsew signal input
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
-port 490 nsew signal input
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
-port 491 nsew signal input
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
-port 492 nsew signal input
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
-port 493 nsew signal input
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
-port 494 nsew signal input
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
-port 495 nsew signal input
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
-port 496 nsew signal input
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
-port 497 nsew signal input
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
-port 498 nsew signal input
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
-port 499 nsew signal input
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
-port 500 nsew signal input
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
-port 501 nsew signal input
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
-port 502 nsew signal input
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
-port 503 nsew signal input
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
-port 504 nsew signal input
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
-port 505 nsew signal input
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
-port 506 nsew signal input
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
-port 507 nsew signal input
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
-port 508 nsew signal input
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
-port 509 nsew signal input
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
-port 510 nsew signal input
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
-port 511 nsew signal input
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
-port 512 nsew signal input
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
-port 513 nsew signal input
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
-port 514 nsew signal input
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
-port 515 nsew signal input
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
-port 516 nsew signal input
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
-port 517 nsew signal input
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
-port 518 nsew signal input
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
-port 519 nsew signal input
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
-port 520 nsew signal input
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
-port 521 nsew signal input
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
-port 522 nsew signal input
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
-port 523 nsew signal input
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
-port 524 nsew signal input
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
-port 525 nsew signal input
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
-port 526 nsew signal input
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
-port 527 nsew signal input
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
-port 528 nsew signal input
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
-port 529 nsew signal input
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
-port 530 nsew signal input
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
-port 531 nsew signal input
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
-port 532 nsew signal input
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
-port 533 nsew signal input
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
-port 534 nsew signal input
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
-port 535 nsew signal input
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
-port 536 nsew signal input
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
-port 537 nsew signal input
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
-port 538 nsew signal input
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
-port 539 nsew signal input
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
-port 540 nsew signal input
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
-port 541 nsew signal input
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
-port 542 nsew signal input
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
-port 543 nsew signal input
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
-port 544 nsew signal input
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
-port 545 nsew signal input
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
-port 546 nsew signal input
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
-port 547 nsew signal input
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
-port 548 nsew signal input
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
-port 549 nsew signal tristate
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
-port 550 nsew signal tristate
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
-port 551 nsew signal tristate
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
-port 552 nsew signal bidirectional
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
-port 553 nsew signal bidirectional
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
-port 554 nsew signal bidirectional
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
-port 555 nsew signal bidirectional
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
-port 556 nsew signal bidirectional
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
-port 557 nsew signal bidirectional
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
-port 558 nsew signal bidirectional
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
-port 559 nsew signal bidirectional
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
-port 560 nsew signal bidirectional
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
-port 561 nsew signal bidirectional
-flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
-port 562 nsew signal bidirectional
-flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
-port 563 nsew signal bidirectional
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
-port 564 nsew signal bidirectional
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
-port 565 nsew signal bidirectional
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
-port 566 nsew signal bidirectional
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
-port 567 nsew signal bidirectional
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
-port 568 nsew signal bidirectional
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
-port 569 nsew signal bidirectional
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
-port 570 nsew signal bidirectional
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
-port 571 nsew signal bidirectional
-flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
-port 572 nsew signal input
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
-port 573 nsew signal input
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
-port 574 nsew signal tristate
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
-port 575 nsew signal input
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
-port 576 nsew signal input
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
-port 577 nsew signal input
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
-port 578 nsew signal input
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
-port 579 nsew signal input
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
-port 580 nsew signal input
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
-port 581 nsew signal input
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
-port 582 nsew signal input
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
-port 583 nsew signal input
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
-port 584 nsew signal input
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
-port 585 nsew signal input
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
-port 586 nsew signal input
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
-port 587 nsew signal input
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
-port 588 nsew signal input
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
-port 589 nsew signal input
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
-port 590 nsew signal input
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
-port 591 nsew signal input
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
-port 592 nsew signal input
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
-port 593 nsew signal input
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
-port 594 nsew signal input
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
-port 595 nsew signal input
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
-port 596 nsew signal input
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
-port 597 nsew signal input
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
-port 598 nsew signal input
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
-port 599 nsew signal input
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
-port 600 nsew signal input
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
-port 601 nsew signal input
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
-port 602 nsew signal input
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
-port 603 nsew signal input
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
-port 604 nsew signal input
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
-port 605 nsew signal input
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
-port 606 nsew signal input
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
-port 607 nsew signal input
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
-port 608 nsew signal input
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
-port 609 nsew signal input
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
-port 610 nsew signal input
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
-port 611 nsew signal input
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
-port 612 nsew signal input
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
-port 613 nsew signal input
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
-port 614 nsew signal input
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
-port 615 nsew signal input
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
-port 616 nsew signal input
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
-port 617 nsew signal input
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
-port 618 nsew signal input
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
-port 619 nsew signal input
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
-port 620 nsew signal input
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
-port 621 nsew signal input
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
-port 622 nsew signal input
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
-port 623 nsew signal input
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
-port 624 nsew signal input
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
-port 625 nsew signal input
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
-port 626 nsew signal input
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
-port 627 nsew signal input
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
-port 628 nsew signal input
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
-port 629 nsew signal input
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
-port 630 nsew signal input
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
-port 631 nsew signal input
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
-port 632 nsew signal input
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
-port 633 nsew signal input
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
-port 634 nsew signal input
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
-port 635 nsew signal input
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
-port 636 nsew signal input
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
-port 637 nsew signal input
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
-port 638 nsew signal input
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
-port 639 nsew signal input
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
-port 640 nsew signal tristate
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
-port 641 nsew signal tristate
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
-port 642 nsew signal tristate
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
-port 643 nsew signal tristate
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
-port 644 nsew signal tristate
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
-port 645 nsew signal tristate
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
-port 646 nsew signal tristate
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
-port 647 nsew signal tristate
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
-port 648 nsew signal tristate
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
-port 649 nsew signal tristate
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
-port 650 nsew signal tristate
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
-port 651 nsew signal tristate
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
-port 652 nsew signal tristate
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
-port 653 nsew signal tristate
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
-port 654 nsew signal tristate
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
-port 655 nsew signal tristate
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
-port 656 nsew signal tristate
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
-port 657 nsew signal tristate
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
-port 658 nsew signal tristate
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
-port 659 nsew signal tristate
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
-port 660 nsew signal tristate
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
-port 661 nsew signal tristate
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
-port 662 nsew signal tristate
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
-port 663 nsew signal tristate
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
-port 664 nsew signal tristate
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
-port 665 nsew signal tristate
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
-port 666 nsew signal tristate
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
-port 667 nsew signal tristate
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
-port 668 nsew signal tristate
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
-port 669 nsew signal tristate
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
-port 670 nsew signal tristate
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
-port 671 nsew signal tristate
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
-port 672 nsew signal input
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
-port 673 nsew signal input
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
-port 674 nsew signal input
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
-port 675 nsew signal input
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
-port 676 nsew signal input
-flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
-port 677 nsew signal input
-<< properties >>
-string FIXED_BBOX 0 0 584000 704000
-<< end >>
diff --git a/caravel/mag/user_analog_project_wrapper_empty.mag b/caravel/mag/user_analog_project_wrapper_empty.mag
deleted file mode 100644
index 053243c..0000000
--- a/caravel/mag/user_analog_project_wrapper_empty.mag
+++ /dev/null
@@ -1,2053 +0,0 @@
-magic
-tech sky130A
-timestamp 1620244087
-<< checkpaint >>
-rect -680 351370 292680 352680
-rect -680 630 630 351370
-rect 291370 630 292680 351370
-rect -680 -680 292680 630
-<< metal2 >>
-rect 262 -400 318 240
-rect 853 -400 909 240
-rect 1444 -400 1500 240
-rect 2035 -400 2091 240
-rect 2626 -400 2682 240
-rect 3217 -400 3273 240
-rect 3808 -400 3864 240
-rect 4399 -400 4455 240
-rect 4990 -400 5046 240
-rect 5581 -400 5637 240
-rect 6172 -400 6228 240
-rect 6763 -400 6819 240
-rect 7354 -400 7410 240
-rect 7945 -400 8001 240
-rect 8536 -400 8592 240
-rect 9127 -400 9183 240
-rect 9718 -400 9774 240
-rect 10309 -400 10365 240
-rect 10900 -400 10956 240
-rect 11491 -400 11547 240
-rect 12082 -400 12138 240
-rect 12673 -400 12729 240
-rect 13264 -400 13320 240
-rect 13855 -400 13911 240
-rect 14446 -400 14502 240
-rect 15037 -400 15093 240
-rect 15628 -400 15684 240
-rect 16219 -400 16275 240
-rect 16810 -400 16866 240
-rect 17401 -400 17457 240
-rect 17992 -400 18048 240
-rect 18583 -400 18639 240
-rect 19174 -400 19230 240
-rect 19765 -400 19821 240
-rect 20356 -400 20412 240
-rect 20947 -400 21003 240
-rect 21538 -400 21594 240
-rect 22129 -400 22185 240
-rect 22720 -400 22776 240
-rect 23311 -400 23367 240
-rect 23902 -400 23958 240
-rect 24493 -400 24549 240
-rect 25084 -400 25140 240
-rect 25675 -400 25731 240
-rect 26266 -400 26322 240
-rect 26857 -400 26913 240
-rect 27448 -400 27504 240
-rect 28039 -400 28095 240
-rect 28630 -400 28686 240
-rect 29221 -400 29277 240
-rect 29812 -400 29868 240
-rect 30403 -400 30459 240
-rect 30994 -400 31050 240
-rect 31585 -400 31641 240
-rect 32176 -400 32232 240
-rect 32767 -400 32823 240
-rect 33358 -400 33414 240
-rect 33949 -400 34005 240
-rect 34540 -400 34596 240
-rect 35131 -400 35187 240
-rect 35722 -400 35778 240
-rect 36313 -400 36369 240
-rect 36904 -400 36960 240
-rect 37495 -400 37551 240
-rect 38086 -400 38142 240
-rect 38677 -400 38733 240
-rect 39268 -400 39324 240
-rect 39859 -400 39915 240
-rect 40450 -400 40506 240
-rect 41041 -400 41097 240
-rect 41632 -400 41688 240
-rect 42223 -400 42279 240
-rect 42814 -400 42870 240
-rect 43405 -400 43461 240
-rect 43996 -400 44052 240
-rect 44587 -400 44643 240
-rect 45178 -400 45234 240
-rect 45769 -400 45825 240
-rect 46360 -400 46416 240
-rect 46951 -400 47007 240
-rect 47542 -400 47598 240
-rect 48133 -400 48189 240
-rect 48724 -400 48780 240
-rect 49315 -400 49371 240
-rect 49906 -400 49962 240
-rect 50497 -400 50553 240
-rect 51088 -400 51144 240
-rect 51679 -400 51735 240
-rect 52270 -400 52326 240
-rect 52861 -400 52917 240
-rect 53452 -400 53508 240
-rect 54043 -400 54099 240
-rect 54634 -400 54690 240
-rect 55225 -400 55281 240
-rect 55816 -400 55872 240
-rect 56407 -400 56463 240
-rect 56998 -400 57054 240
-rect 57589 -400 57645 240
-rect 58180 -400 58236 240
-rect 58771 -400 58827 240
-rect 59362 -400 59418 240
-rect 59953 -400 60009 240
-rect 60544 -400 60600 240
-rect 61135 -400 61191 240
-rect 61726 -400 61782 240
-rect 62317 -400 62373 240
-rect 62908 -400 62964 240
-rect 63499 -400 63555 240
-rect 64090 -400 64146 240
-rect 64681 -400 64737 240
-rect 65272 -400 65328 240
-rect 65863 -400 65919 240
-rect 66454 -400 66510 240
-rect 67045 -400 67101 240
-rect 67636 -400 67692 240
-rect 68227 -400 68283 240
-rect 68818 -400 68874 240
-rect 69409 -400 69465 240
-rect 70000 -400 70056 240
-rect 70591 -400 70647 240
-rect 71182 -400 71238 240
-rect 71773 -400 71829 240
-rect 72364 -400 72420 240
-rect 72955 -400 73011 240
-rect 73546 -400 73602 240
-rect 74137 -400 74193 240
-rect 74728 -400 74784 240
-rect 75319 -400 75375 240
-rect 75910 -400 75966 240
-rect 76501 -400 76557 240
-rect 77092 -400 77148 240
-rect 77683 -400 77739 240
-rect 78274 -400 78330 240
-rect 78865 -400 78921 240
-rect 79456 -400 79512 240
-rect 80047 -400 80103 240
-rect 80638 -400 80694 240
-rect 81229 -400 81285 240
-rect 81820 -400 81876 240
-rect 82411 -400 82467 240
-rect 83002 -400 83058 240
-rect 83593 -400 83649 240
-rect 84184 -400 84240 240
-rect 84775 -400 84831 240
-rect 85366 -400 85422 240
-rect 85957 -400 86013 240
-rect 86548 -400 86604 240
-rect 87139 -400 87195 240
-rect 87730 -400 87786 240
-rect 88321 -400 88377 240
-rect 88912 -400 88968 240
-rect 89503 -400 89559 240
-rect 90094 -400 90150 240
-rect 90685 -400 90741 240
-rect 91276 -400 91332 240
-rect 91867 -400 91923 240
-rect 92458 -400 92514 240
-rect 93049 -400 93105 240
-rect 93640 -400 93696 240
-rect 94231 -400 94287 240
-rect 94822 -400 94878 240
-rect 95413 -400 95469 240
-rect 96004 -400 96060 240
-rect 96595 -400 96651 240
-rect 97186 -400 97242 240
-rect 97777 -400 97833 240
-rect 98368 -400 98424 240
-rect 98959 -400 99015 240
-rect 99550 -400 99606 240
-rect 100141 -400 100197 240
-rect 100732 -400 100788 240
-rect 101323 -400 101379 240
-rect 101914 -400 101970 240
-rect 102505 -400 102561 240
-rect 103096 -400 103152 240
-rect 103687 -400 103743 240
-rect 104278 -400 104334 240
-rect 104869 -400 104925 240
-rect 105460 -400 105516 240
-rect 106051 -400 106107 240
-rect 106642 -400 106698 240
-rect 107233 -400 107289 240
-rect 107824 -400 107880 240
-rect 108415 -400 108471 240
-rect 109006 -400 109062 240
-rect 109597 -400 109653 240
-rect 110188 -400 110244 240
-rect 110779 -400 110835 240
-rect 111370 -400 111426 240
-rect 111961 -400 112017 240
-rect 112552 -400 112608 240
-rect 113143 -400 113199 240
-rect 113734 -400 113790 240
-rect 114325 -400 114381 240
-rect 114916 -400 114972 240
-rect 115507 -400 115563 240
-rect 116098 -400 116154 240
-rect 116689 -400 116745 240
-rect 117280 -400 117336 240
-rect 117871 -400 117927 240
-rect 118462 -400 118518 240
-rect 119053 -400 119109 240
-rect 119644 -400 119700 240
-rect 120235 -400 120291 240
-rect 120826 -400 120882 240
-rect 121417 -400 121473 240
-rect 122008 -400 122064 240
-rect 122599 -400 122655 240
-rect 123190 -400 123246 240
-rect 123781 -400 123837 240
-rect 124372 -400 124428 240
-rect 124963 -400 125019 240
-rect 125554 -400 125610 240
-rect 126145 -400 126201 240
-rect 126736 -400 126792 240
-rect 127327 -400 127383 240
-rect 127918 -400 127974 240
-rect 128509 -400 128565 240
-rect 129100 -400 129156 240
-rect 129691 -400 129747 240
-rect 130282 -400 130338 240
-rect 130873 -400 130929 240
-rect 131464 -400 131520 240
-rect 132055 -400 132111 240
-rect 132646 -400 132702 240
-rect 133237 -400 133293 240
-rect 133828 -400 133884 240
-rect 134419 -400 134475 240
-rect 135010 -400 135066 240
-rect 135601 -400 135657 240
-rect 136192 -400 136248 240
-rect 136783 -400 136839 240
-rect 137374 -400 137430 240
-rect 137965 -400 138021 240
-rect 138556 -400 138612 240
-rect 139147 -400 139203 240
-rect 139738 -400 139794 240
-rect 140329 -400 140385 240
-rect 140920 -400 140976 240
-rect 141511 -400 141567 240
-rect 142102 -400 142158 240
-rect 142693 -400 142749 240
-rect 143284 -400 143340 240
-rect 143875 -400 143931 240
-rect 144466 -400 144522 240
-rect 145057 -400 145113 240
-rect 145648 -400 145704 240
-rect 146239 -400 146295 240
-rect 146830 -400 146886 240
-rect 147421 -400 147477 240
-rect 148012 -400 148068 240
-rect 148603 -400 148659 240
-rect 149194 -400 149250 240
-rect 149785 -400 149841 240
-rect 150376 -400 150432 240
-rect 150967 -400 151023 240
-rect 151558 -400 151614 240
-rect 152149 -400 152205 240
-rect 152740 -400 152796 240
-rect 153331 -400 153387 240
-rect 153922 -400 153978 240
-rect 154513 -400 154569 240
-rect 155104 -400 155160 240
-rect 155695 -400 155751 240
-rect 156286 -400 156342 240
-rect 156877 -400 156933 240
-rect 157468 -400 157524 240
-rect 158059 -400 158115 240
-rect 158650 -400 158706 240
-rect 159241 -400 159297 240
-rect 159832 -400 159888 240
-rect 160423 -400 160479 240
-rect 161014 -400 161070 240
-rect 161605 -400 161661 240
-rect 162196 -400 162252 240
-rect 162787 -400 162843 240
-rect 163378 -400 163434 240
-rect 163969 -400 164025 240
-rect 164560 -400 164616 240
-rect 165151 -400 165207 240
-rect 165742 -400 165798 240
-rect 166333 -400 166389 240
-rect 166924 -400 166980 240
-rect 167515 -400 167571 240
-rect 168106 -400 168162 240
-rect 168697 -400 168753 240
-rect 169288 -400 169344 240
-rect 169879 -400 169935 240
-rect 170470 -400 170526 240
-rect 171061 -400 171117 240
-rect 171652 -400 171708 240
-rect 172243 -400 172299 240
-rect 172834 -400 172890 240
-rect 173425 -400 173481 240
-rect 174016 -400 174072 240
-rect 174607 -400 174663 240
-rect 175198 -400 175254 240
-rect 175789 -400 175845 240
-rect 176380 -400 176436 240
-rect 176971 -400 177027 240
-rect 177562 -400 177618 240
-rect 178153 -400 178209 240
-rect 178744 -400 178800 240
-rect 179335 -400 179391 240
-rect 179926 -400 179982 240
-rect 180517 -400 180573 240
-rect 181108 -400 181164 240
-rect 181699 -400 181755 240
-rect 182290 -400 182346 240
-rect 182881 -400 182937 240
-rect 183472 -400 183528 240
-rect 184063 -400 184119 240
-rect 184654 -400 184710 240
-rect 185245 -400 185301 240
-rect 185836 -400 185892 240
-rect 186427 -400 186483 240
-rect 187018 -400 187074 240
-rect 187609 -400 187665 240
-rect 188200 -400 188256 240
-rect 188791 -400 188847 240
-rect 189382 -400 189438 240
-rect 189973 -400 190029 240
-rect 190564 -400 190620 240
-rect 191155 -400 191211 240
-rect 191746 -400 191802 240
-rect 192337 -400 192393 240
-rect 192928 -400 192984 240
-rect 193519 -400 193575 240
-rect 194110 -400 194166 240
-rect 194701 -400 194757 240
-rect 195292 -400 195348 240
-rect 195883 -400 195939 240
-rect 196474 -400 196530 240
-rect 197065 -400 197121 240
-rect 197656 -400 197712 240
-rect 198247 -400 198303 240
-rect 198838 -400 198894 240
-rect 199429 -400 199485 240
-rect 200020 -400 200076 240
-rect 200611 -400 200667 240
-rect 201202 -400 201258 240
-rect 201793 -400 201849 240
-rect 202384 -400 202440 240
-rect 202975 -400 203031 240
-rect 203566 -400 203622 240
-rect 204157 -400 204213 240
-rect 204748 -400 204804 240
-rect 205339 -400 205395 240
-rect 205930 -400 205986 240
-rect 206521 -400 206577 240
-rect 207112 -400 207168 240
-rect 207703 -400 207759 240
-rect 208294 -400 208350 240
-rect 208885 -400 208941 240
-rect 209476 -400 209532 240
-rect 210067 -400 210123 240
-rect 210658 -400 210714 240
-rect 211249 -400 211305 240
-rect 211840 -400 211896 240
-rect 212431 -400 212487 240
-rect 213022 -400 213078 240
-rect 213613 -400 213669 240
-rect 214204 -400 214260 240
-rect 214795 -400 214851 240
-rect 215386 -400 215442 240
-rect 215977 -400 216033 240
-rect 216568 -400 216624 240
-rect 217159 -400 217215 240
-rect 217750 -400 217806 240
-rect 218341 -400 218397 240
-rect 218932 -400 218988 240
-rect 219523 -400 219579 240
-rect 220114 -400 220170 240
-rect 220705 -400 220761 240
-rect 221296 -400 221352 240
-rect 221887 -400 221943 240
-rect 222478 -400 222534 240
-rect 223069 -400 223125 240
-rect 223660 -400 223716 240
-rect 224251 -400 224307 240
-rect 224842 -400 224898 240
-rect 225433 -400 225489 240
-rect 226024 -400 226080 240
-rect 226615 -400 226671 240
-rect 227206 -400 227262 240
-rect 227797 -400 227853 240
-rect 228388 -400 228444 240
-rect 228979 -400 229035 240
-rect 229570 -400 229626 240
-rect 230161 -400 230217 240
-rect 230752 -400 230808 240
-rect 231343 -400 231399 240
-rect 231934 -400 231990 240
-rect 232525 -400 232581 240
-rect 233116 -400 233172 240
-rect 233707 -400 233763 240
-rect 234298 -400 234354 240
-rect 234889 -400 234945 240
-rect 235480 -400 235536 240
-rect 236071 -400 236127 240
-rect 236662 -400 236718 240
-rect 237253 -400 237309 240
-rect 237844 -400 237900 240
-rect 238435 -400 238491 240
-rect 239026 -400 239082 240
-rect 239617 -400 239673 240
-rect 240208 -400 240264 240
-rect 240799 -400 240855 240
-rect 241390 -400 241446 240
-rect 241981 -400 242037 240
-rect 242572 -400 242628 240
-rect 243163 -400 243219 240
-rect 243754 -400 243810 240
-rect 244345 -400 244401 240
-rect 244936 -400 244992 240
-rect 245527 -400 245583 240
-rect 246118 -400 246174 240
-rect 246709 -400 246765 240
-rect 247300 -400 247356 240
-rect 247891 -400 247947 240
-rect 248482 -400 248538 240
-rect 249073 -400 249129 240
-rect 249664 -400 249720 240
-rect 250255 -400 250311 240
-rect 250846 -400 250902 240
-rect 251437 -400 251493 240
-rect 252028 -400 252084 240
-rect 252619 -400 252675 240
-rect 253210 -400 253266 240
-rect 253801 -400 253857 240
-rect 254392 -400 254448 240
-rect 254983 -400 255039 240
-rect 255574 -400 255630 240
-rect 256165 -400 256221 240
-rect 256756 -400 256812 240
-rect 257347 -400 257403 240
-rect 257938 -400 257994 240
-rect 258529 -400 258585 240
-rect 259120 -400 259176 240
-rect 259711 -400 259767 240
-rect 260302 -400 260358 240
-rect 260893 -400 260949 240
-rect 261484 -400 261540 240
-rect 262075 -400 262131 240
-rect 262666 -400 262722 240
-rect 263257 -400 263313 240
-rect 263848 -400 263904 240
-rect 264439 -400 264495 240
-rect 265030 -400 265086 240
-rect 265621 -400 265677 240
-rect 266212 -400 266268 240
-rect 266803 -400 266859 240
-rect 267394 -400 267450 240
-rect 267985 -400 268041 240
-rect 268576 -400 268632 240
-rect 269167 -400 269223 240
-rect 269758 -400 269814 240
-rect 270349 -400 270405 240
-rect 270940 -400 270996 240
-rect 271531 -400 271587 240
-rect 272122 -400 272178 240
-rect 272713 -400 272769 240
-rect 273304 -400 273360 240
-rect 273895 -400 273951 240
-rect 274486 -400 274542 240
-rect 275077 -400 275133 240
-rect 275668 -400 275724 240
-rect 276259 -400 276315 240
-rect 276850 -400 276906 240
-rect 277441 -400 277497 240
-rect 278032 -400 278088 240
-rect 278623 -400 278679 240
-rect 279214 -400 279270 240
-rect 279805 -400 279861 240
-rect 280396 -400 280452 240
-rect 280987 -400 281043 240
-rect 281578 -400 281634 240
-rect 282169 -400 282225 240
-rect 282760 -400 282816 240
-rect 283351 -400 283407 240
-rect 283942 -400 283998 240
-rect 284533 -400 284589 240
-rect 285124 -400 285180 240
-rect 285715 -400 285771 240
-rect 286306 -400 286362 240
-rect 286897 -400 286953 240
-rect 287488 -400 287544 240
-rect 288079 -400 288135 240
-rect 288670 -400 288726 240
-rect 289261 -400 289317 240
-rect 289852 -400 289908 240
-rect 290443 -400 290499 240
-rect 291034 -400 291090 240
-rect 291625 -400 291681 240
-<< metal3 >>
-rect 8097 351150 10597 352400
-rect 34097 351150 36597 352400
-rect 60097 351150 62597 352400
-rect 82797 351150 85297 352400
-rect 85447 351150 86547 352400
-rect 86697 351150 87797 352400
-rect 87947 351150 90447 352400
-rect 108647 351150 111147 352400
-rect 111297 351150 112397 352400
-rect 112547 351150 113647 352400
-rect 113797 351150 116297 352400
-rect 159497 351150 161997 352400
-rect 162147 351150 163247 352400
-rect 163397 351150 164497 352400
-rect 164647 351150 167147 352400
-rect 206697 351150 209197 352400
-rect 232697 351150 235197 352400
-rect 255297 351170 257697 352400
-rect 260297 351170 262697 352400
-rect 283297 351150 285797 352400
-rect -400 340121 850 342621
-rect 291150 338992 292400 341492
-rect -400 321921 830 324321
-rect 291170 319892 292400 322292
-rect -400 316921 830 319321
-rect 291170 314892 292400 317292
-rect 291760 294736 292400 294792
-rect 291760 294145 292400 294201
-rect 291760 293554 292400 293610
-rect 291760 292963 292400 293019
-rect 291760 292372 292400 292428
-rect 291760 291781 292400 291837
-rect -400 279721 830 282121
-rect -400 274721 830 277121
-rect 291170 275281 292400 277681
-rect 291170 270281 292400 272681
-rect -400 255765 240 255821
-rect -400 255174 240 255230
-rect -400 254583 240 254639
-rect -400 253992 240 254048
-rect -400 253401 240 253457
-rect -400 252810 240 252866
-rect 291760 250025 292400 250081
-rect 291760 249434 292400 249490
-rect 291760 248843 292400 248899
-rect 291760 248252 292400 248308
-rect 291760 247661 292400 247717
-rect 291760 247070 292400 247126
-rect -400 234154 240 234210
-rect -400 233563 240 233619
-rect -400 232972 240 233028
-rect -400 232381 240 232437
-rect -400 231790 240 231846
-rect -400 231199 240 231255
-rect 291760 227814 292400 227870
-rect 291760 227223 292400 227279
-rect 291760 226632 292400 226688
-rect 291760 226041 292400 226097
-rect 291760 225450 292400 225506
-rect 291760 224859 292400 224915
-rect -400 212543 240 212599
-rect -400 211952 240 212008
-rect -400 211361 240 211417
-rect -400 210770 240 210826
-rect -400 210179 240 210235
-rect -400 209588 240 209644
-rect 291760 205603 292400 205659
-rect 291760 205012 292400 205068
-rect 291760 204421 292400 204477
-rect 291760 203830 292400 203886
-rect 291760 203239 292400 203295
-rect 291760 202648 292400 202704
-rect -400 190932 240 190988
-rect -400 190341 240 190397
-rect -400 189750 240 189806
-rect -400 189159 240 189215
-rect -400 188568 240 188624
-rect -400 187977 240 188033
-rect 291760 182392 292400 182448
-rect 291760 181801 292400 181857
-rect 291760 181210 292400 181266
-rect 291760 180619 292400 180675
-rect 291760 180028 292400 180084
-rect 291760 179437 292400 179493
-rect -400 169321 240 169377
-rect -400 168730 240 168786
-rect -400 168139 240 168195
-rect -400 167548 240 167604
-rect -400 166957 240 167013
-rect -400 166366 240 166422
-rect 291760 159781 292400 159837
-rect 291760 159190 292400 159246
-rect 291760 158599 292400 158655
-rect 291760 158008 292400 158064
-rect 291760 157417 292400 157473
-rect 291760 156826 292400 156882
-rect -400 147710 240 147766
-rect -400 147119 240 147175
-rect -400 146528 240 146584
-rect -400 145937 240 145993
-rect -400 145346 240 145402
-rect -400 144755 240 144811
-rect 291760 137570 292400 137626
-rect 291760 136979 292400 137035
-rect 291760 136388 292400 136444
-rect 291760 135797 292400 135853
-rect 291760 135206 292400 135262
-rect 291760 134615 292400 134671
-rect -400 126199 240 126255
-rect -400 125608 240 125664
-rect -400 125017 240 125073
-rect -400 124426 240 124482
-rect -400 123835 240 123891
-rect -400 123244 240 123300
-rect 291170 117615 292400 120015
-rect 291170 112615 292400 115015
-rect -400 107444 830 109844
-rect -400 102444 830 104844
-rect 291170 95715 292400 98115
-rect 291170 90715 292400 93115
-rect -400 86444 830 88844
-rect -400 81444 830 83844
-rect 291170 73415 292400 75815
-rect 291170 68415 292400 70815
-rect -400 62388 240 62444
-rect -400 61797 240 61853
-rect -400 61206 240 61262
-rect -400 60615 240 60671
-rect -400 60024 240 60080
-rect -400 59433 240 59489
-rect 291760 47559 292400 47615
-rect 291760 46968 292400 47024
-rect 291760 46377 292400 46433
-rect 291760 45786 292400 45842
-rect -400 40777 240 40833
-rect -400 40186 240 40242
-rect -400 39595 240 39651
-rect -400 39004 240 39060
-rect -400 38413 240 38469
-rect -400 37822 240 37878
-rect 291760 25230 292400 25286
-rect 291760 24639 292400 24695
-rect 291760 24048 292400 24104
-rect 291760 23457 292400 23513
-rect -400 19166 240 19222
-rect -400 18575 240 18631
-rect -400 17984 240 18040
-rect -400 17393 240 17449
-rect -400 16802 240 16858
-rect -400 16211 240 16267
-rect 291760 12001 292400 12057
-rect 291760 11410 292400 11466
-rect 291760 10819 292400 10875
-rect 291760 10228 292400 10284
-rect 291760 9637 292400 9693
-rect 291760 9046 292400 9102
-rect -400 8455 240 8511
-rect 291760 8455 292400 8511
-rect -400 7864 240 7920
-rect 291760 7864 292400 7920
-rect -400 7273 240 7329
-rect 291760 7273 292400 7329
-rect -400 6682 240 6738
-rect 291760 6682 292400 6738
-rect -400 6091 240 6147
-rect 291760 6091 292400 6147
-rect -400 5500 240 5556
-rect 291760 5500 292400 5556
-rect -400 4909 240 4965
-rect 291760 4909 292400 4965
-rect -400 4318 240 4374
-rect 291760 4318 292400 4374
-rect -400 3727 240 3783
-rect 291760 3727 292400 3783
-rect -400 3136 240 3192
-rect 291760 3136 292400 3192
-rect -400 2545 240 2601
-rect 291760 2545 292400 2601
-rect -400 1954 240 2010
-rect 291760 1954 292400 2010
-rect -400 1363 240 1419
-rect 291760 1363 292400 1419
-rect -400 772 240 828
-rect 291760 772 292400 828
-<< comment >>
-rect -50 352000 292050 352050
-rect -50 0 0 352000
-rect 292000 0 292050 352000
-rect -50 -50 292050 0
-<< labels >>
-flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0]
-port 0 nsew signal bidirectional
-flabel metal3 s -400 190932 240 190988 0 FreeSans 560 0 0 0 gpio_analog[10]
-port 1 nsew signal bidirectional
-flabel metal3 s -400 169321 240 169377 0 FreeSans 560 0 0 0 gpio_analog[11]
-port 2 nsew signal bidirectional
-flabel metal3 s -400 147710 240 147766 0 FreeSans 560 0 0 0 gpio_analog[12]
-port 3 nsew signal bidirectional
-flabel metal3 s -400 126199 240 126255 0 FreeSans 560 0 0 0 gpio_analog[13]
-port 4 nsew signal bidirectional
-flabel metal3 s -400 62388 240 62444 0 FreeSans 560 0 0 0 gpio_analog[14]
-port 5 nsew signal bidirectional
-flabel metal3 s -400 40777 240 40833 0 FreeSans 560 0 0 0 gpio_analog[15]
-port 6 nsew signal bidirectional
-flabel metal3 s -400 19166 240 19222 0 FreeSans 560 0 0 0 gpio_analog[16]
-port 7 nsew signal bidirectional
-flabel metal3 s -400 8455 240 8511 0 FreeSans 560 0 0 0 gpio_analog[17]
-port 8 nsew signal bidirectional
-flabel metal3 s 291760 156826 292400 156882 0 FreeSans 560 0 0 0 gpio_analog[1]
-port 9 nsew signal bidirectional
-flabel metal3 s 291760 179437 292400 179493 0 FreeSans 560 0 0 0 gpio_analog[2]
-port 10 nsew signal bidirectional
-flabel metal3 s 291760 202648 292400 202704 0 FreeSans 560 0 0 0 gpio_analog[3]
-port 11 nsew signal bidirectional
-flabel metal3 s 291760 224859 292400 224915 0 FreeSans 560 0 0 0 gpio_analog[4]
-port 12 nsew signal bidirectional
-flabel metal3 s 291760 247070 292400 247126 0 FreeSans 560 0 0 0 gpio_analog[5]
-port 13 nsew signal bidirectional
-flabel metal3 s 291760 291781 292400 291837 0 FreeSans 560 0 0 0 gpio_analog[6]
-port 14 nsew signal bidirectional
-flabel metal3 s -400 255765 240 255821 0 FreeSans 560 0 0 0 gpio_analog[7]
-port 15 nsew signal bidirectional
-flabel metal3 s -400 234154 240 234210 0 FreeSans 560 0 0 0 gpio_analog[8]
-port 16 nsew signal bidirectional
-flabel metal3 s -400 212543 240 212599 0 FreeSans 560 0 0 0 gpio_analog[9]
-port 17 nsew signal bidirectional
-flabel metal3 s 291760 135206 292400 135262 0 FreeSans 560 0 0 0 gpio_noesd[0]
-port 18 nsew signal bidirectional
-flabel metal3 s -400 190341 240 190397 0 FreeSans 560 0 0 0 gpio_noesd[10]
-port 19 nsew signal bidirectional
-flabel metal3 s -400 168730 240 168786 0 FreeSans 560 0 0 0 gpio_noesd[11]
-port 20 nsew signal bidirectional
-flabel metal3 s -400 147119 240 147175 0 FreeSans 560 0 0 0 gpio_noesd[12]
-port 21 nsew signal bidirectional
-flabel metal3 s -400 125608 240 125664 0 FreeSans 560 0 0 0 gpio_noesd[13]
-port 22 nsew signal bidirectional
-flabel metal3 s -400 61797 240 61853 0 FreeSans 560 0 0 0 gpio_noesd[14]
-port 23 nsew signal bidirectional
-flabel metal3 s -400 40186 240 40242 0 FreeSans 560 0 0 0 gpio_noesd[15]
-port 24 nsew signal bidirectional
-flabel metal3 s -400 18575 240 18631 0 FreeSans 560 0 0 0 gpio_noesd[16]
-port 25 nsew signal bidirectional
-flabel metal3 s -400 7864 240 7920 0 FreeSans 560 0 0 0 gpio_noesd[17]
-port 26 nsew signal bidirectional
-flabel metal3 s 291760 157417 292400 157473 0 FreeSans 560 0 0 0 gpio_noesd[1]
-port 27 nsew signal bidirectional
-flabel metal3 s 291760 180028 292400 180084 0 FreeSans 560 0 0 0 gpio_noesd[2]
-port 28 nsew signal bidirectional
-flabel metal3 s 291760 203239 292400 203295 0 FreeSans 560 0 0 0 gpio_noesd[3]
-port 29 nsew signal bidirectional
-flabel metal3 s 291760 225450 292400 225506 0 FreeSans 560 0 0 0 gpio_noesd[4]
-port 30 nsew signal bidirectional
-flabel metal3 s 291760 247661 292400 247717 0 FreeSans 560 0 0 0 gpio_noesd[5]
-port 31 nsew signal bidirectional
-flabel metal3 s 291760 292372 292400 292428 0 FreeSans 560 0 0 0 gpio_noesd[6]
-port 32 nsew signal bidirectional
-flabel metal3 s -400 255174 240 255230 0 FreeSans 560 0 0 0 gpio_noesd[7]
-port 33 nsew signal bidirectional
-flabel metal3 s -400 233563 240 233619 0 FreeSans 560 0 0 0 gpio_noesd[8]
-port 34 nsew signal bidirectional
-flabel metal3 s -400 211952 240 212008 0 FreeSans 560 0 0 0 gpio_noesd[9]
-port 35 nsew signal bidirectional
-flabel metal3 s 291150 338992 292400 341492 0 FreeSans 560 0 0 0 io_analog[0]
-port 36 nsew signal bidirectional
-flabel metal3 s 0 340121 850 342621 0 FreeSans 560 0 0 0 io_analog[10]
-port 37 nsew signal bidirectional
-flabel metal3 s 283297 351150 285797 352400 0 FreeSans 960 180 0 0 io_analog[1]
-port 38 nsew signal bidirectional
-flabel metal3 s 232697 351150 235197 352400 0 FreeSans 960 180 0 0 io_analog[2]
-port 39 nsew signal bidirectional
-flabel metal3 s 206697 351150 209197 352400 0 FreeSans 960 180 0 0 io_analog[3]
-port 40 nsew signal bidirectional
-flabel metal3 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 41 nsew signal bidirectional
-flabel metal3 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal3 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal3 s 60097 351150 62597 352400 0 FreeSans 960 180 0 0 io_analog[7]
-port 44 nsew signal bidirectional
-flabel metal3 s 34097 351150 36597 352400 0 FreeSans 960 180 0 0 io_analog[8]
-port 45 nsew signal bidirectional
-flabel metal3 s 8097 351150 10597 352400 0 FreeSans 960 180 0 0 io_analog[9]
-port 46 nsew signal bidirectional
-flabel metal3 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal3 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal3 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
-flabel metal3 s 163397 351150 164497 352400 0 FreeSans 960 180 0 0 io_clamp_high[0]
-port 50 nsew signal bidirectional
-flabel metal3 s 112547 351150 113647 352400 0 FreeSans 960 180 0 0 io_clamp_high[1]
-port 51 nsew signal bidirectional
-flabel metal3 s 86697 351150 87797 352400 0 FreeSans 960 180 0 0 io_clamp_high[2]
-port 52 nsew signal bidirectional
-flabel metal3 s 162147 351150 163247 352400 0 FreeSans 960 180 0 0 io_clamp_low[0]
-port 53 nsew signal bidirectional
-flabel metal3 s 111297 351150 112397 352400 0 FreeSans 960 180 0 0 io_clamp_low[1]
-port 54 nsew signal bidirectional
-flabel metal3 s 85447 351150 86547 352400 0 FreeSans 960 180 0 0 io_clamp_low[2]
-port 55 nsew signal bidirectional
-flabel metal3 s 291760 1363 292400 1419 0 FreeSans 560 0 0 0 io_in[0]
-port 56 nsew signal input
-flabel metal3 s 291760 204421 292400 204477 0 FreeSans 560 0 0 0 io_in[10]
-port 57 nsew signal input
-flabel metal3 s 291760 226632 292400 226688 0 FreeSans 560 0 0 0 io_in[11]
-port 58 nsew signal input
-flabel metal3 s 291760 248843 292400 248899 0 FreeSans 560 0 0 0 io_in[12]
-port 59 nsew signal input
-flabel metal3 s 291760 293554 292400 293610 0 FreeSans 560 0 0 0 io_in[13]
-port 60 nsew signal input
-flabel metal3 s -400 253992 240 254048 0 FreeSans 560 0 0 0 io_in[14]
-port 61 nsew signal input
-flabel metal3 s -400 232381 240 232437 0 FreeSans 560 0 0 0 io_in[15]
-port 62 nsew signal input
-flabel metal3 s -400 210770 240 210826 0 FreeSans 560 0 0 0 io_in[16]
-port 63 nsew signal input
-flabel metal3 s -400 189159 240 189215 0 FreeSans 560 0 0 0 io_in[17]
-port 64 nsew signal input
-flabel metal3 s -400 167548 240 167604 0 FreeSans 560 0 0 0 io_in[18]
-port 65 nsew signal input
-flabel metal3 s -400 145937 240 145993 0 FreeSans 560 0 0 0 io_in[19]
-port 66 nsew signal input
-flabel metal3 s 291760 3727 292400 3783 0 FreeSans 560 0 0 0 io_in[1]
-port 67 nsew signal input
-flabel metal3 s -400 124426 240 124482 0 FreeSans 560 0 0 0 io_in[20]
-port 68 nsew signal input
-flabel metal3 s -400 60615 240 60671 0 FreeSans 560 0 0 0 io_in[21]
-port 69 nsew signal input
-flabel metal3 s -400 39004 240 39060 0 FreeSans 560 0 0 0 io_in[22]
-port 70 nsew signal input
-flabel metal3 s -400 17393 240 17449 0 FreeSans 560 0 0 0 io_in[23]
-port 71 nsew signal input
-flabel metal3 s -400 6682 240 6738 0 FreeSans 560 0 0 0 io_in[24]
-port 72 nsew signal input
-flabel metal3 s -400 4318 240 4374 0 FreeSans 560 0 0 0 io_in[25]
-port 73 nsew signal input
-flabel metal3 s -400 1954 240 2010 0 FreeSans 560 0 0 0 io_in[26]
-port 74 nsew signal input
-flabel metal3 s 291760 6091 292400 6147 0 FreeSans 560 0 0 0 io_in[2]
-port 75 nsew signal input
-flabel metal3 s 291760 8455 292400 8511 0 FreeSans 560 0 0 0 io_in[3]
-port 76 nsew signal input
-flabel metal3 s 291760 10819 292400 10875 0 FreeSans 560 0 0 0 io_in[4]
-port 77 nsew signal input
-flabel metal3 s 291760 24048 292400 24104 0 FreeSans 560 0 0 0 io_in[5]
-port 78 nsew signal input
-flabel metal3 s 291760 46377 292400 46433 0 FreeSans 560 0 0 0 io_in[6]
-port 79 nsew signal input
-flabel metal3 s 291760 136388 292400 136444 0 FreeSans 560 0 0 0 io_in[7]
-port 80 nsew signal input
-flabel metal3 s 291760 158599 292400 158655 0 FreeSans 560 0 0 0 io_in[8]
-port 81 nsew signal input
-flabel metal3 s 291760 181210 292400 181266 0 FreeSans 560 0 0 0 io_in[9]
-port 82 nsew signal input
-flabel metal3 s 291760 772 292400 828 0 FreeSans 560 0 0 0 io_in_3v3[0]
-port 83 nsew signal input
-flabel metal3 s 291760 203830 292400 203886 0 FreeSans 560 0 0 0 io_in_3v3[10]
-port 84 nsew signal input
-flabel metal3 s 291760 226041 292400 226097 0 FreeSans 560 0 0 0 io_in_3v3[11]
-port 85 nsew signal input
-flabel metal3 s 291760 248252 292400 248308 0 FreeSans 560 0 0 0 io_in_3v3[12]
-port 86 nsew signal input
-flabel metal3 s 291760 292963 292400 293019 0 FreeSans 560 0 0 0 io_in_3v3[13]
-port 87 nsew signal input
-flabel metal3 s -400 254583 240 254639 0 FreeSans 560 0 0 0 io_in_3v3[14]
-port 88 nsew signal input
-flabel metal3 s -400 232972 240 233028 0 FreeSans 560 0 0 0 io_in_3v3[15]
-port 89 nsew signal input
-flabel metal3 s -400 211361 240 211417 0 FreeSans 560 0 0 0 io_in_3v3[16]
-port 90 nsew signal input
-flabel metal3 s -400 189750 240 189806 0 FreeSans 560 0 0 0 io_in_3v3[17]
-port 91 nsew signal input
-flabel metal3 s -400 168139 240 168195 0 FreeSans 560 0 0 0 io_in_3v3[18]
-port 92 nsew signal input
-flabel metal3 s -400 146528 240 146584 0 FreeSans 560 0 0 0 io_in_3v3[19]
-port 93 nsew signal input
-flabel metal3 s 291760 3136 292400 3192 0 FreeSans 560 0 0 0 io_in_3v3[1]
-port 94 nsew signal input
-flabel metal3 s -400 125017 240 125073 0 FreeSans 560 0 0 0 io_in_3v3[20]
-port 95 nsew signal input
-flabel metal3 s -400 61206 240 61262 0 FreeSans 560 0 0 0 io_in_3v3[21]
-port 96 nsew signal input
-flabel metal3 s -400 39595 240 39651 0 FreeSans 560 0 0 0 io_in_3v3[22]
-port 97 nsew signal input
-flabel metal3 s -400 17984 240 18040 0 FreeSans 560 0 0 0 io_in_3v3[23]
-port 98 nsew signal input
-flabel metal3 s -400 7273 240 7329 0 FreeSans 560 0 0 0 io_in_3v3[24]
-port 99 nsew signal input
-flabel metal3 s -400 4909 240 4965 0 FreeSans 560 0 0 0 io_in_3v3[25]
-port 100 nsew signal input
-flabel metal3 s -400 2545 240 2601 0 FreeSans 560 0 0 0 io_in_3v3[26]
-port 101 nsew signal input
-flabel metal3 s 291760 5500 292400 5556 0 FreeSans 560 0 0 0 io_in_3v3[2]
-port 102 nsew signal input
-flabel metal3 s 291760 7864 292400 7920 0 FreeSans 560 0 0 0 io_in_3v3[3]
-port 103 nsew signal input
-flabel metal3 s 291760 10228 292400 10284 0 FreeSans 560 0 0 0 io_in_3v3[4]
-port 104 nsew signal input
-flabel metal3 s 291760 23457 292400 23513 0 FreeSans 560 0 0 0 io_in_3v3[5]
-port 105 nsew signal input
-flabel metal3 s 291760 45786 292400 45842 0 FreeSans 560 0 0 0 io_in_3v3[6]
-port 106 nsew signal input
-flabel metal3 s 291760 135797 292400 135853 0 FreeSans 560 0 0 0 io_in_3v3[7]
-port 107 nsew signal input
-flabel metal3 s 291760 158008 292400 158064 0 FreeSans 560 0 0 0 io_in_3v3[8]
-port 108 nsew signal input
-flabel metal3 s 291760 180619 292400 180675 0 FreeSans 560 0 0 0 io_in_3v3[9]
-port 109 nsew signal input
-flabel metal3 s 291760 2545 292400 2601 0 FreeSans 560 0 0 0 io_oeb[0]
-port 110 nsew signal tristate
-flabel metal3 s 291760 205603 292400 205659 0 FreeSans 560 0 0 0 io_oeb[10]
-port 111 nsew signal tristate
-flabel metal3 s 291760 227814 292400 227870 0 FreeSans 560 0 0 0 io_oeb[11]
-port 112 nsew signal tristate
-flabel metal3 s 291760 250025 292400 250081 0 FreeSans 560 0 0 0 io_oeb[12]
-port 113 nsew signal tristate
-flabel metal3 s 291760 294736 292400 294792 0 FreeSans 560 0 0 0 io_oeb[13]
-port 114 nsew signal tristate
-flabel metal3 s -400 252810 240 252866 0 FreeSans 560 0 0 0 io_oeb[14]
-port 115 nsew signal tristate
-flabel metal3 s -400 231199 240 231255 0 FreeSans 560 0 0 0 io_oeb[15]
-port 116 nsew signal tristate
-flabel metal3 s -400 209588 240 209644 0 FreeSans 560 0 0 0 io_oeb[16]
-port 117 nsew signal tristate
-flabel metal3 s -400 187977 240 188033 0 FreeSans 560 0 0 0 io_oeb[17]
-port 118 nsew signal tristate
-flabel metal3 s -400 166366 240 166422 0 FreeSans 560 0 0 0 io_oeb[18]
-port 119 nsew signal tristate
-flabel metal3 s -400 144755 240 144811 0 FreeSans 560 0 0 0 io_oeb[19]
-port 120 nsew signal tristate
-flabel metal3 s 291760 4909 292400 4965 0 FreeSans 560 0 0 0 io_oeb[1]
-port 121 nsew signal tristate
-flabel metal3 s -400 123244 240 123300 0 FreeSans 560 0 0 0 io_oeb[20]
-port 122 nsew signal tristate
-flabel metal3 s -400 59433 240 59489 0 FreeSans 560 0 0 0 io_oeb[21]
-port 123 nsew signal tristate
-flabel metal3 s -400 37822 240 37878 0 FreeSans 560 0 0 0 io_oeb[22]
-port 124 nsew signal tristate
-flabel metal3 s -400 16211 240 16267 0 FreeSans 560 0 0 0 io_oeb[23]
-port 125 nsew signal tristate
-flabel metal3 s -400 5500 240 5556 0 FreeSans 560 0 0 0 io_oeb[24]
-port 126 nsew signal tristate
-flabel metal3 s -400 3136 240 3192 0 FreeSans 560 0 0 0 io_oeb[25]
-port 127 nsew signal tristate
-flabel metal3 s -400 772 240 828 0 FreeSans 560 0 0 0 io_oeb[26]
-port 128 nsew signal tristate
-flabel metal3 s 291760 7273 292400 7329 0 FreeSans 560 0 0 0 io_oeb[2]
-port 129 nsew signal tristate
-flabel metal3 s 291760 9637 292400 9693 0 FreeSans 560 0 0 0 io_oeb[3]
-port 130 nsew signal tristate
-flabel metal3 s 291760 12001 292400 12057 0 FreeSans 560 0 0 0 io_oeb[4]
-port 131 nsew signal tristate
-flabel metal3 s 291760 25230 292400 25286 0 FreeSans 560 0 0 0 io_oeb[5]
-port 132 nsew signal tristate
-flabel metal3 s 291760 47559 292400 47615 0 FreeSans 560 0 0 0 io_oeb[6]
-port 133 nsew signal tristate
-flabel metal3 s 291760 137570 292400 137626 0 FreeSans 560 0 0 0 io_oeb[7]
-port 134 nsew signal tristate
-flabel metal3 s 291760 159781 292400 159837 0 FreeSans 560 0 0 0 io_oeb[8]
-port 135 nsew signal tristate
-flabel metal3 s 291760 182392 292400 182448 0 FreeSans 560 0 0 0 io_oeb[9]
-port 136 nsew signal tristate
-flabel metal3 s 291760 1954 292400 2010 0 FreeSans 560 0 0 0 io_out[0]
-port 137 nsew signal tristate
-flabel metal3 s 291760 205012 292400 205068 0 FreeSans 560 0 0 0 io_out[10]
-port 138 nsew signal tristate
-flabel metal3 s 291760 227223 292400 227279 0 FreeSans 560 0 0 0 io_out[11]
-port 139 nsew signal tristate
-flabel metal3 s 291760 249434 292400 249490 0 FreeSans 560 0 0 0 io_out[12]
-port 140 nsew signal tristate
-flabel metal3 s 291760 294145 292400 294201 0 FreeSans 560 0 0 0 io_out[13]
-port 141 nsew signal tristate
-flabel metal3 s -400 253401 240 253457 0 FreeSans 560 0 0 0 io_out[14]
-port 142 nsew signal tristate
-flabel metal3 s -400 231790 240 231846 0 FreeSans 560 0 0 0 io_out[15]
-port 143 nsew signal tristate
-flabel metal3 s -400 210179 240 210235 0 FreeSans 560 0 0 0 io_out[16]
-port 144 nsew signal tristate
-flabel metal3 s -400 188568 240 188624 0 FreeSans 560 0 0 0 io_out[17]
-port 145 nsew signal tristate
-flabel metal3 s -400 166957 240 167013 0 FreeSans 560 0 0 0 io_out[18]
-port 146 nsew signal tristate
-flabel metal3 s -400 145346 240 145402 0 FreeSans 560 0 0 0 io_out[19]
-port 147 nsew signal tristate
-flabel metal3 s 291760 4318 292400 4374 0 FreeSans 560 0 0 0 io_out[1]
-port 148 nsew signal tristate
-flabel metal3 s -400 123835 240 123891 0 FreeSans 560 0 0 0 io_out[20]
-port 149 nsew signal tristate
-flabel metal3 s -400 60024 240 60080 0 FreeSans 560 0 0 0 io_out[21]
-port 150 nsew signal tristate
-flabel metal3 s -400 38413 240 38469 0 FreeSans 560 0 0 0 io_out[22]
-port 151 nsew signal tristate
-flabel metal3 s -400 16802 240 16858 0 FreeSans 560 0 0 0 io_out[23]
-port 152 nsew signal tristate
-flabel metal3 s -400 6091 240 6147 0 FreeSans 560 0 0 0 io_out[24]
-port 153 nsew signal tristate
-flabel metal3 s -400 3727 240 3783 0 FreeSans 560 0 0 0 io_out[25]
-port 154 nsew signal tristate
-flabel metal3 s -400 1363 240 1419 0 FreeSans 560 0 0 0 io_out[26]
-port 155 nsew signal tristate
-flabel metal3 s 291760 6682 292400 6738 0 FreeSans 560 0 0 0 io_out[2]
-port 156 nsew signal tristate
-flabel metal3 s 291760 9046 292400 9102 0 FreeSans 560 0 0 0 io_out[3]
-port 157 nsew signal tristate
-flabel metal3 s 291760 11410 292400 11466 0 FreeSans 560 0 0 0 io_out[4]
-port 158 nsew signal tristate
-flabel metal3 s 291760 24639 292400 24695 0 FreeSans 560 0 0 0 io_out[5]
-port 159 nsew signal tristate
-flabel metal3 s 291760 46968 292400 47024 0 FreeSans 560 0 0 0 io_out[6]
-port 160 nsew signal tristate
-flabel metal3 s 291760 136979 292400 137035 0 FreeSans 560 0 0 0 io_out[7]
-port 161 nsew signal tristate
-flabel metal3 s 291760 159190 292400 159246 0 FreeSans 560 0 0 0 io_out[8]
-port 162 nsew signal tristate
-flabel metal3 s 291760 181801 292400 181857 0 FreeSans 560 0 0 0 io_out[9]
-port 163 nsew signal tristate
-flabel metal2 s 62908 -400 62964 240 0 FreeSans 560 90 0 0 la_data_in[0]
-port 164 nsew signal input
-flabel metal2 s 240208 -400 240264 240 0 FreeSans 560 90 0 0 la_data_in[100]
-port 165 nsew signal input
-flabel metal2 s 241981 -400 242037 240 0 FreeSans 560 90 0 0 la_data_in[101]
-port 166 nsew signal input
-flabel metal2 s 243754 -400 243810 240 0 FreeSans 560 90 0 0 la_data_in[102]
-port 167 nsew signal input
-flabel metal2 s 245527 -400 245583 240 0 FreeSans 560 90 0 0 la_data_in[103]
-port 168 nsew signal input
-flabel metal2 s 247300 -400 247356 240 0 FreeSans 560 90 0 0 la_data_in[104]
-port 169 nsew signal input
-flabel metal2 s 249073 -400 249129 240 0 FreeSans 560 90 0 0 la_data_in[105]
-port 170 nsew signal input
-flabel metal2 s 250846 -400 250902 240 0 FreeSans 560 90 0 0 la_data_in[106]
-port 171 nsew signal input
-flabel metal2 s 252619 -400 252675 240 0 FreeSans 560 90 0 0 la_data_in[107]
-port 172 nsew signal input
-flabel metal2 s 254392 -400 254448 240 0 FreeSans 560 90 0 0 la_data_in[108]
-port 173 nsew signal input
-flabel metal2 s 256165 -400 256221 240 0 FreeSans 560 90 0 0 la_data_in[109]
-port 174 nsew signal input
-flabel metal2 s 80638 -400 80694 240 0 FreeSans 560 90 0 0 la_data_in[10]
-port 175 nsew signal input
-flabel metal2 s 257938 -400 257994 240 0 FreeSans 560 90 0 0 la_data_in[110]
-port 176 nsew signal input
-flabel metal2 s 259711 -400 259767 240 0 FreeSans 560 90 0 0 la_data_in[111]
-port 177 nsew signal input
-flabel metal2 s 261484 -400 261540 240 0 FreeSans 560 90 0 0 la_data_in[112]
-port 178 nsew signal input
-flabel metal2 s 263257 -400 263313 240 0 FreeSans 560 90 0 0 la_data_in[113]
-port 179 nsew signal input
-flabel metal2 s 265030 -400 265086 240 0 FreeSans 560 90 0 0 la_data_in[114]
-port 180 nsew signal input
-flabel metal2 s 266803 -400 266859 240 0 FreeSans 560 90 0 0 la_data_in[115]
-port 181 nsew signal input
-flabel metal2 s 268576 -400 268632 240 0 FreeSans 560 90 0 0 la_data_in[116]
-port 182 nsew signal input
-flabel metal2 s 270349 -400 270405 240 0 FreeSans 560 90 0 0 la_data_in[117]
-port 183 nsew signal input
-flabel metal2 s 272122 -400 272178 240 0 FreeSans 560 90 0 0 la_data_in[118]
-port 184 nsew signal input
-flabel metal2 s 273895 -400 273951 240 0 FreeSans 560 90 0 0 la_data_in[119]
-port 185 nsew signal input
-flabel metal2 s 82411 -400 82467 240 0 FreeSans 560 90 0 0 la_data_in[11]
-port 186 nsew signal input
-flabel metal2 s 275668 -400 275724 240 0 FreeSans 560 90 0 0 la_data_in[120]
-port 187 nsew signal input
-flabel metal2 s 277441 -400 277497 240 0 FreeSans 560 90 0 0 la_data_in[121]
-port 188 nsew signal input
-flabel metal2 s 279214 -400 279270 240 0 FreeSans 560 90 0 0 la_data_in[122]
-port 189 nsew signal input
-flabel metal2 s 280987 -400 281043 240 0 FreeSans 560 90 0 0 la_data_in[123]
-port 190 nsew signal input
-flabel metal2 s 282760 -400 282816 240 0 FreeSans 560 90 0 0 la_data_in[124]
-port 191 nsew signal input
-flabel metal2 s 284533 -400 284589 240 0 FreeSans 560 90 0 0 la_data_in[125]
-port 192 nsew signal input
-flabel metal2 s 286306 -400 286362 240 0 FreeSans 560 90 0 0 la_data_in[126]
-port 193 nsew signal input
-flabel metal2 s 288079 -400 288135 240 0 FreeSans 560 90 0 0 la_data_in[127]
-port 194 nsew signal input
-flabel metal2 s 84184 -400 84240 240 0 FreeSans 560 90 0 0 la_data_in[12]
-port 195 nsew signal input
-flabel metal2 s 85957 -400 86013 240 0 FreeSans 560 90 0 0 la_data_in[13]
-port 196 nsew signal input
-flabel metal2 s 87730 -400 87786 240 0 FreeSans 560 90 0 0 la_data_in[14]
-port 197 nsew signal input
-flabel metal2 s 89503 -400 89559 240 0 FreeSans 560 90 0 0 la_data_in[15]
-port 198 nsew signal input
-flabel metal2 s 91276 -400 91332 240 0 FreeSans 560 90 0 0 la_data_in[16]
-port 199 nsew signal input
-flabel metal2 s 93049 -400 93105 240 0 FreeSans 560 90 0 0 la_data_in[17]
-port 200 nsew signal input
-flabel metal2 s 94822 -400 94878 240 0 FreeSans 560 90 0 0 la_data_in[18]
-port 201 nsew signal input
-flabel metal2 s 96595 -400 96651 240 0 FreeSans 560 90 0 0 la_data_in[19]
-port 202 nsew signal input
-flabel metal2 s 64681 -400 64737 240 0 FreeSans 560 90 0 0 la_data_in[1]
-port 203 nsew signal input
-flabel metal2 s 98368 -400 98424 240 0 FreeSans 560 90 0 0 la_data_in[20]
-port 204 nsew signal input
-flabel metal2 s 100141 -400 100197 240 0 FreeSans 560 90 0 0 la_data_in[21]
-port 205 nsew signal input
-flabel metal2 s 101914 -400 101970 240 0 FreeSans 560 90 0 0 la_data_in[22]
-port 206 nsew signal input
-flabel metal2 s 103687 -400 103743 240 0 FreeSans 560 90 0 0 la_data_in[23]
-port 207 nsew signal input
-flabel metal2 s 105460 -400 105516 240 0 FreeSans 560 90 0 0 la_data_in[24]
-port 208 nsew signal input
-flabel metal2 s 107233 -400 107289 240 0 FreeSans 560 90 0 0 la_data_in[25]
-port 209 nsew signal input
-flabel metal2 s 109006 -400 109062 240 0 FreeSans 560 90 0 0 la_data_in[26]
-port 210 nsew signal input
-flabel metal2 s 110779 -400 110835 240 0 FreeSans 560 90 0 0 la_data_in[27]
-port 211 nsew signal input
-flabel metal2 s 112552 -400 112608 240 0 FreeSans 560 90 0 0 la_data_in[28]
-port 212 nsew signal input
-flabel metal2 s 114325 -400 114381 240 0 FreeSans 560 90 0 0 la_data_in[29]
-port 213 nsew signal input
-flabel metal2 s 66454 -400 66510 240 0 FreeSans 560 90 0 0 la_data_in[2]
-port 214 nsew signal input
-flabel metal2 s 116098 -400 116154 240 0 FreeSans 560 90 0 0 la_data_in[30]
-port 215 nsew signal input
-flabel metal2 s 117871 -400 117927 240 0 FreeSans 560 90 0 0 la_data_in[31]
-port 216 nsew signal input
-flabel metal2 s 119644 -400 119700 240 0 FreeSans 560 90 0 0 la_data_in[32]
-port 217 nsew signal input
-flabel metal2 s 121417 -400 121473 240 0 FreeSans 560 90 0 0 la_data_in[33]
-port 218 nsew signal input
-flabel metal2 s 123190 -400 123246 240 0 FreeSans 560 90 0 0 la_data_in[34]
-port 219 nsew signal input
-flabel metal2 s 124963 -400 125019 240 0 FreeSans 560 90 0 0 la_data_in[35]
-port 220 nsew signal input
-flabel metal2 s 126736 -400 126792 240 0 FreeSans 560 90 0 0 la_data_in[36]
-port 221 nsew signal input
-flabel metal2 s 128509 -400 128565 240 0 FreeSans 560 90 0 0 la_data_in[37]
-port 222 nsew signal input
-flabel metal2 s 130282 -400 130338 240 0 FreeSans 560 90 0 0 la_data_in[38]
-port 223 nsew signal input
-flabel metal2 s 132055 -400 132111 240 0 FreeSans 560 90 0 0 la_data_in[39]
-port 224 nsew signal input
-flabel metal2 s 68227 -400 68283 240 0 FreeSans 560 90 0 0 la_data_in[3]
-port 225 nsew signal input
-flabel metal2 s 133828 -400 133884 240 0 FreeSans 560 90 0 0 la_data_in[40]
-port 226 nsew signal input
-flabel metal2 s 135601 -400 135657 240 0 FreeSans 560 90 0 0 la_data_in[41]
-port 227 nsew signal input
-flabel metal2 s 137374 -400 137430 240 0 FreeSans 560 90 0 0 la_data_in[42]
-port 228 nsew signal input
-flabel metal2 s 139147 -400 139203 240 0 FreeSans 560 90 0 0 la_data_in[43]
-port 229 nsew signal input
-flabel metal2 s 140920 -400 140976 240 0 FreeSans 560 90 0 0 la_data_in[44]
-port 230 nsew signal input
-flabel metal2 s 142693 -400 142749 240 0 FreeSans 560 90 0 0 la_data_in[45]
-port 231 nsew signal input
-flabel metal2 s 144466 -400 144522 240 0 FreeSans 560 90 0 0 la_data_in[46]
-port 232 nsew signal input
-flabel metal2 s 146239 -400 146295 240 0 FreeSans 560 90 0 0 la_data_in[47]
-port 233 nsew signal input
-flabel metal2 s 148012 -400 148068 240 0 FreeSans 560 90 0 0 la_data_in[48]
-port 234 nsew signal input
-flabel metal2 s 149785 -400 149841 240 0 FreeSans 560 90 0 0 la_data_in[49]
-port 235 nsew signal input
-flabel metal2 s 70000 -400 70056 240 0 FreeSans 560 90 0 0 la_data_in[4]
-port 236 nsew signal input
-flabel metal2 s 151558 -400 151614 240 0 FreeSans 560 90 0 0 la_data_in[50]
-port 237 nsew signal input
-flabel metal2 s 153331 -400 153387 240 0 FreeSans 560 90 0 0 la_data_in[51]
-port 238 nsew signal input
-flabel metal2 s 155104 -400 155160 240 0 FreeSans 560 90 0 0 la_data_in[52]
-port 239 nsew signal input
-flabel metal2 s 156877 -400 156933 240 0 FreeSans 560 90 0 0 la_data_in[53]
-port 240 nsew signal input
-flabel metal2 s 158650 -400 158706 240 0 FreeSans 560 90 0 0 la_data_in[54]
-port 241 nsew signal input
-flabel metal2 s 160423 -400 160479 240 0 FreeSans 560 90 0 0 la_data_in[55]
-port 242 nsew signal input
-flabel metal2 s 162196 -400 162252 240 0 FreeSans 560 90 0 0 la_data_in[56]
-port 243 nsew signal input
-flabel metal2 s 163969 -400 164025 240 0 FreeSans 560 90 0 0 la_data_in[57]
-port 244 nsew signal input
-flabel metal2 s 165742 -400 165798 240 0 FreeSans 560 90 0 0 la_data_in[58]
-port 245 nsew signal input
-flabel metal2 s 167515 -400 167571 240 0 FreeSans 560 90 0 0 la_data_in[59]
-port 246 nsew signal input
-flabel metal2 s 71773 -400 71829 240 0 FreeSans 560 90 0 0 la_data_in[5]
-port 247 nsew signal input
-flabel metal2 s 169288 -400 169344 240 0 FreeSans 560 90 0 0 la_data_in[60]
-port 248 nsew signal input
-flabel metal2 s 171061 -400 171117 240 0 FreeSans 560 90 0 0 la_data_in[61]
-port 249 nsew signal input
-flabel metal2 s 172834 -400 172890 240 0 FreeSans 560 90 0 0 la_data_in[62]
-port 250 nsew signal input
-flabel metal2 s 174607 -400 174663 240 0 FreeSans 560 90 0 0 la_data_in[63]
-port 251 nsew signal input
-flabel metal2 s 176380 -400 176436 240 0 FreeSans 560 90 0 0 la_data_in[64]
-port 252 nsew signal input
-flabel metal2 s 178153 -400 178209 240 0 FreeSans 560 90 0 0 la_data_in[65]
-port 253 nsew signal input
-flabel metal2 s 179926 -400 179982 240 0 FreeSans 560 90 0 0 la_data_in[66]
-port 254 nsew signal input
-flabel metal2 s 181699 -400 181755 240 0 FreeSans 560 90 0 0 la_data_in[67]
-port 255 nsew signal input
-flabel metal2 s 183472 -400 183528 240 0 FreeSans 560 90 0 0 la_data_in[68]
-port 256 nsew signal input
-flabel metal2 s 185245 -400 185301 240 0 FreeSans 560 90 0 0 la_data_in[69]
-port 257 nsew signal input
-flabel metal2 s 73546 -400 73602 240 0 FreeSans 560 90 0 0 la_data_in[6]
-port 258 nsew signal input
-flabel metal2 s 187018 -400 187074 240 0 FreeSans 560 90 0 0 la_data_in[70]
-port 259 nsew signal input
-flabel metal2 s 188791 -400 188847 240 0 FreeSans 560 90 0 0 la_data_in[71]
-port 260 nsew signal input
-flabel metal2 s 190564 -400 190620 240 0 FreeSans 560 90 0 0 la_data_in[72]
-port 261 nsew signal input
-flabel metal2 s 192337 -400 192393 240 0 FreeSans 560 90 0 0 la_data_in[73]
-port 262 nsew signal input
-flabel metal2 s 194110 -400 194166 240 0 FreeSans 560 90 0 0 la_data_in[74]
-port 263 nsew signal input
-flabel metal2 s 195883 -400 195939 240 0 FreeSans 560 90 0 0 la_data_in[75]
-port 264 nsew signal input
-flabel metal2 s 197656 -400 197712 240 0 FreeSans 560 90 0 0 la_data_in[76]
-port 265 nsew signal input
-flabel metal2 s 199429 -400 199485 240 0 FreeSans 560 90 0 0 la_data_in[77]
-port 266 nsew signal input
-flabel metal2 s 201202 -400 201258 240 0 FreeSans 560 90 0 0 la_data_in[78]
-port 267 nsew signal input
-flabel metal2 s 202975 -400 203031 240 0 FreeSans 560 90 0 0 la_data_in[79]
-port 268 nsew signal input
-flabel metal2 s 75319 -400 75375 240 0 FreeSans 560 90 0 0 la_data_in[7]
-port 269 nsew signal input
-flabel metal2 s 204748 -400 204804 240 0 FreeSans 560 90 0 0 la_data_in[80]
-port 270 nsew signal input
-flabel metal2 s 206521 -400 206577 240 0 FreeSans 560 90 0 0 la_data_in[81]
-port 271 nsew signal input
-flabel metal2 s 208294 -400 208350 240 0 FreeSans 560 90 0 0 la_data_in[82]
-port 272 nsew signal input
-flabel metal2 s 210067 -400 210123 240 0 FreeSans 560 90 0 0 la_data_in[83]
-port 273 nsew signal input
-flabel metal2 s 211840 -400 211896 240 0 FreeSans 560 90 0 0 la_data_in[84]
-port 274 nsew signal input
-flabel metal2 s 213613 -400 213669 240 0 FreeSans 560 90 0 0 la_data_in[85]
-port 275 nsew signal input
-flabel metal2 s 215386 -400 215442 240 0 FreeSans 560 90 0 0 la_data_in[86]
-port 276 nsew signal input
-flabel metal2 s 217159 -400 217215 240 0 FreeSans 560 90 0 0 la_data_in[87]
-port 277 nsew signal input
-flabel metal2 s 218932 -400 218988 240 0 FreeSans 560 90 0 0 la_data_in[88]
-port 278 nsew signal input
-flabel metal2 s 220705 -400 220761 240 0 FreeSans 560 90 0 0 la_data_in[89]
-port 279 nsew signal input
-flabel metal2 s 77092 -400 77148 240 0 FreeSans 560 90 0 0 la_data_in[8]
-port 280 nsew signal input
-flabel metal2 s 222478 -400 222534 240 0 FreeSans 560 90 0 0 la_data_in[90]
-port 281 nsew signal input
-flabel metal2 s 224251 -400 224307 240 0 FreeSans 560 90 0 0 la_data_in[91]
-port 282 nsew signal input
-flabel metal2 s 226024 -400 226080 240 0 FreeSans 560 90 0 0 la_data_in[92]
-port 283 nsew signal input
-flabel metal2 s 227797 -400 227853 240 0 FreeSans 560 90 0 0 la_data_in[93]
-port 284 nsew signal input
-flabel metal2 s 229570 -400 229626 240 0 FreeSans 560 90 0 0 la_data_in[94]
-port 285 nsew signal input
-flabel metal2 s 231343 -400 231399 240 0 FreeSans 560 90 0 0 la_data_in[95]
-port 286 nsew signal input
-flabel metal2 s 233116 -400 233172 240 0 FreeSans 560 90 0 0 la_data_in[96]
-port 287 nsew signal input
-flabel metal2 s 234889 -400 234945 240 0 FreeSans 560 90 0 0 la_data_in[97]
-port 288 nsew signal input
-flabel metal2 s 236662 -400 236718 240 0 FreeSans 560 90 0 0 la_data_in[98]
-port 289 nsew signal input
-flabel metal2 s 238435 -400 238491 240 0 FreeSans 560 90 0 0 la_data_in[99]
-port 290 nsew signal input
-flabel metal2 s 78865 -400 78921 240 0 FreeSans 560 90 0 0 la_data_in[9]
-port 291 nsew signal input
-flabel metal2 s 63499 -400 63555 240 0 FreeSans 560 90 0 0 la_data_out[0]
-port 292 nsew signal tristate
-flabel metal2 s 240799 -400 240855 240 0 FreeSans 560 90 0 0 la_data_out[100]
-port 293 nsew signal tristate
-flabel metal2 s 242572 -400 242628 240 0 FreeSans 560 90 0 0 la_data_out[101]
-port 294 nsew signal tristate
-flabel metal2 s 244345 -400 244401 240 0 FreeSans 560 90 0 0 la_data_out[102]
-port 295 nsew signal tristate
-flabel metal2 s 246118 -400 246174 240 0 FreeSans 560 90 0 0 la_data_out[103]
-port 296 nsew signal tristate
-flabel metal2 s 247891 -400 247947 240 0 FreeSans 560 90 0 0 la_data_out[104]
-port 297 nsew signal tristate
-flabel metal2 s 249664 -400 249720 240 0 FreeSans 560 90 0 0 la_data_out[105]
-port 298 nsew signal tristate
-flabel metal2 s 251437 -400 251493 240 0 FreeSans 560 90 0 0 la_data_out[106]
-port 299 nsew signal tristate
-flabel metal2 s 253210 -400 253266 240 0 FreeSans 560 90 0 0 la_data_out[107]
-port 300 nsew signal tristate
-flabel metal2 s 254983 -400 255039 240 0 FreeSans 560 90 0 0 la_data_out[108]
-port 301 nsew signal tristate
-flabel metal2 s 256756 -400 256812 240 0 FreeSans 560 90 0 0 la_data_out[109]
-port 302 nsew signal tristate
-flabel metal2 s 81229 -400 81285 240 0 FreeSans 560 90 0 0 la_data_out[10]
-port 303 nsew signal tristate
-flabel metal2 s 258529 -400 258585 240 0 FreeSans 560 90 0 0 la_data_out[110]
-port 304 nsew signal tristate
-flabel metal2 s 260302 -400 260358 240 0 FreeSans 560 90 0 0 la_data_out[111]
-port 305 nsew signal tristate
-flabel metal2 s 262075 -400 262131 240 0 FreeSans 560 90 0 0 la_data_out[112]
-port 306 nsew signal tristate
-flabel metal2 s 263848 -400 263904 240 0 FreeSans 560 90 0 0 la_data_out[113]
-port 307 nsew signal tristate
-flabel metal2 s 265621 -400 265677 240 0 FreeSans 560 90 0 0 la_data_out[114]
-port 308 nsew signal tristate
-flabel metal2 s 267394 -400 267450 240 0 FreeSans 560 90 0 0 la_data_out[115]
-port 309 nsew signal tristate
-flabel metal2 s 269167 -400 269223 240 0 FreeSans 560 90 0 0 la_data_out[116]
-port 310 nsew signal tristate
-flabel metal2 s 270940 -400 270996 240 0 FreeSans 560 90 0 0 la_data_out[117]
-port 311 nsew signal tristate
-flabel metal2 s 272713 -400 272769 240 0 FreeSans 560 90 0 0 la_data_out[118]
-port 312 nsew signal tristate
-flabel metal2 s 274486 -400 274542 240 0 FreeSans 560 90 0 0 la_data_out[119]
-port 313 nsew signal tristate
-flabel metal2 s 83002 -400 83058 240 0 FreeSans 560 90 0 0 la_data_out[11]
-port 314 nsew signal tristate
-flabel metal2 s 276259 -400 276315 240 0 FreeSans 560 90 0 0 la_data_out[120]
-port 315 nsew signal tristate
-flabel metal2 s 278032 -400 278088 240 0 FreeSans 560 90 0 0 la_data_out[121]
-port 316 nsew signal tristate
-flabel metal2 s 279805 -400 279861 240 0 FreeSans 560 90 0 0 la_data_out[122]
-port 317 nsew signal tristate
-flabel metal2 s 281578 -400 281634 240 0 FreeSans 560 90 0 0 la_data_out[123]
-port 318 nsew signal tristate
-flabel metal2 s 283351 -400 283407 240 0 FreeSans 560 90 0 0 la_data_out[124]
-port 319 nsew signal tristate
-flabel metal2 s 285124 -400 285180 240 0 FreeSans 560 90 0 0 la_data_out[125]
-port 320 nsew signal tristate
-flabel metal2 s 286897 -400 286953 240 0 FreeSans 560 90 0 0 la_data_out[126]
-port 321 nsew signal tristate
-flabel metal2 s 288670 -400 288726 240 0 FreeSans 560 90 0 0 la_data_out[127]
-port 322 nsew signal tristate
-flabel metal2 s 84775 -400 84831 240 0 FreeSans 560 90 0 0 la_data_out[12]
-port 323 nsew signal tristate
-flabel metal2 s 86548 -400 86604 240 0 FreeSans 560 90 0 0 la_data_out[13]
-port 324 nsew signal tristate
-flabel metal2 s 88321 -400 88377 240 0 FreeSans 560 90 0 0 la_data_out[14]
-port 325 nsew signal tristate
-flabel metal2 s 90094 -400 90150 240 0 FreeSans 560 90 0 0 la_data_out[15]
-port 326 nsew signal tristate
-flabel metal2 s 91867 -400 91923 240 0 FreeSans 560 90 0 0 la_data_out[16]
-port 327 nsew signal tristate
-flabel metal2 s 93640 -400 93696 240 0 FreeSans 560 90 0 0 la_data_out[17]
-port 328 nsew signal tristate
-flabel metal2 s 95413 -400 95469 240 0 FreeSans 560 90 0 0 la_data_out[18]
-port 329 nsew signal tristate
-flabel metal2 s 97186 -400 97242 240 0 FreeSans 560 90 0 0 la_data_out[19]
-port 330 nsew signal tristate
-flabel metal2 s 65272 -400 65328 240 0 FreeSans 560 90 0 0 la_data_out[1]
-port 331 nsew signal tristate
-flabel metal2 s 98959 -400 99015 240 0 FreeSans 560 90 0 0 la_data_out[20]
-port 332 nsew signal tristate
-flabel metal2 s 100732 -400 100788 240 0 FreeSans 560 90 0 0 la_data_out[21]
-port 333 nsew signal tristate
-flabel metal2 s 102505 -400 102561 240 0 FreeSans 560 90 0 0 la_data_out[22]
-port 334 nsew signal tristate
-flabel metal2 s 104278 -400 104334 240 0 FreeSans 560 90 0 0 la_data_out[23]
-port 335 nsew signal tristate
-flabel metal2 s 106051 -400 106107 240 0 FreeSans 560 90 0 0 la_data_out[24]
-port 336 nsew signal tristate
-flabel metal2 s 107824 -400 107880 240 0 FreeSans 560 90 0 0 la_data_out[25]
-port 337 nsew signal tristate
-flabel metal2 s 109597 -400 109653 240 0 FreeSans 560 90 0 0 la_data_out[26]
-port 338 nsew signal tristate
-flabel metal2 s 111370 -400 111426 240 0 FreeSans 560 90 0 0 la_data_out[27]
-port 339 nsew signal tristate
-flabel metal2 s 113143 -400 113199 240 0 FreeSans 560 90 0 0 la_data_out[28]
-port 340 nsew signal tristate
-flabel metal2 s 114916 -400 114972 240 0 FreeSans 560 90 0 0 la_data_out[29]
-port 341 nsew signal tristate
-flabel metal2 s 67045 -400 67101 240 0 FreeSans 560 90 0 0 la_data_out[2]
-port 342 nsew signal tristate
-flabel metal2 s 116689 -400 116745 240 0 FreeSans 560 90 0 0 la_data_out[30]
-port 343 nsew signal tristate
-flabel metal2 s 118462 -400 118518 240 0 FreeSans 560 90 0 0 la_data_out[31]
-port 344 nsew signal tristate
-flabel metal2 s 120235 -400 120291 240 0 FreeSans 560 90 0 0 la_data_out[32]
-port 345 nsew signal tristate
-flabel metal2 s 122008 -400 122064 240 0 FreeSans 560 90 0 0 la_data_out[33]
-port 346 nsew signal tristate
-flabel metal2 s 123781 -400 123837 240 0 FreeSans 560 90 0 0 la_data_out[34]
-port 347 nsew signal tristate
-flabel metal2 s 125554 -400 125610 240 0 FreeSans 560 90 0 0 la_data_out[35]
-port 348 nsew signal tristate
-flabel metal2 s 127327 -400 127383 240 0 FreeSans 560 90 0 0 la_data_out[36]
-port 349 nsew signal tristate
-flabel metal2 s 129100 -400 129156 240 0 FreeSans 560 90 0 0 la_data_out[37]
-port 350 nsew signal tristate
-flabel metal2 s 130873 -400 130929 240 0 FreeSans 560 90 0 0 la_data_out[38]
-port 351 nsew signal tristate
-flabel metal2 s 132646 -400 132702 240 0 FreeSans 560 90 0 0 la_data_out[39]
-port 352 nsew signal tristate
-flabel metal2 s 68818 -400 68874 240 0 FreeSans 560 90 0 0 la_data_out[3]
-port 353 nsew signal tristate
-flabel metal2 s 134419 -400 134475 240 0 FreeSans 560 90 0 0 la_data_out[40]
-port 354 nsew signal tristate
-flabel metal2 s 136192 -400 136248 240 0 FreeSans 560 90 0 0 la_data_out[41]
-port 355 nsew signal tristate
-flabel metal2 s 137965 -400 138021 240 0 FreeSans 560 90 0 0 la_data_out[42]
-port 356 nsew signal tristate
-flabel metal2 s 139738 -400 139794 240 0 FreeSans 560 90 0 0 la_data_out[43]
-port 357 nsew signal tristate
-flabel metal2 s 141511 -400 141567 240 0 FreeSans 560 90 0 0 la_data_out[44]
-port 358 nsew signal tristate
-flabel metal2 s 143284 -400 143340 240 0 FreeSans 560 90 0 0 la_data_out[45]
-port 359 nsew signal tristate
-flabel metal2 s 145057 -400 145113 240 0 FreeSans 560 90 0 0 la_data_out[46]
-port 360 nsew signal tristate
-flabel metal2 s 146830 -400 146886 240 0 FreeSans 560 90 0 0 la_data_out[47]
-port 361 nsew signal tristate
-flabel metal2 s 148603 -400 148659 240 0 FreeSans 560 90 0 0 la_data_out[48]
-port 362 nsew signal tristate
-flabel metal2 s 150376 -400 150432 240 0 FreeSans 560 90 0 0 la_data_out[49]
-port 363 nsew signal tristate
-flabel metal2 s 70591 -400 70647 240 0 FreeSans 560 90 0 0 la_data_out[4]
-port 364 nsew signal tristate
-flabel metal2 s 152149 -400 152205 240 0 FreeSans 560 90 0 0 la_data_out[50]
-port 365 nsew signal tristate
-flabel metal2 s 153922 -400 153978 240 0 FreeSans 560 90 0 0 la_data_out[51]
-port 366 nsew signal tristate
-flabel metal2 s 155695 -400 155751 240 0 FreeSans 560 90 0 0 la_data_out[52]
-port 367 nsew signal tristate
-flabel metal2 s 157468 -400 157524 240 0 FreeSans 560 90 0 0 la_data_out[53]
-port 368 nsew signal tristate
-flabel metal2 s 159241 -400 159297 240 0 FreeSans 560 90 0 0 la_data_out[54]
-port 369 nsew signal tristate
-flabel metal2 s 161014 -400 161070 240 0 FreeSans 560 90 0 0 la_data_out[55]
-port 370 nsew signal tristate
-flabel metal2 s 162787 -400 162843 240 0 FreeSans 560 90 0 0 la_data_out[56]
-port 371 nsew signal tristate
-flabel metal2 s 164560 -400 164616 240 0 FreeSans 560 90 0 0 la_data_out[57]
-port 372 nsew signal tristate
-flabel metal2 s 166333 -400 166389 240 0 FreeSans 560 90 0 0 la_data_out[58]
-port 373 nsew signal tristate
-flabel metal2 s 168106 -400 168162 240 0 FreeSans 560 90 0 0 la_data_out[59]
-port 374 nsew signal tristate
-flabel metal2 s 72364 -400 72420 240 0 FreeSans 560 90 0 0 la_data_out[5]
-port 375 nsew signal tristate
-flabel metal2 s 169879 -400 169935 240 0 FreeSans 560 90 0 0 la_data_out[60]
-port 376 nsew signal tristate
-flabel metal2 s 171652 -400 171708 240 0 FreeSans 560 90 0 0 la_data_out[61]
-port 377 nsew signal tristate
-flabel metal2 s 173425 -400 173481 240 0 FreeSans 560 90 0 0 la_data_out[62]
-port 378 nsew signal tristate
-flabel metal2 s 175198 -400 175254 240 0 FreeSans 560 90 0 0 la_data_out[63]
-port 379 nsew signal tristate
-flabel metal2 s 176971 -400 177027 240 0 FreeSans 560 90 0 0 la_data_out[64]
-port 380 nsew signal tristate
-flabel metal2 s 178744 -400 178800 240 0 FreeSans 560 90 0 0 la_data_out[65]
-port 381 nsew signal tristate
-flabel metal2 s 180517 -400 180573 240 0 FreeSans 560 90 0 0 la_data_out[66]
-port 382 nsew signal tristate
-flabel metal2 s 182290 -400 182346 240 0 FreeSans 560 90 0 0 la_data_out[67]
-port 383 nsew signal tristate
-flabel metal2 s 184063 -400 184119 240 0 FreeSans 560 90 0 0 la_data_out[68]
-port 384 nsew signal tristate
-flabel metal2 s 185836 -400 185892 240 0 FreeSans 560 90 0 0 la_data_out[69]
-port 385 nsew signal tristate
-flabel metal2 s 74137 -400 74193 240 0 FreeSans 560 90 0 0 la_data_out[6]
-port 386 nsew signal tristate
-flabel metal2 s 187609 -400 187665 240 0 FreeSans 560 90 0 0 la_data_out[70]
-port 387 nsew signal tristate
-flabel metal2 s 189382 -400 189438 240 0 FreeSans 560 90 0 0 la_data_out[71]
-port 388 nsew signal tristate
-flabel metal2 s 191155 -400 191211 240 0 FreeSans 560 90 0 0 la_data_out[72]
-port 389 nsew signal tristate
-flabel metal2 s 192928 -400 192984 240 0 FreeSans 560 90 0 0 la_data_out[73]
-port 390 nsew signal tristate
-flabel metal2 s 194701 -400 194757 240 0 FreeSans 560 90 0 0 la_data_out[74]
-port 391 nsew signal tristate
-flabel metal2 s 196474 -400 196530 240 0 FreeSans 560 90 0 0 la_data_out[75]
-port 392 nsew signal tristate
-flabel metal2 s 198247 -400 198303 240 0 FreeSans 560 90 0 0 la_data_out[76]
-port 393 nsew signal tristate
-flabel metal2 s 200020 -400 200076 240 0 FreeSans 560 90 0 0 la_data_out[77]
-port 394 nsew signal tristate
-flabel metal2 s 201793 -400 201849 240 0 FreeSans 560 90 0 0 la_data_out[78]
-port 395 nsew signal tristate
-flabel metal2 s 203566 -400 203622 240 0 FreeSans 560 90 0 0 la_data_out[79]
-port 396 nsew signal tristate
-flabel metal2 s 75910 -400 75966 240 0 FreeSans 560 90 0 0 la_data_out[7]
-port 397 nsew signal tristate
-flabel metal2 s 205339 -400 205395 240 0 FreeSans 560 90 0 0 la_data_out[80]
-port 398 nsew signal tristate
-flabel metal2 s 207112 -400 207168 240 0 FreeSans 560 90 0 0 la_data_out[81]
-port 399 nsew signal tristate
-flabel metal2 s 208885 -400 208941 240 0 FreeSans 560 90 0 0 la_data_out[82]
-port 400 nsew signal tristate
-flabel metal2 s 210658 -400 210714 240 0 FreeSans 560 90 0 0 la_data_out[83]
-port 401 nsew signal tristate
-flabel metal2 s 212431 -400 212487 240 0 FreeSans 560 90 0 0 la_data_out[84]
-port 402 nsew signal tristate
-flabel metal2 s 214204 -400 214260 240 0 FreeSans 560 90 0 0 la_data_out[85]
-port 403 nsew signal tristate
-flabel metal2 s 215977 -400 216033 240 0 FreeSans 560 90 0 0 la_data_out[86]
-port 404 nsew signal tristate
-flabel metal2 s 217750 -400 217806 240 0 FreeSans 560 90 0 0 la_data_out[87]
-port 405 nsew signal tristate
-flabel metal2 s 219523 -400 219579 240 0 FreeSans 560 90 0 0 la_data_out[88]
-port 406 nsew signal tristate
-flabel metal2 s 221296 -400 221352 240 0 FreeSans 560 90 0 0 la_data_out[89]
-port 407 nsew signal tristate
-flabel metal2 s 77683 -400 77739 240 0 FreeSans 560 90 0 0 la_data_out[8]
-port 408 nsew signal tristate
-flabel metal2 s 223069 -400 223125 240 0 FreeSans 560 90 0 0 la_data_out[90]
-port 409 nsew signal tristate
-flabel metal2 s 224842 -400 224898 240 0 FreeSans 560 90 0 0 la_data_out[91]
-port 410 nsew signal tristate
-flabel metal2 s 226615 -400 226671 240 0 FreeSans 560 90 0 0 la_data_out[92]
-port 411 nsew signal tristate
-flabel metal2 s 228388 -400 228444 240 0 FreeSans 560 90 0 0 la_data_out[93]
-port 412 nsew signal tristate
-flabel metal2 s 230161 -400 230217 240 0 FreeSans 560 90 0 0 la_data_out[94]
-port 413 nsew signal tristate
-flabel metal2 s 231934 -400 231990 240 0 FreeSans 560 90 0 0 la_data_out[95]
-port 414 nsew signal tristate
-flabel metal2 s 233707 -400 233763 240 0 FreeSans 560 90 0 0 la_data_out[96]
-port 415 nsew signal tristate
-flabel metal2 s 235480 -400 235536 240 0 FreeSans 560 90 0 0 la_data_out[97]
-port 416 nsew signal tristate
-flabel metal2 s 237253 -400 237309 240 0 FreeSans 560 90 0 0 la_data_out[98]
-port 417 nsew signal tristate
-flabel metal2 s 239026 -400 239082 240 0 FreeSans 560 90 0 0 la_data_out[99]
-port 418 nsew signal tristate
-flabel metal2 s 79456 -400 79512 240 0 FreeSans 560 90 0 0 la_data_out[9]
-port 419 nsew signal tristate
-flabel metal2 s 64090 -400 64146 240 0 FreeSans 560 90 0 0 la_oenb[0]
-port 420 nsew signal input
-flabel metal2 s 241390 -400 241446 240 0 FreeSans 560 90 0 0 la_oenb[100]
-port 421 nsew signal input
-flabel metal2 s 243163 -400 243219 240 0 FreeSans 560 90 0 0 la_oenb[101]
-port 422 nsew signal input
-flabel metal2 s 244936 -400 244992 240 0 FreeSans 560 90 0 0 la_oenb[102]
-port 423 nsew signal input
-flabel metal2 s 246709 -400 246765 240 0 FreeSans 560 90 0 0 la_oenb[103]
-port 424 nsew signal input
-flabel metal2 s 248482 -400 248538 240 0 FreeSans 560 90 0 0 la_oenb[104]
-port 425 nsew signal input
-flabel metal2 s 250255 -400 250311 240 0 FreeSans 560 90 0 0 la_oenb[105]
-port 426 nsew signal input
-flabel metal2 s 252028 -400 252084 240 0 FreeSans 560 90 0 0 la_oenb[106]
-port 427 nsew signal input
-flabel metal2 s 253801 -400 253857 240 0 FreeSans 560 90 0 0 la_oenb[107]
-port 428 nsew signal input
-flabel metal2 s 255574 -400 255630 240 0 FreeSans 560 90 0 0 la_oenb[108]
-port 429 nsew signal input
-flabel metal2 s 257347 -400 257403 240 0 FreeSans 560 90 0 0 la_oenb[109]
-port 430 nsew signal input
-flabel metal2 s 81820 -400 81876 240 0 FreeSans 560 90 0 0 la_oenb[10]
-port 431 nsew signal input
-flabel metal2 s 259120 -400 259176 240 0 FreeSans 560 90 0 0 la_oenb[110]
-port 432 nsew signal input
-flabel metal2 s 260893 -400 260949 240 0 FreeSans 560 90 0 0 la_oenb[111]
-port 433 nsew signal input
-flabel metal2 s 262666 -400 262722 240 0 FreeSans 560 90 0 0 la_oenb[112]
-port 434 nsew signal input
-flabel metal2 s 264439 -400 264495 240 0 FreeSans 560 90 0 0 la_oenb[113]
-port 435 nsew signal input
-flabel metal2 s 266212 -400 266268 240 0 FreeSans 560 90 0 0 la_oenb[114]
-port 436 nsew signal input
-flabel metal2 s 267985 -400 268041 240 0 FreeSans 560 90 0 0 la_oenb[115]
-port 437 nsew signal input
-flabel metal2 s 269758 -400 269814 240 0 FreeSans 560 90 0 0 la_oenb[116]
-port 438 nsew signal input
-flabel metal2 s 271531 -400 271587 240 0 FreeSans 560 90 0 0 la_oenb[117]
-port 439 nsew signal input
-flabel metal2 s 273304 -400 273360 240 0 FreeSans 560 90 0 0 la_oenb[118]
-port 440 nsew signal input
-flabel metal2 s 275077 -400 275133 240 0 FreeSans 560 90 0 0 la_oenb[119]
-port 441 nsew signal input
-flabel metal2 s 83593 -400 83649 240 0 FreeSans 560 90 0 0 la_oenb[11]
-port 442 nsew signal input
-flabel metal2 s 276850 -400 276906 240 0 FreeSans 560 90 0 0 la_oenb[120]
-port 443 nsew signal input
-flabel metal2 s 278623 -400 278679 240 0 FreeSans 560 90 0 0 la_oenb[121]
-port 444 nsew signal input
-flabel metal2 s 280396 -400 280452 240 0 FreeSans 560 90 0 0 la_oenb[122]
-port 445 nsew signal input
-flabel metal2 s 282169 -400 282225 240 0 FreeSans 560 90 0 0 la_oenb[123]
-port 446 nsew signal input
-flabel metal2 s 283942 -400 283998 240 0 FreeSans 560 90 0 0 la_oenb[124]
-port 447 nsew signal input
-flabel metal2 s 285715 -400 285771 240 0 FreeSans 560 90 0 0 la_oenb[125]
-port 448 nsew signal input
-flabel metal2 s 287488 -400 287544 240 0 FreeSans 560 90 0 0 la_oenb[126]
-port 449 nsew signal input
-flabel metal2 s 289261 -400 289317 240 0 FreeSans 560 90 0 0 la_oenb[127]
-port 450 nsew signal input
-flabel metal2 s 85366 -400 85422 240 0 FreeSans 560 90 0 0 la_oenb[12]
-port 451 nsew signal input
-flabel metal2 s 87139 -400 87195 240 0 FreeSans 560 90 0 0 la_oenb[13]
-port 452 nsew signal input
-flabel metal2 s 88912 -400 88968 240 0 FreeSans 560 90 0 0 la_oenb[14]
-port 453 nsew signal input
-flabel metal2 s 90685 -400 90741 240 0 FreeSans 560 90 0 0 la_oenb[15]
-port 454 nsew signal input
-flabel metal2 s 92458 -400 92514 240 0 FreeSans 560 90 0 0 la_oenb[16]
-port 455 nsew signal input
-flabel metal2 s 94231 -400 94287 240 0 FreeSans 560 90 0 0 la_oenb[17]
-port 456 nsew signal input
-flabel metal2 s 96004 -400 96060 240 0 FreeSans 560 90 0 0 la_oenb[18]
-port 457 nsew signal input
-flabel metal2 s 97777 -400 97833 240 0 FreeSans 560 90 0 0 la_oenb[19]
-port 458 nsew signal input
-flabel metal2 s 65863 -400 65919 240 0 FreeSans 560 90 0 0 la_oenb[1]
-port 459 nsew signal input
-flabel metal2 s 99550 -400 99606 240 0 FreeSans 560 90 0 0 la_oenb[20]
-port 460 nsew signal input
-flabel metal2 s 101323 -400 101379 240 0 FreeSans 560 90 0 0 la_oenb[21]
-port 461 nsew signal input
-flabel metal2 s 103096 -400 103152 240 0 FreeSans 560 90 0 0 la_oenb[22]
-port 462 nsew signal input
-flabel metal2 s 104869 -400 104925 240 0 FreeSans 560 90 0 0 la_oenb[23]
-port 463 nsew signal input
-flabel metal2 s 106642 -400 106698 240 0 FreeSans 560 90 0 0 la_oenb[24]
-port 464 nsew signal input
-flabel metal2 s 108415 -400 108471 240 0 FreeSans 560 90 0 0 la_oenb[25]
-port 465 nsew signal input
-flabel metal2 s 110188 -400 110244 240 0 FreeSans 560 90 0 0 la_oenb[26]
-port 466 nsew signal input
-flabel metal2 s 111961 -400 112017 240 0 FreeSans 560 90 0 0 la_oenb[27]
-port 467 nsew signal input
-flabel metal2 s 113734 -400 113790 240 0 FreeSans 560 90 0 0 la_oenb[28]
-port 468 nsew signal input
-flabel metal2 s 115507 -400 115563 240 0 FreeSans 560 90 0 0 la_oenb[29]
-port 469 nsew signal input
-flabel metal2 s 67636 -400 67692 240 0 FreeSans 560 90 0 0 la_oenb[2]
-port 470 nsew signal input
-flabel metal2 s 117280 -400 117336 240 0 FreeSans 560 90 0 0 la_oenb[30]
-port 471 nsew signal input
-flabel metal2 s 119053 -400 119109 240 0 FreeSans 560 90 0 0 la_oenb[31]
-port 472 nsew signal input
-flabel metal2 s 120826 -400 120882 240 0 FreeSans 560 90 0 0 la_oenb[32]
-port 473 nsew signal input
-flabel metal2 s 122599 -400 122655 240 0 FreeSans 560 90 0 0 la_oenb[33]
-port 474 nsew signal input
-flabel metal2 s 124372 -400 124428 240 0 FreeSans 560 90 0 0 la_oenb[34]
-port 475 nsew signal input
-flabel metal2 s 126145 -400 126201 240 0 FreeSans 560 90 0 0 la_oenb[35]
-port 476 nsew signal input
-flabel metal2 s 127918 -400 127974 240 0 FreeSans 560 90 0 0 la_oenb[36]
-port 477 nsew signal input
-flabel metal2 s 129691 -400 129747 240 0 FreeSans 560 90 0 0 la_oenb[37]
-port 478 nsew signal input
-flabel metal2 s 131464 -400 131520 240 0 FreeSans 560 90 0 0 la_oenb[38]
-port 479 nsew signal input
-flabel metal2 s 133237 -400 133293 240 0 FreeSans 560 90 0 0 la_oenb[39]
-port 480 nsew signal input
-flabel metal2 s 69409 -400 69465 240 0 FreeSans 560 90 0 0 la_oenb[3]
-port 481 nsew signal input
-flabel metal2 s 135010 -400 135066 240 0 FreeSans 560 90 0 0 la_oenb[40]
-port 482 nsew signal input
-flabel metal2 s 136783 -400 136839 240 0 FreeSans 560 90 0 0 la_oenb[41]
-port 483 nsew signal input
-flabel metal2 s 138556 -400 138612 240 0 FreeSans 560 90 0 0 la_oenb[42]
-port 484 nsew signal input
-flabel metal2 s 140329 -400 140385 240 0 FreeSans 560 90 0 0 la_oenb[43]
-port 485 nsew signal input
-flabel metal2 s 142102 -400 142158 240 0 FreeSans 560 90 0 0 la_oenb[44]
-port 486 nsew signal input
-flabel metal2 s 143875 -400 143931 240 0 FreeSans 560 90 0 0 la_oenb[45]
-port 487 nsew signal input
-flabel metal2 s 145648 -400 145704 240 0 FreeSans 560 90 0 0 la_oenb[46]
-port 488 nsew signal input
-flabel metal2 s 147421 -400 147477 240 0 FreeSans 560 90 0 0 la_oenb[47]
-port 489 nsew signal input
-flabel metal2 s 149194 -400 149250 240 0 FreeSans 560 90 0 0 la_oenb[48]
-port 490 nsew signal input
-flabel metal2 s 150967 -400 151023 240 0 FreeSans 560 90 0 0 la_oenb[49]
-port 491 nsew signal input
-flabel metal2 s 71182 -400 71238 240 0 FreeSans 560 90 0 0 la_oenb[4]
-port 492 nsew signal input
-flabel metal2 s 152740 -400 152796 240 0 FreeSans 560 90 0 0 la_oenb[50]
-port 493 nsew signal input
-flabel metal2 s 154513 -400 154569 240 0 FreeSans 560 90 0 0 la_oenb[51]
-port 494 nsew signal input
-flabel metal2 s 156286 -400 156342 240 0 FreeSans 560 90 0 0 la_oenb[52]
-port 495 nsew signal input
-flabel metal2 s 158059 -400 158115 240 0 FreeSans 560 90 0 0 la_oenb[53]
-port 496 nsew signal input
-flabel metal2 s 159832 -400 159888 240 0 FreeSans 560 90 0 0 la_oenb[54]
-port 497 nsew signal input
-flabel metal2 s 161605 -400 161661 240 0 FreeSans 560 90 0 0 la_oenb[55]
-port 498 nsew signal input
-flabel metal2 s 163378 -400 163434 240 0 FreeSans 560 90 0 0 la_oenb[56]
-port 499 nsew signal input
-flabel metal2 s 165151 -400 165207 240 0 FreeSans 560 90 0 0 la_oenb[57]
-port 500 nsew signal input
-flabel metal2 s 166924 -400 166980 240 0 FreeSans 560 90 0 0 la_oenb[58]
-port 501 nsew signal input
-flabel metal2 s 168697 -400 168753 240 0 FreeSans 560 90 0 0 la_oenb[59]
-port 502 nsew signal input
-flabel metal2 s 72955 -400 73011 240 0 FreeSans 560 90 0 0 la_oenb[5]
-port 503 nsew signal input
-flabel metal2 s 170470 -400 170526 240 0 FreeSans 560 90 0 0 la_oenb[60]
-port 504 nsew signal input
-flabel metal2 s 172243 -400 172299 240 0 FreeSans 560 90 0 0 la_oenb[61]
-port 505 nsew signal input
-flabel metal2 s 174016 -400 174072 240 0 FreeSans 560 90 0 0 la_oenb[62]
-port 506 nsew signal input
-flabel metal2 s 175789 -400 175845 240 0 FreeSans 560 90 0 0 la_oenb[63]
-port 507 nsew signal input
-flabel metal2 s 177562 -400 177618 240 0 FreeSans 560 90 0 0 la_oenb[64]
-port 508 nsew signal input
-flabel metal2 s 179335 -400 179391 240 0 FreeSans 560 90 0 0 la_oenb[65]
-port 509 nsew signal input
-flabel metal2 s 181108 -400 181164 240 0 FreeSans 560 90 0 0 la_oenb[66]
-port 510 nsew signal input
-flabel metal2 s 182881 -400 182937 240 0 FreeSans 560 90 0 0 la_oenb[67]
-port 511 nsew signal input
-flabel metal2 s 184654 -400 184710 240 0 FreeSans 560 90 0 0 la_oenb[68]
-port 512 nsew signal input
-flabel metal2 s 186427 -400 186483 240 0 FreeSans 560 90 0 0 la_oenb[69]
-port 513 nsew signal input
-flabel metal2 s 74728 -400 74784 240 0 FreeSans 560 90 0 0 la_oenb[6]
-port 514 nsew signal input
-flabel metal2 s 188200 -400 188256 240 0 FreeSans 560 90 0 0 la_oenb[70]
-port 515 nsew signal input
-flabel metal2 s 189973 -400 190029 240 0 FreeSans 560 90 0 0 la_oenb[71]
-port 516 nsew signal input
-flabel metal2 s 191746 -400 191802 240 0 FreeSans 560 90 0 0 la_oenb[72]
-port 517 nsew signal input
-flabel metal2 s 193519 -400 193575 240 0 FreeSans 560 90 0 0 la_oenb[73]
-port 518 nsew signal input
-flabel metal2 s 195292 -400 195348 240 0 FreeSans 560 90 0 0 la_oenb[74]
-port 519 nsew signal input
-flabel metal2 s 197065 -400 197121 240 0 FreeSans 560 90 0 0 la_oenb[75]
-port 520 nsew signal input
-flabel metal2 s 198838 -400 198894 240 0 FreeSans 560 90 0 0 la_oenb[76]
-port 521 nsew signal input
-flabel metal2 s 200611 -400 200667 240 0 FreeSans 560 90 0 0 la_oenb[77]
-port 522 nsew signal input
-flabel metal2 s 202384 -400 202440 240 0 FreeSans 560 90 0 0 la_oenb[78]
-port 523 nsew signal input
-flabel metal2 s 204157 -400 204213 240 0 FreeSans 560 90 0 0 la_oenb[79]
-port 524 nsew signal input
-flabel metal2 s 76501 -400 76557 240 0 FreeSans 560 90 0 0 la_oenb[7]
-port 525 nsew signal input
-flabel metal2 s 205930 -400 205986 240 0 FreeSans 560 90 0 0 la_oenb[80]
-port 526 nsew signal input
-flabel metal2 s 207703 -400 207759 240 0 FreeSans 560 90 0 0 la_oenb[81]
-port 527 nsew signal input
-flabel metal2 s 209476 -400 209532 240 0 FreeSans 560 90 0 0 la_oenb[82]
-port 528 nsew signal input
-flabel metal2 s 211249 -400 211305 240 0 FreeSans 560 90 0 0 la_oenb[83]
-port 529 nsew signal input
-flabel metal2 s 213022 -400 213078 240 0 FreeSans 560 90 0 0 la_oenb[84]
-port 530 nsew signal input
-flabel metal2 s 214795 -400 214851 240 0 FreeSans 560 90 0 0 la_oenb[85]
-port 531 nsew signal input
-flabel metal2 s 216568 -400 216624 240 0 FreeSans 560 90 0 0 la_oenb[86]
-port 532 nsew signal input
-flabel metal2 s 218341 -400 218397 240 0 FreeSans 560 90 0 0 la_oenb[87]
-port 533 nsew signal input
-flabel metal2 s 220114 -400 220170 240 0 FreeSans 560 90 0 0 la_oenb[88]
-port 534 nsew signal input
-flabel metal2 s 221887 -400 221943 240 0 FreeSans 560 90 0 0 la_oenb[89]
-port 535 nsew signal input
-flabel metal2 s 78274 -400 78330 240 0 FreeSans 560 90 0 0 la_oenb[8]
-port 536 nsew signal input
-flabel metal2 s 223660 -400 223716 240 0 FreeSans 560 90 0 0 la_oenb[90]
-port 537 nsew signal input
-flabel metal2 s 225433 -400 225489 240 0 FreeSans 560 90 0 0 la_oenb[91]
-port 538 nsew signal input
-flabel metal2 s 227206 -400 227262 240 0 FreeSans 560 90 0 0 la_oenb[92]
-port 539 nsew signal input
-flabel metal2 s 228979 -400 229035 240 0 FreeSans 560 90 0 0 la_oenb[93]
-port 540 nsew signal input
-flabel metal2 s 230752 -400 230808 240 0 FreeSans 560 90 0 0 la_oenb[94]
-port 541 nsew signal input
-flabel metal2 s 232525 -400 232581 240 0 FreeSans 560 90 0 0 la_oenb[95]
-port 542 nsew signal input
-flabel metal2 s 234298 -400 234354 240 0 FreeSans 560 90 0 0 la_oenb[96]
-port 543 nsew signal input
-flabel metal2 s 236071 -400 236127 240 0 FreeSans 560 90 0 0 la_oenb[97]
-port 544 nsew signal input
-flabel metal2 s 237844 -400 237900 240 0 FreeSans 560 90 0 0 la_oenb[98]
-port 545 nsew signal input
-flabel metal2 s 239617 -400 239673 240 0 FreeSans 560 90 0 0 la_oenb[99]
-port 546 nsew signal input
-flabel metal2 s 80047 -400 80103 240 0 FreeSans 560 90 0 0 la_oenb[9]
-port 547 nsew signal input
-flabel metal2 s 289852 -400 289908 240 0 FreeSans 560 90 0 0 user_clock2
-port 548 nsew signal input
-flabel metal2 s 290443 -400 290499 240 0 FreeSans 560 90 0 0 user_irq[0]
-port 549 nsew signal tristate
-flabel metal2 s 291034 -400 291090 240 0 FreeSans 560 90 0 0 user_irq[1]
-port 550 nsew signal tristate
-flabel metal2 s 291625 -400 291681 240 0 FreeSans 560 90 0 0 user_irq[2]
-port 551 nsew signal tristate
-flabel metal3 s 291170 319892 292400 322292 0 FreeSans 560 0 0 0 vccd1
-port 552 nsew signal bidirectional
-flabel metal3 s 291170 314892 292400 317292 0 FreeSans 560 0 0 0 vccd1
-port 553 nsew signal bidirectional
-flabel metal3 s 0 321921 830 324321 0 FreeSans 560 0 0 0 vccd2
-port 554 nsew signal bidirectional
-flabel metal3 s 0 316921 830 319321 0 FreeSans 560 0 0 0 vccd2
-port 555 nsew signal bidirectional
-flabel metal3 s 291170 270281 292400 272681 0 FreeSans 560 0 0 0 vdda1
-port 556 nsew signal bidirectional
-flabel metal3 s 291170 275281 292400 277681 0 FreeSans 560 0 0 0 vdda1
-port 557 nsew signal bidirectional
-flabel metal3 s 291170 117615 292400 120015 0 FreeSans 560 0 0 0 vdda1
-port 558 nsew signal bidirectional
-flabel metal3 s 291170 112615 292400 115015 0 FreeSans 560 0 0 0 vdda1
-port 559 nsew signal bidirectional
-flabel metal3 s 0 102444 830 104844 0 FreeSans 560 0 0 0 vdda2
-port 560 nsew signal bidirectional
-flabel metal3 s 0 107444 830 109844 0 FreeSans 560 0 0 0 vdda2
-port 561 nsew signal bidirectional
-flabel metal3 s 260297 351170 262697 352400 0 FreeSans 960 180 0 0 vssa1
-port 562 nsew signal bidirectional
-flabel metal3 s 255297 351170 257697 352400 0 FreeSans 960 180 0 0 vssa1
-port 563 nsew signal bidirectional
-flabel metal3 s 291170 73415 292400 75815 0 FreeSans 560 0 0 0 vssa1
-port 564 nsew signal bidirectional
-flabel metal3 s 291170 68415 292400 70815 0 FreeSans 560 0 0 0 vssa1
-port 565 nsew signal bidirectional
-flabel metal3 s 0 279721 830 282121 0 FreeSans 560 0 0 0 vssa2
-port 566 nsew signal bidirectional
-flabel metal3 s 0 274721 830 277121 0 FreeSans 560 0 0 0 vssa2
-port 567 nsew signal bidirectional
-flabel metal3 s 291170 95715 292400 98115 0 FreeSans 560 0 0 0 vssd1
-port 568 nsew signal bidirectional
-flabel metal3 s 291170 90715 292400 93115 0 FreeSans 560 0 0 0 vssd1
-port 569 nsew signal bidirectional
-flabel metal3 s 0 86444 830 88844 0 FreeSans 560 0 0 0 vssd2
-port 570 nsew signal bidirectional
-flabel metal3 s 0 81444 830 83844 0 FreeSans 560 0 0 0 vssd2
-port 571 nsew signal bidirectional
-flabel metal2 s 262 -400 318 240 0 FreeSans 560 90 0 0 wb_clk_i
-port 572 nsew signal input
-flabel metal2 s 853 -400 909 240 0 FreeSans 560 90 0 0 wb_rst_i
-port 573 nsew signal input
-flabel metal2 s 1444 -400 1500 240 0 FreeSans 560 90 0 0 wbs_ack_o
-port 574 nsew signal tristate
-flabel metal2 s 3808 -400 3864 240 0 FreeSans 560 90 0 0 wbs_adr_i[0]
-port 575 nsew signal input
-flabel metal2 s 23902 -400 23958 240 0 FreeSans 560 90 0 0 wbs_adr_i[10]
-port 576 nsew signal input
-flabel metal2 s 25675 -400 25731 240 0 FreeSans 560 90 0 0 wbs_adr_i[11]
-port 577 nsew signal input
-flabel metal2 s 27448 -400 27504 240 0 FreeSans 560 90 0 0 wbs_adr_i[12]
-port 578 nsew signal input
-flabel metal2 s 29221 -400 29277 240 0 FreeSans 560 90 0 0 wbs_adr_i[13]
-port 579 nsew signal input
-flabel metal2 s 30994 -400 31050 240 0 FreeSans 560 90 0 0 wbs_adr_i[14]
-port 580 nsew signal input
-flabel metal2 s 32767 -400 32823 240 0 FreeSans 560 90 0 0 wbs_adr_i[15]
-port 581 nsew signal input
-flabel metal2 s 34540 -400 34596 240 0 FreeSans 560 90 0 0 wbs_adr_i[16]
-port 582 nsew signal input
-flabel metal2 s 36313 -400 36369 240 0 FreeSans 560 90 0 0 wbs_adr_i[17]
-port 583 nsew signal input
-flabel metal2 s 38086 -400 38142 240 0 FreeSans 560 90 0 0 wbs_adr_i[18]
-port 584 nsew signal input
-flabel metal2 s 39859 -400 39915 240 0 FreeSans 560 90 0 0 wbs_adr_i[19]
-port 585 nsew signal input
-flabel metal2 s 6172 -400 6228 240 0 FreeSans 560 90 0 0 wbs_adr_i[1]
-port 586 nsew signal input
-flabel metal2 s 41632 -400 41688 240 0 FreeSans 560 90 0 0 wbs_adr_i[20]
-port 587 nsew signal input
-flabel metal2 s 43405 -400 43461 240 0 FreeSans 560 90 0 0 wbs_adr_i[21]
-port 588 nsew signal input
-flabel metal2 s 45178 -400 45234 240 0 FreeSans 560 90 0 0 wbs_adr_i[22]
-port 589 nsew signal input
-flabel metal2 s 46951 -400 47007 240 0 FreeSans 560 90 0 0 wbs_adr_i[23]
-port 590 nsew signal input
-flabel metal2 s 48724 -400 48780 240 0 FreeSans 560 90 0 0 wbs_adr_i[24]
-port 591 nsew signal input
-flabel metal2 s 50497 -400 50553 240 0 FreeSans 560 90 0 0 wbs_adr_i[25]
-port 592 nsew signal input
-flabel metal2 s 52270 -400 52326 240 0 FreeSans 560 90 0 0 wbs_adr_i[26]
-port 593 nsew signal input
-flabel metal2 s 54043 -400 54099 240 0 FreeSans 560 90 0 0 wbs_adr_i[27]
-port 594 nsew signal input
-flabel metal2 s 55816 -400 55872 240 0 FreeSans 560 90 0 0 wbs_adr_i[28]
-port 595 nsew signal input
-flabel metal2 s 57589 -400 57645 240 0 FreeSans 560 90 0 0 wbs_adr_i[29]
-port 596 nsew signal input
-flabel metal2 s 8536 -400 8592 240 0 FreeSans 560 90 0 0 wbs_adr_i[2]
-port 597 nsew signal input
-flabel metal2 s 59362 -400 59418 240 0 FreeSans 560 90 0 0 wbs_adr_i[30]
-port 598 nsew signal input
-flabel metal2 s 61135 -400 61191 240 0 FreeSans 560 90 0 0 wbs_adr_i[31]
-port 599 nsew signal input
-flabel metal2 s 10900 -400 10956 240 0 FreeSans 560 90 0 0 wbs_adr_i[3]
-port 600 nsew signal input
-flabel metal2 s 13264 -400 13320 240 0 FreeSans 560 90 0 0 wbs_adr_i[4]
-port 601 nsew signal input
-flabel metal2 s 15037 -400 15093 240 0 FreeSans 560 90 0 0 wbs_adr_i[5]
-port 602 nsew signal input
-flabel metal2 s 16810 -400 16866 240 0 FreeSans 560 90 0 0 wbs_adr_i[6]
-port 603 nsew signal input
-flabel metal2 s 18583 -400 18639 240 0 FreeSans 560 90 0 0 wbs_adr_i[7]
-port 604 nsew signal input
-flabel metal2 s 20356 -400 20412 240 0 FreeSans 560 90 0 0 wbs_adr_i[8]
-port 605 nsew signal input
-flabel metal2 s 22129 -400 22185 240 0 FreeSans 560 90 0 0 wbs_adr_i[9]
-port 606 nsew signal input
-flabel metal2 s 2035 -400 2091 240 0 FreeSans 560 90 0 0 wbs_cyc_i
-port 607 nsew signal input
-flabel metal2 s 4399 -400 4455 240 0 FreeSans 560 90 0 0 wbs_dat_i[0]
-port 608 nsew signal input
-flabel metal2 s 24493 -400 24549 240 0 FreeSans 560 90 0 0 wbs_dat_i[10]
-port 609 nsew signal input
-flabel metal2 s 26266 -400 26322 240 0 FreeSans 560 90 0 0 wbs_dat_i[11]
-port 610 nsew signal input
-flabel metal2 s 28039 -400 28095 240 0 FreeSans 560 90 0 0 wbs_dat_i[12]
-port 611 nsew signal input
-flabel metal2 s 29812 -400 29868 240 0 FreeSans 560 90 0 0 wbs_dat_i[13]
-port 612 nsew signal input
-flabel metal2 s 31585 -400 31641 240 0 FreeSans 560 90 0 0 wbs_dat_i[14]
-port 613 nsew signal input
-flabel metal2 s 33358 -400 33414 240 0 FreeSans 560 90 0 0 wbs_dat_i[15]
-port 614 nsew signal input
-flabel metal2 s 35131 -400 35187 240 0 FreeSans 560 90 0 0 wbs_dat_i[16]
-port 615 nsew signal input
-flabel metal2 s 36904 -400 36960 240 0 FreeSans 560 90 0 0 wbs_dat_i[17]
-port 616 nsew signal input
-flabel metal2 s 38677 -400 38733 240 0 FreeSans 560 90 0 0 wbs_dat_i[18]
-port 617 nsew signal input
-flabel metal2 s 40450 -400 40506 240 0 FreeSans 560 90 0 0 wbs_dat_i[19]
-port 618 nsew signal input
-flabel metal2 s 6763 -400 6819 240 0 FreeSans 560 90 0 0 wbs_dat_i[1]
-port 619 nsew signal input
-flabel metal2 s 42223 -400 42279 240 0 FreeSans 560 90 0 0 wbs_dat_i[20]
-port 620 nsew signal input
-flabel metal2 s 43996 -400 44052 240 0 FreeSans 560 90 0 0 wbs_dat_i[21]
-port 621 nsew signal input
-flabel metal2 s 45769 -400 45825 240 0 FreeSans 560 90 0 0 wbs_dat_i[22]
-port 622 nsew signal input
-flabel metal2 s 47542 -400 47598 240 0 FreeSans 560 90 0 0 wbs_dat_i[23]
-port 623 nsew signal input
-flabel metal2 s 49315 -400 49371 240 0 FreeSans 560 90 0 0 wbs_dat_i[24]
-port 624 nsew signal input
-flabel metal2 s 51088 -400 51144 240 0 FreeSans 560 90 0 0 wbs_dat_i[25]
-port 625 nsew signal input
-flabel metal2 s 52861 -400 52917 240 0 FreeSans 560 90 0 0 wbs_dat_i[26]
-port 626 nsew signal input
-flabel metal2 s 54634 -400 54690 240 0 FreeSans 560 90 0 0 wbs_dat_i[27]
-port 627 nsew signal input
-flabel metal2 s 56407 -400 56463 240 0 FreeSans 560 90 0 0 wbs_dat_i[28]
-port 628 nsew signal input
-flabel metal2 s 58180 -400 58236 240 0 FreeSans 560 90 0 0 wbs_dat_i[29]
-port 629 nsew signal input
-flabel metal2 s 9127 -400 9183 240 0 FreeSans 560 90 0 0 wbs_dat_i[2]
-port 630 nsew signal input
-flabel metal2 s 59953 -400 60009 240 0 FreeSans 560 90 0 0 wbs_dat_i[30]
-port 631 nsew signal input
-flabel metal2 s 61726 -400 61782 240 0 FreeSans 560 90 0 0 wbs_dat_i[31]
-port 632 nsew signal input
-flabel metal2 s 11491 -400 11547 240 0 FreeSans 560 90 0 0 wbs_dat_i[3]
-port 633 nsew signal input
-flabel metal2 s 13855 -400 13911 240 0 FreeSans 560 90 0 0 wbs_dat_i[4]
-port 634 nsew signal input
-flabel metal2 s 15628 -400 15684 240 0 FreeSans 560 90 0 0 wbs_dat_i[5]
-port 635 nsew signal input
-flabel metal2 s 17401 -400 17457 240 0 FreeSans 560 90 0 0 wbs_dat_i[6]
-port 636 nsew signal input
-flabel metal2 s 19174 -400 19230 240 0 FreeSans 560 90 0 0 wbs_dat_i[7]
-port 637 nsew signal input
-flabel metal2 s 20947 -400 21003 240 0 FreeSans 560 90 0 0 wbs_dat_i[8]
-port 638 nsew signal input
-flabel metal2 s 22720 -400 22776 240 0 FreeSans 560 90 0 0 wbs_dat_i[9]
-port 639 nsew signal input
-flabel metal2 s 4990 -400 5046 240 0 FreeSans 560 90 0 0 wbs_dat_o[0]
-port 640 nsew signal tristate
-flabel metal2 s 25084 -400 25140 240 0 FreeSans 560 90 0 0 wbs_dat_o[10]
-port 641 nsew signal tristate
-flabel metal2 s 26857 -400 26913 240 0 FreeSans 560 90 0 0 wbs_dat_o[11]
-port 642 nsew signal tristate
-flabel metal2 s 28630 -400 28686 240 0 FreeSans 560 90 0 0 wbs_dat_o[12]
-port 643 nsew signal tristate
-flabel metal2 s 30403 -400 30459 240 0 FreeSans 560 90 0 0 wbs_dat_o[13]
-port 644 nsew signal tristate
-flabel metal2 s 32176 -400 32232 240 0 FreeSans 560 90 0 0 wbs_dat_o[14]
-port 645 nsew signal tristate
-flabel metal2 s 33949 -400 34005 240 0 FreeSans 560 90 0 0 wbs_dat_o[15]
-port 646 nsew signal tristate
-flabel metal2 s 35722 -400 35778 240 0 FreeSans 560 90 0 0 wbs_dat_o[16]
-port 647 nsew signal tristate
-flabel metal2 s 37495 -400 37551 240 0 FreeSans 560 90 0 0 wbs_dat_o[17]
-port 648 nsew signal tristate
-flabel metal2 s 39268 -400 39324 240 0 FreeSans 560 90 0 0 wbs_dat_o[18]
-port 649 nsew signal tristate
-flabel metal2 s 41041 -400 41097 240 0 FreeSans 560 90 0 0 wbs_dat_o[19]
-port 650 nsew signal tristate
-flabel metal2 s 7354 -400 7410 240 0 FreeSans 560 90 0 0 wbs_dat_o[1]
-port 651 nsew signal tristate
-flabel metal2 s 42814 -400 42870 240 0 FreeSans 560 90 0 0 wbs_dat_o[20]
-port 652 nsew signal tristate
-flabel metal2 s 44587 -400 44643 240 0 FreeSans 560 90 0 0 wbs_dat_o[21]
-port 653 nsew signal tristate
-flabel metal2 s 46360 -400 46416 240 0 FreeSans 560 90 0 0 wbs_dat_o[22]
-port 654 nsew signal tristate
-flabel metal2 s 48133 -400 48189 240 0 FreeSans 560 90 0 0 wbs_dat_o[23]
-port 655 nsew signal tristate
-flabel metal2 s 49906 -400 49962 240 0 FreeSans 560 90 0 0 wbs_dat_o[24]
-port 656 nsew signal tristate
-flabel metal2 s 51679 -400 51735 240 0 FreeSans 560 90 0 0 wbs_dat_o[25]
-port 657 nsew signal tristate
-flabel metal2 s 53452 -400 53508 240 0 FreeSans 560 90 0 0 wbs_dat_o[26]
-port 658 nsew signal tristate
-flabel metal2 s 55225 -400 55281 240 0 FreeSans 560 90 0 0 wbs_dat_o[27]
-port 659 nsew signal tristate
-flabel metal2 s 56998 -400 57054 240 0 FreeSans 560 90 0 0 wbs_dat_o[28]
-port 660 nsew signal tristate
-flabel metal2 s 58771 -400 58827 240 0 FreeSans 560 90 0 0 wbs_dat_o[29]
-port 661 nsew signal tristate
-flabel metal2 s 9718 -400 9774 240 0 FreeSans 560 90 0 0 wbs_dat_o[2]
-port 662 nsew signal tristate
-flabel metal2 s 60544 -400 60600 240 0 FreeSans 560 90 0 0 wbs_dat_o[30]
-port 663 nsew signal tristate
-flabel metal2 s 62317 -400 62373 240 0 FreeSans 560 90 0 0 wbs_dat_o[31]
-port 664 nsew signal tristate
-flabel metal2 s 12082 -400 12138 240 0 FreeSans 560 90 0 0 wbs_dat_o[3]
-port 665 nsew signal tristate
-flabel metal2 s 14446 -400 14502 240 0 FreeSans 560 90 0 0 wbs_dat_o[4]
-port 666 nsew signal tristate
-flabel metal2 s 16219 -400 16275 240 0 FreeSans 560 90 0 0 wbs_dat_o[5]
-port 667 nsew signal tristate
-flabel metal2 s 17992 -400 18048 240 0 FreeSans 560 90 0 0 wbs_dat_o[6]
-port 668 nsew signal tristate
-flabel metal2 s 19765 -400 19821 240 0 FreeSans 560 90 0 0 wbs_dat_o[7]
-port 669 nsew signal tristate
-flabel metal2 s 21538 -400 21594 240 0 FreeSans 560 90 0 0 wbs_dat_o[8]
-port 670 nsew signal tristate
-flabel metal2 s 23311 -400 23367 240 0 FreeSans 560 90 0 0 wbs_dat_o[9]
-port 671 nsew signal tristate
-flabel metal2 s 5581 -400 5637 240 0 FreeSans 560 90 0 0 wbs_sel_i[0]
-port 672 nsew signal input
-flabel metal2 s 7945 -400 8001 240 0 FreeSans 560 90 0 0 wbs_sel_i[1]
-port 673 nsew signal input
-flabel metal2 s 10309 -400 10365 240 0 FreeSans 560 90 0 0 wbs_sel_i[2]
-port 674 nsew signal input
-flabel metal2 s 12673 -400 12729 240 0 FreeSans 560 90 0 0 wbs_sel_i[3]
-port 675 nsew signal input
-flabel metal2 s 2626 -400 2682 240 0 FreeSans 560 90 0 0 wbs_stb_i
-port 676 nsew signal input
-flabel metal2 s 3217 -400 3273 240 0 FreeSans 560 90 0 0 wbs_we_i
-port 677 nsew signal input
-<< properties >>
-string FIXED_BBOX 0 0 292000 352000
-<< end >>
diff --git a/caravel/gds/antenna_on_gds.tcl b/gds/antenna_on_gds.tcl
similarity index 100%
rename from caravel/gds/antenna_on_gds.tcl
rename to gds/antenna_on_gds.tcl
diff --git a/caravel/gds/drc_on_gds.tcl b/gds/drc_on_gds.tcl
similarity index 100%
rename from caravel/gds/drc_on_gds.tcl
rename to gds/drc_on_gds.tcl
diff --git a/caravel/gds/gds2mag-all.sh b/gds/gds2mag-all.sh
old mode 100755
new mode 100644
similarity index 100%
rename from caravel/gds/gds2mag-all.sh
rename to gds/gds2mag-all.sh
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index 6c15cc7..f0959a4 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/caravel/gds/user_analog_project_wrapper_empty.gds.gz b/gds/user_analog_project_wrapper_empty.gds.gz
similarity index 100%
rename from caravel/gds/user_analog_project_wrapper_empty.gds.gz
rename to gds/user_analog_project_wrapper_empty.gds.gz
Binary files differ
diff --git a/caravel/gds/user_project_wrapper_empty.gds.gz b/gds/user_project_wrapper_empty.gds.gz
similarity index 100%
rename from caravel/gds/user_project_wrapper_empty.gds.gz
rename to gds/user_project_wrapper_empty.gds.gz
Binary files differ
diff --git a/info.yaml b/info.yaml
index 8ba8660..6dec21d 100644
--- a/info.yaml
+++ b/info.yaml
@@ -1,13 +1,13 @@
----
+ ---
 project:
-  description: "An analog project for Google sponsored Open MPW shuttles for SKY130."
+  description: "An analog implementation of the artificial neuron used in Machine Learning"
   foundry: "SkyWater"
-  git_url: "https://github.com/efabless/caravel_analog_user.git"
-  organization: "Efabless"
-  organization_url: "http://efabless.com"
-  owner: "Tim Edwards"
+  git_url: "https://github.com/lakshmi-sathi/Analog-Neural-Network.git"
+  organization: "Gatech"
+  organization_url: "http://gatech.edu"
+  owner: "Lakshmi S"
   process: "SKY130"
-  project_name: "Caravel Analog User"
+  project_name: "Analog Neuron"
   project_id: "00000000"
   tags:
     - "Open MPW"
diff --git a/caravel/mag/.magicrc b/mag/.magicrc
similarity index 100%
rename from caravel/mag/.magicrc
rename to mag/.magicrc
diff --git a/caravel/mag/Stage1_inv.mag b/mag/Stage1_inv.mag
similarity index 100%
rename from caravel/mag/Stage1_inv.mag
rename to mag/Stage1_inv.mag
diff --git a/caravel/mag/Stage2_inv.mag b/mag/Stage2_inv.mag
similarity index 100%
rename from caravel/mag/Stage2_inv.mag
rename to mag/Stage2_inv.mag
diff --git a/caravel/mag/analogneuron_invopamp_re_15kfeedbck.mag b/mag/analogneuron_invopamp_re_15kfeedbck.mag
similarity index 100%
rename from caravel/mag/analogneuron_invopamp_re_15kfeedbck.mag
rename to mag/analogneuron_invopamp_re_15kfeedbck.mag
diff --git a/caravel/mag/aninv_.mag b/mag/aninv_.mag
similarity index 100%
rename from caravel/mag/aninv_.mag
rename to mag/aninv_.mag
diff --git a/caravel/mag/biasnmos.mag b/mag/biasnmos.mag
similarity index 100%
rename from caravel/mag/biasnmos.mag
rename to mag/biasnmos.mag
diff --git a/caravel/mag/biaspmos.mag b/mag/biaspmos.mag
similarity index 100%
rename from caravel/mag/biaspmos.mag
rename to mag/biaspmos.mag
diff --git a/mag/example_por.mag b/mag/example_por.mag
deleted file mode 100644
index 76d1d78..0000000
--- a/mag/example_por.mag
+++ /dev/null
@@ -1,603 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1620310959
-<< nwell >>
-rect 70 7344 6652 7795
-rect 7401 6799 10893 7301
-<< pwell >>
-rect 463 6569 519 6579
-rect 2635 5816 2853 6026
-<< mvpsubdiff >>
-rect 7438 7387 10856 7455
-<< mvnsubdiff >>
-rect 7467 7201 10827 7235
-<< locali >>
-rect 41 8275 183 8288
-rect 41 8190 57 8275
-rect 169 8190 183 8275
-rect 41 7451 183 8190
-rect 6891 8273 7134 8286
-rect 6891 8112 6936 8273
-rect 7117 8112 7134 8273
-rect 6891 7455 7134 8112
-rect 3043 7451 7134 7455
-rect 41 7435 7134 7451
-rect 41 7305 6927 7435
-rect 35 6388 121 7179
-rect 3043 7022 6927 7305
-rect 7110 7322 7134 7435
-rect 7110 7201 10829 7322
-rect 7110 7022 7134 7201
-rect 3043 7005 7134 7022
-rect 2907 6693 7134 6838
-rect 2907 6388 3220 6693
-rect 35 6320 3220 6388
-rect 35 6318 505 6320
-rect 35 6192 48 6318
-rect 286 6192 505 6318
-rect 35 6191 505 6192
-rect 2951 6253 3220 6320
-rect 6116 6388 7134 6693
-rect 6116 6253 10860 6388
-rect 2951 6191 10860 6253
-rect 35 6143 10860 6191
-rect 35 5813 689 6143
-rect 1006 5813 1393 6029
-rect 1778 5813 2165 6029
-rect 2550 6015 2937 6029
-rect 2550 5829 2648 6015
-rect 2840 5829 2937 6015
-rect 2550 5813 2937 5829
-rect 3322 5813 3709 6029
-rect 4094 5813 4481 6029
-rect 4866 5813 5253 6029
-rect 5638 5813 6025 6029
-rect 6410 5813 6797 6029
-rect 7182 5813 7569 6029
-rect 7954 5813 8341 6029
-rect 8726 5813 9113 6029
-rect 9498 5813 9885 6029
-rect 10656 5813 10837 6029
-rect 51 165 234 381
-rect 619 165 1006 381
-rect 1391 165 1778 381
-rect 2163 165 2550 381
-rect 2935 165 3322 381
-rect 3707 165 4094 381
-rect 4479 165 4866 381
-rect 5251 165 5638 381
-rect 6023 165 6410 381
-rect 6795 165 7182 381
-rect 7567 165 7954 381
-rect 8339 165 8726 381
-rect 9111 165 9498 381
-rect 9883 165 10270 381
-rect 10655 165 10835 381
-<< viali >>
-rect 57 8190 169 8275
-rect 6936 8112 7117 8273
-rect 9224 7854 9270 8060
-rect 7604 7754 7807 7801
-rect 9459 7754 9796 7801
-rect 10785 7683 10819 7879
-rect 6927 7022 7110 7435
-rect 7870 6775 8128 6834
-rect 48 6192 286 6318
-rect 505 6191 2951 6320
-rect 3220 6253 6116 6693
-rect 8439 6684 8506 6878
-rect 8650 6720 8853 6767
-rect 10270 6735 10316 6896
-rect 2648 5829 2840 6015
-rect 10202 5598 10340 6030
-<< metal1 >>
-rect 40 8275 7133 8286
-rect 40 8190 57 8275
-rect 169 8273 7133 8275
-rect 169 8269 6936 8273
-rect 624 8260 6936 8269
-rect 624 8201 1026 8260
-rect 169 8193 1026 8201
-rect 7117 8209 7133 8273
-rect 7284 8252 10841 8278
-rect 169 8190 6936 8193
-rect 40 8179 6936 8190
-rect 218 8110 376 8127
-rect 218 8038 436 8110
-rect 709 8082 719 8144
-rect 801 8129 818 8144
-rect 801 8085 2498 8129
-rect 2829 8085 2990 8129
-rect 801 8082 818 8085
-rect 218 8024 282 8038
-rect 218 7641 313 8024
-rect 375 7641 436 8038
-rect 521 7788 567 8040
-rect 627 7892 2821 8028
-rect 502 7653 2537 7788
-rect 218 7568 436 7641
-rect 521 7597 567 7653
-rect 709 7597 719 7606
-rect 218 7554 375 7568
-rect 218 7501 282 7554
-rect 521 7553 719 7597
-rect 709 7544 719 7553
-rect 801 7597 818 7606
-rect 2866 7597 2944 8085
-rect 2993 7658 3300 7804
-rect 801 7556 2990 7597
-rect 801 7553 2922 7556
-rect 801 7544 818 7553
-rect 3360 7501 3433 8122
-rect 3699 8082 3959 8128
-rect 3486 7636 3556 8035
-rect 218 7453 3433 7501
-rect 218 7248 282 7453
-rect 3497 7344 3556 7636
-rect 185 7121 282 7248
-rect 2382 7274 3556 7344
-rect 3699 7642 3781 8082
-rect 4283 8081 5747 8125
-rect 6083 8081 6237 8125
-rect 6910 8112 6936 8179
-rect 7117 8112 7134 8209
-rect 7284 8153 7318 8252
-rect 10802 8153 10841 8252
-rect 7284 8125 10841 8153
-rect 3988 7805 4025 8041
-rect 4109 7872 6071 8033
-rect 3966 7645 5802 7805
-rect 3699 7600 3773 7642
-rect 3699 7554 3958 7600
-rect 3988 7597 4025 7645
-rect 6126 7597 6188 8081
-rect 6249 7884 6537 8029
-rect 6249 7690 6262 7884
-rect 3699 7501 3773 7554
-rect 3988 7553 6239 7597
-rect 6612 7501 6674 8112
-rect 3699 7453 6674 7501
-rect 185 6969 263 7121
-rect 2382 7074 2452 7274
-rect 3699 7165 3773 7453
-rect 2265 7047 2275 7074
-rect 185 6573 282 6969
-rect 25 6318 301 6326
-rect 25 6192 48 6318
-rect 286 6192 301 6318
-rect 25 6185 301 6192
-rect 25 5348 133 6185
-rect 345 5944 399 7033
-rect 781 7003 2275 7047
-rect 2351 7047 2452 7074
-rect 2767 7074 3773 7165
-rect 2351 7003 2738 7047
-rect 463 6745 634 6972
-rect 2382 6952 2452 7003
-rect 721 6815 2452 6952
-rect 463 6579 2560 6745
-rect 463 6569 634 6579
-rect 505 6326 634 6569
-rect 2629 6533 2693 7003
-rect 2767 6949 2842 7074
-rect 6752 7065 6823 8044
-rect 6910 7435 7134 8112
-rect 9218 8060 9276 8072
-rect 9218 7854 9224 8060
-rect 9270 8051 9276 8060
-rect 10128 8051 10138 8053
-rect 9270 8001 10138 8051
-rect 9270 7854 9276 8001
-rect 10128 7999 10138 8001
-rect 10290 7999 10300 8053
-rect 9218 7842 9276 7854
-rect 10779 7879 10825 7891
-rect 7592 7801 8339 7807
-rect 7592 7754 7604 7801
-rect 7807 7754 8339 7801
-rect 7592 7748 8339 7754
-rect 8519 7801 9808 7807
-rect 8519 7754 9459 7801
-rect 9796 7754 9808 7801
-rect 8519 7748 9808 7754
-rect 10779 7728 10785 7879
-rect 10819 7728 10825 7879
-rect 10743 7674 10753 7728
-rect 10905 7674 10915 7728
-rect 10779 7671 10825 7674
-rect 2756 6573 2842 6949
-rect 6407 7036 6825 7065
-rect 3167 6819 6174 6842
-rect 3167 6693 4331 6819
-rect 5278 6693 6174 6819
-rect 781 6489 2275 6533
-rect 2265 6460 2275 6489
-rect 2350 6460 2360 6533
-rect 2585 6489 2739 6533
-rect 3167 6326 3220 6693
-rect 493 6320 3220 6326
-rect 493 6191 505 6320
-rect 2951 6253 3220 6320
-rect 6116 6531 6174 6693
-rect 6407 6623 6432 7036
-rect 6803 6840 6825 7036
-rect 6910 7022 6927 7435
-rect 7110 7324 7134 7435
-rect 7279 7543 10836 7570
-rect 7279 7449 7306 7543
-rect 8343 7449 8618 7543
-rect 10649 7449 10836 7543
-rect 7279 7417 10836 7449
-rect 7110 7299 10832 7324
-rect 7110 7124 7171 7299
-rect 8320 7124 8602 7299
-rect 10750 7124 10832 7299
-rect 7110 7094 10832 7124
-rect 7110 7022 7134 7094
-rect 10516 7093 10832 7094
-rect 6910 6994 7134 7022
-rect 10264 6896 10322 6908
-rect 8433 6878 8512 6890
-rect 6803 6834 8140 6840
-rect 6803 6775 7870 6834
-rect 8128 6775 8140 6834
-rect 6803 6769 8140 6775
-rect 6803 6623 6825 6769
-rect 8429 6684 8439 6878
-rect 8506 6773 8516 6878
-rect 8506 6767 8865 6773
-rect 8506 6720 8650 6767
-rect 8853 6720 8865 6767
-rect 10264 6735 10270 6896
-rect 10316 6834 10322 6896
-rect 10316 6765 10507 6834
-rect 10672 6765 10682 6834
-rect 10316 6735 10322 6765
-rect 10264 6723 10322 6735
-rect 8506 6714 8865 6720
-rect 8506 6684 8516 6714
-rect 8433 6672 8512 6684
-rect 6407 6600 6825 6623
-rect 6116 6319 10827 6531
-rect 6116 6253 6174 6319
-rect 2951 6237 6174 6253
-rect 10221 6260 10716 6270
-rect 2951 6191 6175 6237
-rect 493 6185 6175 6191
-rect 10221 6187 10233 6260
-rect 10700 6187 10716 6260
-rect 10221 6176 10716 6187
-rect 10221 6045 10315 6176
-rect 10187 6030 10353 6045
-rect 2635 6015 2853 6026
-rect 2635 5944 2648 6015
-rect 345 5890 2648 5944
-rect 2635 5829 2648 5890
-rect 2840 5829 2853 6015
-rect 2635 5816 2853 5829
-rect 10187 5598 10202 6030
-rect 10340 5598 10353 6030
-rect 10187 5582 10353 5598
-rect 10805 5348 10867 6079
-rect 25 4748 10867 5348
-rect 25 4348 133 4748
-rect 10805 4348 10867 4748
-rect 25 3748 10867 4348
-rect 25 3348 133 3748
-rect 10805 3348 10867 3748
-rect 25 2748 10867 3348
-rect 25 2348 133 2748
-rect 10805 2348 10867 2748
-rect 25 1748 10867 2348
-rect 25 1348 133 1748
-rect 10805 1348 10867 1748
-rect 25 748 10867 1348
-rect 25 99 133 748
-rect 10805 99 10867 748
-rect 25 11 10867 99
-<< via1 >>
-rect 60 8201 169 8269
-rect 169 8201 624 8269
-rect 1026 8193 6936 8260
-rect 6936 8193 7093 8260
-rect 719 8082 801 8144
-rect 719 7544 801 7606
-rect 7318 8153 10802 8252
-rect 2275 7003 2351 7074
-rect 10138 7999 10290 8053
-rect 8339 7748 8519 7807
-rect 10753 7683 10785 7728
-rect 10785 7683 10819 7728
-rect 10819 7683 10905 7728
-rect 10753 7674 10905 7683
-rect 4331 6693 5278 6819
-rect 2275 6460 2350 6533
-rect 4331 6270 5278 6693
-rect 6432 6623 6803 7036
-rect 7306 7449 8343 7543
-rect 8618 7449 10649 7543
-rect 7171 7124 8320 7299
-rect 8602 7124 10750 7299
-rect 8439 6684 8506 6878
-rect 10507 6765 10672 6834
-rect 10233 6187 10700 6260
-<< metal2 >>
-rect 985 8286 7132 8287
-rect 38 8269 7132 8286
-rect 38 8201 60 8269
-rect 624 8261 7132 8269
-rect 38 8104 77 8201
-rect 634 8187 886 8261
-rect 7091 8260 7132 8261
-rect 7093 8193 7132 8260
-rect 634 8104 654 8187
-rect 38 8061 654 8104
-rect 719 8144 801 8154
-rect 719 8072 801 8082
-rect 866 8104 886 8187
-rect 7091 8104 7132 8193
-rect 7284 8252 10841 8278
-rect 7284 8153 7318 8252
-rect 10802 8153 10841 8252
-rect 7284 8125 10841 8153
-rect 729 7616 785 8072
-rect 866 8060 7132 8104
-rect 10138 8056 10290 8066
-rect 10138 7986 10290 7996
-rect 8339 7807 8519 7817
-rect 8339 7738 8519 7748
-rect 719 7606 801 7616
-rect 719 7534 801 7544
-rect 7279 7543 8374 7570
-rect 7279 7449 7306 7543
-rect 8343 7449 8374 7543
-rect 7279 7417 8374 7449
-rect 7141 7299 8355 7324
-rect 7141 7124 7171 7299
-rect 8320 7124 8355 7299
-rect 7141 7094 8355 7124
-rect 2275 7074 2351 7084
-rect 2275 6993 2351 7003
-rect 6407 7036 6825 7065
-rect 2288 6543 2340 6993
-rect 4308 6849 5298 6868
-rect 2275 6533 2350 6543
-rect 2275 6450 2350 6460
-rect 4308 6270 4331 6849
-rect 5278 6270 5298 6849
-rect 6407 6623 6432 7036
-rect 6803 6623 6825 7036
-rect 8443 6888 8500 7738
-rect 10753 7731 10905 7741
-rect 10753 7661 10905 7671
-rect 8588 7543 10667 7570
-rect 8587 7449 8618 7543
-rect 10649 7449 10667 7543
-rect 8588 7417 10667 7449
-rect 8567 7299 10798 7324
-rect 8567 7124 8602 7299
-rect 10750 7124 10798 7299
-rect 8567 7094 10798 7124
-rect 8439 6878 8506 6888
-rect 8439 6674 8506 6684
-rect 6407 6600 6825 6623
-rect 4308 6249 5298 6270
-rect 10221 6270 10431 7094
-rect 10498 6765 10507 6834
-rect 10672 6765 10757 6834
-rect 10909 6765 10918 6834
-rect 10221 6260 10716 6270
-rect 10221 6187 10233 6260
-rect 10700 6187 10716 6260
-rect 10221 6176 10716 6187
-<< via2 >>
-rect 77 8201 624 8261
-rect 624 8201 634 8261
-rect 77 8104 634 8201
-rect 886 8260 7091 8261
-rect 886 8193 1026 8260
-rect 1026 8193 7091 8260
-rect 886 8104 7091 8193
-rect 7318 8153 10802 8252
-rect 10138 8053 10290 8056
-rect 10138 7999 10290 8053
-rect 10138 7996 10290 7999
-rect 7306 7449 8343 7543
-rect 4331 6819 5278 6849
-rect 4331 6522 5278 6819
-rect 6432 6623 6803 7036
-rect 10753 7728 10905 7731
-rect 10753 7674 10905 7728
-rect 10753 7671 10905 7674
-rect 8618 7449 10649 7543
-rect 10757 6765 10909 6834
-<< metal3 >>
-rect 38 8261 7126 8283
-rect 38 8244 77 8261
-rect 634 8244 886 8261
-rect 38 8000 73 8244
-rect 7091 8104 7126 8261
-rect 7284 8252 10841 8278
-rect 7284 8153 7318 8252
-rect 10802 8153 10841 8252
-rect 7284 8125 10841 8153
-rect 7073 8000 7126 8104
-rect 38 7965 7126 8000
-rect 10128 8056 10295 8064
-rect 10128 7996 10138 8056
-rect 10290 7996 10431 8056
-rect 10128 7991 10295 7996
-rect 10371 7916 10431 7996
-rect 10371 7856 11343 7916
-rect 10743 7731 10910 7739
-rect 10743 7671 10753 7731
-rect 10905 7671 10910 7731
-rect 10743 7666 10910 7671
-rect 7279 7543 10667 7570
-rect 7279 7449 7306 7543
-rect 10649 7449 10667 7543
-rect 10792 7551 10852 7666
-rect 10792 7491 11344 7551
-rect 7279 7417 10667 7449
-rect 4111 7277 5299 7317
-rect 4111 6849 4350 7277
-rect 5268 6849 5299 7277
-rect 4111 6522 4331 6849
-rect 5278 6522 5299 6849
-rect 6408 7036 6825 7065
-rect 6408 6623 6432 7036
-rect 6803 6623 6825 7036
-rect 10747 6834 10918 6840
-rect 10747 6765 10757 6834
-rect 10909 6765 11342 6834
-rect 10747 6758 10918 6765
-rect 6408 6600 6825 6623
-rect 4111 6494 5299 6522
-rect 4111 6251 4307 6494
-<< via3 >>
-rect 73 8104 77 8244
-rect 77 8104 634 8244
-rect 634 8104 886 8244
-rect 886 8104 7073 8244
-rect 7318 8153 10802 8252
-rect 73 8000 7073 8104
-rect 7306 7449 8343 7543
-rect 8343 7449 8618 7543
-rect 8618 7449 10649 7543
-rect 4350 6849 5268 7277
-rect 4350 6558 5268 6849
-rect 6432 6623 6803 7036
-<< metal4 >>
-rect 38 8244 7126 8283
-rect 38 8000 73 8244
-rect 7073 8000 7126 8244
-rect 38 7965 7126 8000
-rect 7241 8252 11180 8291
-rect 7241 8153 7318 8252
-rect 10802 8153 11180 8252
-rect 7241 7962 11180 8153
-rect 10843 7755 11178 7774
-rect 38 7543 10667 7655
-rect 38 7449 7306 7543
-rect 10649 7449 10667 7543
-rect 38 7277 10667 7449
-rect 38 7255 4350 7277
-rect 3817 6558 4350 7255
-rect 5268 7255 10667 7277
-rect 5268 6558 5299 7255
-rect 10843 7074 10879 7755
-rect 6386 7036 10879 7074
-rect 6386 6623 6432 7036
-rect 6803 6623 10879 7036
-rect 6386 6615 10879 6623
-rect 11146 6615 11178 7755
-rect 6386 6591 11178 6615
-rect 3817 6522 5299 6558
-rect 3817 51 4011 6522
-rect 4101 51 4793 6251
-<< via4 >>
-rect 4350 6558 5268 7247
-rect 10879 6615 11146 7755
-<< metal5 >>
-rect 10851 7755 11171 7779
-rect 4313 7247 5299 7317
-rect 4313 6558 4350 7247
-rect 5268 6558 5299 7247
-rect 4313 6494 5299 6558
-rect 4507 6135 5299 6494
-rect 10851 6615 10879 7755
-rect 11146 6615 11171 7755
-rect 10851 6242 11171 6615
-use sky130_fd_pr__nfet_g5v0d10v5_TGFUGS  sky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0
-timestamp 1606063140
-transform 1 0 1515 0 1 6769
-box -962 -458 962 458
-use sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC  sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1
-timestamp 1605994897
-transform -1 0 371 0 1 6769
-box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ  sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0
-timestamp 1606063140
-transform 1 0 1657 0 1 7841
-box -1101 -497 1101 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3
-timestamp 1606063140
-transform 1 0 408 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__nfet_g5v0d10v5_PKVMTM  sky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0
-timestamp 1606063140
-transform 1 0 2660 0 1 6770
-box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_YUHPBG  sky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0
-timestamp 1606063140
-transform 1 0 2906 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0
-timestamp 1606063140
-transform 1 0 3392 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1
-timestamp 1606063140
-transform 1 0 3878 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_YEUEBV  sky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0
-timestamp 1606063140
-transform 1 0 5018 0 1 7841
-box -992 -497 992 497
-use sky130_fd_pr__pfet_g5v0d10v5_YUHPXE  sky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0
-timestamp 1606063140
-transform 1 0 6158 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2
-timestamp 1606063140
-transform 1 0 6644 0 1 7841
-box -338 -497 338 497
-use sky130_fd_sc_hvl__schmittbuf_1  sky130_fd_sc_hvl__schmittbuf_1_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619722500
-transform 1 0 7467 0 1 6404
-box -66 -43 1122 897
-use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_1 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619722500
-transform 1 0 7477 0 1 7438
-box -66 -43 1986 897
-use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_0
-timestamp 1619722500
-transform 1 0 8523 0 1 6404
-box -66 -43 1986 897
-use sky130_fd_sc_hvl__fill_4  sky130_fd_sc_hvl__fill_4_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619722500
-transform 1 0 10443 0 1 6404
-box -66 -43 450 897
-use sky130_fd_sc_hvl__inv_8  sky130_fd_sc_hvl__inv_8_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619722500
-transform 1 0 9397 0 1 7438
-box -66 -43 1506 897
-use sky130_fd_pr__res_xhigh_po_0p69_S5N9F3  sky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0
-timestamp 1606074388
-transform 1 0 5446 0 1 3098
-box -5446 -3098 5446 3098
-use sky130_fd_pr__cap_mim_m3_2_W5U4AW  sky130_fd_pr__cap_mim_m3_2_W5U4AW_0
-timestamp 1606502073
-transform 1 0 7970 0 1 3151
-box -3179 -3101 3201 3101
-use sky130_fd_pr__cap_mim_m3_1_WRT4AW  sky130_fd_pr__cap_mim_m3_1_WRT4AW_0
-timestamp 1606502073
-transform -1 0 7027 0 1 3151
-box -3136 -3100 3136 3100
-<< labels >>
-flabel metal4 s 38 7965 73 8283 0 FreeSans 320 0 0 0 vdd3v3
-port 0 nsew
-flabel metal4 s 38 7255 232 7655 0 FreeSans 320 0 0 0 vss
-port 2 nsew
-flabel metal4 s 10974 7962 11180 8291 0 FreeSans 320 0 0 0 vdd1v8
-port 1 nsew
-flabel metal3 11189 7491 11344 7551 0 FreeSans 320 0 0 0 por_l
-port 4 nsew
-flabel metal3 11188 7856 11343 7916 0 FreeSans 320 0 0 0 porb_l
-port 5 nsew
-flabel metal3 10969 6765 11342 6834 0 FreeSans 320 0 0 0 porb_h
-port 3 nsew
-<< properties >>
-string FIXED_BBOX 0 0 11344 8338
-<< end >>
diff --git a/caravel/mag/neuron_s.mag b/mag/neuron_s.mag
similarity index 100%
rename from caravel/mag/neuron_s.mag
rename to mag/neuron_s.mag
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_WRT4AW.mag b/mag/sky130_fd_pr__cap_mim_m3_1_WRT4AW.mag
deleted file mode 100644
index c4fedfd..0000000
--- a/mag/sky130_fd_pr__cap_mim_m3_1_WRT4AW.mag
+++ /dev/null
@@ -1,33 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606502073
-<< metal3 >>
-rect -3136 3072 3136 3100
-rect -3136 -3072 3052 3072
-rect 3116 -3072 3136 3072
-rect -3136 -3100 3136 -3072
-<< via3 >>
-rect 3052 -3072 3116 3072
-<< mimcap >>
-rect -3036 2960 2964 3000
-rect -3036 -2960 2332 2960
-rect 2924 -2960 2964 2960
-rect -3036 -3000 2964 -2960
-<< mimcapcontact >>
-rect 2332 -2960 2924 2960
-<< metal4 >>
-rect 3036 3072 3132 3088
-rect 2331 2960 2925 2961
-rect 2331 -2960 2332 2960
-rect 2924 -2960 2925 2960
-rect 2331 -2961 2925 -2960
-rect 3036 -3072 3052 3072
-rect 3116 -3072 3132 3072
-rect 3036 -3088 3132 -3072
-<< properties >>
-string gencell sky130_fd_pr__cap_mim_m3_1
-string FIXED_BBOX -3136 -3100 3064 3100
-string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1 ccov -10
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_W5U4AW.mag b/mag/sky130_fd_pr__cap_mim_m3_2_W5U4AW.mag
deleted file mode 100644
index 59928eb..0000000
--- a/mag/sky130_fd_pr__cap_mim_m3_2_W5U4AW.mag
+++ /dev/null
@@ -1,33 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606502073
-<< metal4 >>
-rect -3179 3059 3179 3100
-rect -3179 -3059 2923 3059
-rect 3159 -3059 3179 3059
-rect -3179 -3100 3179 -3059
-<< via4 >>
-rect 2923 -3059 3159 3059
-<< mimcap2 >>
-rect -3079 2960 2921 3000
-rect -3079 -2960 -3039 2960
-rect 2289 -2960 2921 2960
-rect -3079 -3000 2921 -2960
-<< mimcap2contact >>
-rect -3039 -2960 2289 2960
-<< metal5 >>
-rect 2881 3059 3201 3101
-rect -3063 2960 2313 2984
-rect -3063 -2960 -3039 2960
-rect 2289 -2960 2313 2960
-rect -3063 -2984 2313 -2960
-rect 2881 -3059 2923 3059
-rect 3159 -3059 3201 3059
-rect 2881 -3101 3201 -3059
-<< properties >>
-string gencell sky130_fd_pr__cap_mim_m3_2
-string FIXED_BBOX -3179 -3100 3021 3100
-string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1 ccov +90
-string library sky130
-<< end >>
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_4PXCG5.mag b/mag/sky130_fd_pr__nfet_01v8_4PXCG5.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_4PXCG5.mag
rename to mag/sky130_fd_pr__nfet_01v8_4PXCG5.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_52TL5F.mag b/mag/sky130_fd_pr__nfet_01v8_52TL5F.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_52TL5F.mag
rename to mag/sky130_fd_pr__nfet_01v8_52TL5F.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_5QB7GF.mag b/mag/sky130_fd_pr__nfet_01v8_5QB7GF.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_5QB7GF.mag
rename to mag/sky130_fd_pr__nfet_01v8_5QB7GF.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_782H3L.mag b/mag/sky130_fd_pr__nfet_01v8_782H3L.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_782H3L.mag
rename to mag/sky130_fd_pr__nfet_01v8_782H3L.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_7ZKCBF.mag b/mag/sky130_fd_pr__nfet_01v8_7ZKCBF.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_7ZKCBF.mag
rename to mag/sky130_fd_pr__nfet_01v8_7ZKCBF.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_9UJ7GX.mag b/mag/sky130_fd_pr__nfet_01v8_9UJ7GX.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_9UJ7GX.mag
rename to mag/sky130_fd_pr__nfet_01v8_9UJ7GX.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_A2TL5X.mag b/mag/sky130_fd_pr__nfet_01v8_A2TL5X.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_A2TL5X.mag
rename to mag/sky130_fd_pr__nfet_01v8_A2TL5X.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_AAC7GX.mag b/mag/sky130_fd_pr__nfet_01v8_AAC7GX.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_AAC7GX.mag
rename to mag/sky130_fd_pr__nfet_01v8_AAC7GX.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_AGP7GX.mag b/mag/sky130_fd_pr__nfet_01v8_AGP7GX.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_AGP7GX.mag
rename to mag/sky130_fd_pr__nfet_01v8_AGP7GX.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_AZFMGK.mag b/mag/sky130_fd_pr__nfet_01v8_AZFMGK.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_AZFMGK.mag
rename to mag/sky130_fd_pr__nfet_01v8_AZFMGK.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_BBTBMZ.mag b/mag/sky130_fd_pr__nfet_01v8_BBTBMZ.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_BBTBMZ.mag
rename to mag/sky130_fd_pr__nfet_01v8_BBTBMZ.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_C2ELAV.mag b/mag/sky130_fd_pr__nfet_01v8_C2ELAV.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_C2ELAV.mag
rename to mag/sky130_fd_pr__nfet_01v8_C2ELAV.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_CXV4AJ.mag b/mag/sky130_fd_pr__nfet_01v8_CXV4AJ.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_CXV4AJ.mag
rename to mag/sky130_fd_pr__nfet_01v8_CXV4AJ.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_GP3VPT.mag b/mag/sky130_fd_pr__nfet_01v8_GP3VPT.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_GP3VPT.mag
rename to mag/sky130_fd_pr__nfet_01v8_GP3VPT.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_HX3HLY.mag b/mag/sky130_fd_pr__nfet_01v8_HX3HLY.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_HX3HLY.mag
rename to mag/sky130_fd_pr__nfet_01v8_HX3HLY.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_LHCY62.mag b/mag/sky130_fd_pr__nfet_01v8_LHCY62.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_LHCY62.mag
rename to mag/sky130_fd_pr__nfet_01v8_LHCY62.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_LW2HKK.mag b/mag/sky130_fd_pr__nfet_01v8_LW2HKK.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_LW2HKK.mag
rename to mag/sky130_fd_pr__nfet_01v8_LW2HKK.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_PNXWEF.mag b/mag/sky130_fd_pr__nfet_01v8_PNXWEF.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_PNXWEF.mag
rename to mag/sky130_fd_pr__nfet_01v8_PNXWEF.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_S3F2PV.mag b/mag/sky130_fd_pr__nfet_01v8_S3F2PV.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_S3F2PV.mag
rename to mag/sky130_fd_pr__nfet_01v8_S3F2PV.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_SLJCGW.mag b/mag/sky130_fd_pr__nfet_01v8_SLJCGW.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_SLJCGW.mag
rename to mag/sky130_fd_pr__nfet_01v8_SLJCGW.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_TY4QAD.mag b/mag/sky130_fd_pr__nfet_01v8_TY4QAD.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_TY4QAD.mag
rename to mag/sky130_fd_pr__nfet_01v8_TY4QAD.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_W9EUVL.mag b/mag/sky130_fd_pr__nfet_01v8_W9EUVL.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_W9EUVL.mag
rename to mag/sky130_fd_pr__nfet_01v8_W9EUVL.mag
diff --git a/caravel/mag/sky130_fd_pr__nfet_01v8_XG2GE7.mag b/mag/sky130_fd_pr__nfet_01v8_XG2GE7.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__nfet_01v8_XG2GE7.mag
rename to mag/sky130_fd_pr__nfet_01v8_XG2GE7.mag
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag
deleted file mode 100644
index 7be65d4..0000000
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag
+++ /dev/null
@@ -1,98 +0,0 @@
-magic
-tech sky130A
-timestamp 1606063140
-<< pwell >>
-rect -154 -229 154 229
-<< mvnmos >>
-rect -40 -100 40 100
-<< mvndiff >>
-rect -69 94 -40 100
-rect -69 -94 -63 94
-rect -46 -94 -40 94
-rect -69 -100 -40 -94
-rect 40 94 69 100
-rect 40 -94 46 94
-rect 63 -94 69 94
-rect 40 -100 69 -94
-<< mvndiffc >>
-rect -63 -94 -46 94
-rect 46 -94 63 94
-<< mvpsubdiff >>
-rect -136 205 136 211
-rect -136 188 -82 205
-rect 82 188 136 205
-rect -136 182 136 188
-rect -136 -182 -107 182
-rect 107 157 136 182
-rect 107 -157 113 157
-rect 130 -157 136 157
-rect 107 -182 136 -157
-rect -136 -188 136 -182
-rect -136 -205 -82 -188
-rect 82 -205 136 -188
-rect -136 -211 136 -205
-<< mvpsubdiffcont >>
-rect -82 188 82 205
-rect 113 -157 130 157
-rect -82 -205 82 -188
-<< poly >>
-rect -40 136 40 144
-rect -40 119 -32 136
-rect 32 119 40 136
-rect -40 100 40 119
-rect -40 -119 40 -100
-rect -40 -136 -32 -119
-rect 32 -136 40 -119
-rect -40 -144 40 -136
-<< polycont >>
-rect -32 119 32 136
-rect -32 -136 32 -119
-<< locali >>
-rect -130 188 -82 205
-rect 82 188 130 205
-rect -130 -19 -113 188
-rect 113 157 130 188
-rect -40 119 -32 136
-rect 32 119 40 136
-rect -63 94 -46 102
-rect -63 -102 -46 -94
-rect 46 94 63 102
-rect 46 -102 63 -94
-rect -40 -136 -32 -119
-rect 32 -136 40 -119
-rect 113 -188 130 -157
-rect -130 -205 -82 -188
-rect 82 -205 130 -188
-<< viali >>
-rect -32 119 32 136
-rect -130 -188 -113 -19
-rect -63 -94 -46 94
-rect 46 -94 63 94
-rect -32 -136 32 -119
-<< metal1 >>
-rect -38 136 38 139
-rect -38 119 -32 136
-rect 32 119 38 136
-rect -38 116 38 119
-rect -66 94 -43 100
-rect -133 -19 -110 -13
-rect -133 -188 -130 -19
-rect -113 -188 -110 -19
-rect -66 -94 -63 94
-rect -46 -94 -43 94
-rect -66 -100 -43 -94
-rect 43 94 66 100
-rect 43 -94 46 94
-rect 63 -94 66 94
-rect 43 -100 66 -94
-rect -38 -119 38 -116
-rect -38 -136 -32 -119
-rect 32 -136 38 -119
-rect -38 -139 38 -136
-rect -133 -194 -110 -188
-<< properties >>
-string gencell sky130_fd_pr__nfet_g5v0d10v5
-string FIXED_BBOX -121 -196 121 196
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 0 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl +45 viagt 0
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
deleted file mode 100644
index 0fc9bf5..0000000
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
+++ /dev/null
@@ -1,326 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< pwell >>
-rect -962 -458 962 458
-<< mvnmos >>
-rect -734 -200 -574 200
-rect -516 -200 -356 200
-rect -298 -200 -138 200
-rect -80 -200 80 200
-rect 138 -200 298 200
-rect 356 -200 516 200
-rect 574 -200 734 200
-<< mvndiff >>
-rect -792 188 -734 200
-rect -792 -188 -780 188
-rect -746 -188 -734 188
-rect -792 -200 -734 -188
-rect -574 188 -516 200
-rect -574 -188 -562 188
-rect -528 -188 -516 188
-rect -574 -200 -516 -188
-rect -356 188 -298 200
-rect -356 -188 -344 188
-rect -310 -188 -298 188
-rect -356 -200 -298 -188
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-rect 298 188 356 200
-rect 298 -188 310 188
-rect 344 -188 356 188
-rect 298 -200 356 -188
-rect 516 188 574 200
-rect 516 -188 528 188
-rect 562 -188 574 188
-rect 516 -200 574 -188
-rect 734 188 792 200
-rect 734 -188 746 188
-rect 780 -188 792 188
-rect 734 -200 792 -188
-<< mvndiffc >>
-rect -780 -188 -746 188
-rect -562 -188 -528 188
-rect -344 -188 -310 188
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect 310 -188 344 188
-rect 528 -188 562 188
-rect 746 -188 780 188
-<< mvpsubdiff >>
-rect -926 410 926 422
-rect -926 376 -818 410
-rect 818 376 926 410
-rect -926 364 926 376
-rect -926 314 -868 364
-rect -926 -314 -914 314
-rect -880 -314 -868 314
-rect 868 314 926 364
-rect -926 -364 -868 -314
-rect 868 -314 880 314
-rect 914 -314 926 314
-rect 868 -364 926 -314
-rect -926 -376 926 -364
-rect -926 -410 -818 -376
-rect 818 -410 926 -376
-rect -926 -422 926 -410
-<< mvpsubdiffcont >>
-rect -818 376 818 410
-rect -914 -314 -880 314
-rect 880 -314 914 314
-rect -818 -410 818 -376
-<< poly >>
-rect -734 272 -574 288
-rect -734 238 -718 272
-rect -590 238 -574 272
-rect -734 200 -574 238
-rect -516 272 -356 288
-rect -516 238 -500 272
-rect -372 238 -356 272
-rect -516 200 -356 238
-rect -298 272 -138 288
-rect -298 238 -282 272
-rect -154 238 -138 272
-rect -298 200 -138 238
-rect -80 272 80 288
-rect -80 238 -64 272
-rect 64 238 80 272
-rect -80 200 80 238
-rect 138 272 298 288
-rect 138 238 154 272
-rect 282 238 298 272
-rect 138 200 298 238
-rect 356 272 516 288
-rect 356 238 372 272
-rect 500 238 516 272
-rect 356 200 516 238
-rect 574 272 734 288
-rect 574 238 590 272
-rect 718 238 734 272
-rect 574 200 734 238
-rect -734 -238 -574 -200
-rect -734 -272 -718 -238
-rect -590 -272 -574 -238
-rect -734 -288 -574 -272
-rect -516 -238 -356 -200
-rect -516 -272 -500 -238
-rect -372 -272 -356 -238
-rect -516 -288 -356 -272
-rect -298 -238 -138 -200
-rect -298 -272 -282 -238
-rect -154 -272 -138 -238
-rect -298 -288 -138 -272
-rect -80 -238 80 -200
-rect -80 -272 -64 -238
-rect 64 -272 80 -238
-rect -80 -288 80 -272
-rect 138 -238 298 -200
-rect 138 -272 154 -238
-rect 282 -272 298 -238
-rect 138 -288 298 -272
-rect 356 -238 516 -200
-rect 356 -272 372 -238
-rect 500 -272 516 -238
-rect 356 -288 516 -272
-rect 574 -238 734 -200
-rect 574 -272 590 -238
-rect 718 -272 734 -238
-rect 574 -288 734 -272
-<< polycont >>
-rect -718 238 -590 272
-rect -500 238 -372 272
-rect -282 238 -154 272
-rect -64 238 64 272
-rect 154 238 282 272
-rect 372 238 500 272
-rect 590 238 718 272
-rect -718 -272 -590 -238
-rect -500 -272 -372 -238
-rect -282 -272 -154 -238
-rect -64 -272 64 -238
-rect 154 -272 282 -238
-rect 372 -272 500 -238
-rect 590 -272 718 -238
-<< locali >>
-rect -914 376 -818 410
-rect 818 376 914 410
-rect -914 314 -880 376
-rect 880 314 914 376
-rect -734 238 -718 272
-rect -590 238 -574 272
-rect -516 238 -500 272
-rect -372 238 -356 272
-rect -298 238 -282 272
-rect -154 238 -138 272
-rect -80 238 -64 272
-rect 64 238 80 272
-rect 138 238 154 272
-rect 282 238 298 272
-rect 356 238 372 272
-rect 500 238 516 272
-rect 574 238 590 272
-rect 718 238 734 272
-rect -780 188 -746 204
-rect -780 -204 -746 -188
-rect -562 188 -528 204
-rect -562 -204 -528 -188
-rect -344 188 -310 204
-rect -344 -204 -310 -188
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect 310 188 344 204
-rect 310 -204 344 -188
-rect 528 188 562 204
-rect 528 -204 562 -188
-rect 746 188 780 204
-rect 746 -204 780 -188
-rect -734 -272 -718 -238
-rect -590 -272 -574 -238
-rect -516 -272 -500 -238
-rect -372 -272 -356 -238
-rect -298 -272 -282 -238
-rect -154 -272 -138 -238
-rect -80 -272 -64 -238
-rect 64 -272 80 -238
-rect 138 -272 154 -238
-rect 282 -272 298 -238
-rect 356 -272 372 -238
-rect 500 -272 516 -238
-rect 574 -272 590 -238
-rect 718 -272 734 -238
-rect -914 -376 -880 -314
-rect 880 -376 914 -314
-rect -914 -410 -818 -376
-rect 818 -410 914 -376
-<< viali >>
-rect -914 -263 -880 263
-rect -718 238 -590 272
-rect -500 238 -372 272
-rect -282 238 -154 272
-rect -64 238 64 272
-rect 154 238 282 272
-rect 372 238 500 272
-rect 590 238 718 272
-rect -780 21 -746 171
-rect -562 -171 -528 -21
-rect -344 21 -310 171
-rect -126 -171 -92 -21
-rect 92 21 126 171
-rect 310 -171 344 -21
-rect 528 21 562 171
-rect 746 -171 780 -21
-rect -718 -272 -590 -238
-rect -500 -272 -372 -238
-rect -282 -272 -154 -238
-rect -64 -272 64 -238
-rect 154 -272 282 -238
-rect 372 -272 500 -238
-rect 590 -272 718 -238
-<< metal1 >>
-rect -920 263 -874 275
-rect -920 -263 -914 263
-rect -880 -263 -874 263
-rect -730 272 -578 278
-rect -730 238 -718 272
-rect -590 238 -578 272
-rect -730 232 -578 238
-rect -512 272 -360 278
-rect -512 238 -500 272
-rect -372 238 -360 272
-rect -512 232 -360 238
-rect -294 272 -142 278
-rect -294 238 -282 272
-rect -154 238 -142 272
-rect -294 232 -142 238
-rect -76 272 76 278
-rect -76 238 -64 272
-rect 64 238 76 272
-rect -76 232 76 238
-rect 142 272 294 278
-rect 142 238 154 272
-rect 282 238 294 272
-rect 142 232 294 238
-rect 360 272 512 278
-rect 360 238 372 272
-rect 500 238 512 272
-rect 360 232 512 238
-rect 578 272 730 278
-rect 578 238 590 272
-rect 718 238 730 272
-rect 578 232 730 238
-rect -786 171 -740 183
-rect -786 21 -780 171
-rect -746 21 -740 171
-rect -786 9 -740 21
-rect -350 171 -304 183
-rect -350 21 -344 171
-rect -310 21 -304 171
-rect -350 9 -304 21
-rect 86 171 132 183
-rect 86 21 92 171
-rect 126 21 132 171
-rect 86 9 132 21
-rect 522 171 568 183
-rect 522 21 528 171
-rect 562 21 568 171
-rect 522 9 568 21
-rect -568 -21 -522 -9
-rect -568 -171 -562 -21
-rect -528 -171 -522 -21
-rect -568 -183 -522 -171
-rect -132 -21 -86 -9
-rect -132 -171 -126 -21
-rect -92 -171 -86 -21
-rect -132 -183 -86 -171
-rect 304 -21 350 -9
-rect 304 -171 310 -21
-rect 344 -171 350 -21
-rect 304 -183 350 -171
-rect 740 -21 786 -9
-rect 740 -171 746 -21
-rect 780 -171 786 -21
-rect 740 -183 786 -171
-rect -920 -275 -874 -263
-rect -730 -238 -578 -232
-rect -730 -272 -718 -238
-rect -590 -272 -578 -238
-rect -730 -278 -578 -272
-rect -512 -238 -360 -232
-rect -512 -272 -500 -238
-rect -372 -272 -360 -238
-rect -512 -278 -360 -272
-rect -294 -238 -142 -232
-rect -294 -272 -282 -238
-rect -154 -272 -142 -238
-rect -294 -278 -142 -272
-rect -76 -238 76 -232
-rect -76 -272 -64 -238
-rect 64 -272 76 -238
-rect -76 -278 76 -272
-rect 142 -238 294 -232
-rect 142 -272 154 -238
-rect 282 -272 294 -238
-rect 142 -278 294 -272
-rect 360 -238 512 -232
-rect 360 -272 372 -238
-rect 500 -272 512 -238
-rect 360 -278 512 -272
-rect 578 -238 730 -232
-rect 578 -272 590 -238
-rect 718 -272 730 -238
-rect 578 -278 730 -272
-<< properties >>
-string gencell sky130_fd_pr__nfet_g5v0d10v5
-string FIXED_BBOX -897 -393 897 393
-string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1 viasrc +40 viadrn -40 viagate 100 viagb 0 viagr 0 viagl 70 viagt 0
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag
deleted file mode 100644
index eb312e6..0000000
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag
+++ /dev/null
@@ -1,93 +0,0 @@
-magic
-tech sky130A
-timestamp 1605994897
-<< pwell >>
-rect -154 -229 154 229
-<< mvnmos >>
-rect -40 -100 40 100
-<< mvndiff >>
-rect -69 94 -40 100
-rect -69 -94 -63 94
-rect -46 -94 -40 94
-rect -69 -100 -40 -94
-rect 40 94 69 100
-rect 40 -94 46 94
-rect 63 -94 69 94
-rect 40 -100 69 -94
-<< mvndiffc >>
-rect -63 -94 -46 94
-rect 46 -94 63 94
-<< mvpsubdiff >>
-rect -136 205 136 211
-rect -136 188 -82 205
-rect 82 188 136 205
-rect -136 182 136 188
-rect -136 -182 -107 182
-rect 107 157 136 182
-rect 107 -157 113 157
-rect 130 -157 136 157
-rect 107 -182 136 -157
-rect -136 -188 136 -182
-rect -136 -205 -82 -188
-rect 82 -205 136 -188
-rect -136 -211 136 -205
-<< mvpsubdiffcont >>
-rect -82 188 82 205
-rect 113 -157 130 157
-rect -82 -205 82 -188
-<< poly >>
-rect -40 136 40 144
-rect -40 119 -32 136
-rect 32 119 40 136
-rect -40 100 40 119
-rect -40 -119 40 -100
-rect -40 -136 -32 -119
-rect 32 -136 40 -119
-rect -40 -144 40 -136
-<< polycont >>
-rect -32 119 32 136
-rect -32 -136 32 -119
-<< locali >>
-rect -130 188 -82 205
-rect 82 188 130 205
-rect -130 -188 -113 188
-rect 113 157 130 188
-rect -40 119 -32 136
-rect 32 119 40 136
-rect -63 94 -46 102
-rect -63 -102 -46 -94
-rect 46 94 63 102
-rect 46 -102 63 -94
-rect -40 -136 -32 -119
-rect 32 -136 40 -119
-rect 113 -188 130 -157
-rect -130 -205 -82 -188
-rect 82 -205 130 -188
-<< viali >>
-rect -32 119 32 136
-rect -63 -94 -46 94
-rect 46 -94 63 94
-rect -32 -136 32 -119
-<< metal1 >>
-rect -38 136 38 139
-rect -38 119 -32 136
-rect 32 119 38 136
-rect -38 116 38 119
-rect -66 94 -43 100
-rect -66 -94 -63 94
-rect -46 -94 -43 94
-rect -66 -100 -43 -94
-rect 43 94 66 100
-rect 43 -94 46 94
-rect 63 -94 66 94
-rect 43 -100 66 -94
-rect -38 -119 38 -116
-rect -38 -136 -32 -119
-rect 32 -136 38 -119
-rect -38 -139 38 -136
-<< properties >>
-string gencell sky130_fd_pr__nfet_g5v0d10v5
-string FIXED_BBOX -121 -196 121 196
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 0 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
-string library sky130
-<< end >>
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_27F7GK.mag b/mag/sky130_fd_pr__pfet_01v8_27F7GK.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_27F7GK.mag
rename to mag/sky130_fd_pr__pfet_01v8_27F7GK.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_396TWK.mag b/mag/sky130_fd_pr__pfet_01v8_396TWK.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_396TWK.mag
rename to mag/sky130_fd_pr__pfet_01v8_396TWK.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_3BZUWK.mag b/mag/sky130_fd_pr__pfet_01v8_3BZUWK.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_3BZUWK.mag
rename to mag/sky130_fd_pr__pfet_01v8_3BZUWK.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_3FVSWK.mag b/mag/sky130_fd_pr__pfet_01v8_3FVSWK.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_3FVSWK.mag
rename to mag/sky130_fd_pr__pfet_01v8_3FVSWK.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_73WZNP.mag b/mag/sky130_fd_pr__pfet_01v8_73WZNP.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_73WZNP.mag
rename to mag/sky130_fd_pr__pfet_01v8_73WZNP.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_79SF4X.mag b/mag/sky130_fd_pr__pfet_01v8_79SF4X.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_79SF4X.mag
rename to mag/sky130_fd_pr__pfet_01v8_79SF4X.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_BDWR5Q.mag b/mag/sky130_fd_pr__pfet_01v8_BDWR5Q.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_BDWR5Q.mag
rename to mag/sky130_fd_pr__pfet_01v8_BDWR5Q.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_C3WZJM.mag b/mag/sky130_fd_pr__pfet_01v8_C3WZJM.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_C3WZJM.mag
rename to mag/sky130_fd_pr__pfet_01v8_C3WZJM.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_CC7KEW.mag b/mag/sky130_fd_pr__pfet_01v8_CC7KEW.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_CC7KEW.mag
rename to mag/sky130_fd_pr__pfet_01v8_CC7KEW.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_E6WEYA.mag b/mag/sky130_fd_pr__pfet_01v8_E6WEYA.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_E6WEYA.mag
rename to mag/sky130_fd_pr__pfet_01v8_E6WEYA.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_EDWR5W.mag b/mag/sky130_fd_pr__pfet_01v8_EDWR5W.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_EDWR5W.mag
rename to mag/sky130_fd_pr__pfet_01v8_EDWR5W.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_EMKQNQ.mag b/mag/sky130_fd_pr__pfet_01v8_EMKQNQ.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_EMKQNQ.mag
rename to mag/sky130_fd_pr__pfet_01v8_EMKQNQ.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_G3WZJM.mag b/mag/sky130_fd_pr__pfet_01v8_G3WZJM.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_G3WZJM.mag
rename to mag/sky130_fd_pr__pfet_01v8_G3WZJM.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_H8Y43H.mag b/mag/sky130_fd_pr__pfet_01v8_H8Y43H.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_H8Y43H.mag
rename to mag/sky130_fd_pr__pfet_01v8_H8Y43H.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_KPE92J.mag b/mag/sky130_fd_pr__pfet_01v8_KPE92J.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_KPE92J.mag
rename to mag/sky130_fd_pr__pfet_01v8_KPE92J.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_KPEH9L.mag b/mag/sky130_fd_pr__pfet_01v8_KPEH9L.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_KPEH9L.mag
rename to mag/sky130_fd_pr__pfet_01v8_KPEH9L.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_KPEHM7.mag b/mag/sky130_fd_pr__pfet_01v8_KPEHM7.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_KPEHM7.mag
rename to mag/sky130_fd_pr__pfet_01v8_KPEHM7.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_MJR9GH.mag b/mag/sky130_fd_pr__pfet_01v8_MJR9GH.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_MJR9GH.mag
rename to mag/sky130_fd_pr__pfet_01v8_MJR9GH.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_NDGGM9.mag b/mag/sky130_fd_pr__pfet_01v8_NDGGM9.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_NDGGM9.mag
rename to mag/sky130_fd_pr__pfet_01v8_NDGGM9.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_QD2RPB.mag b/mag/sky130_fd_pr__pfet_01v8_QD2RPB.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_QD2RPB.mag
rename to mag/sky130_fd_pr__pfet_01v8_QD2RPB.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_QDW7KD.mag b/mag/sky130_fd_pr__pfet_01v8_QDW7KD.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_QDW7KD.mag
rename to mag/sky130_fd_pr__pfet_01v8_QDW7KD.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_QE3MXG.mag b/mag/sky130_fd_pr__pfet_01v8_QE3MXG.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_QE3MXG.mag
rename to mag/sky130_fd_pr__pfet_01v8_QE3MXG.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_R23ZJ6.mag b/mag/sky130_fd_pr__pfet_01v8_R23ZJ6.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_R23ZJ6.mag
rename to mag/sky130_fd_pr__pfet_01v8_R23ZJ6.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_SGAV3S.mag b/mag/sky130_fd_pr__pfet_01v8_SGAV3S.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_SGAV3S.mag
rename to mag/sky130_fd_pr__pfet_01v8_SGAV3S.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_UCG7GH.mag b/mag/sky130_fd_pr__pfet_01v8_UCG7GH.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_UCG7GH.mag
rename to mag/sky130_fd_pr__pfet_01v8_UCG7GH.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_UJR9GH.mag b/mag/sky130_fd_pr__pfet_01v8_UJR9GH.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_UJR9GH.mag
rename to mag/sky130_fd_pr__pfet_01v8_UJR9GH.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_VNEHM9.mag b/mag/sky130_fd_pr__pfet_01v8_VNEHM9.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_VNEHM9.mag
rename to mag/sky130_fd_pr__pfet_01v8_VNEHM9.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_XJMZDL.mag b/mag/sky130_fd_pr__pfet_01v8_XJMZDL.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_XJMZDL.mag
rename to mag/sky130_fd_pr__pfet_01v8_XJMZDL.mag
diff --git a/caravel/mag/sky130_fd_pr__pfet_01v8_YAA8Z2.mag b/mag/sky130_fd_pr__pfet_01v8_YAA8Z2.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__pfet_01v8_YAA8Z2.mag
rename to mag/sky130_fd_pr__pfet_01v8_YAA8Z2.mag
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
deleted file mode 100644
index e0b0219..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
+++ /dev/null
@@ -1,106 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< nwell >>
-rect -338 -497 338 497
-<< mvpmos >>
-rect -80 -200 80 200
-<< mvpdiff >>
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-<< mvpdiffc >>
-rect -126 -188 -92 188
-rect 92 -188 126 188
-<< mvnsubdiff >>
-rect -272 419 272 431
-rect -272 385 -164 419
-rect 164 385 272 419
-rect -272 373 272 385
-rect -272 323 -214 373
-rect -272 -323 -260 323
-rect -226 -323 -214 323
-rect 214 323 272 373
-rect -272 -373 -214 -323
-rect 214 -323 226 323
-rect 260 -323 272 323
-rect 214 -373 272 -323
-rect -272 -385 272 -373
-rect -272 -419 -164 -385
-rect 164 -419 272 -385
-rect -272 -431 272 -419
-<< mvnsubdiffcont >>
-rect -164 385 164 419
-rect -260 -323 -226 323
-rect 226 -323 260 323
-rect -164 -419 164 -385
-<< poly >>
-rect -80 281 80 297
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -80 200 80 247
-rect -80 -247 80 -200
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -80 -297 80 -281
-<< polycont >>
-rect -64 247 64 281
-rect -64 -281 64 -247
-<< locali >>
-rect -260 385 -181 419
-rect 181 385 260 419
-rect -260 323 -226 385
-rect 226 323 260 385
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -260 -385 -226 -323
-rect 226 -385 260 -323
-rect -260 -419 -164 -385
-rect 164 -419 260 -385
-<< viali >>
-rect -181 385 -164 419
-rect -164 385 164 419
-rect 164 385 181 419
-rect -64 247 64 281
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect -64 -281 64 -247
-<< metal1 >>
-rect -193 419 193 425
-rect -193 385 -181 419
-rect 181 385 193 419
-rect -193 379 193 385
-rect -76 281 76 287
-rect -76 247 -64 281
-rect 64 247 76 281
-rect -76 241 76 247
-rect -132 188 -86 200
-rect -132 -188 -126 188
-rect -92 -188 -86 188
-rect -132 -200 -86 -188
-rect 86 188 132 200
-rect 86 -188 92 188
-rect 126 -188 132 188
-rect 86 -200 132 -188
-rect -76 -247 76 -241
-rect -76 -281 -64 -247
-rect 64 -281 76 -247
-rect -76 -287 76 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl 0 viagr 0 viagt 80 viagb 0 viagate 100 viadrn 100 viasrc 100
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
deleted file mode 100644
index 08a17b0..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
+++ /dev/null
@@ -1,331 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< nwell >>
-rect -992 -497 992 497
-<< mvpmos >>
-rect -734 -200 -574 200
-rect -516 -200 -356 200
-rect -298 -200 -138 200
-rect -80 -200 80 200
-rect 138 -200 298 200
-rect 356 -200 516 200
-rect 574 -200 734 200
-<< mvpdiff >>
-rect -792 188 -734 200
-rect -792 -188 -780 188
-rect -746 -188 -734 188
-rect -792 -200 -734 -188
-rect -574 188 -516 200
-rect -574 -188 -562 188
-rect -528 -188 -516 188
-rect -574 -200 -516 -188
-rect -356 188 -298 200
-rect -356 -188 -344 188
-rect -310 -188 -298 188
-rect -356 -200 -298 -188
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-rect 298 188 356 200
-rect 298 -188 310 188
-rect 344 -188 356 188
-rect 298 -200 356 -188
-rect 516 188 574 200
-rect 516 -188 528 188
-rect 562 -188 574 188
-rect 516 -200 574 -188
-rect 734 188 792 200
-rect 734 -188 746 188
-rect 780 -188 792 188
-rect 734 -200 792 -188
-<< mvpdiffc >>
-rect -780 -188 -746 188
-rect -562 -188 -528 188
-rect -344 -188 -310 188
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect 310 -188 344 188
-rect 528 -188 562 188
-rect 746 -188 780 188
-<< mvnsubdiff >>
-rect -926 419 926 431
-rect -926 385 -818 419
-rect 818 385 926 419
-rect -926 373 926 385
-rect -926 323 -868 373
-rect -926 -323 -914 323
-rect -880 -323 -868 323
-rect 868 323 926 373
-rect -926 -373 -868 -323
-rect 868 -323 880 323
-rect 914 -323 926 323
-rect 868 -373 926 -323
-rect -926 -385 926 -373
-rect -926 -419 -818 -385
-rect 818 -419 926 -385
-rect -926 -431 926 -419
-<< mvnsubdiffcont >>
-rect -818 385 818 419
-rect -914 -323 -880 323
-rect 880 -323 914 323
-rect -818 -419 818 -385
-<< poly >>
-rect -734 281 -574 297
-rect -734 247 -718 281
-rect -590 247 -574 281
-rect -734 200 -574 247
-rect -516 281 -356 297
-rect -516 247 -500 281
-rect -372 247 -356 281
-rect -516 200 -356 247
-rect -298 281 -138 297
-rect -298 247 -282 281
-rect -154 247 -138 281
-rect -298 200 -138 247
-rect -80 281 80 297
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -80 200 80 247
-rect 138 281 298 297
-rect 138 247 154 281
-rect 282 247 298 281
-rect 138 200 298 247
-rect 356 281 516 297
-rect 356 247 372 281
-rect 500 247 516 281
-rect 356 200 516 247
-rect 574 281 734 297
-rect 574 247 590 281
-rect 718 247 734 281
-rect 574 200 734 247
-rect -734 -247 -574 -200
-rect -734 -281 -718 -247
-rect -590 -281 -574 -247
-rect -734 -297 -574 -281
-rect -516 -247 -356 -200
-rect -516 -281 -500 -247
-rect -372 -281 -356 -247
-rect -516 -297 -356 -281
-rect -298 -247 -138 -200
-rect -298 -281 -282 -247
-rect -154 -281 -138 -247
-rect -298 -297 -138 -281
-rect -80 -247 80 -200
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -80 -297 80 -281
-rect 138 -247 298 -200
-rect 138 -281 154 -247
-rect 282 -281 298 -247
-rect 138 -297 298 -281
-rect 356 -247 516 -200
-rect 356 -281 372 -247
-rect 500 -281 516 -247
-rect 356 -297 516 -281
-rect 574 -247 734 -200
-rect 574 -281 590 -247
-rect 718 -281 734 -247
-rect 574 -297 734 -281
-<< polycont >>
-rect -718 247 -590 281
-rect -500 247 -372 281
-rect -282 247 -154 281
-rect -64 247 64 281
-rect 154 247 282 281
-rect 372 247 500 281
-rect 590 247 718 281
-rect -718 -281 -590 -247
-rect -500 -281 -372 -247
-rect -282 -281 -154 -247
-rect -64 -281 64 -247
-rect 154 -281 282 -247
-rect 372 -281 500 -247
-rect 590 -281 718 -247
-<< locali >>
-rect -914 385 -818 419
-rect 818 385 914 419
-rect 880 323 914 385
-rect -734 247 -718 281
-rect -590 247 -574 281
-rect -516 247 -500 281
-rect -372 247 -356 281
-rect -298 247 -282 281
-rect -154 247 -138 281
-rect -80 247 -64 281
-rect 64 247 80 281
-rect 138 247 154 281
-rect 282 247 298 281
-rect 356 247 372 281
-rect 500 247 516 281
-rect 574 247 590 281
-rect 718 247 734 281
-rect -780 188 -746 204
-rect -780 -204 -746 -188
-rect -562 188 -528 204
-rect -562 -204 -528 -188
-rect -344 188 -310 204
-rect -344 -204 -310 -188
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect 310 188 344 204
-rect 310 -204 344 -188
-rect 528 188 562 204
-rect 528 -204 562 -188
-rect 746 188 780 204
-rect 746 -204 780 -188
-rect -734 -281 -718 -247
-rect -590 -281 -574 -247
-rect -516 -281 -500 -247
-rect -372 -281 -356 -247
-rect -298 -281 -282 -247
-rect -154 -281 -138 -247
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect 138 -281 154 -247
-rect 282 -281 298 -247
-rect 356 -281 372 -247
-rect 500 -281 516 -247
-rect 574 -281 590 -247
-rect 718 -281 734 -247
-rect -914 -385 -880 -323
-rect 880 -385 914 -323
-rect -914 -419 -818 -385
-rect 818 -419 914 -385
-<< viali >>
-rect -792 385 792 419
-rect -914 323 -880 385
-rect -914 38 -880 323
-rect -718 247 -590 281
-rect -500 247 -372 281
-rect -282 247 -154 281
-rect -64 247 64 281
-rect 154 247 282 281
-rect 372 247 500 281
-rect 590 247 718 281
-rect -780 21 -746 171
-rect -562 -171 -528 -21
-rect -344 21 -310 171
-rect -126 -171 -92 -21
-rect 92 21 126 171
-rect 310 -171 344 -21
-rect 528 21 562 171
-rect 746 -171 780 -21
-rect -718 -281 -590 -247
-rect -500 -281 -372 -247
-rect -282 -281 -154 -247
-rect -64 -281 64 -247
-rect 154 -281 282 -247
-rect 372 -281 500 -247
-rect 590 -281 718 -247
-<< metal1 >>
-rect -804 419 804 425
-rect -920 385 -874 397
-rect -920 38 -914 385
-rect -880 38 -874 385
-rect -804 385 -792 419
-rect 792 385 804 419
-rect -804 379 804 385
-rect -730 281 -578 287
-rect -730 247 -718 281
-rect -590 247 -578 281
-rect -730 241 -578 247
-rect -512 281 -360 287
-rect -512 247 -500 281
-rect -372 247 -360 281
-rect -512 241 -360 247
-rect -294 281 -142 287
-rect -294 247 -282 281
-rect -154 247 -142 281
-rect -294 241 -142 247
-rect -76 281 76 287
-rect -76 247 -64 281
-rect 64 247 76 281
-rect -76 241 76 247
-rect 142 281 294 287
-rect 142 247 154 281
-rect 282 247 294 281
-rect 142 241 294 247
-rect 360 281 512 287
-rect 360 247 372 281
-rect 500 247 512 281
-rect 360 241 512 247
-rect 578 281 730 287
-rect 578 247 590 281
-rect 718 247 730 281
-rect 578 241 730 247
-rect -920 26 -874 38
-rect -786 171 -740 183
-rect -786 21 -780 171
-rect -746 21 -740 171
-rect -786 9 -740 21
-rect -350 171 -304 183
-rect -350 21 -344 171
-rect -310 21 -304 171
-rect -350 9 -304 21
-rect 86 171 132 183
-rect 86 21 92 171
-rect 126 21 132 171
-rect 86 9 132 21
-rect 522 171 568 183
-rect 522 21 528 171
-rect 562 21 568 171
-rect 522 9 568 21
-rect -568 -21 -522 -9
-rect -568 -171 -562 -21
-rect -528 -171 -522 -21
-rect -568 -183 -522 -171
-rect -132 -21 -86 -9
-rect -132 -171 -126 -21
-rect -92 -171 -86 -21
-rect -132 -183 -86 -171
-rect 304 -21 350 -9
-rect 304 -171 310 -21
-rect 344 -171 350 -21
-rect 304 -183 350 -171
-rect 740 -21 786 -9
-rect 740 -171 746 -21
-rect 780 -171 786 -21
-rect 740 -183 786 -171
-rect -730 -247 -578 -241
-rect -730 -281 -718 -247
-rect -590 -281 -578 -247
-rect -730 -287 -578 -281
-rect -512 -247 -360 -241
-rect -512 -281 -500 -247
-rect -372 -281 -360 -247
-rect -512 -287 -360 -281
-rect -294 -247 -142 -241
-rect -294 -281 -282 -247
-rect -154 -281 -142 -247
-rect -294 -287 -142 -281
-rect -76 -247 76 -241
-rect -76 -281 -64 -247
-rect 64 -281 76 -247
-rect -76 -287 76 -281
-rect 142 -247 294 -241
-rect 142 -281 154 -247
-rect 282 -281 294 -247
-rect 142 -287 294 -281
-rect 360 -247 512 -241
-rect 360 -281 372 -247
-rect 500 -281 512 -247
-rect 360 -287 512 -281
-rect 578 -247 730 -241
-rect 578 -281 590 -247
-rect 718 -281 730 -247
-rect 578 -287 730 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -897 -402 897 402
-string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -45 viagr 0 viagt 90 viagb 0 viagate 100 viadrn -40 viasrc +40
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
deleted file mode 100644
index eb421da..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
+++ /dev/null
@@ -1,114 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< error_p >>
-rect -221 351 -220 397
-rect -193 379 -192 419
-<< nwell >>
-rect -338 -497 338 497
-<< mvpmos >>
-rect -80 -200 80 200
-<< mvpdiff >>
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-<< mvpdiffc >>
-rect -126 -188 -92 188
-rect 92 -188 126 188
-<< mvnsubdiff >>
-rect -272 419 272 431
-rect -272 385 -164 419
-rect 164 385 272 419
-rect -272 373 272 385
-rect -272 323 -214 373
-rect -272 -323 -260 323
-rect -226 -323 -214 323
-rect 214 323 272 373
-rect -272 -373 -214 -323
-rect 214 -323 226 323
-rect 260 -323 272 323
-rect 214 -373 272 -323
-rect -272 -385 272 -373
-rect -272 -419 -164 -385
-rect 164 -419 272 -385
-rect -272 -431 272 -419
-<< mvnsubdiffcont >>
-rect -164 385 164 419
-rect -260 -323 -226 323
-rect 226 -323 260 323
-rect -164 -419 164 -385
-<< poly >>
-rect -80 281 80 297
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -80 200 80 247
-rect -80 -247 80 -200
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -80 -297 80 -281
-<< polycont >>
-rect -64 247 64 281
-rect -64 -281 64 -247
-<< locali >>
-rect -260 385 -181 419
-rect 181 385 260 419
-rect 226 323 260 385
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -260 -385 -226 -323
-rect 226 -385 260 -323
-rect -260 -419 -164 -385
-rect 164 -419 260 -385
-<< viali >>
-rect -181 385 -164 419
-rect -164 385 164 419
-rect 164 385 181 419
-rect -260 323 -226 385
-rect -260 0 -226 323
-rect -64 247 64 281
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect -64 -281 64 -247
-<< metal1 >>
-rect -193 419 193 425
-rect -266 385 -220 397
-rect -266 0 -260 385
-rect -226 0 -220 385
-rect -193 385 -181 419
-rect 181 385 193 419
-rect -193 379 193 385
-rect -76 281 76 287
-rect -76 247 -64 281
-rect 64 247 76 281
-rect -76 241 76 247
-rect -266 -12 -220 0
-rect -132 188 -86 200
-rect -132 -188 -126 188
-rect -92 -188 -86 188
-rect -132 -200 -86 -188
-rect 86 188 132 200
-rect 86 -188 92 188
-rect 126 -188 132 188
-rect 86 -200 132 -188
-rect -76 -247 76 -241
-rect -76 -281 -64 -247
-rect 64 -281 76 -247
-rect -76 -287 76 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -50 viagr 0 viagt 80 viagb 0 viagate 100 viadrn 100 viasrc 100
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
deleted file mode 100644
index 19fe898..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
+++ /dev/null
@@ -1,114 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< error_p >>
-rect -221 351 -220 397
-rect -193 379 -192 419
-<< nwell >>
-rect -338 -497 338 497
-<< mvpmos >>
-rect -80 -200 80 200
-<< mvpdiff >>
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-<< mvpdiffc >>
-rect -126 -188 -92 188
-rect 92 -188 126 188
-<< mvnsubdiff >>
-rect -272 419 272 431
-rect -272 385 -164 419
-rect 164 385 272 419
-rect -272 373 272 385
-rect -272 323 -214 373
-rect -272 -323 -260 323
-rect -226 -323 -214 323
-rect 214 323 272 373
-rect -272 -373 -214 -323
-rect 214 -323 226 323
-rect 260 -323 272 323
-rect 214 -373 272 -323
-rect -272 -385 272 -373
-rect -272 -419 -164 -385
-rect 164 -419 272 -385
-rect -272 -431 272 -419
-<< mvnsubdiffcont >>
-rect -164 385 164 419
-rect -260 -323 -226 323
-rect 226 -323 260 323
-rect -164 -419 164 -385
-<< poly >>
-rect -80 281 80 297
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -80 200 80 247
-rect -80 -247 80 -200
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -80 -297 80 -281
-<< polycont >>
-rect -64 247 64 281
-rect -64 -281 64 -247
-<< locali >>
-rect -260 385 -181 419
-rect 181 385 260 419
-rect 226 323 260 385
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -260 -385 -226 -323
-rect 226 -385 260 -323
-rect -260 -419 -164 -385
-rect 164 -419 260 -385
-<< viali >>
-rect -181 385 -164 419
-rect -164 385 164 419
-rect 164 385 181 419
-rect -260 323 -226 385
-rect -260 38 -226 323
-rect -64 247 64 281
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect -64 -281 64 -247
-<< metal1 >>
-rect -193 419 193 425
-rect -266 385 -220 397
-rect -266 38 -260 385
-rect -226 38 -220 385
-rect -193 385 -181 419
-rect 181 385 193 419
-rect -193 379 193 385
-rect -76 281 76 287
-rect -76 247 -64 281
-rect 64 247 76 281
-rect -76 241 76 247
-rect -266 26 -220 38
-rect -132 188 -86 200
-rect -132 -188 -126 188
-rect -92 -188 -86 188
-rect -132 -200 -86 -188
-rect 86 188 132 200
-rect 86 -188 92 188
-rect 126 -188 132 188
-rect 86 -200 132 -188
-rect -76 -247 76 -241
-rect -76 -281 -64 -247
-rect 64 -281 76 -247
-rect -76 -287 76 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -45 viagr 0 viagt 80 viagb 0 viagate 100 viadrn 100 viasrc 100
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
deleted file mode 100644
index b8eb64f..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
+++ /dev/null
@@ -1,368 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< nwell >>
-rect -1101 -497 1101 497
-<< mvpmos >>
-rect -843 -200 -683 200
-rect -625 -200 -465 200
-rect -407 -200 -247 200
-rect -189 -200 -29 200
-rect 29 -200 189 200
-rect 247 -200 407 200
-rect 465 -200 625 200
-rect 683 -200 843 200
-<< mvpdiff >>
-rect -901 188 -843 200
-rect -901 -188 -889 188
-rect -855 -188 -843 188
-rect -901 -200 -843 -188
-rect -683 188 -625 200
-rect -683 -188 -671 188
-rect -637 -188 -625 188
-rect -683 -200 -625 -188
-rect -465 188 -407 200
-rect -465 -188 -453 188
-rect -419 -188 -407 188
-rect -465 -200 -407 -188
-rect -247 188 -189 200
-rect -247 -188 -235 188
-rect -201 -188 -189 188
-rect -247 -200 -189 -188
-rect -29 188 29 200
-rect -29 -188 -17 188
-rect 17 -188 29 188
-rect -29 -200 29 -188
-rect 189 188 247 200
-rect 189 -188 201 188
-rect 235 -188 247 188
-rect 189 -200 247 -188
-rect 407 188 465 200
-rect 407 -188 419 188
-rect 453 -188 465 188
-rect 407 -200 465 -188
-rect 625 188 683 200
-rect 625 -188 637 188
-rect 671 -188 683 188
-rect 625 -200 683 -188
-rect 843 188 901 200
-rect 843 -188 855 188
-rect 889 -188 901 188
-rect 843 -200 901 -188
-<< mvpdiffc >>
-rect -889 -188 -855 188
-rect -671 -188 -637 188
-rect -453 -188 -419 188
-rect -235 -188 -201 188
-rect -17 -188 17 188
-rect 201 -188 235 188
-rect 419 -188 453 188
-rect 637 -188 671 188
-rect 855 -188 889 188
-<< mvnsubdiff >>
-rect -1035 419 1035 431
-rect -1035 385 -927 419
-rect 927 385 1035 419
-rect -1035 373 1035 385
-rect -1035 323 -977 373
-rect -1035 -323 -1023 323
-rect -989 -323 -977 323
-rect 977 323 1035 373
-rect -1035 -373 -977 -323
-rect 977 -323 989 323
-rect 1023 -323 1035 323
-rect 977 -373 1035 -323
-rect -1035 -385 1035 -373
-rect -1035 -419 -927 -385
-rect 927 -419 1035 -385
-rect -1035 -431 1035 -419
-<< mvnsubdiffcont >>
-rect -927 385 927 419
-rect -1023 -323 -989 323
-rect 989 -323 1023 323
-rect -927 -419 927 -385
-<< poly >>
-rect -843 281 -683 297
-rect -843 247 -827 281
-rect -699 247 -683 281
-rect -843 200 -683 247
-rect -625 281 -465 297
-rect -625 247 -609 281
-rect -481 247 -465 281
-rect -625 200 -465 247
-rect -407 281 -247 297
-rect -407 247 -391 281
-rect -263 247 -247 281
-rect -407 200 -247 247
-rect -189 281 -29 297
-rect -189 247 -173 281
-rect -45 247 -29 281
-rect -189 200 -29 247
-rect 29 281 189 297
-rect 29 247 45 281
-rect 173 247 189 281
-rect 29 200 189 247
-rect 247 281 407 297
-rect 247 247 263 281
-rect 391 247 407 281
-rect 247 200 407 247
-rect 465 281 625 297
-rect 465 247 481 281
-rect 609 247 625 281
-rect 465 200 625 247
-rect 683 281 843 297
-rect 683 247 699 281
-rect 827 247 843 281
-rect 683 200 843 247
-rect -843 -247 -683 -200
-rect -843 -281 -827 -247
-rect -699 -281 -683 -247
-rect -843 -297 -683 -281
-rect -625 -247 -465 -200
-rect -625 -281 -609 -247
-rect -481 -281 -465 -247
-rect -625 -297 -465 -281
-rect -407 -247 -247 -200
-rect -407 -281 -391 -247
-rect -263 -281 -247 -247
-rect -407 -297 -247 -281
-rect -189 -247 -29 -200
-rect -189 -281 -173 -247
-rect -45 -281 -29 -247
-rect -189 -297 -29 -281
-rect 29 -247 189 -200
-rect 29 -281 45 -247
-rect 173 -281 189 -247
-rect 29 -297 189 -281
-rect 247 -247 407 -200
-rect 247 -281 263 -247
-rect 391 -281 407 -247
-rect 247 -297 407 -281
-rect 465 -247 625 -200
-rect 465 -281 481 -247
-rect 609 -281 625 -247
-rect 465 -297 625 -281
-rect 683 -247 843 -200
-rect 683 -281 699 -247
-rect 827 -281 843 -247
-rect 683 -297 843 -281
-<< polycont >>
-rect -827 247 -699 281
-rect -609 247 -481 281
-rect -391 247 -263 281
-rect -173 247 -45 281
-rect 45 247 173 281
-rect 263 247 391 281
-rect 481 247 609 281
-rect 699 247 827 281
-rect -827 -281 -699 -247
-rect -609 -281 -481 -247
-rect -391 -281 -263 -247
-rect -173 -281 -45 -247
-rect 45 -281 173 -247
-rect 263 -281 391 -247
-rect 481 -281 609 -247
-rect 699 -281 827 -247
-<< locali >>
-rect -1023 385 -927 419
-rect 927 385 1023 419
-rect 989 323 1023 385
-rect -843 247 -827 281
-rect -699 247 -683 281
-rect -625 247 -609 281
-rect -481 247 -465 281
-rect -407 247 -391 281
-rect -263 247 -247 281
-rect -189 247 -173 281
-rect -45 247 -29 281
-rect 29 247 45 281
-rect 173 247 189 281
-rect 247 247 263 281
-rect 391 247 407 281
-rect 465 247 481 281
-rect 609 247 625 281
-rect 683 247 699 281
-rect 827 247 843 281
-rect -889 188 -855 204
-rect -889 -204 -855 -188
-rect -671 188 -637 204
-rect -671 -204 -637 -188
-rect -453 188 -419 204
-rect -453 -204 -419 -188
-rect -235 188 -201 204
-rect -235 -204 -201 -188
-rect -17 188 17 204
-rect -17 -204 17 -188
-rect 201 188 235 204
-rect 201 -204 235 -188
-rect 419 188 453 204
-rect 419 -204 453 -188
-rect 637 188 671 204
-rect 637 -204 671 -188
-rect 855 188 889 204
-rect 855 -204 889 -188
-rect -843 -281 -827 -247
-rect -699 -281 -683 -247
-rect -625 -281 -609 -247
-rect -481 -281 -465 -247
-rect -407 -281 -391 -247
-rect -263 -281 -247 -247
-rect -189 -281 -173 -247
-rect -45 -281 -29 -247
-rect 29 -281 45 -247
-rect 173 -281 189 -247
-rect 247 -281 263 -247
-rect 391 -281 407 -247
-rect 465 -281 481 -247
-rect 609 -281 625 -247
-rect 683 -281 699 -247
-rect 827 -281 843 -247
-rect -1023 -385 -989 -323
-rect 989 -385 1023 -323
-rect -1023 -419 -927 -385
-rect 927 -419 1023 -385
-<< viali >>
-rect -890 385 890 419
-rect -1023 323 -989 385
-rect -1023 0 -989 323
-rect -827 247 -699 281
-rect -609 247 -481 281
-rect -391 247 -263 281
-rect -173 247 -45 281
-rect 45 247 173 281
-rect 263 247 391 281
-rect 481 247 609 281
-rect 699 247 827 281
-rect -889 21 -855 171
-rect -671 -171 -637 -21
-rect -453 21 -419 171
-rect -235 -171 -201 -21
-rect -17 21 17 171
-rect 201 -171 235 -21
-rect 419 21 453 171
-rect 637 -171 671 -21
-rect 855 21 889 171
-rect -827 -281 -699 -247
-rect -609 -281 -481 -247
-rect -391 -281 -263 -247
-rect -173 -281 -45 -247
-rect 45 -281 173 -247
-rect 263 -281 391 -247
-rect 481 -281 609 -247
-rect 699 -281 827 -247
-<< metal1 >>
-rect -902 419 902 425
-rect -1029 385 -983 397
-rect -1029 0 -1023 385
-rect -989 0 -983 385
-rect -902 385 -890 419
-rect 890 385 902 419
-rect -902 379 902 385
-rect -839 281 -687 287
-rect -839 247 -827 281
-rect -699 247 -687 281
-rect -839 241 -687 247
-rect -621 281 -469 287
-rect -621 247 -609 281
-rect -481 247 -469 281
-rect -621 241 -469 247
-rect -403 281 -251 287
-rect -403 247 -391 281
-rect -263 247 -251 281
-rect -403 241 -251 247
-rect -185 281 -33 287
-rect -185 247 -173 281
-rect -45 247 -33 281
-rect -185 241 -33 247
-rect 33 281 185 287
-rect 33 247 45 281
-rect 173 247 185 281
-rect 33 241 185 247
-rect 251 281 403 287
-rect 251 247 263 281
-rect 391 247 403 281
-rect 251 241 403 247
-rect 469 281 621 287
-rect 469 247 481 281
-rect 609 247 621 281
-rect 469 241 621 247
-rect 687 281 839 287
-rect 687 247 699 281
-rect 827 247 839 281
-rect 687 241 839 247
-rect -895 171 -849 183
-rect -895 21 -889 171
-rect -855 21 -849 171
-rect -895 9 -849 21
-rect -459 171 -413 183
-rect -459 21 -453 171
-rect -419 21 -413 171
-rect -459 9 -413 21
-rect -23 171 23 183
-rect -23 21 -17 171
-rect 17 21 23 171
-rect -23 9 23 21
-rect 413 171 459 183
-rect 413 21 419 171
-rect 453 21 459 171
-rect 413 9 459 21
-rect 849 171 895 183
-rect 849 21 855 171
-rect 889 21 895 171
-rect 849 9 895 21
-rect -1029 -12 -983 0
-rect -677 -21 -631 -9
-rect -677 -171 -671 -21
-rect -637 -171 -631 -21
-rect -677 -183 -631 -171
-rect -241 -21 -195 -9
-rect -241 -171 -235 -21
-rect -201 -171 -195 -21
-rect -241 -183 -195 -171
-rect 195 -21 241 -9
-rect 195 -171 201 -21
-rect 235 -171 241 -21
-rect 195 -183 241 -171
-rect 631 -21 677 -9
-rect 631 -171 637 -21
-rect 671 -171 677 -21
-rect 631 -183 677 -171
-rect -839 -247 -687 -241
-rect -839 -281 -827 -247
-rect -699 -281 -687 -247
-rect -839 -287 -687 -281
-rect -621 -247 -469 -241
-rect -621 -281 -609 -247
-rect -481 -281 -469 -247
-rect -621 -287 -469 -281
-rect -403 -247 -251 -241
-rect -403 -281 -391 -247
-rect -263 -281 -251 -247
-rect -403 -287 -251 -281
-rect -185 -247 -33 -241
-rect -185 -281 -173 -247
-rect -45 -281 -33 -247
-rect -185 -287 -33 -281
-rect 33 -247 185 -241
-rect 33 -281 45 -247
-rect 173 -281 185 -247
-rect 33 -287 185 -281
-rect 251 -247 403 -241
-rect 251 -281 263 -247
-rect 391 -281 403 -247
-rect 251 -287 403 -281
-rect 469 -247 621 -241
-rect 469 -281 481 -247
-rect 609 -281 621 -247
-rect 469 -287 621 -281
-rect 687 -247 839 -241
-rect 687 -281 699 -247
-rect 827 -281 839 -247
-rect 687 -287 839 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -1006 -402 1006 402
-string parameters w 2.00 l 0.80 m 1 nf 8 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -50 viagr 0 viagt 90 viagb 0 viagate 100 viadrn -40 viasrc +40
-string library sky130
-<< end >>
diff --git a/caravel/mag/sky130_fd_pr__res_generic_po_9GQS7A.mag b/mag/sky130_fd_pr__res_generic_po_9GQS7A.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_generic_po_9GQS7A.mag
rename to mag/sky130_fd_pr__res_generic_po_9GQS7A.mag
diff --git a/caravel/mag/sky130_fd_pr__res_generic_po_9QN27A.mag b/mag/sky130_fd_pr__res_generic_po_9QN27A.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_generic_po_9QN27A.mag
rename to mag/sky130_fd_pr__res_generic_po_9QN27A.mag
diff --git a/caravel/mag/sky130_fd_pr__res_generic_po_D56PGQ.mag b/mag/sky130_fd_pr__res_generic_po_D56PGQ.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_generic_po_D56PGQ.mag
rename to mag/sky130_fd_pr__res_generic_po_D56PGQ.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_4RFVXF.mag b/mag/sky130_fd_pr__res_high_po_0p35_4RFVXF.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_4RFVXF.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_4RFVXF.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_8YJL8A.mag b/mag/sky130_fd_pr__res_high_po_0p35_8YJL8A.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_8YJL8A.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_8YJL8A.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_AXF3YZ.mag b/mag/sky130_fd_pr__res_high_po_0p35_AXF3YZ.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_AXF3YZ.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_AXF3YZ.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_C72MAQ.mag b/mag/sky130_fd_pr__res_high_po_0p35_C72MAQ.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_C72MAQ.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_C72MAQ.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_FBWYB5.mag b/mag/sky130_fd_pr__res_high_po_0p35_FBWYB5.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_FBWYB5.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_FBWYB5.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_K83A35.mag b/mag/sky130_fd_pr__res_high_po_0p35_K83A35.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_K83A35.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_K83A35.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_PMTBZG.mag b/mag/sky130_fd_pr__res_high_po_0p35_PMTBZG.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_PMTBZG.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_PMTBZG.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_PNCE83.mag b/mag/sky130_fd_pr__res_high_po_0p35_PNCE83.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_PNCE83.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_PNCE83.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_PSYNU6.mag b/mag/sky130_fd_pr__res_high_po_0p35_PSYNU6.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_PSYNU6.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_PSYNU6.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_UX2SHW.mag b/mag/sky130_fd_pr__res_high_po_0p35_UX2SHW.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_UX2SHW.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_UX2SHW.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p35_YAJMDJ.mag b/mag/sky130_fd_pr__res_high_po_0p35_YAJMDJ.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p35_YAJMDJ.mag
rename to mag/sky130_fd_pr__res_high_po_0p35_YAJMDJ.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p69_37KMYC.mag b/mag/sky130_fd_pr__res_high_po_0p69_37KMYC.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p69_37KMYC.mag
rename to mag/sky130_fd_pr__res_high_po_0p69_37KMYC.mag
diff --git a/caravel/mag/sky130_fd_pr__res_high_po_0p69_7JPRER.mag b/mag/sky130_fd_pr__res_high_po_0p69_7JPRER.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_high_po_0p69_7JPRER.mag
rename to mag/sky130_fd_pr__res_high_po_0p69_7JPRER.mag
diff --git a/caravel/mag/sky130_fd_pr__res_iso_pw_729TKM.mag b/mag/sky130_fd_pr__res_iso_pw_729TKM.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_iso_pw_729TKM.mag
rename to mag/sky130_fd_pr__res_iso_pw_729TKM.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_2XPN6P.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_2XPN6P.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_2XPN6P.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_2XPN6P.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_35JNSN.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_35JNSN.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_35JNSN.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_35JNSN.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_3UHKWS.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_3UHKWS.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_3UHKWS.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_3UHKWS.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_5BVMEJ.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_5BVMEJ.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_5BVMEJ.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_5BVMEJ.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_7NH2RC.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_7NH2RC.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_7NH2RC.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_7NH2RC.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_95A2JL.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_95A2JL.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_95A2JL.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_95A2JL.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_J3RZA8.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_J3RZA8.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_J3RZA8.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_J3RZA8.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_K53MS3.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_K53MS3.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_K53MS3.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_K53MS3.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_KDN527.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_KDN527.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_KDN527.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_KDN527.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_M9RFTX.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_M9RFTX.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_M9RFTX.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_M9RFTX.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_MLNHE3.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_MLNHE3.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_MLNHE3.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_MLNHE3.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_MT7BFK.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_MT7BFK.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_MT7BFK.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_MT7BFK.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_NETUYW.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_NETUYW.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_NETUYW.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_NETUYW.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_NR2KWC.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_NR2KWC.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_NR2KWC.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_NR2KWC.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_NZHUVC.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_NZHUVC.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_NZHUVC.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_NZHUVC.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_P8CC5E.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_P8CC5E.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_P8CC5E.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_P8CC5E.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_RG46CN.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_RG46CN.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_RG46CN.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_RG46CN.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_S33692.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_S33692.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_S33692.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_S33692.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_T8PEF7.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_T8PEF7.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_T8PEF7.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_T8PEF7.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_V48BHK.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_V48BHK.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_V48BHK.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_V48BHK.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_VKZ9MG.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_VKZ9MG.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_VKZ9MG.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_VKZ9MG.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_WLHZTT.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_WLHZTT.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_WLHZTT.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_WLHZTT.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_X3XLR2.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_X3XLR2.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_X3XLR2.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_X3XLR2.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_XBU5ZB.mag b/mag/sky130_fd_pr__res_xhigh_po_0p35_XBU5ZB.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_0p35_XBU5ZB.mag
rename to mag/sky130_fd_pr__res_xhigh_po_0p35_XBU5ZB.mag
diff --git a/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag b/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag
deleted file mode 100644
index 5bd3cec..0000000
--- a/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag
+++ /dev/null
@@ -1,167 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606074388
-<< pwell >>
-rect -5446 -3098 5446 3098
-<< psubdiff >>
-rect -5410 3028 -5314 3062
-rect 5314 3028 5410 3062
-rect -5410 2966 -5376 3028
-rect 5376 2966 5410 3028
-rect -5410 -3028 -5376 -2966
-rect 5376 -3028 5410 -2966
-rect -5410 -3062 -5314 -3028
-rect 5314 -3062 5410 -3028
-<< psubdiffcont >>
-rect -5314 3028 5314 3062
-rect -5410 -2966 -5376 2966
-rect 5376 -2966 5410 2966
-rect -5314 -3062 5314 -3028
-<< xpolycontact >>
-rect -5280 2500 -5142 2932
-rect -5280 -2932 -5142 -2500
-rect -4894 2500 -4756 2932
-rect -4894 -2932 -4756 -2500
-rect -4508 2500 -4370 2932
-rect -4508 -2932 -4370 -2500
-rect -4122 2500 -3984 2932
-rect -4122 -2932 -3984 -2500
-rect -3736 2500 -3598 2932
-rect -3736 -2932 -3598 -2500
-rect -3350 2500 -3212 2932
-rect -3350 -2932 -3212 -2500
-rect -2964 2500 -2826 2932
-rect -2964 -2932 -2826 -2500
-rect -2578 2500 -2440 2932
-rect -2578 -2932 -2440 -2500
-rect -2192 2500 -2054 2932
-rect -2192 -2932 -2054 -2500
-rect -1806 2500 -1668 2932
-rect -1806 -2932 -1668 -2500
-rect -1420 2500 -1282 2932
-rect -1420 -2932 -1282 -2500
-rect -1034 2500 -896 2932
-rect -1034 -2932 -896 -2500
-rect -648 2500 -510 2932
-rect -648 -2932 -510 -2500
-rect -262 2500 -124 2932
-rect -262 -2932 -124 -2500
-rect 124 2500 262 2932
-rect 124 -2932 262 -2500
-rect 510 2500 648 2932
-rect 510 -2932 648 -2500
-rect 896 2500 1034 2932
-rect 896 -2932 1034 -2500
-rect 1282 2500 1420 2932
-rect 1282 -2932 1420 -2500
-rect 1668 2500 1806 2932
-rect 1668 -2932 1806 -2500
-rect 2054 2500 2192 2932
-rect 2054 -2932 2192 -2500
-rect 2440 2500 2578 2932
-rect 2440 -2932 2578 -2500
-rect 2826 2500 2964 2932
-rect 2826 -2932 2964 -2500
-rect 3212 2500 3350 2932
-rect 3212 -2932 3350 -2500
-rect 3598 2500 3736 2932
-rect 3598 -2932 3736 -2500
-rect 3984 2500 4122 2932
-rect 3984 -2932 4122 -2500
-rect 4370 2500 4508 2932
-rect 4370 -2932 4508 -2500
-rect 4756 2500 4894 2932
-rect 4756 -2932 4894 -2500
-rect 5142 2500 5280 2932
-rect 5142 -2932 5280 -2500
-<< xpolyres >>
-rect -5280 -2500 -5142 2500
-rect -4894 -2500 -4756 2500
-rect -4508 -2500 -4370 2500
-rect -4122 -2500 -3984 2500
-rect -3736 -2500 -3598 2500
-rect -3350 -2500 -3212 2500
-rect -2964 -2500 -2826 2500
-rect -2578 -2500 -2440 2500
-rect -2192 -2500 -2054 2500
-rect -1806 -2500 -1668 2500
-rect -1420 -2500 -1282 2500
-rect -1034 -2500 -896 2500
-rect -648 -2500 -510 2500
-rect -262 -2500 -124 2500
-rect 124 -2500 262 2500
-rect 510 -2500 648 2500
-rect 896 -2500 1034 2500
-rect 1282 -2500 1420 2500
-rect 1668 -2500 1806 2500
-rect 2054 -2500 2192 2500
-rect 2440 -2500 2578 2500
-rect 2826 -2500 2964 2500
-rect 3212 -2500 3350 2500
-rect 3598 -2500 3736 2500
-rect 3984 -2500 4122 2500
-rect 4370 -2500 4508 2500
-rect 4756 -2500 4894 2500
-rect 5142 -2500 5280 2500
-<< locali >>
-rect -5410 3028 -5314 3062
-rect 5314 3028 5410 3062
-rect -5410 2966 -5376 3028
-rect 5376 2966 5410 3028
-rect -5410 -3028 -5376 -2966
-rect 5376 -3028 5410 -2966
-rect -5410 -3062 -5314 -3028
-rect 5314 -3062 5410 -3028
-<< viali >>
-rect -5410 -2725 -5376 2725
-rect 5376 -2725 5410 2725
-rect -4838 -3062 4838 -3028
-<< metal1 >>
-rect -5416 2725 -5370 2737
-rect -5416 -2725 -5410 2725
-rect -5376 -2725 -5370 2725
-rect -5416 -2737 -5370 -2725
-rect 5370 2725 5416 2737
-rect 5370 -2725 5376 2725
-rect 5410 -2725 5416 2725
-rect 5370 -2737 5416 -2725
-rect -4850 -3028 4850 -3022
-rect -4850 -3062 -4838 -3028
-rect 4838 -3062 4850 -3028
-rect -4850 -3068 4850 -3062
-<< res0p69 >>
-rect -5282 -2502 -5140 2502
-rect -4896 -2502 -4754 2502
-rect -4510 -2502 -4368 2502
-rect -4124 -2502 -3982 2502
-rect -3738 -2502 -3596 2502
-rect -3352 -2502 -3210 2502
-rect -2966 -2502 -2824 2502
-rect -2580 -2502 -2438 2502
-rect -2194 -2502 -2052 2502
-rect -1808 -2502 -1666 2502
-rect -1422 -2502 -1280 2502
-rect -1036 -2502 -894 2502
-rect -650 -2502 -508 2502
-rect -264 -2502 -122 2502
-rect 122 -2502 264 2502
-rect 508 -2502 650 2502
-rect 894 -2502 1036 2502
-rect 1280 -2502 1422 2502
-rect 1666 -2502 1808 2502
-rect 2052 -2502 2194 2502
-rect 2438 -2502 2580 2502
-rect 2824 -2502 2966 2502
-rect 3210 -2502 3352 2502
-rect 3596 -2502 3738 2502
-rect 3982 -2502 4124 2502
-rect 4368 -2502 4510 2502
-rect 4754 -2502 4896 2502
-rect 5140 -2502 5282 2502
-<< properties >>
-string gencell sky130_fd_pr__res_xhigh_po_0p69
-string FIXED_BBOX -5393 -3045 5393 3045
-string parameters w 0.69 l 25.0 m 1 nx 28 wmin 0.690 lmin 0.50 rho 2000 val 72.811k dummy 0 dw 0.0 term 120 sterm 0.0 caplen 0 wmax 0.690 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1 vias 0 viagb 90 viagt 0 viagl 90 viagr 90
-string library sky130
-<< end >>
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_1p41_AHSSYP.mag b/mag/sky130_fd_pr__res_xhigh_po_1p41_AHSSYP.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_1p41_AHSSYP.mag
rename to mag/sky130_fd_pr__res_xhigh_po_1p41_AHSSYP.mag
diff --git a/caravel/mag/sky130_fd_pr__res_xhigh_po_1p41_FS8TWK.mag b/mag/sky130_fd_pr__res_xhigh_po_1p41_FS8TWK.mag
similarity index 100%
rename from caravel/mag/sky130_fd_pr__res_xhigh_po_1p41_FS8TWK.mag
rename to mag/sky130_fd_pr__res_xhigh_po_1p41_FS8TWK.mag
diff --git a/mag/user_analog_proj_example.mag b/mag/user_analog_proj_example.mag
deleted file mode 100644
index dca1a32..0000000
--- a/mag/user_analog_proj_example.mag
+++ /dev/null
@@ -1,13 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1620310959
-use example_por  example_por_0
-timestamp 1620310959
-transform -1 0 11285 0 1 -14
-box 0 0 11344 8338
-use example_por  example_por_1
-timestamp 1620310959
-transform 1 0 14132 0 1 -22
-box 0 0 11344 8338
-<< end >>
diff --git a/caravel/mag/user_analog_project_wrapper.gds b/mag/user_analog_project_wrapper.gds
similarity index 100%
rename from caravel/mag/user_analog_project_wrapper.gds
rename to mag/user_analog_project_wrapper.gds
Binary files differ
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index ebc5e1b..640da11 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,33 +1,43 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1620395479
-<< mvpsubdiff >>
-rect 345740 628255 345764 629032
-rect 371078 628255 371102 629032
-<< mvpsubdiffcont >>
-rect 345764 628255 371078 629032
-<< locali >>
-rect 345748 628255 345764 629032
-rect 371078 628255 371094 629032
-<< viali >>
-rect 357593 628300 359298 629000
+timestamp 1628083528
 << metal1 >>
-rect 357470 629399 359442 629457
-rect 357470 628057 357538 629399
-rect 359388 628057 359442 629399
-rect 357470 627990 359442 628057
+rect 483170 675810 491654 675818
+rect 483166 675530 483176 675810
+rect 483960 675530 491654 675810
+rect 483170 675526 491654 675530
+rect 509406 673476 510751 673494
+rect 509406 673124 510006 673476
+rect 509996 673098 510006 673124
+rect 510688 673124 510751 673476
+rect 510688 673098 510698 673124
+rect 486598 672906 491662 672930
+rect 486598 672642 486634 672906
+rect 487384 672642 491662 672906
+rect 486598 672638 491662 672642
+rect 489873 670160 491597 670186
+rect 489873 669880 489910 670160
+rect 490702 669880 491597 670160
+rect 489873 669860 491597 669880
 << via1 >>
-rect 357538 629000 359388 629399
-rect 357538 628300 357593 629000
-rect 357593 628300 359298 629000
-rect 359298 628300 359388 629000
-rect 357538 628057 359388 628300
+rect 483176 675530 483960 675810
+rect 510006 673098 510688 673476
+rect 486634 672642 487384 672906
+rect 489910 669880 490702 670160
 << metal2 >>
-rect 357470 629399 359442 629457
-rect 357470 628057 357538 629399
-rect 359388 628057 359442 629399
-rect 357470 627990 359442 628057
+rect 502036 675944 504502 675954
+rect 483176 675810 483960 675820
+rect 483176 675520 483960 675530
+rect 502036 674686 504502 674696
+rect 510006 673476 510688 673486
+rect 510006 673088 510688 673098
+rect 486634 672906 487384 672916
+rect 486634 672632 487384 672642
+rect 502380 671230 507200 671240
+rect 489910 670160 490702 670170
+rect 489910 669870 490702 669880
+rect 502380 669798 507200 669808
 rect 524 -800 636 480
 rect 1706 -800 1818 480
 rect 2888 -800 3000 480
@@ -523,133 +533,152 @@
 rect 582068 -800 582180 480
 rect 583250 -800 583362 480
 << via2 >>
-rect 357538 628057 359388 629399
+rect 483176 675530 483960 675810
+rect 502036 674696 504502 675944
+rect 510006 673098 510688 673476
+rect 486634 672642 487384 672906
+rect 489910 669880 490702 670160
+rect 502380 669808 507200 671230
 << metal3 >>
 rect 16194 702300 21194 704800
 rect 68194 702300 73194 704800
 rect 120194 702300 125194 704800
 rect 165594 702300 170594 704800
-rect 170894 690603 173094 704800
-rect -800 680242 1700 685242
-rect 170894 683764 173094 684327
-rect 173394 690603 175594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
 rect 175894 702300 180894 704800
 rect 217294 702300 222294 704800
-rect 173394 683764 175594 684327
-rect 222594 690636 224794 704800
-rect 222594 683913 224794 684360
-rect 225094 690636 227294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
 rect 227594 702300 232594 704800
-rect 225094 683913 227294 684360
-rect 318994 649497 323994 704800
-rect 324294 690618 326494 704800
-rect 326794 694292 328994 704800
-rect 329294 694292 334294 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
 rect 413394 702300 418394 704800
 rect 465394 702300 470394 704800
-rect 326794 692092 334294 694292
-rect 324294 684038 326494 684344
+rect 510594 702340 515394 704800
+rect 520594 702340 525394 704800
+rect -800 680242 1700 685242
 rect -800 643842 1660 648642
-rect 318994 642983 323994 643740
-rect 329294 649497 334294 692092
-rect 329294 642983 334294 643740
-rect 510594 690564 515394 704800
-rect -800 633842 1660 638642
-rect 510594 637598 515394 684332
-rect 510594 631116 515394 631780
-rect 520594 690564 525394 704800
+rect 228210 644394 232594 702300
+rect 329978 656706 334294 702300
+rect 414038 661808 418176 702300
+rect 466154 666304 470314 702300
+rect 510594 702006 513054 702340
 rect 566594 702300 571594 704800
-rect 520594 637598 525394 684332
-rect 582300 677984 584800 682984
-rect 560050 639784 560566 644584
-rect 566742 639784 584800 644584
-rect 520594 631116 525394 631780
-rect 560050 629784 560566 634584
-rect 566742 629784 584800 634584
-rect 357470 629399 359442 629457
-rect 357470 628057 357538 629399
-rect 359388 628057 359442 629399
-rect 357470 627990 359442 628057
-rect 339960 620294 345660 620363
-rect 371099 620302 533609 620371
+rect 502048 699546 513054 702006
+rect 502048 675949 504508 699546
+rect 567072 691859 571475 702300
+rect 509988 688359 571475 691859
+rect 502026 675944 504512 675949
+rect 483166 675812 483970 675815
+rect 483166 675516 483176 675812
+rect 483956 675810 483970 675812
+rect 483960 675530 483970 675810
+rect 483956 675525 483970 675530
+rect 483956 675516 483966 675525
+rect 502026 674696 502036 675944
+rect 504502 674696 504512 675944
+rect 502026 674691 504512 674696
+rect 509988 674344 511198 688359
+rect 582300 681627 584800 682984
+rect 517314 678444 584800 681627
+rect 509904 674036 511202 674344
+rect 509996 673476 510698 673481
+rect 509996 673098 510006 673476
+rect 510688 673098 510698 673476
+rect 509996 673093 510698 673098
+rect 486624 672906 487394 672911
+rect 486624 672642 486634 672906
+rect 487384 672642 487394 672906
+rect 486624 672637 487394 672642
+rect 510080 672084 510676 673093
+rect 517314 672084 518816 678444
+rect 582300 677984 584800 678444
+rect 510080 671776 518816 672084
+rect 517314 671748 518816 671776
+rect 502370 671230 507210 671235
+rect 489900 670160 490712 670165
+rect 489900 669880 489910 670160
+rect 490702 669880 490712 670160
+rect 489900 669875 490712 669880
+rect 502370 669808 502380 671230
+rect 507200 671192 507210 671230
+rect 507200 669808 507216 671192
+rect 502370 669803 507216 669808
+rect 494639 666304 494910 669002
+rect 466154 664962 494910 666304
+rect 468721 664907 494910 664962
+rect 495257 661808 495617 669214
+rect 414038 660367 495617 661808
+rect 416512 660264 495617 660367
+rect 495257 660232 495617 660264
+rect 496081 656706 496579 669599
+rect 329978 654774 496579 656706
+rect 332899 654733 496579 654774
+rect 497736 644394 498362 669281
+rect 228210 640021 498362 644394
+rect 228210 640010 498296 640021
+rect -800 633842 1660 638642
 rect -800 559442 1660 564242
-rect -800 549442 1660 554242
-rect 339960 511642 340072 620294
-rect 341733 619574 341739 619684
-rect 341849 619637 341855 619684
-rect 533089 619645 533095 619647
-rect 341849 619577 345660 619637
-rect 371099 619585 533095 619645
-rect 533089 619583 533095 619585
-rect 533159 619583 533165 619647
-rect 341849 619574 341855 619577
-rect 533105 619280 533111 619282
-rect -800 511530 340072 511642
-rect 340967 619212 345660 619272
-rect 371099 619220 533111 619280
-rect 533105 619218 533111 619220
-rect 533175 619218 533181 619282
-rect -800 510348 480 510460
-rect -800 509166 480 509278
-rect -800 507984 480 508096
-rect -800 506802 480 506914
-rect -800 505620 480 505732
-rect -800 468308 480 468420
-rect -800 467126 480 467238
-rect -800 465944 480 466056
-rect -800 464762 480 464874
-rect 340967 463692 341079 619212
-rect -800 463580 341079 463692
-rect 341738 618632 341850 618638
-rect -800 462398 13894 462510
-rect 17564 462398 17711 462510
-rect -800 425086 480 425198
-rect -800 423904 480 424016
-rect -800 422722 480 422834
-rect -800 421540 480 421652
-rect 341738 420470 341850 618520
-rect -800 420358 341850 420470
-rect -800 419176 13887 419288
-rect 17599 419176 17694 419288
-rect 533497 405408 533609 620302
-rect 533894 619647 533958 619653
-rect 533958 619585 539606 619645
-rect 533894 619577 533958 619583
-rect 533904 619282 533968 619288
-rect 533968 619220 537488 619280
-rect 533904 619212 533968 619218
-rect 537376 454558 537488 619220
-rect 539494 498980 539606 619585
+rect 502416 555362 507216 669803
+rect 582340 639784 584800 644584
+rect 582340 629784 584800 634584
 rect 583520 589472 584800 589584
 rect 583520 588290 584800 588402
 rect 583520 587108 584800 587220
 rect 583520 585926 584800 586038
 rect 583520 584744 584800 584856
-rect 583520 583562 584800 583674
-rect 555452 550562 556229 555362
-rect 562346 550562 584800 555362
-rect 555452 540562 556229 545362
-rect 562346 540562 584800 545362
-rect 573371 500050 573548 500162
-rect 576743 500050 584800 500162
-rect 539494 498868 584800 498980
+rect 575452 583054 575462 584022
+rect 576392 583674 576402 584022
+rect 576392 583562 584800 583674
+rect 576392 583054 576402 583562
+rect -800 549442 1660 554242
+rect 502416 550562 584800 555362
+rect 582340 540562 584800 545362
+rect -800 511530 480 511642
+rect -800 510348 480 510460
+rect -800 509166 480 509278
+rect -800 507984 480 508096
+rect -800 506802 480 506914
+rect -800 505620 480 505732
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
 rect 583520 497686 584800 497798
 rect 583520 496504 584800 496616
 rect 583520 495322 584800 495434
-rect 583520 494140 584800 494252
-rect 573405 455628 573556 455740
-rect 576731 455628 584800 455740
-rect 537376 454446 584800 454558
+rect 578768 493816 578778 494618
+rect 579516 494252 579526 494618
+rect 579516 494140 584800 494252
+rect 579516 493816 579526 494140
+rect -800 468308 480 468420
+rect -800 467126 480 467238
+rect -800 465944 480 466056
+rect -800 464762 480 464874
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
 rect 583520 453264 584800 453376
 rect 583520 452082 584800 452194
 rect 583520 450900 584800 451012
-rect 583520 449718 584800 449830
+rect 579274 449364 579284 450184
+rect 580126 449830 580136 450184
+rect 580126 449718 584800 449830
+rect 580126 449364 580136 449718
+rect -800 425086 480 425198
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
 rect 583520 411206 584800 411318
 rect 583520 410024 584800 410136
 rect 583520 408842 584800 408954
 rect 583520 407660 584800 407772
 rect 583520 406478 584800 406590
-rect 533497 405296 584800 405408
+rect 583520 405296 584800 405408
 rect -800 381864 480 381976
 rect -800 380682 480 380794
 rect -800 379500 480 379612
@@ -696,9 +725,7 @@
 rect 582340 225230 584800 230030
 rect -800 214888 1660 219688
 rect -800 204888 1660 209688
-rect 13406 191430 13991 196230
-rect 17427 191430 573605 196230
-rect 576629 191430 584800 196230
+rect 582340 191430 584800 196230
 rect 582340 181430 584800 186230
 rect -800 172888 1660 177688
 rect -800 162888 1660 167688
@@ -765,180 +792,56 @@
 rect -800 1544 480 1656
 rect 583520 1544 584800 1656
 << via3 >>
-rect 170894 684327 173094 690603
-rect 173394 684327 175594 690603
-rect 222594 684360 224794 690636
-rect 225094 684360 227294 690636
-rect 324294 684344 326494 690618
-rect 318994 643740 323994 649497
-rect 329294 643740 334294 649497
-rect 510594 684332 515394 690564
-rect 510594 631780 515394 637598
-rect 520594 684332 525394 690564
-rect 560566 639784 566742 644584
-rect 520594 631780 525394 637598
-rect 560566 629784 566742 634584
-rect 357538 628057 359388 629399
-rect 341739 619574 341849 619684
-rect 533095 619583 533159 619647
-rect 533111 619218 533175 619282
-rect 341738 618520 341850 618632
-rect 13894 462398 17564 462510
-rect 13887 419176 17599 419288
-rect 533894 619583 533958 619647
-rect 533904 619218 533968 619282
-rect 556229 550562 562346 555362
-rect 556229 540562 562346 545362
-rect 573548 500050 576743 500162
-rect 573556 455628 576731 455740
-rect 13991 191430 17427 196230
-rect 573605 191430 576629 196230
+rect 483176 675810 483956 675812
+rect 483176 675530 483956 675810
+rect 483176 675516 483956 675530
+rect 486634 672642 487384 672906
+rect 489910 669880 490702 670160
+rect 575462 583054 576392 584022
+rect 578778 493816 579516 494618
+rect 579284 449364 580126 450184
 << metal4 >>
-rect 170628 690636 526162 690737
-rect 170628 690603 222594 690636
-rect 170628 684327 170894 690603
-rect 173094 684327 173394 690603
-rect 175594 684360 222594 690603
-rect 224794 684360 225094 690636
-rect 227294 690618 526162 690636
-rect 227294 684360 324294 690618
-rect 175594 684344 324294 684360
-rect 326494 690564 526162 690618
-rect 326494 684344 510594 690564
-rect 175594 684332 510594 684344
-rect 515394 684332 520594 690564
-rect 525394 684332 526162 690564
-rect 175594 684327 526162 684332
-rect 170628 684183 526162 684327
-rect 318330 649837 359973 649898
-rect 318330 649497 357559 649837
-rect 318330 643740 318994 649497
-rect 323994 643740 329294 649497
-rect 334294 643740 357559 649497
-rect 318330 643394 357559 643740
-rect 359314 643394 359973 649837
-rect 318330 643344 359973 643394
-rect 560425 644584 566979 644980
-rect 560425 639784 560566 644584
-rect 566742 639784 566979 644584
-rect 356144 637598 525696 637898
-rect 356144 631780 510594 637598
-rect 515394 631780 520594 637598
-rect 525394 631780 525696 637598
-rect 356144 631344 525696 631780
-rect 560425 634584 566979 639784
-rect 357442 629399 359470 631344
-rect 357442 628057 357538 629399
-rect 359388 628057 359470 629399
-rect 357442 619873 359470 628057
-rect 560425 629784 560566 634584
-rect 566742 629784 566979 634584
-rect 341738 619684 341850 619685
-rect 341738 619574 341739 619684
-rect 341849 619574 341850 619684
-rect 341738 618633 341850 619574
-rect 356867 619473 359885 619873
-rect 533094 619647 533160 619648
-rect 533094 619583 533095 619647
-rect 533159 619645 533160 619647
-rect 533893 619647 533959 619648
-rect 533893 619645 533894 619647
-rect 533159 619585 533894 619645
-rect 533159 619583 533160 619585
-rect 533094 619582 533160 619583
-rect 533893 619583 533894 619585
-rect 533958 619583 533959 619647
-rect 533893 619582 533959 619583
-rect 533110 619282 533176 619283
-rect 533110 619218 533111 619282
-rect 533175 619280 533176 619282
-rect 533903 619282 533969 619283
-rect 533903 619280 533904 619282
-rect 533175 619220 533904 619280
-rect 533175 619218 533176 619220
-rect 533110 619217 533176 619218
-rect 533903 619218 533904 619220
-rect 533968 619218 533969 619282
-rect 533903 619217 533969 619218
-rect 341737 618632 341851 618633
-rect 341737 618520 341738 618632
-rect 341850 618520 341851 618632
-rect 341737 618519 341851 618520
-rect 345773 613756 346828 618849
-rect 351928 617829 353757 618856
-rect 351928 615249 352028 617829
-rect 353603 615249 353757 617829
-rect 351928 615131 353757 615249
-rect 363328 617835 365157 618884
-rect 363328 615255 363412 617835
-rect 364987 615255 365157 617835
-rect 363328 615131 365157 615255
-rect 369823 613756 370980 618859
-rect 560425 613756 566979 629784
-rect 345256 607202 566979 613756
-rect 362658 601572 562613 601756
-rect 362658 597231 363414 601572
-rect 364992 597231 562613 601572
-rect 362658 595202 562613 597231
-rect 556059 555362 562613 595202
-rect 556059 550562 556229 555362
-rect 562346 550562 562613 555362
-rect 556059 545362 562613 550562
-rect 556059 540562 556229 545362
-rect 562346 540562 562613 545362
-rect 556059 540155 562613 540562
-rect 573464 500162 576816 500473
-rect 573464 500050 573548 500162
-rect 576743 500050 576816 500162
-rect 13814 462510 17684 462771
-rect 13814 462398 13894 462510
-rect 17564 462398 17684 462510
-rect 13814 419288 17684 462398
-rect 13814 419176 13887 419288
-rect 17599 419176 17684 419288
-rect 13814 227257 17684 419176
-rect 573464 455740 576816 500050
-rect 573464 455628 573556 455740
-rect 576731 455628 576816 455740
-rect 13811 196230 17688 227257
-rect 13811 191430 13991 196230
-rect 17427 191430 17688 196230
-rect 13811 191098 17688 191430
-rect 573464 196230 576816 455628
-rect 573464 191430 573605 196230
-rect 576629 191430 576816 196230
-rect 573464 191191 576816 191430
-<< via4 >>
-rect 357559 643394 359314 649837
-rect 352028 615249 353603 617829
-rect 363412 615255 364987 617835
-rect 363414 597231 364992 601572
-<< metal5 >>
-rect 357521 649837 359350 649991
-rect 357521 643394 357559 649837
-rect 359314 643394 359350 649837
-rect 351918 617829 353747 617929
-rect 351918 615249 352028 617829
-rect 353603 615249 353747 617829
-rect 351918 614900 353747 615249
-rect 357521 614900 359350 643394
-rect 351918 613071 359350 614900
-rect 363318 617835 365147 617929
-rect 363318 615255 363412 617835
-rect 364987 615255 365147 617835
-rect 363318 601572 365147 615255
-rect 363318 597231 363414 601572
-rect 364992 597231 365147 601572
-rect 363318 597052 365147 597231
+rect 483175 675812 483957 675813
+rect 483175 675516 483176 675812
+rect 483956 675516 483957 675812
+rect 483175 675515 483957 675516
+rect 483176 450159 483946 675515
+rect 486633 672906 487385 672907
+rect 486633 672642 486634 672906
+rect 487384 672642 487385 672906
+rect 486633 672641 487385 672642
+rect 486634 494572 487346 672641
+rect 489882 670160 490744 670198
+rect 489882 669880 489910 670160
+rect 490702 669880 490744 670160
+rect 489882 583963 490744 669880
+rect 575461 584022 576393 584023
+rect 575461 583963 575462 584022
+rect 489882 583101 575462 583963
+rect 575461 583054 575462 583101
+rect 576392 583054 576393 584022
+rect 575461 583053 576393 583054
+rect 578777 494618 579517 494619
+rect 578777 494572 578778 494618
+rect 486634 493860 578778 494572
+rect 578777 493816 578778 493860
+rect 579516 493816 579517 494618
+rect 578777 493815 579517 493816
+rect 579283 450184 580127 450185
+rect 579283 450159 579284 450184
+rect 483176 449389 579284 450159
+rect 579283 449364 579284 449389
+rect 580126 449364 580127 450184
+rect 579283 449363 580127 449364
 << comment >>
 rect -100 704000 584100 704100
 rect -100 0 0 704000
 rect 584000 0 584100 704000
 rect -100 -100 584100 0
-use user_analog_proj_example  user_analog_proj_example_0
-timestamp 1620310959
-transform 1 0 345668 0 -1 627114
-box -59 -22 25476 8324
+use neuron_s  neuron_s_0
+timestamp 1628080429
+transform 1 0 497290 0 -1 674534
+box -6200 -3042 13048 5990
 << labels >>
 flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
 port 0 nsew signal bidirectional
@@ -2296,10 +2199,6 @@
 port 676 nsew signal input
 flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
 port 677 nsew signal input
-flabel metal3 572152 640142 580220 644150 0 FreeSans 16000 0 0 0 VCCD1
-flabel metal3 567038 550960 577302 554546 0 FreeSans 16000 0 0 0 VDDA1
-flabel metal3 511190 664896 514962 676272 0 FreeSans 16000 90 0 0 VSSA1
-flabel metal3 561703 191929 571721 195859 0 FreeSans 16000 0 0 0 VSSD1
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>
diff --git a/caravel/mag/user_project_wrapper_empty.mag b/mag/user_project_wrapper_empty.mag
similarity index 100%
rename from caravel/mag/user_project_wrapper_empty.mag
rename to mag/user_project_wrapper_empty.mag
Binary files differ