final gds & signoff results
diff --git a/gds/caravan.gds.gz b/gds/caravan.gds.gz
index b391adc..0a0f59b 100644
--- a/gds/caravan.gds.gz
+++ b/gds/caravan.gds.gz
Binary files differ
diff --git a/gds/caravel_00020003.gds.gz.00.split b/gds/caravel_00020003.gds.gz.00.split
index 3e02623..bb4cd47 100644
--- a/gds/caravel_00020003.gds.gz.00.split
+++ b/gds/caravel_00020003.gds.gz.00.split
Binary files differ
diff --git a/gds/caravel_00020003.gds.gz.01.split b/gds/caravel_00020003.gds.gz.01.split
index 90001cd..5c2ee0b 100644
--- a/gds/caravel_00020003.gds.gz.01.split
+++ b/gds/caravel_00020003.gds.gz.01.split
Binary files differ
diff --git a/gds/caravel_00020003.gds.gz.02.split b/gds/caravel_00020003.gds.gz.02.split
index e02645f..a2552d8 100644
--- a/gds/caravel_00020003.gds.gz.02.split
+++ b/gds/caravel_00020003.gds.gz.02.split
Binary files differ
diff --git a/gds/caravel_00020003.gds.gz.03.split b/gds/caravel_00020003.gds.gz.03.split
index 917ee0a..f456719 100644
--- a/gds/caravel_00020003.gds.gz.03.split
+++ b/gds/caravel_00020003.gds.gz.03.split
Binary files differ
diff --git a/gds/caravel_00020003.gds.gz.04.split b/gds/caravel_00020003.gds.gz.04.split
index 63c7538..8e69b04 100644
--- a/gds/caravel_00020003.gds.gz.04.split
+++ b/gds/caravel_00020003.gds.gz.04.split
Binary files differ
diff --git a/gds/caravel_00020003_fill_pattern.gds.gz.00.split b/gds/caravel_00020003_fill_pattern.gds.gz.00.split
index b922b0b..40f6441 100644
--- a/gds/caravel_00020003_fill_pattern.gds.gz.00.split
+++ b/gds/caravel_00020003_fill_pattern.gds.gz.00.split
Binary files differ
diff --git a/gds/caravel_00020003_fill_pattern.gds.gz.01.split b/gds/caravel_00020003_fill_pattern.gds.gz.01.split
index b727446..fbd18e7 100644
--- a/gds/caravel_00020003_fill_pattern.gds.gz.01.split
+++ b/gds/caravel_00020003_fill_pattern.gds.gz.01.split
Binary files differ
diff --git a/gds/caravel_00020003_fill_pattern.gds.gz.02.split b/gds/caravel_00020003_fill_pattern.gds.gz.02.split
index dd7f250..ed31bf1 100644
--- a/gds/caravel_00020003_fill_pattern.gds.gz.02.split
+++ b/gds/caravel_00020003_fill_pattern.gds.gz.02.split
Binary files differ
diff --git a/gds/caravel_00020003_fill_pattern.gds.gz.03.split b/gds/caravel_00020003_fill_pattern.gds.gz.03.split
index e2ae4be..501cdd6 100644
--- a/gds/caravel_00020003_fill_pattern.gds.gz.03.split
+++ b/gds/caravel_00020003_fill_pattern.gds.gz.03.split
Binary files differ
diff --git a/gds/caravel_00020003_fill_pattern.gds.gz.04.split b/gds/caravel_00020003_fill_pattern.gds.gz.04.split
index b6ffc91..aca8576 100644
--- a/gds/caravel_00020003_fill_pattern.gds.gz.04.split
+++ b/gds/caravel_00020003_fill_pattern.gds.gz.04.split
Binary files differ
diff --git a/signoff/build/generate_fill.out b/signoff/build/generate_fill.out
index 7ea5633..1ea1c3e 100644
--- a/signoff/build/generate_fill.out
+++ b/signoff/build/generate_fill.out
@@ -15,7 +15,7 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill.tcl" from command line.
-Started: 12/08/2021 04:23:04
+Started: 12/10/2021 00:34:21
 Warning: Calma reading is not undoable!  I hope that's OK.
 Library written using GDS-II Release 3.0
 Library name: caravan
@@ -330,163 +330,186 @@
     50000 uses
 Reading "F9_sky130_fd_sc_hd__dlygate4sd3_1".
 Reading "F9_sky130_fd_sc_hd__buf_8".
-Reading "F9_sky130_fd_sc_hd__buf_12".
-Reading "F9_sky130_fd_sc_hd__buf_2".
 Reading "F9_sky130_fd_sc_hd__buf_6".
-Reading "F9_sky130_fd_sc_hd__clkdlybuf4s25_1".
-Reading "F9_sky130_fd_sc_hd__buf_4".
-Reading "F9_sky130_fd_sc_hd__dfxtp_4".
-Reading "F9_sky130_fd_sc_hd__clkdlybuf4s50_1".
-Reading "F9_sky130_fd_sc_hd__inv_2".
-Reading "F9_sky130_fd_sc_hd__nand2_1".
 Reading "F9_sky130_fd_sc_hd__dfxtp_2".
-Reading "F9_sky130_fd_sc_hd__a21oi_4".
-Reading "F9_sky130_fd_sc_hd__o221a_1".
 Reading "F9_sky130_fd_sc_hd__a221o_1".
+Reading "F9_sky130_fd_sc_hd__buf_2".
 Reading "F9_sky130_fd_sc_hd__a22o_1".
-Reading "F9_sky130_fd_sc_hd__dlymetal6s2s_1".
-Reading "F9_sky130_fd_sc_hd__a22o_4".
-Reading "F9_sky130_fd_sc_hd__nor2_1".
-Reading "F9_sky130_fd_sc_hd__o211a_1".
-Reading "F9_sky130_fd_sc_hd__a221o_4".
-Reading "F9_sky130_fd_sc_hd__nand2_2".
-Reading "F9_sky130_fd_sc_hd__nor2_2".
-Reading "F9_sky130_fd_sc_hd__or2_1".
-Reading "F9_sky130_fd_sc_hd__mux2_2".
-Reading "F9_sky130_fd_sc_hd__clkbuf_8".
-Reading "F9_sky130_fd_sc_hd__a32o_1".
-Reading "F9_sky130_fd_sc_hd__mux2_4".
-Reading "F9_sky130_fd_sc_hd__clkinv_2".
-Reading "F9_sky130_fd_sc_hd__and3_1".
-Reading "F9_sky130_fd_sc_hd__nor2_8".
-Reading "F9_sky130_fd_sc_hd__a21oi_1".
-Reading "F9_sky130_fd_sc_hd__nand2_4".
-Reading "F9_sky130_fd_sc_hd__nand2_8".
-Reading "F9_sky130_fd_sc_hd__or2_2".
-Reading "F9_sky130_fd_sc_hd__or3_1".
-Reading "F9_sky130_fd_sc_hd__or4_1".
-Reading "F9_sky130_fd_sc_hd__o21ai_1".
-Reading "F9_sky130_fd_sc_hd__or2b_1".
-Reading "F9_sky130_fd_sc_hd__o21a_1".
-Reading "F9_sky130_fd_sc_hd__mux2_8".
-Reading "F9_sky130_fd_sc_hd__nor2_4".
-Reading "F9_sky130_fd_sc_hd__a21o_1".
-Reading "F9_sky130_fd_sc_hd__and3b_1".
-Reading "F9_sky130_fd_sc_hd__a21oi_2".
-Reading "F9_sky130_fd_sc_hd__nand3_1".
-Reading "F9_sky130_fd_sc_hd__clkinv_16".
-Reading "F9_sky130_fd_sc_hd__o21bai_1".
-Reading "F9_sky130_fd_sc_hd__a31o_1".
-Reading "F9_sky130_fd_sc_hd__or3b_1".
-Reading "F9_sky130_fd_sc_hd__or3_4".
-Reading "F9_sky130_fd_sc_hd__nand3b_4".
-Reading "F9_sky130_fd_sc_hd__inv_6".
-Reading "F9_sky130_fd_sc_hd__o31a_1".
-Reading "F9_sky130_fd_sc_hd__nor3b_1".
-Reading "F9_sky130_fd_sc_hd__a211o_1".
-Reading "F9_sky130_fd_sc_hd__clkinv_4".
-Reading "F9_sky130_fd_sc_hd__o311a_1".
-Reading "F9_sky130_fd_sc_hd__nor3_1".
-Reading "F9_sky130_fd_sc_hd__a2bb2o_2".
-Reading "F9_sky130_fd_sc_hd__a221oi_1".
-Reading "F9_sky130_fd_sc_hd__or4_4".
-Reading "F9_sky130_fd_sc_hd__o22a_1".
+Reading "F9_sky130_fd_sc_hd__dfxtp_4".
 Reading "F9_sky130_fd_sc_hd__nand3_4".
-Reading "F9_sky130_fd_sc_hd__and3_2".
-Reading "F9_sky130_fd_sc_hd__o41a_1".
-Reading "F9_sky130_fd_sc_hd__nor3_2".
-Reading "F9_sky130_fd_sc_hd__o21ai_2".
-Reading "F9_sky130_fd_sc_hd__a211oi_1".
-Reading "F9_sky130_fd_sc_hd__o211ai_4".
-Reading "F9_sky130_fd_sc_hd__a31oi_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2a_1".
-Reading "F9_sky130_fd_sc_hd__clkinv_8".
-Reading "F9_sky130_fd_sc_hd__a31oi_1".
-Reading "F9_sky130_fd_sc_hd__o2111ai_1".
-Reading "F9_sky130_fd_sc_hd__o2111a_2".
-Reading "F9_sky130_fd_sc_hd__a22o_2".
-Reading "F9_sky130_fd_sc_hd__o211a_2".
-Reading "F9_sky130_fd_sc_hd__o31ai_1".
-Reading "F9_sky130_fd_sc_hd__or4_2".
-Reading "F9_sky130_fd_sc_hd__a2bb2o_1".
-Reading "F9_sky130_fd_sc_hd__and4_1".
-Reading "F9_sky130_fd_sc_hd__inv_12".
-Reading "F9_sky130_fd_sc_hd__a311o_1".
-Reading "F9_sky130_fd_sc_hd__inv_4".
-Reading "F9_sky130_fd_sc_hd__o32a_1".
-Reading "F9_sky130_fd_sc_hd__o221ai_1".
-Reading "F9_sky130_fd_sc_hd__o21ai_4".
-Reading "F9_sky130_fd_sc_hd__nand3_2".
-Reading "F9_sky130_fd_sc_hd__or3_2".
-Reading "F9_sky130_fd_sc_hd__inv_8".
-Reading "F9_sky130_fd_sc_hd__and4_4".
-Reading "F9_sky130_fd_sc_hd__o2111a_1".
-Reading "F9_sky130_fd_sc_hd__a21o_4".
-Reading "F9_sky130_fd_sc_hd__or3b_2".
-Reading "F9_sky130_fd_sc_hd__a22oi_1".
-Reading "F9_sky130_fd_sc_hd__xor2_1".
-Reading "F9_sky130_fd_sc_hd__and2b_1".
-Reading "F9_sky130_fd_sc_hd__o41ai_1".
-Reading "F9_sky130_fd_sc_hd__a41o_1".
-Reading "F9_sky130_fd_sc_hd__xnor2_1".
-Reading "F9_sky130_fd_sc_hd__o211ai_1".
-Reading "F9_sky130_fd_sc_hd__o22ai_1".
-Reading "F9_sky130_fd_sc_hd__a41oi_4".
-Reading "F9_sky130_fd_sc_hd__a22oi_4".
-Reading "F9_sky130_fd_sc_hd__a22oi_2".
 Reading "F9_sky130_fd_sc_hd__a221o_2".
+Reading "F9_sky130_fd_sc_hd__dlymetal6s2s_1".
+Reading "F9_sky130_fd_sc_hd__buf_12".
 Reading "F9_sky130_fd_sc_hd__a2111o_1".
-Reading "F9_sky130_fd_sc_hd__o221ai_2".
-Reading "F9_sky130_fd_sc_hd__a221oi_4".
-Reading "F9_sky130_fd_sc_hd__or4b_4".
-Reading "F9_sky130_fd_sc_hd__mux4_2".
-Reading "F9_sky130_fd_sc_hd__a21o_2".
-Reading "F9_sky130_fd_sc_hd__a21boi_1".
-Reading "F9_sky130_fd_sc_hd__o21bai_2".
-Reading "F9_sky130_fd_sc_hd__o21bai_4".
-Reading "F9_sky130_fd_sc_hd__a21boi_4".
-Reading "F9_sky130_fd_sc_hd__o221a_2".
-Reading "F9_sky130_fd_sc_hd__a21bo_1".
-Reading "F9_sky130_fd_sc_hd__nand3b_1".
-Reading "F9_sky130_fd_sc_hd__xor2_2".
-Reading "F9_sky130_fd_sc_hd__o2bb2ai_4".
-Reading "F9_sky130_fd_sc_hd__nor3_4".
-Reading "F9_sky130_fd_sc_hd__a21boi_2".
-Reading "F9_sky130_fd_sc_hd__o21a_2".
-Reading "F9_sky130_fd_sc_hd__o22a_2".
-Reading "F9_sky130_fd_sc_hd__o22a_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2ai_1".
-Reading "F9_sky130_fd_sc_hd__or2b_2".
-Reading "F9_sky130_fd_sc_hd__and4b_1".
-Reading "F9_sky130_fd_sc_hd__o22ai_4".
-Reading "F9_sky130_fd_sc_hd__a31o_4".
-Reading "F9_sky130_fd_sc_hd__nand2b_4".
-Reading "F9_sky130_fd_sc_hd__a31oi_2".
-Reading "F9_sky130_fd_sc_hd__o21a_4".
-Reading "F9_sky130_fd_sc_hd__or2_4".
-Reading "F9_sky130_fd_sc_hd__and2_4".
-Reading "F9_sky130_fd_sc_hd__o41a_2".
-Reading "F9_sky130_fd_sc_hd__o41a_4".
-Reading "F9_sky130_fd_sc_hd__a311oi_1".
-Reading "F9_sky130_fd_sc_hd__or4b_1".
-Reading "F9_sky130_fd_sc_hd__a221oi_2".
-Reading "F9_sky130_fd_sc_hd__o2111ai_4".
-Reading "F9_sky130_fd_sc_hd__a2111o_4".
-Reading "F9_sky130_fd_sc_hd__or3b_4".
-Reading "F9_sky130_fd_sc_hd__o21ba_1".
+Reading "F9_sky130_fd_sc_hd__and4_1".
 Reading "F9_sky130_fd_sc_hd__a2111o_2".
-Reading "F9_sky130_fd_sc_hd__o211a_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2a_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2a_2".
-Reading "F9_sky130_fd_sc_hd__o32ai_1".
-Reading "F9_sky130_fd_sc_hd__nand3b_2".
-Reading "F9_sky130_fd_sc_hd__o22ai_2".
-Reading "F9_sky130_fd_sc_hd__xnor2_2".
-Reading "F9_sky130_fd_sc_hd__xnor2_4".
-Reading "F9_sky130_fd_sc_hd__a31o_2".
-Reading "F9_sky130_fd_sc_hd__o32ai_4".
+Reading "F9_sky130_fd_sc_hd__o211a_1".
+Reading "F9_sky130_fd_sc_hd__and3_1".
+Reading "F9_sky130_fd_sc_hd__inv_2".
+Reading "F9_sky130_fd_sc_hd__and2b_1".
+Reading "F9_sky130_fd_sc_hd__nand3b_1".
+Reading "F9_sky130_fd_sc_hd__a2111oi_2".
+Reading "F9_sky130_fd_sc_hd__a22o_2".
+Reading "F9_sky130_fd_sc_hd__a221o_4".
+Reading "F9_sky130_fd_sc_hd__nor3b_1".
+Reading "F9_sky130_fd_sc_hd__nor3_4".
+Reading "F9_sky130_fd_sc_hd__clkinv_8".
+Reading "F9_sky130_fd_sc_hd__inv_12".
+Reading "F9_sky130_fd_sc_hd__and3b_1".
+Reading "F9_sky130_fd_sc_hd__nor3b_2".
+Reading "F9_sky130_fd_sc_hd__or2_1".
+Reading "F9_sky130_fd_sc_hd__buf_4".
+Reading "F9_sky130_fd_sc_hd__a211oi_1".
+Reading "F9_sky130_fd_sc_hd__inv_6".
+Reading "F9_sky130_fd_sc_hd__nand2_1".
+Reading "F9_sky130_fd_sc_hd__nand3b_4".
+Reading "F9_sky130_fd_sc_hd__nand3_1".
+Reading "F9_sky130_fd_sc_hd__inv_16".
+Reading "F9_sky130_fd_sc_hd__o2111a_1".
+Reading "F9_sky130_fd_sc_hd__a21oi_1".
+Reading "F9_sky130_fd_sc_hd__o21ai_4".
+Reading "F9_sky130_fd_sc_hd__mux2_2".
+Reading "F9_sky130_fd_sc_hd__inv_4".
+Reading "F9_sky130_fd_sc_hd__clkinv_2".
+Reading "F9_sky130_fd_sc_hd__a21bo_1".
+Reading "F9_sky130_fd_sc_hd__o21a_1".
+Reading "F9_sky130_fd_sc_hd__a211o_2".
+Reading "F9_sky130_fd_sc_hd__or2b_1".
+Reading "F9_sky130_fd_sc_hd__a21oi_4".
+Reading "F9_sky130_fd_sc_hd__o21ai_1".
+Reading "F9_sky130_fd_sc_hd__a21boi_1".
+Reading "F9_sky130_fd_sc_hd__nor3_1".
+Reading "F9_sky130_fd_sc_hd__nor2_2".
+Reading "F9_sky130_fd_sc_hd__a31oi_1".
+Reading "F9_sky130_fd_sc_hd__a21o_1".
+Reading "F9_sky130_fd_sc_hd__o21a_2".
+Reading "F9_sky130_fd_sc_hd__o21bai_1".
+Reading "F9_sky130_fd_sc_hd__o211ai_1".
+Reading "F9_sky130_fd_sc_hd__o31ai_1".
+Reading "F9_sky130_fd_sc_hd__nor2_1".
 Reading "F9_sky130_fd_sc_hd__xor2_4".
+Reading "F9_sky130_fd_sc_hd__nand3_2".
+Reading "F9_sky130_fd_sc_hd__o21ba_1".
+Reading "F9_sky130_fd_sc_hd__a41oi_1".
+Reading "F9_sky130_fd_sc_hd__nor3_2".
+Reading "F9_sky130_fd_sc_hd__o41ai_1".
+Reading "F9_sky130_fd_sc_hd__o21bai_2".
+Reading "F9_sky130_fd_sc_hd__xnor2_4".
+Reading "F9_sky130_fd_sc_hd__clkbuf_8".
+Reading "F9_sky130_fd_sc_hd__a41oi_4".
+Reading "F9_sky130_fd_sc_hd__xnor2_2".
+Reading "F9_sky130_fd_sc_hd__or3b_1".
+Reading "F9_sky130_fd_sc_hd__nand2_4".
+Reading "F9_sky130_fd_sc_hd__xnor2_1".
+Reading "F9_sky130_fd_sc_hd__nand2_8".
+Reading "F9_sky130_fd_sc_hd__xor2_1".
+Reading "F9_sky130_fd_sc_hd__nor2b_2".
+Reading "F9_sky130_fd_sc_hd__o211a_2".
+Reading "F9_sky130_fd_sc_hd__o31a_1".
+Reading "F9_sky130_fd_sc_hd__mux2_8".
+Reading "F9_sky130_fd_sc_hd__mux2_4".
+Reading "F9_sky130_fd_sc_hd__a21oi_2".
+Reading "F9_sky130_fd_sc_hd__a21o_2".
+Reading "F9_sky130_fd_sc_hd__o21bai_4".
+Reading "F9_sky130_fd_sc_hd__a211o_1".
+Reading "F9_sky130_fd_sc_hd__a311o_1".
+Reading "F9_sky130_fd_sc_hd__a22oi_4".
+Reading "F9_sky130_fd_sc_hd__o2111a_4".
+Reading "F9_sky130_fd_sc_hd__o22a_1".
+Reading "F9_sky130_fd_sc_hd__a31o_1".
+Reading "F9_sky130_fd_sc_hd__and4_4".
+Reading "F9_sky130_fd_sc_hd__a22oi_2".
+Reading "F9_sky130_fd_sc_hd__o41a_2".
+Reading "F9_sky130_fd_sc_hd__nand2_2".
+Reading "F9_sky130_fd_sc_hd__nor2_8".
+Reading "F9_sky130_fd_sc_hd__a32oi_2".
+Reading "F9_sky130_fd_sc_hd__a221oi_4".
 Reading "F9_sky130_fd_sc_hd__nor2b_4".
+Reading "F9_sky130_fd_sc_hd__or4_2".
+Reading "F9_sky130_fd_sc_hd__a211oi_2".
+Reading "F9_sky130_fd_sc_hd__clkinv_4".
+Reading "F9_sky130_fd_sc_hd__a2bb2oi_1".
+Reading "F9_sky130_fd_sc_hd__a31oi_4".
+Reading "F9_sky130_fd_sc_hd__nand3b_2".
+Reading "F9_sky130_fd_sc_hd__a21boi_2".
+Reading "F9_sky130_fd_sc_hd__nor2_4".
+Reading "F9_sky130_fd_sc_hd__o311ai_1".
+Reading "F9_sky130_fd_sc_hd__a41oi_2".
+Reading "F9_sky130_fd_sc_hd__or3b_4".
+Reading "F9_sky130_fd_sc_hd__clkinv_16".
+Reading "F9_sky130_fd_sc_hd__o211ai_4".
+Reading "F9_sky130_fd_sc_hd__o21ba_4".
+Reading "F9_sky130_fd_sc_hd__o2111ai_2".
+Reading "F9_sky130_fd_sc_hd__o2111ai_1".
+Reading "F9_sky130_fd_sc_hd__a32o_1".
+Reading "F9_sky130_fd_sc_hd__a311oi_1".
+Reading "F9_sky130_fd_sc_hd__a2111o_4".
+Reading "F9_sky130_fd_sc_hd__or4_4".
+Reading "F9_sky130_fd_sc_hd__o21ai_2".
+Reading "F9_sky130_fd_sc_hd__a2111oi_1".
+Reading "F9_sky130_fd_sc_hd__a211oi_4".
+Reading "F9_sky130_fd_sc_hd__o311ai_4".
+Reading "F9_sky130_fd_sc_hd__a2111oi_4".
+Reading "F9_sky130_fd_sc_hd__mux4_2".
+Reading "F9_sky130_fd_sc_hd__or2b_4".
+Reading "F9_sky130_fd_sc_hd__o21a_4".
+Reading "F9_sky130_fd_sc_hd__and4b_4".
+Reading "F9_sky130_fd_sc_hd__o2111ai_4".
+Reading "F9_sky130_fd_sc_hd__or2_2".
+Reading "F9_sky130_fd_sc_hd__o22ai_1".
+Reading "F9_sky130_fd_sc_hd__o2bb2ai_1".
+Reading "F9_sky130_fd_sc_hd__a32oi_1".
+Reading "F9_sky130_fd_sc_hd__inv_8".
+Reading "F9_sky130_fd_sc_hd__a21boi_4".
+Reading "F9_sky130_fd_sc_hd__or4_1".
+Reading "F9_sky130_fd_sc_hd__o32ai_1".
+Reading "F9_sky130_fd_sc_hd__a41o_1".
+Reading "F9_sky130_fd_sc_hd__xor2_2".
+Reading "F9_sky130_fd_sc_hd__o221ai_1".
+Reading "F9_sky130_fd_sc_hd__o32a_1".
+Reading "F9_sky130_fd_sc_hd__o41a_1".
+Reading "F9_sky130_fd_sc_hd__a22oi_1".
+Reading "F9_sky130_fd_sc_hd__and3_2".
+Reading "F9_sky130_fd_sc_hd__o221a_2".
+Reading "F9_sky130_fd_sc_hd__o221a_1".
+Reading "F9_sky130_fd_sc_hd__and2_4".
+Reading "F9_sky130_fd_sc_hd__or3_1".
+Reading "F9_sky130_fd_sc_hd__o22ai_2".
+Reading "F9_sky130_fd_sc_hd__a221oi_2".
+Reading "F9_sky130_fd_sc_hd__a221oi_1".
+Reading "F9_sky130_fd_sc_hd__o211ai_2".
+Reading "F9_sky130_fd_sc_hd__o311ai_2".
+Reading "F9_sky130_fd_sc_hd__o31ai_4".
+Reading "F9_sky130_fd_sc_hd__a311oi_2".
+Reading "F9_sky130_fd_sc_hd__a31oi_2".
+Reading "F9_sky130_fd_sc_hd__o311a_2".
+Reading "F9_sky130_fd_sc_hd__o41ai_4".
+Reading "F9_sky130_fd_sc_hd__or3_2".
+Reading "F9_sky130_fd_sc_hd__o31a_4".
+Reading "F9_sky130_fd_sc_hd__o21ba_2".
+Reading "F9_sky130_fd_sc_hd__a311oi_4".
+Reading "F9_sky130_fd_sc_hd__and2b_4".
+Reading "F9_sky130_fd_sc_hd__o2bb2ai_2".
+Reading "F9_sky130_fd_sc_hd__o2bb2ai_4".
+Reading "F9_sky130_fd_sc_hd__o22a_2".
+Reading "F9_sky130_fd_sc_hd__and4b_1".
+Reading "F9_sky130_fd_sc_hd__nand2b_1".
+Reading "F9_sky130_fd_sc_hd__o2111a_2".
+Reading "F9_sky130_fd_sc_hd__a2bb2oi_4".
+Reading "F9_sky130_fd_sc_hd__a2bb2oi_2".
+Reading "F9_sky130_fd_sc_hd__dlymetal6s4s_1".
+Reading "F9_sky130_fd_sc_hd__or3_4".
+Reading "F9_sky130_fd_sc_hd__a22o_4".
+Reading "F9_sky130_fd_sc_hd__a32oi_4".
+Reading "F9_sky130_fd_sc_hd__o311a_1".
+Reading "F9_sky130_fd_sc_hd__a21o_4".
+Reading "F9_sky130_fd_sc_hd__or4b_1".
+Reading "F9_sky130_fd_sc_hd__a32o_4".
+Reading "F9_sky130_fd_sc_hd__and3b_2".
+Reading "F9_sky130_fd_sc_hd__o32ai_4".
+Reading "F9_sky130_fd_sc_hd__or2b_2".
+Reading "F9_sky130_fd_sc_hd__o221ai_2".
+Reading "F9_sky130_fd_sc_hd__a31o_4".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_contact_39".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_contact_19".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_contact_14".
@@ -652,18 +675,11 @@
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_bank".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8".
     5000 uses
-Reading "F9_sky130_fd_sc_hd__a211oi_4".
-Reading "F9_sky130_fd_sc_hd__a211oi_2".
-Reading "F9_sky130_fd_sc_hd__o2111a_4".
-Reading "F9_sky130_fd_sc_hd__o31a_2".
-Reading "F9_sky130_fd_sc_hd__o31a_4".
-Reading "F9_sky130_fd_sc_hd__a2bb2oi_1".
-Reading "F9_sky130_fd_sc_hd__a41oi_2".
-Reading "F9_sky130_fd_sc_hd__a41oi_1".
-Reading "F9_sky130_fd_sc_hd__o221ai_4".
-Reading "F9_sky130_fd_sc_hd__a311oi_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2ai_2".
-Reading "F9_sky130_fd_sc_hd__a2111oi_4".
+Reading "F9_sky130_fd_sc_hd__o32ai_2".
+Reading "F9_sky130_fd_sc_hd__a21bo_2".
+Reading "F9_sky130_fd_sc_hd__o31ai_2".
+Reading "F9_sky130_fd_sc_hd__o41ai_2".
+Reading "F9_sky130_fd_sc_hd__o211a_4".
 Reading "F9_mgmt_core".
     5000 uses
     10000 uses
@@ -714,10 +730,10 @@
 Reading "sky130_fd_io__corner_bus_overlay".
 Reading "sky130_ef_io__corner_pad".
 Reading "sky130_ef_io__com_bus_slice_20um".
-Reading "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um".
-Reading "sky130_ef_io__com_bus_slice_10um".
-Reading "sky130_ef_io__com_bus_slice_1um".
 Reading "sky130_ef_io__com_bus_slice_5um".
+Reading "sky130_ef_io__com_bus_slice_1um".
+Reading "sky130_ef_io__com_bus_slice_10um".
+Reading "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um".
 Reading "sky130_ef_io__hvc_vdda_overlay".
 Reading "sky130_fd_io__com_bus_slice".
 Reading "sky130_fd_io__com_bus_hookup".
@@ -1476,14 +1492,14 @@
 Error message output from magic:
 CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
 CIF file read warning: Input off lambda grid by 2/5; snapped to grid.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217202604): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217202636): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205228): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205260): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205292): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205324): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205356): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205452): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223975154): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223975186): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977778): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977810): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977842): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977874): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977906): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223978002): NODE elements not supported: skipping.
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -1499,6 +1515,175 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+caravel_00020003_fill_pattern_0_4: 10000 rects
+caravel_00020003_fill_pattern_0_4: 20000 rects
+caravel_00020003_fill_pattern_0_4: 30000 rects
+caravel_00020003_fill_pattern_0_4: 40000 rects
+caravel_00020003_fill_pattern_0_4: 50000 rects
+caravel_00020003_fill_pattern_0_4: 60000 rects
+caravel_00020003_fill_pattern_0_4: 70000 rects
+caravel_00020003_fill_pattern_0_4: 80000 rects
+caravel_00020003_fill_pattern_0_4: 90000 rects
+caravel_00020003_fill_pattern_0_4: 100000 rects
+caravel_00020003_fill_pattern_0_4: 110000 rects
+caravel_00020003_fill_pattern_0_4: 120000 rects
+caravel_00020003_fill_pattern_0_4: 130000 rects
+caravel_00020003_fill_pattern_0_4: 140000 rects
+caravel_00020003_fill_pattern_0_4: 150000 rects
+caravel_00020003_fill_pattern_0_4: 160000 rects
+caravel_00020003_fill_pattern_0_4: 170000 rects
+caravel_00020003_fill_pattern_0_4: 180000 rects
+caravel_00020003_fill_pattern_0_4: 190000 rects
+caravel_00020003_fill_pattern_0_4: 200000 rects
+caravel_00020003_fill_pattern_0_4: 210000 rects
+caravel_00020003_fill_pattern_0_4: 220000 rects
+caravel_00020003_fill_pattern_0_4: 230000 rects
+caravel_00020003_fill_pattern_0_4: 240000 rects
+caravel_00020003_fill_pattern_0_4: 250000 rects
+caravel_00020003_fill_pattern_0_4: 260000 rects
+caravel_00020003_fill_pattern_0_4: 270000 rects
+caravel_00020003_fill_pattern_0_4: 280000 rects
+caravel_00020003_fill_pattern_0_4: 290000 rects
+caravel_00020003_fill_pattern_0_4: 300000 rects
+caravel_00020003_fill_pattern_0_4: 310000 rects
+caravel_00020003_fill_pattern_0_4: 320000 rects
+caravel_00020003_fill_pattern_0_4: 330000 rects
+caravel_00020003_fill_pattern_0_4: 340000 rects
+caravel_00020003_fill_pattern_0_4: 350000 rects
+caravel_00020003_fill_pattern_0_4: 360000 rects
+caravel_00020003_fill_pattern_0_4: 370000 rects
+caravel_00020003_fill_pattern_0_4: 380000 rects
+caravel_00020003_fill_pattern_0_4: 390000 rects
+caravel_00020003_fill_pattern_0_4: 400000 rects
+caravel_00020003_fill_pattern_0_4: 410000 rects
+caravel_00020003_fill_pattern_0_4: 420000 rects
+caravel_00020003_fill_pattern_0_4: 430000 rects
+caravel_00020003_fill_pattern_0_4: 440000 rects
+caravel_00020003_fill_pattern_0_4: 450000 rects
+caravel_00020003_fill_pattern_0_4: 460000 rects
+caravel_00020003_fill_pattern_0_4: 470000 rects
+caravel_00020003_fill_pattern_0_4: 480000 rects
+caravel_00020003_fill_pattern_0_4: 490000 rects
+caravel_00020003_fill_pattern_0_4: 500000 rects
+caravel_00020003_fill_pattern_0_4: 510000 rects
+caravel_00020003_fill_pattern_0_4: 520000 rects
+caravel_00020003_fill_pattern_0_4: 530000 rects
+caravel_00020003_fill_pattern_0_4: 540000 rects
+caravel_00020003_fill_pattern_0_4: 550000 rects
+caravel_00020003_fill_pattern_0_4: 560000 rects
+caravel_00020003_fill_pattern_0_4: 570000 rects
+caravel_00020003_fill_pattern_0_4: 580000 rects
+caravel_00020003_fill_pattern_0_4: 590000 rects
+caravel_00020003_fill_pattern_0_4: 600000 rects
+caravel_00020003_fill_pattern_0_4: 610000 rects
+caravel_00020003_fill_pattern_0_4: 620000 rects
+caravel_00020003_fill_pattern_0_4: 630000 rects
+caravel_00020003_fill_pattern_0_4: 640000 rects
+caravel_00020003_fill_pattern_0_4: 650000 rects
+caravel_00020003_fill_pattern_0_4: 660000 rects
+caravel_00020003_fill_pattern_0_4: 670000 rects
+caravel_00020003_fill_pattern_0_4: 680000 rects
+caravel_00020003_fill_pattern_0_4: 690000 rects
+caravel_00020003_fill_pattern_0_4: 700000 rects
+caravel_00020003_fill_pattern_0_4: 710000 rects
+caravel_00020003_fill_pattern_0_4: 720000 rects
+caravel_00020003_fill_pattern_0_4: 730000 rects
+caravel_00020003_fill_pattern_0_4: 740000 rects
+caravel_00020003_fill_pattern_0_4: 750000 rects
+caravel_00020003_fill_pattern_0_4: 760000 rects
+caravel_00020003_fill_pattern_0_4: 770000 rects
+caravel_00020003_fill_pattern_0_4: 780000 rects
+caravel_00020003_fill_pattern_0_4: 790000 rects
+caravel_00020003_fill_pattern_0_4: 800000 rects
+caravel_00020003_fill_pattern_0_4: 810000 rects
+caravel_00020003_fill_pattern_0_4: 820000 rects
+caravel_00020003_fill_pattern_0_4: 830000 rects
+caravel_00020003_fill_pattern_0_4: 840000 rects
+caravel_00020003_fill_pattern_0_4: 850000 rects
+caravel_00020003_fill_pattern_0_4: 860000 rects
+caravel_00020003_fill_pattern_0_4: 870000 rects
+caravel_00020003_fill_pattern_0_4: 880000 rects
+caravel_00020003_fill_pattern_0_4: 890000 rects
+caravel_00020003_fill_pattern_0_4: 900000 rects
+caravel_00020003_fill_pattern_0_4: 910000 rects
+caravel_00020003_fill_pattern_0_4: 920000 rects
+caravel_00020003_fill_pattern_0_4: 930000 rects
+caravel_00020003_fill_pattern_0_4: 940000 rects
+caravel_00020003_fill_pattern_0_4: 950000 rects
+caravel_00020003_fill_pattern_0_4: 960000 rects
+caravel_00020003_fill_pattern_0_4: 970000 rects
+caravel_00020003_fill_pattern_0_4: 980000 rects
+caravel_00020003_fill_pattern_0_4: 990000 rects
+caravel_00020003_fill_pattern_0_4: 1000000 rects
+caravel_00020003_fill_pattern_0_4: 1010000 rects
+caravel_00020003_fill_pattern_0_4: 1020000 rects
+caravel_00020003_fill_pattern_0_4: 1030000 rects
+caravel_00020003_fill_pattern_0_4: 1040000 rects
+caravel_00020003_fill_pattern_0_4: 1050000 rects
+caravel_00020003_fill_pattern_0_4: 1060000 rects
+caravel_00020003_fill_pattern_0_4: 1070000 rects
+caravel_00020003_fill_pattern_0_4: 1080000 rects
+caravel_00020003_fill_pattern_0_4: 1090000 rects
+caravel_00020003_fill_pattern_0_4: 1100000 rects
+caravel_00020003_fill_pattern_0_4: 1110000 rects
+caravel_00020003_fill_pattern_0_4: 1120000 rects
+caravel_00020003_fill_pattern_0_4: 1130000 rects
+caravel_00020003_fill_pattern_0_4: 1140000 rects
+caravel_00020003_fill_pattern_0_4: 1150000 rects
+caravel_00020003_fill_pattern_0_4: 1160000 rects
+caravel_00020003_fill_pattern_0_4: 1170000 rects
+caravel_00020003_fill_pattern_0_4: 1180000 rects
+caravel_00020003_fill_pattern_0_4: 1190000 rects
+caravel_00020003_fill_pattern_0_4: 1200000 rects
+caravel_00020003_fill_pattern_0_4: 1210000 rects
+caravel_00020003_fill_pattern_0_4: 1220000 rects
+caravel_00020003_fill_pattern_0_4: 1230000 rects
+caravel_00020003_fill_pattern_0_4: 1240000 rects
+caravel_00020003_fill_pattern_0_4: 1250000 rects
+caravel_00020003_fill_pattern_0_4: 1260000 rects
+caravel_00020003_fill_pattern_0_4: 1270000 rects
+caravel_00020003_fill_pattern_0_4: 1280000 rects
+caravel_00020003_fill_pattern_0_4: 1290000 rects
+caravel_00020003_fill_pattern_0_4: 1300000 rects
+caravel_00020003_fill_pattern_0_4: 1310000 rects
+caravel_00020003_fill_pattern_0_4: 1320000 rects
+caravel_00020003_fill_pattern_0_4: 1330000 rects
+caravel_00020003_fill_pattern_0_4: 1340000 rects
+caravel_00020003_fill_pattern_0_4: 1350000 rects
+caravel_00020003_fill_pattern_0_4: 1360000 rects
+caravel_00020003_fill_pattern_0_4: 1370000 rects
+caravel_00020003_fill_pattern_0_4: 1380000 rects
+caravel_00020003_fill_pattern_0_4: 1390000 rects
+caravel_00020003_fill_pattern_0_4: 1400000 rects
+caravel_00020003_fill_pattern_0_4: 1410000 rects
+caravel_00020003_fill_pattern_0_4: 1420000 rects
+caravel_00020003_fill_pattern_0_4: 1430000 rects
+caravel_00020003_fill_pattern_0_4: 1440000 rects
+caravel_00020003_fill_pattern_0_4: 1450000 rects
+caravel_00020003_fill_pattern_0_4: 1460000 rects
+caravel_00020003_fill_pattern_0_4: 1470000 rects
+caravel_00020003_fill_pattern_0_4: 1480000 rects
+caravel_00020003_fill_pattern_0_4: 1490000 rects
+caravel_00020003_fill_pattern_0_4: 1500000 rects
+caravel_00020003_fill_pattern_0_4: 1510000 rects
+caravel_00020003_fill_pattern_0_4: 1520000 rects
+caravel_00020003_fill_pattern_0_4: 1530000 rects
+caravel_00020003_fill_pattern_0_4: 1540000 rects
+caravel_00020003_fill_pattern_0_4: 1550000 rects
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_0_2: 10000 rects
 caravel_00020003_fill_pattern_0_2: 20000 rects
 caravel_00020003_fill_pattern_0_2: 30000 rects
@@ -1837,175 +2022,6 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_0_4: 10000 rects
-caravel_00020003_fill_pattern_0_4: 20000 rects
-caravel_00020003_fill_pattern_0_4: 30000 rects
-caravel_00020003_fill_pattern_0_4: 40000 rects
-caravel_00020003_fill_pattern_0_4: 50000 rects
-caravel_00020003_fill_pattern_0_4: 60000 rects
-caravel_00020003_fill_pattern_0_4: 70000 rects
-caravel_00020003_fill_pattern_0_4: 80000 rects
-caravel_00020003_fill_pattern_0_4: 90000 rects
-caravel_00020003_fill_pattern_0_4: 100000 rects
-caravel_00020003_fill_pattern_0_4: 110000 rects
-caravel_00020003_fill_pattern_0_4: 120000 rects
-caravel_00020003_fill_pattern_0_4: 130000 rects
-caravel_00020003_fill_pattern_0_4: 140000 rects
-caravel_00020003_fill_pattern_0_4: 150000 rects
-caravel_00020003_fill_pattern_0_4: 160000 rects
-caravel_00020003_fill_pattern_0_4: 170000 rects
-caravel_00020003_fill_pattern_0_4: 180000 rects
-caravel_00020003_fill_pattern_0_4: 190000 rects
-caravel_00020003_fill_pattern_0_4: 200000 rects
-caravel_00020003_fill_pattern_0_4: 210000 rects
-caravel_00020003_fill_pattern_0_4: 220000 rects
-caravel_00020003_fill_pattern_0_4: 230000 rects
-caravel_00020003_fill_pattern_0_4: 240000 rects
-caravel_00020003_fill_pattern_0_4: 250000 rects
-caravel_00020003_fill_pattern_0_4: 260000 rects
-caravel_00020003_fill_pattern_0_4: 270000 rects
-caravel_00020003_fill_pattern_0_4: 280000 rects
-caravel_00020003_fill_pattern_0_4: 290000 rects
-caravel_00020003_fill_pattern_0_4: 300000 rects
-caravel_00020003_fill_pattern_0_4: 310000 rects
-caravel_00020003_fill_pattern_0_4: 320000 rects
-caravel_00020003_fill_pattern_0_4: 330000 rects
-caravel_00020003_fill_pattern_0_4: 340000 rects
-caravel_00020003_fill_pattern_0_4: 350000 rects
-caravel_00020003_fill_pattern_0_4: 360000 rects
-caravel_00020003_fill_pattern_0_4: 370000 rects
-caravel_00020003_fill_pattern_0_4: 380000 rects
-caravel_00020003_fill_pattern_0_4: 390000 rects
-caravel_00020003_fill_pattern_0_4: 400000 rects
-caravel_00020003_fill_pattern_0_4: 410000 rects
-caravel_00020003_fill_pattern_0_4: 420000 rects
-caravel_00020003_fill_pattern_0_4: 430000 rects
-caravel_00020003_fill_pattern_0_4: 440000 rects
-caravel_00020003_fill_pattern_0_4: 450000 rects
-caravel_00020003_fill_pattern_0_4: 460000 rects
-caravel_00020003_fill_pattern_0_4: 470000 rects
-caravel_00020003_fill_pattern_0_4: 480000 rects
-caravel_00020003_fill_pattern_0_4: 490000 rects
-caravel_00020003_fill_pattern_0_4: 500000 rects
-caravel_00020003_fill_pattern_0_4: 510000 rects
-caravel_00020003_fill_pattern_0_4: 520000 rects
-caravel_00020003_fill_pattern_0_4: 530000 rects
-caravel_00020003_fill_pattern_0_4: 540000 rects
-caravel_00020003_fill_pattern_0_4: 550000 rects
-caravel_00020003_fill_pattern_0_4: 560000 rects
-caravel_00020003_fill_pattern_0_4: 570000 rects
-caravel_00020003_fill_pattern_0_4: 580000 rects
-caravel_00020003_fill_pattern_0_4: 590000 rects
-caravel_00020003_fill_pattern_0_4: 600000 rects
-caravel_00020003_fill_pattern_0_4: 610000 rects
-caravel_00020003_fill_pattern_0_4: 620000 rects
-caravel_00020003_fill_pattern_0_4: 630000 rects
-caravel_00020003_fill_pattern_0_4: 640000 rects
-caravel_00020003_fill_pattern_0_4: 650000 rects
-caravel_00020003_fill_pattern_0_4: 660000 rects
-caravel_00020003_fill_pattern_0_4: 670000 rects
-caravel_00020003_fill_pattern_0_4: 680000 rects
-caravel_00020003_fill_pattern_0_4: 690000 rects
-caravel_00020003_fill_pattern_0_4: 700000 rects
-caravel_00020003_fill_pattern_0_4: 710000 rects
-caravel_00020003_fill_pattern_0_4: 720000 rects
-caravel_00020003_fill_pattern_0_4: 730000 rects
-caravel_00020003_fill_pattern_0_4: 740000 rects
-caravel_00020003_fill_pattern_0_4: 750000 rects
-caravel_00020003_fill_pattern_0_4: 760000 rects
-caravel_00020003_fill_pattern_0_4: 770000 rects
-caravel_00020003_fill_pattern_0_4: 780000 rects
-caravel_00020003_fill_pattern_0_4: 790000 rects
-caravel_00020003_fill_pattern_0_4: 800000 rects
-caravel_00020003_fill_pattern_0_4: 810000 rects
-caravel_00020003_fill_pattern_0_4: 820000 rects
-caravel_00020003_fill_pattern_0_4: 830000 rects
-caravel_00020003_fill_pattern_0_4: 840000 rects
-caravel_00020003_fill_pattern_0_4: 850000 rects
-caravel_00020003_fill_pattern_0_4: 860000 rects
-caravel_00020003_fill_pattern_0_4: 870000 rects
-caravel_00020003_fill_pattern_0_4: 880000 rects
-caravel_00020003_fill_pattern_0_4: 890000 rects
-caravel_00020003_fill_pattern_0_4: 900000 rects
-caravel_00020003_fill_pattern_0_4: 910000 rects
-caravel_00020003_fill_pattern_0_4: 920000 rects
-caravel_00020003_fill_pattern_0_4: 930000 rects
-caravel_00020003_fill_pattern_0_4: 940000 rects
-caravel_00020003_fill_pattern_0_4: 950000 rects
-caravel_00020003_fill_pattern_0_4: 960000 rects
-caravel_00020003_fill_pattern_0_4: 970000 rects
-caravel_00020003_fill_pattern_0_4: 980000 rects
-caravel_00020003_fill_pattern_0_4: 990000 rects
-caravel_00020003_fill_pattern_0_4: 1000000 rects
-caravel_00020003_fill_pattern_0_4: 1010000 rects
-caravel_00020003_fill_pattern_0_4: 1020000 rects
-caravel_00020003_fill_pattern_0_4: 1030000 rects
-caravel_00020003_fill_pattern_0_4: 1040000 rects
-caravel_00020003_fill_pattern_0_4: 1050000 rects
-caravel_00020003_fill_pattern_0_4: 1060000 rects
-caravel_00020003_fill_pattern_0_4: 1070000 rects
-caravel_00020003_fill_pattern_0_4: 1080000 rects
-caravel_00020003_fill_pattern_0_4: 1090000 rects
-caravel_00020003_fill_pattern_0_4: 1100000 rects
-caravel_00020003_fill_pattern_0_4: 1110000 rects
-caravel_00020003_fill_pattern_0_4: 1120000 rects
-caravel_00020003_fill_pattern_0_4: 1130000 rects
-caravel_00020003_fill_pattern_0_4: 1140000 rects
-caravel_00020003_fill_pattern_0_4: 1150000 rects
-caravel_00020003_fill_pattern_0_4: 1160000 rects
-caravel_00020003_fill_pattern_0_4: 1170000 rects
-caravel_00020003_fill_pattern_0_4: 1180000 rects
-caravel_00020003_fill_pattern_0_4: 1190000 rects
-caravel_00020003_fill_pattern_0_4: 1200000 rects
-caravel_00020003_fill_pattern_0_4: 1210000 rects
-caravel_00020003_fill_pattern_0_4: 1220000 rects
-caravel_00020003_fill_pattern_0_4: 1230000 rects
-caravel_00020003_fill_pattern_0_4: 1240000 rects
-caravel_00020003_fill_pattern_0_4: 1250000 rects
-caravel_00020003_fill_pattern_0_4: 1260000 rects
-caravel_00020003_fill_pattern_0_4: 1270000 rects
-caravel_00020003_fill_pattern_0_4: 1280000 rects
-caravel_00020003_fill_pattern_0_4: 1290000 rects
-caravel_00020003_fill_pattern_0_4: 1300000 rects
-caravel_00020003_fill_pattern_0_4: 1310000 rects
-caravel_00020003_fill_pattern_0_4: 1320000 rects
-caravel_00020003_fill_pattern_0_4: 1330000 rects
-caravel_00020003_fill_pattern_0_4: 1340000 rects
-caravel_00020003_fill_pattern_0_4: 1350000 rects
-caravel_00020003_fill_pattern_0_4: 1360000 rects
-caravel_00020003_fill_pattern_0_4: 1370000 rects
-caravel_00020003_fill_pattern_0_4: 1380000 rects
-caravel_00020003_fill_pattern_0_4: 1390000 rects
-caravel_00020003_fill_pattern_0_4: 1400000 rects
-caravel_00020003_fill_pattern_0_4: 1410000 rects
-caravel_00020003_fill_pattern_0_4: 1420000 rects
-caravel_00020003_fill_pattern_0_4: 1430000 rects
-caravel_00020003_fill_pattern_0_4: 1440000 rects
-caravel_00020003_fill_pattern_0_4: 1450000 rects
-caravel_00020003_fill_pattern_0_4: 1460000 rects
-caravel_00020003_fill_pattern_0_4: 1470000 rects
-caravel_00020003_fill_pattern_0_4: 1480000 rects
-caravel_00020003_fill_pattern_0_4: 1490000 rects
-caravel_00020003_fill_pattern_0_4: 1500000 rects
-caravel_00020003_fill_pattern_0_4: 1510000 rects
-caravel_00020003_fill_pattern_0_4: 1520000 rects
-caravel_00020003_fill_pattern_0_4: 1530000 rects
-caravel_00020003_fill_pattern_0_4: 1540000 rects
-caravel_00020003_fill_pattern_0_4: 1550000 rects
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_4_0: 10000 rects
 caravel_00020003_fill_pattern_4_0: 20000 rects
 caravel_00020003_fill_pattern_4_0: 30000 rects
@@ -6147,7 +6163,7 @@
    Generating output for cell caravel_00020003_fill_pattern_5_7
 Reading "caravel_00020003_fill_pattern_5_7".
    Generating output for cell caravel_00020003_fill_pattern
-Ended: 12/08/2021 04:43:03
+Ended: 12/10/2021 00:52:07
 Done!
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
@@ -6181,54 +6197,6 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_5_0: 10000 rects
-caravel_00020003_fill_pattern_5_0: 20000 rects
-caravel_00020003_fill_pattern_5_0: 30000 rects
-caravel_00020003_fill_pattern_5_0: 40000 rects
-caravel_00020003_fill_pattern_5_0: 50000 rects
-caravel_00020003_fill_pattern_5_0: 60000 rects
-caravel_00020003_fill_pattern_5_0: 70000 rects
-caravel_00020003_fill_pattern_5_0: 80000 rects
-caravel_00020003_fill_pattern_5_0: 90000 rects
-caravel_00020003_fill_pattern_5_0: 100000 rects
-caravel_00020003_fill_pattern_5_0: 110000 rects
-caravel_00020003_fill_pattern_5_0: 120000 rects
-caravel_00020003_fill_pattern_5_0: 130000 rects
-caravel_00020003_fill_pattern_5_0: 140000 rects
-caravel_00020003_fill_pattern_5_0: 150000 rects
-caravel_00020003_fill_pattern_5_0: 160000 rects
-caravel_00020003_fill_pattern_5_0: 170000 rects
-caravel_00020003_fill_pattern_5_0: 180000 rects
-caravel_00020003_fill_pattern_5_0: 190000 rects
-caravel_00020003_fill_pattern_5_0: 200000 rects
-caravel_00020003_fill_pattern_5_0: 210000 rects
-caravel_00020003_fill_pattern_5_0: 220000 rects
-caravel_00020003_fill_pattern_5_0: 230000 rects
-caravel_00020003_fill_pattern_5_0: 240000 rects
-caravel_00020003_fill_pattern_5_0: 250000 rects
-caravel_00020003_fill_pattern_5_0: 260000 rects
-caravel_00020003_fill_pattern_5_0: 270000 rects
-caravel_00020003_fill_pattern_5_0: 280000 rects
-caravel_00020003_fill_pattern_5_0: 290000 rects
-caravel_00020003_fill_pattern_5_0: 300000 rects
-caravel_00020003_fill_pattern_5_0: 310000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_5_0
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_5_6: 10000 rects
 caravel_00020003_fill_pattern_5_6: 20000 rects
 caravel_00020003_fill_pattern_5_6: 30000 rects
@@ -6289,6 +6257,54 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+caravel_00020003_fill_pattern_5_0: 10000 rects
+caravel_00020003_fill_pattern_5_0: 20000 rects
+caravel_00020003_fill_pattern_5_0: 30000 rects
+caravel_00020003_fill_pattern_5_0: 40000 rects
+caravel_00020003_fill_pattern_5_0: 50000 rects
+caravel_00020003_fill_pattern_5_0: 60000 rects
+caravel_00020003_fill_pattern_5_0: 70000 rects
+caravel_00020003_fill_pattern_5_0: 80000 rects
+caravel_00020003_fill_pattern_5_0: 90000 rects
+caravel_00020003_fill_pattern_5_0: 100000 rects
+caravel_00020003_fill_pattern_5_0: 110000 rects
+caravel_00020003_fill_pattern_5_0: 120000 rects
+caravel_00020003_fill_pattern_5_0: 130000 rects
+caravel_00020003_fill_pattern_5_0: 140000 rects
+caravel_00020003_fill_pattern_5_0: 150000 rects
+caravel_00020003_fill_pattern_5_0: 160000 rects
+caravel_00020003_fill_pattern_5_0: 170000 rects
+caravel_00020003_fill_pattern_5_0: 180000 rects
+caravel_00020003_fill_pattern_5_0: 190000 rects
+caravel_00020003_fill_pattern_5_0: 200000 rects
+caravel_00020003_fill_pattern_5_0: 210000 rects
+caravel_00020003_fill_pattern_5_0: 220000 rects
+caravel_00020003_fill_pattern_5_0: 230000 rects
+caravel_00020003_fill_pattern_5_0: 240000 rects
+caravel_00020003_fill_pattern_5_0: 250000 rects
+caravel_00020003_fill_pattern_5_0: 260000 rects
+caravel_00020003_fill_pattern_5_0: 270000 rects
+caravel_00020003_fill_pattern_5_0: 280000 rects
+caravel_00020003_fill_pattern_5_0: 290000 rects
+caravel_00020003_fill_pattern_5_0: 300000 rects
+caravel_00020003_fill_pattern_5_0: 310000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_5_0
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_5_3: 10000 rects
 caravel_00020003_fill_pattern_5_3: 20000 rects
 caravel_00020003_fill_pattern_5_3: 30000 rects
@@ -6447,115 +6463,6 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_5_1: 10000 rects
-caravel_00020003_fill_pattern_5_1: 20000 rects
-caravel_00020003_fill_pattern_5_1: 30000 rects
-caravel_00020003_fill_pattern_5_1: 40000 rects
-caravel_00020003_fill_pattern_5_1: 50000 rects
-caravel_00020003_fill_pattern_5_1: 60000 rects
-caravel_00020003_fill_pattern_5_1: 70000 rects
-caravel_00020003_fill_pattern_5_1: 80000 rects
-caravel_00020003_fill_pattern_5_1: 90000 rects
-caravel_00020003_fill_pattern_5_1: 100000 rects
-caravel_00020003_fill_pattern_5_1: 110000 rects
-caravel_00020003_fill_pattern_5_1: 120000 rects
-caravel_00020003_fill_pattern_5_1: 130000 rects
-caravel_00020003_fill_pattern_5_1: 140000 rects
-caravel_00020003_fill_pattern_5_1: 150000 rects
-caravel_00020003_fill_pattern_5_1: 160000 rects
-caravel_00020003_fill_pattern_5_1: 170000 rects
-caravel_00020003_fill_pattern_5_1: 180000 rects
-caravel_00020003_fill_pattern_5_1: 190000 rects
-caravel_00020003_fill_pattern_5_1: 200000 rects
-caravel_00020003_fill_pattern_5_1: 210000 rects
-caravel_00020003_fill_pattern_5_1: 220000 rects
-caravel_00020003_fill_pattern_5_1: 230000 rects
-caravel_00020003_fill_pattern_5_1: 240000 rects
-caravel_00020003_fill_pattern_5_1: 250000 rects
-caravel_00020003_fill_pattern_5_1: 260000 rects
-caravel_00020003_fill_pattern_5_1: 270000 rects
-caravel_00020003_fill_pattern_5_1: 280000 rects
-caravel_00020003_fill_pattern_5_1: 290000 rects
-caravel_00020003_fill_pattern_5_1: 300000 rects
-caravel_00020003_fill_pattern_5_1: 310000 rects
-caravel_00020003_fill_pattern_5_1: 320000 rects
-caravel_00020003_fill_pattern_5_1: 330000 rects
-caravel_00020003_fill_pattern_5_1: 340000 rects
-caravel_00020003_fill_pattern_5_1: 350000 rects
-caravel_00020003_fill_pattern_5_1: 360000 rects
-caravel_00020003_fill_pattern_5_1: 370000 rects
-caravel_00020003_fill_pattern_5_1: 380000 rects
-caravel_00020003_fill_pattern_5_1: 390000 rects
-caravel_00020003_fill_pattern_5_1: 400000 rects
-caravel_00020003_fill_pattern_5_1: 410000 rects
-caravel_00020003_fill_pattern_5_1: 420000 rects
-caravel_00020003_fill_pattern_5_1: 430000 rects
-caravel_00020003_fill_pattern_5_1: 440000 rects
-caravel_00020003_fill_pattern_5_1: 450000 rects
-caravel_00020003_fill_pattern_5_1: 460000 rects
-caravel_00020003_fill_pattern_5_1: 470000 rects
-caravel_00020003_fill_pattern_5_1: 480000 rects
-caravel_00020003_fill_pattern_5_1: 490000 rects
-caravel_00020003_fill_pattern_5_1: 500000 rects
-caravel_00020003_fill_pattern_5_1: 510000 rects
-caravel_00020003_fill_pattern_5_1: 520000 rects
-caravel_00020003_fill_pattern_5_1: 530000 rects
-caravel_00020003_fill_pattern_5_1: 540000 rects
-caravel_00020003_fill_pattern_5_1: 550000 rects
-caravel_00020003_fill_pattern_5_1: 560000 rects
-caravel_00020003_fill_pattern_5_1: 570000 rects
-caravel_00020003_fill_pattern_5_1: 580000 rects
-caravel_00020003_fill_pattern_5_1: 590000 rects
-caravel_00020003_fill_pattern_5_1: 600000 rects
-caravel_00020003_fill_pattern_5_1: 610000 rects
-caravel_00020003_fill_pattern_5_1: 620000 rects
-caravel_00020003_fill_pattern_5_1: 630000 rects
-caravel_00020003_fill_pattern_5_1: 640000 rects
-caravel_00020003_fill_pattern_5_1: 650000 rects
-caravel_00020003_fill_pattern_5_1: 660000 rects
-caravel_00020003_fill_pattern_5_1: 670000 rects
-caravel_00020003_fill_pattern_5_1: 680000 rects
-caravel_00020003_fill_pattern_5_1: 690000 rects
-caravel_00020003_fill_pattern_5_1: 700000 rects
-caravel_00020003_fill_pattern_5_1: 710000 rects
-caravel_00020003_fill_pattern_5_1: 720000 rects
-caravel_00020003_fill_pattern_5_1: 730000 rects
-caravel_00020003_fill_pattern_5_1: 740000 rects
-caravel_00020003_fill_pattern_5_1: 750000 rects
-caravel_00020003_fill_pattern_5_1: 760000 rects
-caravel_00020003_fill_pattern_5_1: 770000 rects
-caravel_00020003_fill_pattern_5_1: 780000 rects
-caravel_00020003_fill_pattern_5_1: 790000 rects
-caravel_00020003_fill_pattern_5_1: 800000 rects
-caravel_00020003_fill_pattern_5_1: 810000 rects
-caravel_00020003_fill_pattern_5_1: 820000 rects
-caravel_00020003_fill_pattern_5_1: 830000 rects
-caravel_00020003_fill_pattern_5_1: 840000 rects
-caravel_00020003_fill_pattern_5_1: 850000 rects
-caravel_00020003_fill_pattern_5_1: 860000 rects
-caravel_00020003_fill_pattern_5_1: 870000 rects
-caravel_00020003_fill_pattern_5_1: 880000 rects
-caravel_00020003_fill_pattern_5_1: 890000 rects
-caravel_00020003_fill_pattern_5_1: 900000 rects
-caravel_00020003_fill_pattern_5_1: 910000 rects
-caravel_00020003_fill_pattern_5_1: 920000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_5_1
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_5_2: 10000 rects
 caravel_00020003_fill_pattern_5_2: 20000 rects
 caravel_00020003_fill_pattern_5_2: 30000 rects
@@ -6783,13 +6690,100 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_3_7: 10000 rects
-caravel_00020003_fill_pattern_3_7: 20000 rects
-caravel_00020003_fill_pattern_3_7: 30000 rects
-caravel_00020003_fill_pattern_3_7: 40000 rects
-caravel_00020003_fill_pattern_3_7: 50000 rects
+caravel_00020003_fill_pattern_5_1: 10000 rects
+caravel_00020003_fill_pattern_5_1: 20000 rects
+caravel_00020003_fill_pattern_5_1: 30000 rects
+caravel_00020003_fill_pattern_5_1: 40000 rects
+caravel_00020003_fill_pattern_5_1: 50000 rects
+caravel_00020003_fill_pattern_5_1: 60000 rects
+caravel_00020003_fill_pattern_5_1: 70000 rects
+caravel_00020003_fill_pattern_5_1: 80000 rects
+caravel_00020003_fill_pattern_5_1: 90000 rects
+caravel_00020003_fill_pattern_5_1: 100000 rects
+caravel_00020003_fill_pattern_5_1: 110000 rects
+caravel_00020003_fill_pattern_5_1: 120000 rects
+caravel_00020003_fill_pattern_5_1: 130000 rects
+caravel_00020003_fill_pattern_5_1: 140000 rects
+caravel_00020003_fill_pattern_5_1: 150000 rects
+caravel_00020003_fill_pattern_5_1: 160000 rects
+caravel_00020003_fill_pattern_5_1: 170000 rects
+caravel_00020003_fill_pattern_5_1: 180000 rects
+caravel_00020003_fill_pattern_5_1: 190000 rects
+caravel_00020003_fill_pattern_5_1: 200000 rects
+caravel_00020003_fill_pattern_5_1: 210000 rects
+caravel_00020003_fill_pattern_5_1: 220000 rects
+caravel_00020003_fill_pattern_5_1: 230000 rects
+caravel_00020003_fill_pattern_5_1: 240000 rects
+caravel_00020003_fill_pattern_5_1: 250000 rects
+caravel_00020003_fill_pattern_5_1: 260000 rects
+caravel_00020003_fill_pattern_5_1: 270000 rects
+caravel_00020003_fill_pattern_5_1: 280000 rects
+caravel_00020003_fill_pattern_5_1: 290000 rects
+caravel_00020003_fill_pattern_5_1: 300000 rects
+caravel_00020003_fill_pattern_5_1: 310000 rects
+caravel_00020003_fill_pattern_5_1: 320000 rects
+caravel_00020003_fill_pattern_5_1: 330000 rects
+caravel_00020003_fill_pattern_5_1: 340000 rects
+caravel_00020003_fill_pattern_5_1: 350000 rects
+caravel_00020003_fill_pattern_5_1: 360000 rects
+caravel_00020003_fill_pattern_5_1: 370000 rects
+caravel_00020003_fill_pattern_5_1: 380000 rects
+caravel_00020003_fill_pattern_5_1: 390000 rects
+caravel_00020003_fill_pattern_5_1: 400000 rects
+caravel_00020003_fill_pattern_5_1: 410000 rects
+caravel_00020003_fill_pattern_5_1: 420000 rects
+caravel_00020003_fill_pattern_5_1: 430000 rects
+caravel_00020003_fill_pattern_5_1: 440000 rects
+caravel_00020003_fill_pattern_5_1: 450000 rects
+caravel_00020003_fill_pattern_5_1: 460000 rects
+caravel_00020003_fill_pattern_5_1: 470000 rects
+caravel_00020003_fill_pattern_5_1: 480000 rects
+caravel_00020003_fill_pattern_5_1: 490000 rects
+caravel_00020003_fill_pattern_5_1: 500000 rects
+caravel_00020003_fill_pattern_5_1: 510000 rects
+caravel_00020003_fill_pattern_5_1: 520000 rects
+caravel_00020003_fill_pattern_5_1: 530000 rects
+caravel_00020003_fill_pattern_5_1: 540000 rects
+caravel_00020003_fill_pattern_5_1: 550000 rects
+caravel_00020003_fill_pattern_5_1: 560000 rects
+caravel_00020003_fill_pattern_5_1: 570000 rects
+caravel_00020003_fill_pattern_5_1: 580000 rects
+caravel_00020003_fill_pattern_5_1: 590000 rects
+caravel_00020003_fill_pattern_5_1: 600000 rects
+caravel_00020003_fill_pattern_5_1: 610000 rects
+caravel_00020003_fill_pattern_5_1: 620000 rects
+caravel_00020003_fill_pattern_5_1: 630000 rects
+caravel_00020003_fill_pattern_5_1: 640000 rects
+caravel_00020003_fill_pattern_5_1: 650000 rects
+caravel_00020003_fill_pattern_5_1: 660000 rects
+caravel_00020003_fill_pattern_5_1: 670000 rects
+caravel_00020003_fill_pattern_5_1: 680000 rects
+caravel_00020003_fill_pattern_5_1: 690000 rects
+caravel_00020003_fill_pattern_5_1: 700000 rects
+caravel_00020003_fill_pattern_5_1: 710000 rects
+caravel_00020003_fill_pattern_5_1: 720000 rects
+caravel_00020003_fill_pattern_5_1: 730000 rects
+caravel_00020003_fill_pattern_5_1: 740000 rects
+caravel_00020003_fill_pattern_5_1: 750000 rects
+caravel_00020003_fill_pattern_5_1: 760000 rects
+caravel_00020003_fill_pattern_5_1: 770000 rects
+caravel_00020003_fill_pattern_5_1: 780000 rects
+caravel_00020003_fill_pattern_5_1: 790000 rects
+caravel_00020003_fill_pattern_5_1: 800000 rects
+caravel_00020003_fill_pattern_5_1: 810000 rects
+caravel_00020003_fill_pattern_5_1: 820000 rects
+caravel_00020003_fill_pattern_5_1: 830000 rects
+caravel_00020003_fill_pattern_5_1: 840000 rects
+caravel_00020003_fill_pattern_5_1: 850000 rects
+caravel_00020003_fill_pattern_5_1: 860000 rects
+caravel_00020003_fill_pattern_5_1: 870000 rects
+caravel_00020003_fill_pattern_5_1: 880000 rects
+caravel_00020003_fill_pattern_5_1: 890000 rects
+caravel_00020003_fill_pattern_5_1: 900000 rects
+caravel_00020003_fill_pattern_5_1: 910000 rects
+caravel_00020003_fill_pattern_5_1: 920000 rects
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_7
+   Generating output for cell caravel_00020003_fill_pattern_5_1
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -6805,41 +6799,13 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_1_7: 10000 rects
-caravel_00020003_fill_pattern_1_7: 20000 rects
-caravel_00020003_fill_pattern_1_7: 30000 rects
-caravel_00020003_fill_pattern_1_7: 40000 rects
-caravel_00020003_fill_pattern_1_7: 50000 rects
-caravel_00020003_fill_pattern_1_7: 60000 rects
-caravel_00020003_fill_pattern_1_7: 70000 rects
-caravel_00020003_fill_pattern_1_7: 80000 rects
-caravel_00020003_fill_pattern_1_7: 90000 rects
-caravel_00020003_fill_pattern_1_7: 100000 rects
-caravel_00020003_fill_pattern_1_7: 110000 rects
-caravel_00020003_fill_pattern_1_7: 120000 rects
-caravel_00020003_fill_pattern_1_7: 130000 rects
-caravel_00020003_fill_pattern_1_7: 140000 rects
-caravel_00020003_fill_pattern_1_7: 150000 rects
-caravel_00020003_fill_pattern_1_7: 160000 rects
-caravel_00020003_fill_pattern_1_7: 170000 rects
-caravel_00020003_fill_pattern_1_7: 180000 rects
-caravel_00020003_fill_pattern_1_7: 190000 rects
-caravel_00020003_fill_pattern_1_7: 200000 rects
-caravel_00020003_fill_pattern_1_7: 210000 rects
-caravel_00020003_fill_pattern_1_7: 220000 rects
-caravel_00020003_fill_pattern_1_7: 230000 rects
-caravel_00020003_fill_pattern_1_7: 240000 rects
-caravel_00020003_fill_pattern_1_7: 250000 rects
-caravel_00020003_fill_pattern_1_7: 260000 rects
-caravel_00020003_fill_pattern_1_7: 270000 rects
-caravel_00020003_fill_pattern_1_7: 280000 rects
-caravel_00020003_fill_pattern_1_7: 290000 rects
-caravel_00020003_fill_pattern_1_7: 300000 rects
-caravel_00020003_fill_pattern_1_7: 310000 rects
-caravel_00020003_fill_pattern_1_7: 320000 rects
-caravel_00020003_fill_pattern_1_7: 330000 rects
+caravel_00020003_fill_pattern_3_7: 10000 rects
+caravel_00020003_fill_pattern_3_7: 20000 rects
+caravel_00020003_fill_pattern_3_7: 30000 rects
+caravel_00020003_fill_pattern_3_7: 40000 rects
+caravel_00020003_fill_pattern_3_7: 50000 rects
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_7
+   Generating output for cell caravel_00020003_fill_pattern_3_7
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -6980,6 +6946,56 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+caravel_00020003_fill_pattern_1_7: 10000 rects
+caravel_00020003_fill_pattern_1_7: 20000 rects
+caravel_00020003_fill_pattern_1_7: 30000 rects
+caravel_00020003_fill_pattern_1_7: 40000 rects
+caravel_00020003_fill_pattern_1_7: 50000 rects
+caravel_00020003_fill_pattern_1_7: 60000 rects
+caravel_00020003_fill_pattern_1_7: 70000 rects
+caravel_00020003_fill_pattern_1_7: 80000 rects
+caravel_00020003_fill_pattern_1_7: 90000 rects
+caravel_00020003_fill_pattern_1_7: 100000 rects
+caravel_00020003_fill_pattern_1_7: 110000 rects
+caravel_00020003_fill_pattern_1_7: 120000 rects
+caravel_00020003_fill_pattern_1_7: 130000 rects
+caravel_00020003_fill_pattern_1_7: 140000 rects
+caravel_00020003_fill_pattern_1_7: 150000 rects
+caravel_00020003_fill_pattern_1_7: 160000 rects
+caravel_00020003_fill_pattern_1_7: 170000 rects
+caravel_00020003_fill_pattern_1_7: 180000 rects
+caravel_00020003_fill_pattern_1_7: 190000 rects
+caravel_00020003_fill_pattern_1_7: 200000 rects
+caravel_00020003_fill_pattern_1_7: 210000 rects
+caravel_00020003_fill_pattern_1_7: 220000 rects
+caravel_00020003_fill_pattern_1_7: 230000 rects
+caravel_00020003_fill_pattern_1_7: 240000 rects
+caravel_00020003_fill_pattern_1_7: 250000 rects
+caravel_00020003_fill_pattern_1_7: 260000 rects
+caravel_00020003_fill_pattern_1_7: 270000 rects
+caravel_00020003_fill_pattern_1_7: 280000 rects
+caravel_00020003_fill_pattern_1_7: 290000 rects
+caravel_00020003_fill_pattern_1_7: 300000 rects
+caravel_00020003_fill_pattern_1_7: 310000 rects
+caravel_00020003_fill_pattern_1_7: 320000 rects
+caravel_00020003_fill_pattern_1_7: 330000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_7
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_4_7: 10000 rects
 caravel_00020003_fill_pattern_4_7: 20000 rects
 caravel_00020003_fill_pattern_4_7: 30000 rects
@@ -7026,176 +7042,6 @@
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_5
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_4
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_3
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_6
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_6
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_2
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_2_6
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_2_3
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_4
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_2
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_1_3
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
@@ -7230,7 +7076,58 @@
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_2_4
+   Generating output for cell caravel_00020003_fill_pattern_2_3
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_3_2
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_3_3
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_3_4
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -7263,6 +7160,108 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_5
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_2_6
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_3_6
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_2
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_6
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_2_5
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_0_6: 10000 rects
 caravel_00020003_fill_pattern_0_6: 20000 rects
 caravel_00020003_fill_pattern_0_6: 30000 rects
@@ -7341,7 +7340,7 @@
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_2_5
+   Generating output for cell caravel_00020003_fill_pattern_2_4
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -7420,6 +7419,23 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_4
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_0_3: 10000 rects
 caravel_00020003_fill_pattern_0_3: 20000 rects
 caravel_00020003_fill_pattern_0_3: 30000 rects
@@ -7641,85 +7657,6 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_4_3: 10000 rects
-caravel_00020003_fill_pattern_4_3: 20000 rects
-caravel_00020003_fill_pattern_4_3: 30000 rects
-caravel_00020003_fill_pattern_4_3: 40000 rects
-caravel_00020003_fill_pattern_4_3: 50000 rects
-caravel_00020003_fill_pattern_4_3: 60000 rects
-caravel_00020003_fill_pattern_4_3: 70000 rects
-caravel_00020003_fill_pattern_4_3: 80000 rects
-caravel_00020003_fill_pattern_4_3: 90000 rects
-caravel_00020003_fill_pattern_4_3: 100000 rects
-caravel_00020003_fill_pattern_4_3: 110000 rects
-caravel_00020003_fill_pattern_4_3: 120000 rects
-caravel_00020003_fill_pattern_4_3: 130000 rects
-caravel_00020003_fill_pattern_4_3: 140000 rects
-caravel_00020003_fill_pattern_4_3: 150000 rects
-caravel_00020003_fill_pattern_4_3: 160000 rects
-caravel_00020003_fill_pattern_4_3: 170000 rects
-caravel_00020003_fill_pattern_4_3: 180000 rects
-caravel_00020003_fill_pattern_4_3: 190000 rects
-caravel_00020003_fill_pattern_4_3: 200000 rects
-caravel_00020003_fill_pattern_4_3: 210000 rects
-caravel_00020003_fill_pattern_4_3: 220000 rects
-caravel_00020003_fill_pattern_4_3: 230000 rects
-caravel_00020003_fill_pattern_4_3: 240000 rects
-caravel_00020003_fill_pattern_4_3: 250000 rects
-caravel_00020003_fill_pattern_4_3: 260000 rects
-caravel_00020003_fill_pattern_4_3: 270000 rects
-caravel_00020003_fill_pattern_4_3: 280000 rects
-caravel_00020003_fill_pattern_4_3: 290000 rects
-caravel_00020003_fill_pattern_4_3: 300000 rects
-caravel_00020003_fill_pattern_4_3: 310000 rects
-caravel_00020003_fill_pattern_4_3: 320000 rects
-caravel_00020003_fill_pattern_4_3: 330000 rects
-caravel_00020003_fill_pattern_4_3: 340000 rects
-caravel_00020003_fill_pattern_4_3: 350000 rects
-caravel_00020003_fill_pattern_4_3: 360000 rects
-caravel_00020003_fill_pattern_4_3: 370000 rects
-caravel_00020003_fill_pattern_4_3: 380000 rects
-caravel_00020003_fill_pattern_4_3: 390000 rects
-caravel_00020003_fill_pattern_4_3: 400000 rects
-caravel_00020003_fill_pattern_4_3: 410000 rects
-caravel_00020003_fill_pattern_4_3: 420000 rects
-caravel_00020003_fill_pattern_4_3: 430000 rects
-caravel_00020003_fill_pattern_4_3: 440000 rects
-caravel_00020003_fill_pattern_4_3: 450000 rects
-caravel_00020003_fill_pattern_4_3: 460000 rects
-caravel_00020003_fill_pattern_4_3: 470000 rects
-caravel_00020003_fill_pattern_4_3: 480000 rects
-caravel_00020003_fill_pattern_4_3: 490000 rects
-caravel_00020003_fill_pattern_4_3: 500000 rects
-caravel_00020003_fill_pattern_4_3: 510000 rects
-caravel_00020003_fill_pattern_4_3: 520000 rects
-caravel_00020003_fill_pattern_4_3: 530000 rects
-caravel_00020003_fill_pattern_4_3: 540000 rects
-caravel_00020003_fill_pattern_4_3: 550000 rects
-caravel_00020003_fill_pattern_4_3: 560000 rects
-caravel_00020003_fill_pattern_4_3: 570000 rects
-caravel_00020003_fill_pattern_4_3: 580000 rects
-caravel_00020003_fill_pattern_4_3: 590000 rects
-caravel_00020003_fill_pattern_4_3: 600000 rects
-caravel_00020003_fill_pattern_4_3: 610000 rects
-caravel_00020003_fill_pattern_4_3: 620000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_4_3
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_4_2: 10000 rects
 caravel_00020003_fill_pattern_4_2: 20000 rects
 caravel_00020003_fill_pattern_4_2: 30000 rects
@@ -7807,36 +7744,6 @@
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_4_2
 
-caravel_00020003_fill_pattern_0_2: 1560000 rects
-caravel_00020003_fill_pattern_0_2: 1570000 rects
-caravel_00020003_fill_pattern_0_2: 1580000 rects
-caravel_00020003_fill_pattern_0_2: 1590000 rects
-caravel_00020003_fill_pattern_0_2: 1600000 rects
-caravel_00020003_fill_pattern_0_2: 1610000 rects
-caravel_00020003_fill_pattern_0_2: 1620000 rects
-caravel_00020003_fill_pattern_0_2: 1630000 rects
-caravel_00020003_fill_pattern_0_2: 1640000 rects
-caravel_00020003_fill_pattern_0_2: 1650000 rects
-caravel_00020003_fill_pattern_0_2: 1660000 rects
-caravel_00020003_fill_pattern_0_2: 1670000 rects
-caravel_00020003_fill_pattern_0_2: 1680000 rects
-caravel_00020003_fill_pattern_0_2: 1690000 rects
-caravel_00020003_fill_pattern_0_2: 1700000 rects
-caravel_00020003_fill_pattern_0_2: 1710000 rects
-caravel_00020003_fill_pattern_0_2: 1720000 rects
-caravel_00020003_fill_pattern_0_2: 1730000 rects
-caravel_00020003_fill_pattern_0_2: 1740000 rects
-caravel_00020003_fill_pattern_0_2: 1750000 rects
-caravel_00020003_fill_pattern_0_2: 1760000 rects
-caravel_00020003_fill_pattern_0_2: 1770000 rects
-caravel_00020003_fill_pattern_0_2: 1780000 rects
-caravel_00020003_fill_pattern_0_2: 1790000 rects
-caravel_00020003_fill_pattern_0_2: 1800000 rects
-caravel_00020003_fill_pattern_0_2: 1810000 rects
-caravel_00020003_fill_pattern_0_2: 1820000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_0_2
-
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
 Using the terminal as the console.
@@ -7942,6 +7849,142 @@
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_4_4
 
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+caravel_00020003_fill_pattern_4_3: 10000 rects
+caravel_00020003_fill_pattern_4_3: 20000 rects
+caravel_00020003_fill_pattern_4_3: 30000 rects
+caravel_00020003_fill_pattern_4_3: 40000 rects
+caravel_00020003_fill_pattern_4_3: 50000 rects
+caravel_00020003_fill_pattern_4_3: 60000 rects
+caravel_00020003_fill_pattern_4_3: 70000 rects
+caravel_00020003_fill_pattern_4_3: 80000 rects
+caravel_00020003_fill_pattern_4_3: 90000 rects
+caravel_00020003_fill_pattern_4_3: 100000 rects
+caravel_00020003_fill_pattern_4_3: 110000 rects
+caravel_00020003_fill_pattern_4_3: 120000 rects
+caravel_00020003_fill_pattern_4_3: 130000 rects
+caravel_00020003_fill_pattern_4_3: 140000 rects
+caravel_00020003_fill_pattern_4_3: 150000 rects
+caravel_00020003_fill_pattern_4_3: 160000 rects
+caravel_00020003_fill_pattern_4_3: 170000 rects
+caravel_00020003_fill_pattern_4_3: 180000 rects
+caravel_00020003_fill_pattern_4_3: 190000 rects
+caravel_00020003_fill_pattern_4_3: 200000 rects
+caravel_00020003_fill_pattern_4_3: 210000 rects
+caravel_00020003_fill_pattern_4_3: 220000 rects
+caravel_00020003_fill_pattern_4_3: 230000 rects
+caravel_00020003_fill_pattern_4_3: 240000 rects
+caravel_00020003_fill_pattern_4_3: 250000 rects
+caravel_00020003_fill_pattern_4_3: 260000 rects
+caravel_00020003_fill_pattern_4_3: 270000 rects
+caravel_00020003_fill_pattern_4_3: 280000 rects
+caravel_00020003_fill_pattern_4_3: 290000 rects
+caravel_00020003_fill_pattern_4_3: 300000 rects
+caravel_00020003_fill_pattern_4_3: 310000 rects
+caravel_00020003_fill_pattern_4_3: 320000 rects
+caravel_00020003_fill_pattern_4_3: 330000 rects
+caravel_00020003_fill_pattern_4_3: 340000 rects
+caravel_00020003_fill_pattern_4_3: 350000 rects
+caravel_00020003_fill_pattern_4_3: 360000 rects
+caravel_00020003_fill_pattern_4_3: 370000 rects
+caravel_00020003_fill_pattern_4_3: 380000 rects
+caravel_00020003_fill_pattern_4_3: 390000 rects
+caravel_00020003_fill_pattern_4_3: 400000 rects
+caravel_00020003_fill_pattern_4_3: 410000 rects
+caravel_00020003_fill_pattern_4_3: 420000 rects
+caravel_00020003_fill_pattern_4_3: 430000 rects
+caravel_00020003_fill_pattern_4_3: 440000 rects
+caravel_00020003_fill_pattern_4_3: 450000 rects
+caravel_00020003_fill_pattern_4_3: 460000 rects
+caravel_00020003_fill_pattern_4_3: 470000 rects
+caravel_00020003_fill_pattern_4_3: 480000 rects
+caravel_00020003_fill_pattern_4_3: 490000 rects
+caravel_00020003_fill_pattern_4_3: 500000 rects
+caravel_00020003_fill_pattern_4_3: 510000 rects
+caravel_00020003_fill_pattern_4_3: 520000 rects
+caravel_00020003_fill_pattern_4_3: 530000 rects
+caravel_00020003_fill_pattern_4_3: 540000 rects
+caravel_00020003_fill_pattern_4_3: 550000 rects
+caravel_00020003_fill_pattern_4_3: 560000 rects
+caravel_00020003_fill_pattern_4_3: 570000 rects
+caravel_00020003_fill_pattern_4_3: 580000 rects
+caravel_00020003_fill_pattern_4_3: 590000 rects
+caravel_00020003_fill_pattern_4_3: 600000 rects
+caravel_00020003_fill_pattern_4_3: 610000 rects
+caravel_00020003_fill_pattern_4_3: 620000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_4_3
+
+caravel_00020003_fill_pattern_0_4: 1560000 rects
+caravel_00020003_fill_pattern_0_4: 1570000 rects
+caravel_00020003_fill_pattern_0_4: 1580000 rects
+caravel_00020003_fill_pattern_0_4: 1590000 rects
+caravel_00020003_fill_pattern_0_4: 1600000 rects
+caravel_00020003_fill_pattern_0_4: 1610000 rects
+caravel_00020003_fill_pattern_0_4: 1620000 rects
+caravel_00020003_fill_pattern_0_4: 1630000 rects
+caravel_00020003_fill_pattern_0_4: 1640000 rects
+caravel_00020003_fill_pattern_0_4: 1650000 rects
+caravel_00020003_fill_pattern_0_4: 1660000 rects
+caravel_00020003_fill_pattern_0_4: 1670000 rects
+caravel_00020003_fill_pattern_0_4: 1680000 rects
+caravel_00020003_fill_pattern_0_4: 1690000 rects
+caravel_00020003_fill_pattern_0_4: 1700000 rects
+caravel_00020003_fill_pattern_0_4: 1710000 rects
+caravel_00020003_fill_pattern_0_4: 1720000 rects
+caravel_00020003_fill_pattern_0_4: 1730000 rects
+caravel_00020003_fill_pattern_0_4: 1740000 rects
+caravel_00020003_fill_pattern_0_4: 1750000 rects
+caravel_00020003_fill_pattern_0_4: 1760000 rects
+caravel_00020003_fill_pattern_0_4: 1770000 rects
+caravel_00020003_fill_pattern_0_4: 1780000 rects
+caravel_00020003_fill_pattern_0_4: 1790000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_0_4
+
+caravel_00020003_fill_pattern_0_2: 1560000 rects
+caravel_00020003_fill_pattern_0_2: 1570000 rects
+caravel_00020003_fill_pattern_0_2: 1580000 rects
+caravel_00020003_fill_pattern_0_2: 1590000 rects
+caravel_00020003_fill_pattern_0_2: 1600000 rects
+caravel_00020003_fill_pattern_0_2: 1610000 rects
+caravel_00020003_fill_pattern_0_2: 1620000 rects
+caravel_00020003_fill_pattern_0_2: 1630000 rects
+caravel_00020003_fill_pattern_0_2: 1640000 rects
+caravel_00020003_fill_pattern_0_2: 1650000 rects
+caravel_00020003_fill_pattern_0_2: 1660000 rects
+caravel_00020003_fill_pattern_0_2: 1670000 rects
+caravel_00020003_fill_pattern_0_2: 1680000 rects
+caravel_00020003_fill_pattern_0_2: 1690000 rects
+caravel_00020003_fill_pattern_0_2: 1700000 rects
+caravel_00020003_fill_pattern_0_2: 1710000 rects
+caravel_00020003_fill_pattern_0_2: 1720000 rects
+caravel_00020003_fill_pattern_0_2: 1730000 rects
+caravel_00020003_fill_pattern_0_2: 1740000 rects
+caravel_00020003_fill_pattern_0_2: 1750000 rects
+caravel_00020003_fill_pattern_0_2: 1760000 rects
+caravel_00020003_fill_pattern_0_2: 1770000 rects
+caravel_00020003_fill_pattern_0_2: 1780000 rects
+caravel_00020003_fill_pattern_0_2: 1790000 rects
+caravel_00020003_fill_pattern_0_2: 1800000 rects
+caravel_00020003_fill_pattern_0_2: 1810000 rects
+caravel_00020003_fill_pattern_0_2: 1820000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_0_2
+
 caravel_00020003_fill_pattern_0_5: 1560000 rects
 caravel_00020003_fill_pattern_0_5: 1570000 rects
 caravel_00020003_fill_pattern_0_5: 1580000 rects
@@ -7972,33 +8015,6 @@
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_0_5
 
-caravel_00020003_fill_pattern_0_4: 1560000 rects
-caravel_00020003_fill_pattern_0_4: 1570000 rects
-caravel_00020003_fill_pattern_0_4: 1580000 rects
-caravel_00020003_fill_pattern_0_4: 1590000 rects
-caravel_00020003_fill_pattern_0_4: 1600000 rects
-caravel_00020003_fill_pattern_0_4: 1610000 rects
-caravel_00020003_fill_pattern_0_4: 1620000 rects
-caravel_00020003_fill_pattern_0_4: 1630000 rects
-caravel_00020003_fill_pattern_0_4: 1640000 rects
-caravel_00020003_fill_pattern_0_4: 1650000 rects
-caravel_00020003_fill_pattern_0_4: 1660000 rects
-caravel_00020003_fill_pattern_0_4: 1670000 rects
-caravel_00020003_fill_pattern_0_4: 1680000 rects
-caravel_00020003_fill_pattern_0_4: 1690000 rects
-caravel_00020003_fill_pattern_0_4: 1700000 rects
-caravel_00020003_fill_pattern_0_4: 1710000 rects
-caravel_00020003_fill_pattern_0_4: 1720000 rects
-caravel_00020003_fill_pattern_0_4: 1730000 rects
-caravel_00020003_fill_pattern_0_4: 1740000 rects
-caravel_00020003_fill_pattern_0_4: 1750000 rects
-caravel_00020003_fill_pattern_0_4: 1760000 rects
-caravel_00020003_fill_pattern_0_4: 1770000 rects
-caravel_00020003_fill_pattern_0_4: 1780000 rects
-caravel_00020003_fill_pattern_0_4: 1790000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_0_4
-
 caravel_00020003_fill_pattern_4_0: 1560000 rects
 caravel_00020003_fill_pattern_4_0: 1570000 rects
 caravel_00020003_fill_pattern_4_0: 1580000 rects
@@ -8100,7 +8116,6 @@
 caravel_00020003_fill_pattern_4_0: 2540000 rects
 caravel_00020003_fill_pattern_4_0: 2550000 rects
 caravel_00020003_fill_pattern_4_0: 2560000 rects
-caravel_00020003_fill_pattern_4_0: 2570000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_4_0
 
@@ -8258,9 +8273,6 @@
 caravel_00020003_fill_pattern_4_1: 3070000 rects
 caravel_00020003_fill_pattern_4_1: 3080000 rects
 caravel_00020003_fill_pattern_4_1: 3090000 rects
-caravel_00020003_fill_pattern_4_1: 3100000 rects
-caravel_00020003_fill_pattern_4_1: 3110000 rects
-caravel_00020003_fill_pattern_4_1: 3120000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_4_1
 
@@ -8386,6 +8398,14 @@
 caravel_00020003_fill_pattern_1_1: 3950000 rects
 caravel_00020003_fill_pattern_1_1: 3960000 rects
 caravel_00020003_fill_pattern_1_1: 3970000 rects
+caravel_00020003_fill_pattern_1_1: 3980000 rects
+caravel_00020003_fill_pattern_1_1: 3990000 rects
+caravel_00020003_fill_pattern_1_1: 4000000 rects
+caravel_00020003_fill_pattern_1_1: 4010000 rects
+caravel_00020003_fill_pattern_1_1: 4020000 rects
+caravel_00020003_fill_pattern_1_1: 4030000 rects
+caravel_00020003_fill_pattern_1_1: 4040000 rects
+caravel_00020003_fill_pattern_1_1: 4050000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_1_1
 
@@ -8584,6 +8604,16 @@
 caravel_00020003_fill_pattern_3_1: 3820000 rects
 caravel_00020003_fill_pattern_3_1: 3830000 rects
 caravel_00020003_fill_pattern_3_1: 3840000 rects
+caravel_00020003_fill_pattern_3_1: 3850000 rects
+caravel_00020003_fill_pattern_3_1: 3860000 rects
+caravel_00020003_fill_pattern_3_1: 3870000 rects
+caravel_00020003_fill_pattern_3_1: 3880000 rects
+caravel_00020003_fill_pattern_3_1: 3890000 rects
+caravel_00020003_fill_pattern_3_1: 3900000 rects
+caravel_00020003_fill_pattern_3_1: 3910000 rects
+caravel_00020003_fill_pattern_3_1: 3920000 rects
+caravel_00020003_fill_pattern_3_1: 3930000 rects
+caravel_00020003_fill_pattern_3_1: 3940000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_3_1
 
@@ -8680,6 +8710,21 @@
 caravel_00020003_fill_pattern_3_0: 5820000 rects
 caravel_00020003_fill_pattern_3_0: 5830000 rects
 caravel_00020003_fill_pattern_3_0: 5840000 rects
+caravel_00020003_fill_pattern_3_0: 5850000 rects
+caravel_00020003_fill_pattern_3_0: 5860000 rects
+caravel_00020003_fill_pattern_3_0: 5870000 rects
+caravel_00020003_fill_pattern_3_0: 5880000 rects
+caravel_00020003_fill_pattern_3_0: 5890000 rects
+caravel_00020003_fill_pattern_3_0: 5900000 rects
+caravel_00020003_fill_pattern_3_0: 5910000 rects
+caravel_00020003_fill_pattern_3_0: 5920000 rects
+caravel_00020003_fill_pattern_3_0: 5930000 rects
+caravel_00020003_fill_pattern_3_0: 5940000 rects
+caravel_00020003_fill_pattern_3_0: 5950000 rects
+caravel_00020003_fill_pattern_3_0: 5960000 rects
+caravel_00020003_fill_pattern_3_0: 5970000 rects
+caravel_00020003_fill_pattern_3_0: 5980000 rects
+caravel_00020003_fill_pattern_3_0: 5990000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_3_0
 
@@ -8838,6 +8883,10 @@
 caravel_00020003_fill_pattern_2_1: 4760000 rects
 caravel_00020003_fill_pattern_2_1: 4770000 rects
 caravel_00020003_fill_pattern_2_1: 4780000 rects
+caravel_00020003_fill_pattern_2_1: 4790000 rects
+caravel_00020003_fill_pattern_2_1: 4800000 rects
+caravel_00020003_fill_pattern_2_1: 4810000 rects
+caravel_00020003_fill_pattern_2_1: 4820000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_2_1
 
@@ -8969,6 +9018,18 @@
 caravel_00020003_fill_pattern_2_0: 6170000 rects
 caravel_00020003_fill_pattern_2_0: 6180000 rects
 caravel_00020003_fill_pattern_2_0: 6190000 rects
+caravel_00020003_fill_pattern_2_0: 6200000 rects
+caravel_00020003_fill_pattern_2_0: 6210000 rects
+caravel_00020003_fill_pattern_2_0: 6220000 rects
+caravel_00020003_fill_pattern_2_0: 6230000 rects
+caravel_00020003_fill_pattern_2_0: 6240000 rects
+caravel_00020003_fill_pattern_2_0: 6250000 rects
+caravel_00020003_fill_pattern_2_0: 6260000 rects
+caravel_00020003_fill_pattern_2_0: 6270000 rects
+caravel_00020003_fill_pattern_2_0: 6280000 rects
+caravel_00020003_fill_pattern_2_0: 6290000 rects
+caravel_00020003_fill_pattern_2_0: 6300000 rects
+caravel_00020003_fill_pattern_2_0: 6310000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_2_0
 
@@ -9067,9 +9128,6 @@
 caravel_00020003_fill_pattern_1_0: 7520000 rects
 caravel_00020003_fill_pattern_1_0: 7530000 rects
 caravel_00020003_fill_pattern_1_0: 7540000 rects
-caravel_00020003_fill_pattern_1_0: 7550000 rects
-caravel_00020003_fill_pattern_1_0: 7560000 rects
-caravel_00020003_fill_pattern_1_0: 7570000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_1_0
 Generate fill completed.
diff --git a/signoff/build/gpio_defaults.out b/signoff/build/gpio_defaults.out
index 9161071..3fa828c 100644
--- a/signoff/build/gpio_defaults.out
+++ b/signoff/build/gpio_defaults.out
@@ -1,6 +1,6 @@
 Step 1:  Create new cells for new GPIO default vectors.
-Creating new layout file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/gpio_defaults_block_1800.mag
-Creating new gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/verilog/gl/gpio_defaults_block_1800.v
+Layout file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/gpio_defaults_block_1800.mag already exists and does not need to be generated.
+Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/verilog/gl/gpio_defaults_block_1800.v already exists and does not need to be generated.
 Layout file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/gpio_defaults_block_1800.mag already exists and does not need to be generated.
 Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/verilog/gl/gpio_defaults_block_1800.v already exists and does not need to be generated.
 Layout file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/gpio_defaults_block_1800.mag already exists and does not need to be generated.
diff --git a/signoff/build/make_truck.out b/signoff/build/make_truck.out
index 3e3eee3..6fd9075 100644
--- a/signoff/build/make_truck.out
+++ b/signoff/build/make_truck.out
@@ -849,17 +849,17 @@
 Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_20um" at bad file path ../mag/sky130_ef_io__com_bus_slice_20um.mag.
 The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_20um.mag.
 The discovered version will be used.
-Warning:  Parent cell lists instance of "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um" at bad file path ../mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
-The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
-The discovered version will be used.
-Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_10um" at bad file path ../mag/sky130_ef_io__com_bus_slice_10um.mag.
-The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_10um.mag.
+Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_5um" at bad file path ../mag/sky130_ef_io__com_bus_slice_5um.mag.
+The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_5um.mag.
 The discovered version will be used.
 Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_1um" at bad file path ../mag/sky130_ef_io__com_bus_slice_1um.mag.
 The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_1um.mag.
 The discovered version will be used.
-Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_5um" at bad file path ../mag/sky130_ef_io__com_bus_slice_5um.mag.
-The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_5um.mag.
+Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_10um" at bad file path ../mag/sky130_ef_io__com_bus_slice_10um.mag.
+The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_10um.mag.
+The discovered version will be used.
+Warning:  Parent cell lists instance of "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um" at bad file path ../mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
+The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
 The discovered version will be used.
 Warning:  Parent cell lists instance of "sky130_ef_io__vssa_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vssa_hvc_clamped_pad.mag.
 The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag.
@@ -1280,7 +1280,7 @@
 Warning:  Parent cell lists instance of "caravan_power_routing" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/caravan_power_routing.mag.
 The cell exists in the search paths at ../mag/caravan_power_routing.mag.
 The discovered version will be used.
-Processing timestamp mismatches: sky130_ef_io__top_power_hvc, sky130_ef_io__analog_pad, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_fd_io__top_xres4v2, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__com_bus_slice_1um, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__com_bus_slice_20um, sky130_ef_io__corner_pad, chip_io_alt, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__decap_6, sky130_fd_sc_hd__dfbbp_1, sky130_fd_sc_hd__inv_2, sky130_fd_sc_hd__nand2_2, sky130_fd_sc_hd__inv_8, sky130_fd_sc_hd__decap_8, sky130_fd_sc_hd__nor2_2, sky130_fd_sc_hd__mux2_2, sky130_fd_sc_hvl__conb_1, sky130_fd_sc_hvl__lsbufhv2lv_1, sky130_fd_sc_hvl__fill_1, sky130_fd_sc_hvl__fill_2, sky130_fd_sc_hd__and2_4, sky130_fd_sc_hd__einvp_8, sky130_fd_sc_hd__einvp_4, sky130_fd_sc_hd__clkbuf_4, sky130_fd_sc_hd__diode_2, sky130_fd_sc_hd__buf_2, sky130_fd_sc_hd__clkbuf_1, sky130_fd_sc_hd__buf_4, sky130_fd_sc_hd__and2_1, sky130_fd_sc_hd__nand2_1, sky130_fd_sc_hd__inv_6, sky130_fd_sc_hd__nand2_4, sky130_fd_sc_hd__clkinv_8, sky130_fd_sc_hd__nand2_8, sky130_fd_sc_hd__einvp_2, sky130_fd_sc_hd__clkbuf_2, sky130_fd_sc_hd__buf_6, sky130_fd_sc_hd__buf_8, sky130_fd_sc_hd__clkbuf_16, sky130_fd_sc_hd__buf_12, sky130_fd_sc_hd__clkinv_4, sky130_fd_sc_hd__and2b_1, sky130_fd_sc_hd__clkinv_2, sky130_fd_sc_hd__inv_4, sky130_fd_sc_hd__inv_12, sky130_fd_sc_hd__dlymetal6s2s_1, sky130_fd_sc_hd__ebufn_1, sky130_fd_sc_hd__dfbbn_1, sky130_fd_sc_hd__or2_1, sky130_fd_sc_hd__or2b_1, sky130_fd_sc_hd__dfrtp_1, sky130_fd_sc_hd__buf_1, sky130_fd_sc_hd__clkdlybuf4s25_1, sky130_fd_sc_hd__mux2_1, sky130_fd_sc_hd__a22oi_2, sky130_fd_sc_hd__a21oi_2, sky130_fd_sc_hd__a311o_2, sky130_fd_sc_hd__a2bb2o_2, sky130_fd_sc_hd__einvp_1, sky130_fd_sc_hd__a31o_2, sky130_fd_sc_hd__o41a_2, sky130_fd_sc_hd__o31a_2, sky130_fd_sc_hd__and2_2, sky130_fd_sc_hd__o21a_2, sky130_fd_sc_hd__einvn_4, sky130_fd_sc_hd__einvn_8, sky130_fd_sc_hd__clkinv_1, digital_pll, sky130_fd_sc_hd__o311a_2, sky130_fd_sc_hd__or2_2, sky130_fd_sc_hd__or3_2, sky130_fd_sc_hd__or4_2, sky130_fd_sc_hd__and3_2, sky130_fd_sc_hd__o21ai_2, sky130_fd_sc_hd__o32a_2, sky130_fd_sc_hd__a32o_2, sky130_fd_sc_hd__a22o_2, sky130_fd_sc_hd__o2bb2a_2, sky130_fd_sc_hd__o211a_2, sky130_fd_sc_hd__a221o_2, sky130_fd_sc_hd__o22a_2, sky130_fd_sc_hd__dfrtp_2, sky130_fd_sc_hd__o221ai_2, sky130_fd_sc_hd__o22ai_2, sky130_fd_sc_hd__o221a_2, sky130_fd_sc_hd__a21bo_2, sky130_fd_sc_hd__a21o_2, sky130_fd_sc_hd__and4_2, sky130_fd_sc_hd__o2111ai_2, sky130_fd_sc_hd__o2bb2ai_2, sky130_fd_sc_hd__a31oi_1, sky130_fd_sc_hd__nor2_8, sky130_fd_sc_hd__o21ai_4, sky130_fd_sc_hd__or3b_4, sky130_fd_sc_hd__o221a_4, sky130_fd_sc_hd__and3b_1, sky130_fd_sc_hd__or4b_4, sky130_fd_sc_hd__nand4_2, sky130_fd_sc_hd__nor3_2, sky130_fd_sc_hd__a2111o_1, sky130_fd_sc_hd__a311oi_2, sky130_fd_sc_hd__nand4b_4, sky130_fd_sc_hd__nand4_4, sky130_fd_sc_hd__o2111a_2, sky130_fd_sc_hd__o211ai_2, sky130_fd_sc_hd__and4bb_1, sky130_fd_sc_hd__and3_4, sky130_fd_sc_hd__a2111o_2, sky130_fd_sc_hd__nor4_2, sky130_fd_sc_hd__o221ai_4, sky130_fd_sc_hd__o2111a_1, sky130_fd_sc_hd__and4_1, sky130_fd_sc_hd__o2111ai_4, sky130_fd_sc_hd__nand3_4, sky130_fd_sc_hd__o211ai_1, sky130_fd_sc_hd__o22a_4, sky130_fd_sc_hd__o31a_1, sky130_fd_sc_hd__o221ai_1, sky130_fd_sc_hd__a211o_4, sky130_fd_sc_hd__o311a_1, sky130_fd_sc_hd__o2111ai_1, sky130_fd_sc_hd__o21ba_1, sky130_fd_sc_hd__a311oi_1, sky130_fd_sc_hd__a41o_2, sky130_fd_sc_hd__o22ai_4, sky130_fd_sc_hd__a41o_1, sky130_fd_sc_hd__mux2_4, sky130_fd_sc_hd__a22oi_1, sky130_fd_sc_hd__clkbuf_8, sky130_fd_sc_hd__or3b_2, sky130_fd_sc_hd__ebufn_2, sky130_fd_sc_hd__a32o_1, sky130_fd_sc_hd__nor4_1, sky130_fd_sc_hd__a31o_1, sky130_fd_sc_hd__nor2_4, sky130_fd_sc_hd__or4b_2, sky130_fd_sc_hd__or4_4, sky130_fd_sc_hd__nor3_4, sky130_fd_sc_hd__o221a_1, sky130_fd_sc_hd__and4b_1, sky130_fd_sc_hd__a311o_1, sky130_fd_sc_hd__clkinvlp_2, sky130_fd_sc_hd__or2b_2, sky130_fd_sc_hd__o31ai_4, sky130_fd_sc_hd__o32a_1, sky130_fd_sc_hd__o22ai_1, sky130_fd_sc_hd__or4bb_4, sky130_fd_sc_hd__or2_4, sky130_fd_sc_hd__a21oi_1, sky130_fd_sc_hd__a211o_1, sky130_fd_sc_hd__and3_1, sky130_fd_sc_hd__a2bb2o_1, sky130_fd_sc_hd__or3b_1, sky130_fd_sc_hd__a22oi_4, sky130_fd_sc_hd__mux2_8, sky130_fd_sc_hd__or3_4, sky130_fd_sc_hd__o2bb2a_1, sky130_fd_sc_hd__o22a_1, sky130_fd_sc_hd__or3_1, sky130_fd_sc_hd__a22o_1, sky130_fd_sc_hd__nand4bb_1, sky130_fd_sc_hd__nand4_1, sky130_fd_sc_hd__or4_1, sky130_fd_sc_hd__or4b_1, sky130_fd_sc_hd__or4bb_1, sky130_fd_sc_hd__a221o_1, sky130_fd_sc_hd__ebufn_8, sky130_fd_sc_hd__dfstp_1, sky130_fd_sc_hd__dfrtp_4, sky130_fd_sc_hd__dfxtp_1, sky130_fd_sc_hd__o21a_1, sky130_fd_sc_hd__nor2_1, sky130_fd_sc_hd__a21bo_1, sky130_fd_sc_hd__nor3_1, sky130_fd_sc_hd__o21ai_1, sky130_fd_sc_hd__nand3b_1, sky130_fd_sc_hd__o21bai_1, sky130_fd_sc_hd__a21o_1, sky130_fd_sc_hd__o211ai_4, sky130_fd_sc_hd__o211a_1, sky130_fd_sc_hd__dfrtn_1, sky130_fd_sc_hd__dfstp_2, sky130_fd_sc_hd__dfstp_4, sky130_fd_sc_hd__dlygate4sd1_1, sky130_fd_sc_hd__nor3b_1, sky130_fd_sc_hd__xnor2_1, sky130_fd_sc_hd__nor3b_2, sky130_fd_sc_hd__nand3_1, sky130_fd_sc_hd__xor2_1, caravel_clocking, alpha_3, alpha_2, sky130_fd_sc_hvl__decap_4, sky130_fd_sc_hvl__diode_2, sky130_fd_sc_hvl__decap_8.
+Processing timestamp mismatches: sky130_ef_io__top_power_hvc, sky130_ef_io__analog_pad, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_fd_io__top_xres4v2, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__com_bus_slice_1um, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__com_bus_slice_20um, sky130_ef_io__corner_pad, chip_io_alt, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__decap_6, sky130_fd_sc_hd__dfbbp_1, sky130_fd_sc_hd__inv_2, sky130_fd_sc_hd__nand2_2, sky130_fd_sc_hd__inv_8, sky130_fd_sc_hd__decap_8, sky130_fd_sc_hd__nor2_2, sky130_fd_sc_hd__mux2_2, sky130_fd_sc_hvl__conb_1, sky130_fd_sc_hvl__lsbufhv2lv_1, sky130_fd_sc_hvl__fill_1, sky130_fd_sc_hvl__fill_2, sky130_fd_sc_hd__and2_4, sky130_fd_sc_hd__einvp_8, sky130_fd_sc_hd__einvp_4, sky130_fd_sc_hd__clkbuf_4, sky130_fd_sc_hd__diode_2, sky130_fd_sc_hd__buf_2, sky130_fd_sc_hd__clkbuf_1, sky130_fd_sc_hd__buf_4, sky130_fd_sc_hd__and2_1, sky130_fd_sc_hd__nand2_1, sky130_fd_sc_hd__inv_6, sky130_fd_sc_hd__nand2_4, sky130_fd_sc_hd__clkinv_8, sky130_fd_sc_hd__nand2_8, sky130_fd_sc_hd__einvp_2, sky130_fd_sc_hd__clkbuf_2, sky130_fd_sc_hd__buf_6, sky130_fd_sc_hd__buf_8, sky130_fd_sc_hd__clkbuf_16, sky130_fd_sc_hd__buf_12, sky130_fd_sc_hd__clkinv_4, sky130_fd_sc_hd__and2b_1, sky130_fd_sc_hd__clkinv_2, sky130_fd_sc_hd__inv_4, sky130_fd_sc_hd__inv_12, sky130_fd_sc_hd__dlymetal6s2s_1, sky130_fd_sc_hd__ebufn_1, sky130_fd_sc_hd__dfbbn_1, sky130_fd_sc_hd__or2_1, sky130_fd_sc_hd__or2b_1, sky130_fd_sc_hd__dfrtp_1, sky130_fd_sc_hd__buf_1, sky130_fd_sc_hd__clkdlybuf4s25_1, sky130_fd_sc_hd__mux2_1, sky130_fd_sc_hd__a22oi_2, sky130_fd_sc_hd__a21oi_2, sky130_fd_sc_hd__a311o_2, sky130_fd_sc_hd__a2bb2o_2, sky130_fd_sc_hd__einvp_1, sky130_fd_sc_hd__a31o_2, sky130_fd_sc_hd__o41a_2, sky130_fd_sc_hd__o31a_2, sky130_fd_sc_hd__and2_2, sky130_fd_sc_hd__o21a_2, sky130_fd_sc_hd__einvn_4, sky130_fd_sc_hd__einvn_8, sky130_fd_sc_hd__clkinv_1, digital_pll, sky130_fd_sc_hd__o311a_2, sky130_fd_sc_hd__or2_2, sky130_fd_sc_hd__or3_2, sky130_fd_sc_hd__or4_2, sky130_fd_sc_hd__and3_2, sky130_fd_sc_hd__o21ai_2, sky130_fd_sc_hd__o32a_2, sky130_fd_sc_hd__a32o_2, sky130_fd_sc_hd__a22o_2, sky130_fd_sc_hd__o2bb2a_2, sky130_fd_sc_hd__o211a_2, sky130_fd_sc_hd__a221o_2, sky130_fd_sc_hd__o22a_2, sky130_fd_sc_hd__dfrtp_2, sky130_fd_sc_hd__o221ai_2, sky130_fd_sc_hd__o22ai_2, sky130_fd_sc_hd__o221a_2, sky130_fd_sc_hd__a21bo_2, sky130_fd_sc_hd__a21o_2, sky130_fd_sc_hd__and4_2, sky130_fd_sc_hd__o2111ai_2, sky130_fd_sc_hd__o2bb2ai_2, sky130_fd_sc_hd__a31oi_1, sky130_fd_sc_hd__nor2_8, sky130_fd_sc_hd__o21ai_4, sky130_fd_sc_hd__or3b_4, sky130_fd_sc_hd__o221a_4, sky130_fd_sc_hd__and3b_1, sky130_fd_sc_hd__or4b_4, sky130_fd_sc_hd__nand4_2, sky130_fd_sc_hd__nor3_2, sky130_fd_sc_hd__a2111o_1, sky130_fd_sc_hd__a311oi_2, sky130_fd_sc_hd__nand4b_4, sky130_fd_sc_hd__nand4_4, sky130_fd_sc_hd__o2111a_2, sky130_fd_sc_hd__o211ai_2, sky130_fd_sc_hd__and4bb_1, sky130_fd_sc_hd__and3_4, sky130_fd_sc_hd__a2111o_2, sky130_fd_sc_hd__nor4_2, sky130_fd_sc_hd__o221ai_4, sky130_fd_sc_hd__o2111a_1, sky130_fd_sc_hd__and4_1, sky130_fd_sc_hd__o2111ai_4, sky130_fd_sc_hd__nand3_4, sky130_fd_sc_hd__o211ai_1, sky130_fd_sc_hd__o22a_4, sky130_fd_sc_hd__o31a_1, sky130_fd_sc_hd__o221ai_1, sky130_fd_sc_hd__a211o_4, sky130_fd_sc_hd__o311a_1, sky130_fd_sc_hd__o2111ai_1, sky130_fd_sc_hd__o21ba_1, sky130_fd_sc_hd__a311oi_1, sky130_fd_sc_hd__a41o_2, sky130_fd_sc_hd__o22ai_4, sky130_fd_sc_hd__a41o_1, sky130_fd_sc_hd__mux2_4, sky130_fd_sc_hd__a22oi_1, sky130_fd_sc_hd__clkbuf_8, sky130_fd_sc_hd__or3b_2, sky130_fd_sc_hd__ebufn_2, sky130_fd_sc_hd__a32o_1, sky130_fd_sc_hd__nor4_1, sky130_fd_sc_hd__a31o_1, sky130_fd_sc_hd__nor2_4, sky130_fd_sc_hd__or4b_2, sky130_fd_sc_hd__or4_4, sky130_fd_sc_hd__nor3_4, sky130_fd_sc_hd__o221a_1, sky130_fd_sc_hd__and4b_1, sky130_fd_sc_hd__a311o_1, sky130_fd_sc_hd__clkinvlp_2, sky130_fd_sc_hd__or2b_2, sky130_fd_sc_hd__o31ai_4, sky130_fd_sc_hd__o32a_1, sky130_fd_sc_hd__o22ai_1, sky130_fd_sc_hd__or4bb_4, sky130_fd_sc_hd__or2_4, sky130_fd_sc_hd__a21oi_1, sky130_fd_sc_hd__a211o_1, sky130_fd_sc_hd__and3_1, sky130_fd_sc_hd__a2bb2o_1, sky130_fd_sc_hd__or3b_1, sky130_fd_sc_hd__a22oi_4, sky130_fd_sc_hd__mux2_8, sky130_fd_sc_hd__or3_4, sky130_fd_sc_hd__o2bb2a_1, sky130_fd_sc_hd__o22a_1, sky130_fd_sc_hd__or3_1, sky130_fd_sc_hd__a22o_1, sky130_fd_sc_hd__nand4bb_1, sky130_fd_sc_hd__nand4_1, sky130_fd_sc_hd__or4_1, sky130_fd_sc_hd__or4b_1, sky130_fd_sc_hd__or4bb_1, sky130_fd_sc_hd__a221o_1, sky130_fd_sc_hd__ebufn_8, sky130_fd_sc_hd__dfstp_1, sky130_fd_sc_hd__dfrtp_4, sky130_fd_sc_hd__dfxtp_1, sky130_fd_sc_hd__o21a_1, sky130_fd_sc_hd__nor2_1, sky130_fd_sc_hd__a21bo_1, sky130_fd_sc_hd__nor3_1, sky130_fd_sc_hd__o21ai_1, sky130_fd_sc_hd__nand3b_1, sky130_fd_sc_hd__o21bai_1, sky130_fd_sc_hd__a21o_1, sky130_fd_sc_hd__o211ai_4, sky130_fd_sc_hd__o211a_1, sky130_fd_sc_hd__dfrtn_1, sky130_fd_sc_hd__dfstp_2, sky130_fd_sc_hd__dfstp_4, sky130_fd_sc_hd__dlygate4sd1_1, sky130_fd_sc_hd__nor3b_1, sky130_fd_sc_hd__xnor2_1, sky130_fd_sc_hd__nor3b_2, sky130_fd_sc_hd__nand3_1, sky130_fd_sc_hd__xor2_1, caravel_clocking, alpha_3, alpha_2, sky130_fd_sc_hvl__decap_4, sky130_fd_sc_hvl__diode_2, sky130_fd_sc_hvl__decap_8.
    Generating output for cell sky130_fd_sc_hvl__decap_8
    Generating output for cell sky130_fd_sc_hvl__diode_2
    Generating output for cell sky130_fd_sc_hvl__decap_4
@@ -1582,163 +1582,186 @@
 Reading "DFFRAM".
 Reading "sky130_fd_sc_hd__dlygate4sd3_1".
 Reading "sky130_fd_sc_hd__buf_8".
-Reading "sky130_fd_sc_hd__buf_12".
-Reading "sky130_fd_sc_hd__buf_2".
 Reading "sky130_fd_sc_hd__buf_6".
-Reading "sky130_fd_sc_hd__clkdlybuf4s25_1".
-Reading "sky130_fd_sc_hd__buf_4".
-Reading "sky130_fd_sc_hd__dfxtp_4".
-Reading "sky130_fd_sc_hd__clkdlybuf4s50_1".
-Reading "sky130_fd_sc_hd__inv_2".
-Reading "sky130_fd_sc_hd__nand2_1".
 Reading "sky130_fd_sc_hd__dfxtp_2".
-Reading "sky130_fd_sc_hd__a21oi_4".
-Reading "sky130_fd_sc_hd__o221a_1".
 Reading "sky130_fd_sc_hd__a221o_1".
+Reading "sky130_fd_sc_hd__buf_2".
 Reading "sky130_fd_sc_hd__a22o_1".
-Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
-Reading "sky130_fd_sc_hd__a22o_4".
-Reading "sky130_fd_sc_hd__nor2_1".
-Reading "sky130_fd_sc_hd__o211a_1".
-Reading "sky130_fd_sc_hd__a221o_4".
-Reading "sky130_fd_sc_hd__nand2_2".
-Reading "sky130_fd_sc_hd__nor2_2".
-Reading "sky130_fd_sc_hd__or2_1".
-Reading "sky130_fd_sc_hd__mux2_2".
-Reading "sky130_fd_sc_hd__clkbuf_8".
-Reading "sky130_fd_sc_hd__a32o_1".
-Reading "sky130_fd_sc_hd__mux2_4".
-Reading "sky130_fd_sc_hd__clkinv_2".
-Reading "sky130_fd_sc_hd__and3_1".
-Reading "sky130_fd_sc_hd__nor2_8".
-Reading "sky130_fd_sc_hd__a21oi_1".
-Reading "sky130_fd_sc_hd__nand2_4".
-Reading "sky130_fd_sc_hd__nand2_8".
-Reading "sky130_fd_sc_hd__or2_2".
-Reading "sky130_fd_sc_hd__or3_1".
-Reading "sky130_fd_sc_hd__or4_1".
-Reading "sky130_fd_sc_hd__o21ai_1".
-Reading "sky130_fd_sc_hd__or2b_1".
-Reading "sky130_fd_sc_hd__o21a_1".
-Reading "sky130_fd_sc_hd__mux2_8".
-Reading "sky130_fd_sc_hd__nor2_4".
-Reading "sky130_fd_sc_hd__a21o_1".
-Reading "sky130_fd_sc_hd__and3b_1".
-Reading "sky130_fd_sc_hd__a21oi_2".
-Reading "sky130_fd_sc_hd__nand3_1".
-Reading "sky130_fd_sc_hd__clkinv_16".
-Reading "sky130_fd_sc_hd__o21bai_1".
-Reading "sky130_fd_sc_hd__a31o_1".
-Reading "sky130_fd_sc_hd__or3b_1".
-Reading "sky130_fd_sc_hd__or3_4".
-Reading "sky130_fd_sc_hd__nand3b_4".
-Reading "sky130_fd_sc_hd__inv_6".
-Reading "sky130_fd_sc_hd__o31a_1".
-Reading "sky130_fd_sc_hd__nor3b_1".
-Reading "sky130_fd_sc_hd__a211o_1".
-Reading "sky130_fd_sc_hd__clkinv_4".
-Reading "sky130_fd_sc_hd__o311a_1".
-Reading "sky130_fd_sc_hd__nor3_1".
-Reading "sky130_fd_sc_hd__a2bb2o_2".
-Reading "sky130_fd_sc_hd__a221oi_1".
-Reading "sky130_fd_sc_hd__or4_4".
-Reading "sky130_fd_sc_hd__o22a_1".
+Reading "sky130_fd_sc_hd__dfxtp_4".
 Reading "sky130_fd_sc_hd__nand3_4".
-Reading "sky130_fd_sc_hd__and3_2".
-Reading "sky130_fd_sc_hd__o41a_1".
-Reading "sky130_fd_sc_hd__nor3_2".
-Reading "sky130_fd_sc_hd__o21ai_2".
-Reading "sky130_fd_sc_hd__a211oi_1".
-Reading "sky130_fd_sc_hd__o211ai_4".
-Reading "sky130_fd_sc_hd__a31oi_4".
-Reading "sky130_fd_sc_hd__o2bb2a_1".
-Reading "sky130_fd_sc_hd__clkinv_8".
-Reading "sky130_fd_sc_hd__a31oi_1".
-Reading "sky130_fd_sc_hd__o2111ai_1".
-Reading "sky130_fd_sc_hd__o2111a_2".
-Reading "sky130_fd_sc_hd__a22o_2".
-Reading "sky130_fd_sc_hd__o211a_2".
-Reading "sky130_fd_sc_hd__o31ai_1".
-Reading "sky130_fd_sc_hd__or4_2".
-Reading "sky130_fd_sc_hd__a2bb2o_1".
-Reading "sky130_fd_sc_hd__and4_1".
-Reading "sky130_fd_sc_hd__inv_12".
-Reading "sky130_fd_sc_hd__a311o_1".
-Reading "sky130_fd_sc_hd__inv_4".
-Reading "sky130_fd_sc_hd__o32a_1".
-Reading "sky130_fd_sc_hd__o221ai_1".
-Reading "sky130_fd_sc_hd__o21ai_4".
-Reading "sky130_fd_sc_hd__nand3_2".
-Reading "sky130_fd_sc_hd__or3_2".
-Reading "sky130_fd_sc_hd__inv_8".
-Reading "sky130_fd_sc_hd__and4_4".
-Reading "sky130_fd_sc_hd__o2111a_1".
-Reading "sky130_fd_sc_hd__a21o_4".
-Reading "sky130_fd_sc_hd__or3b_2".
-Reading "sky130_fd_sc_hd__a22oi_1".
-Reading "sky130_fd_sc_hd__xor2_1".
-Reading "sky130_fd_sc_hd__and2b_1".
-Reading "sky130_fd_sc_hd__o41ai_1".
-Reading "sky130_fd_sc_hd__a41o_1".
-Reading "sky130_fd_sc_hd__xnor2_1".
-Reading "sky130_fd_sc_hd__o211ai_1".
-Reading "sky130_fd_sc_hd__o22ai_1".
-Reading "sky130_fd_sc_hd__a41oi_4".
-Reading "sky130_fd_sc_hd__a22oi_4".
-Reading "sky130_fd_sc_hd__a22oi_2".
 Reading "sky130_fd_sc_hd__a221o_2".
+Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
+Reading "sky130_fd_sc_hd__buf_12".
 Reading "sky130_fd_sc_hd__a2111o_1".
-Reading "sky130_fd_sc_hd__o221ai_2".
-Reading "sky130_fd_sc_hd__a221oi_4".
-Reading "sky130_fd_sc_hd__or4b_4".
-Reading "sky130_fd_sc_hd__mux4_2".
-Reading "sky130_fd_sc_hd__a21o_2".
-Reading "sky130_fd_sc_hd__a21boi_1".
-Reading "sky130_fd_sc_hd__o21bai_2".
-Reading "sky130_fd_sc_hd__o21bai_4".
-Reading "sky130_fd_sc_hd__a21boi_4".
-Reading "sky130_fd_sc_hd__o221a_2".
-Reading "sky130_fd_sc_hd__a21bo_1".
-Reading "sky130_fd_sc_hd__nand3b_1".
-Reading "sky130_fd_sc_hd__xor2_2".
-Reading "sky130_fd_sc_hd__o2bb2ai_4".
-Reading "sky130_fd_sc_hd__nor3_4".
-Reading "sky130_fd_sc_hd__a21boi_2".
-Reading "sky130_fd_sc_hd__o21a_2".
-Reading "sky130_fd_sc_hd__o22a_2".
-Reading "sky130_fd_sc_hd__o22a_4".
-Reading "sky130_fd_sc_hd__o2bb2ai_1".
-Reading "sky130_fd_sc_hd__or2b_2".
-Reading "sky130_fd_sc_hd__and4b_1".
-Reading "sky130_fd_sc_hd__o22ai_4".
-Reading "sky130_fd_sc_hd__a31o_4".
-Reading "sky130_fd_sc_hd__nand2b_4".
-Reading "sky130_fd_sc_hd__a31oi_2".
-Reading "sky130_fd_sc_hd__o21a_4".
-Reading "sky130_fd_sc_hd__or2_4".
-Reading "sky130_fd_sc_hd__and2_4".
-Reading "sky130_fd_sc_hd__o41a_2".
-Reading "sky130_fd_sc_hd__o41a_4".
-Reading "sky130_fd_sc_hd__a311oi_1".
-Reading "sky130_fd_sc_hd__or4b_1".
-Reading "sky130_fd_sc_hd__a221oi_2".
-Reading "sky130_fd_sc_hd__o2111ai_4".
-Reading "sky130_fd_sc_hd__a2111o_4".
-Reading "sky130_fd_sc_hd__or3b_4".
-Reading "sky130_fd_sc_hd__o21ba_1".
+Reading "sky130_fd_sc_hd__and4_1".
 Reading "sky130_fd_sc_hd__a2111o_2".
-Reading "sky130_fd_sc_hd__o211a_4".
-Reading "sky130_fd_sc_hd__o2bb2a_4".
-Reading "sky130_fd_sc_hd__o2bb2a_2".
-Reading "sky130_fd_sc_hd__o32ai_1".
-Reading "sky130_fd_sc_hd__nand3b_2".
-Reading "sky130_fd_sc_hd__o22ai_2".
-Reading "sky130_fd_sc_hd__xnor2_2".
-Reading "sky130_fd_sc_hd__xnor2_4".
-Reading "sky130_fd_sc_hd__a31o_2".
-Reading "sky130_fd_sc_hd__o32ai_4".
+Reading "sky130_fd_sc_hd__o211a_1".
+Reading "sky130_fd_sc_hd__and3_1".
+Reading "sky130_fd_sc_hd__inv_2".
+Reading "sky130_fd_sc_hd__and2b_1".
+Reading "sky130_fd_sc_hd__nand3b_1".
+Reading "sky130_fd_sc_hd__a2111oi_2".
+Reading "sky130_fd_sc_hd__a22o_2".
+Reading "sky130_fd_sc_hd__a221o_4".
+Reading "sky130_fd_sc_hd__nor3b_1".
+Reading "sky130_fd_sc_hd__nor3_4".
+Reading "sky130_fd_sc_hd__clkinv_8".
+Reading "sky130_fd_sc_hd__inv_12".
+Reading "sky130_fd_sc_hd__and3b_1".
+Reading "sky130_fd_sc_hd__nor3b_2".
+Reading "sky130_fd_sc_hd__or2_1".
+Reading "sky130_fd_sc_hd__buf_4".
+Reading "sky130_fd_sc_hd__a211oi_1".
+Reading "sky130_fd_sc_hd__inv_6".
+Reading "sky130_fd_sc_hd__nand2_1".
+Reading "sky130_fd_sc_hd__nand3b_4".
+Reading "sky130_fd_sc_hd__nand3_1".
+Reading "sky130_fd_sc_hd__inv_16".
+Reading "sky130_fd_sc_hd__o2111a_1".
+Reading "sky130_fd_sc_hd__a21oi_1".
+Reading "sky130_fd_sc_hd__o21ai_4".
+Reading "sky130_fd_sc_hd__mux2_2".
+Reading "sky130_fd_sc_hd__inv_4".
+Reading "sky130_fd_sc_hd__clkinv_2".
+Reading "sky130_fd_sc_hd__a21bo_1".
+Reading "sky130_fd_sc_hd__o21a_1".
+Reading "sky130_fd_sc_hd__a211o_2".
+Reading "sky130_fd_sc_hd__or2b_1".
+Reading "sky130_fd_sc_hd__a21oi_4".
+Reading "sky130_fd_sc_hd__o21ai_1".
+Reading "sky130_fd_sc_hd__a21boi_1".
+Reading "sky130_fd_sc_hd__nor3_1".
+Reading "sky130_fd_sc_hd__nor2_2".
+Reading "sky130_fd_sc_hd__a31oi_1".
+Reading "sky130_fd_sc_hd__a21o_1".
+Reading "sky130_fd_sc_hd__o21a_2".
+Reading "sky130_fd_sc_hd__o21bai_1".
+Reading "sky130_fd_sc_hd__o211ai_1".
+Reading "sky130_fd_sc_hd__o31ai_1".
+Reading "sky130_fd_sc_hd__nor2_1".
 Reading "sky130_fd_sc_hd__xor2_4".
+Reading "sky130_fd_sc_hd__nand3_2".
+Reading "sky130_fd_sc_hd__o21ba_1".
+Reading "sky130_fd_sc_hd__a41oi_1".
+Reading "sky130_fd_sc_hd__nor3_2".
+Reading "sky130_fd_sc_hd__o41ai_1".
+Reading "sky130_fd_sc_hd__o21bai_2".
+Reading "sky130_fd_sc_hd__xnor2_4".
+Reading "sky130_fd_sc_hd__clkbuf_8".
+Reading "sky130_fd_sc_hd__a41oi_4".
+Reading "sky130_fd_sc_hd__xnor2_2".
+Reading "sky130_fd_sc_hd__or3b_1".
+Reading "sky130_fd_sc_hd__nand2_4".
+Reading "sky130_fd_sc_hd__xnor2_1".
+Reading "sky130_fd_sc_hd__nand2_8".
+Reading "sky130_fd_sc_hd__xor2_1".
+Reading "sky130_fd_sc_hd__nor2b_2".
+Reading "sky130_fd_sc_hd__o211a_2".
+Reading "sky130_fd_sc_hd__o31a_1".
+Reading "sky130_fd_sc_hd__mux2_8".
+Reading "sky130_fd_sc_hd__mux2_4".
+Reading "sky130_fd_sc_hd__a21oi_2".
+Reading "sky130_fd_sc_hd__a21o_2".
+Reading "sky130_fd_sc_hd__o21bai_4".
+Reading "sky130_fd_sc_hd__a211o_1".
+Reading "sky130_fd_sc_hd__a311o_1".
+Reading "sky130_fd_sc_hd__a22oi_4".
+Reading "sky130_fd_sc_hd__o2111a_4".
+Reading "sky130_fd_sc_hd__o22a_1".
+Reading "sky130_fd_sc_hd__a31o_1".
+Reading "sky130_fd_sc_hd__and4_4".
+Reading "sky130_fd_sc_hd__a22oi_2".
+Reading "sky130_fd_sc_hd__o41a_2".
+Reading "sky130_fd_sc_hd__nand2_2".
+Reading "sky130_fd_sc_hd__nor2_8".
+Reading "sky130_fd_sc_hd__a32oi_2".
+Reading "sky130_fd_sc_hd__a221oi_4".
 Reading "sky130_fd_sc_hd__nor2b_4".
+Reading "sky130_fd_sc_hd__or4_2".
+Reading "sky130_fd_sc_hd__a211oi_2".
+Reading "sky130_fd_sc_hd__clkinv_4".
+Reading "sky130_fd_sc_hd__a2bb2oi_1".
+Reading "sky130_fd_sc_hd__a31oi_4".
+Reading "sky130_fd_sc_hd__nand3b_2".
+Reading "sky130_fd_sc_hd__a21boi_2".
+Reading "sky130_fd_sc_hd__nor2_4".
+Reading "sky130_fd_sc_hd__o311ai_1".
+Reading "sky130_fd_sc_hd__a41oi_2".
+Reading "sky130_fd_sc_hd__or3b_4".
+Reading "sky130_fd_sc_hd__clkinv_16".
+Reading "sky130_fd_sc_hd__o211ai_4".
+Reading "sky130_fd_sc_hd__o21ba_4".
+Reading "sky130_fd_sc_hd__o2111ai_2".
+Reading "sky130_fd_sc_hd__o2111ai_1".
+Reading "sky130_fd_sc_hd__a32o_1".
+Reading "sky130_fd_sc_hd__a311oi_1".
+Reading "sky130_fd_sc_hd__a2111o_4".
+Reading "sky130_fd_sc_hd__or4_4".
+Reading "sky130_fd_sc_hd__o21ai_2".
+Reading "sky130_fd_sc_hd__a2111oi_1".
+Reading "sky130_fd_sc_hd__a211oi_4".
+Reading "sky130_fd_sc_hd__o311ai_4".
+Reading "sky130_fd_sc_hd__a2111oi_4".
+Reading "sky130_fd_sc_hd__mux4_2".
+Reading "sky130_fd_sc_hd__or2b_4".
+Reading "sky130_fd_sc_hd__o21a_4".
+Reading "sky130_fd_sc_hd__and4b_4".
+Reading "sky130_fd_sc_hd__o2111ai_4".
+Reading "sky130_fd_sc_hd__or2_2".
+Reading "sky130_fd_sc_hd__o22ai_1".
+Reading "sky130_fd_sc_hd__o2bb2ai_1".
+Reading "sky130_fd_sc_hd__a32oi_1".
+Reading "sky130_fd_sc_hd__inv_8".
+Reading "sky130_fd_sc_hd__a21boi_4".
+Reading "sky130_fd_sc_hd__or4_1".
+Reading "sky130_fd_sc_hd__o32ai_1".
+Reading "sky130_fd_sc_hd__a41o_1".
+Reading "sky130_fd_sc_hd__xor2_2".
+Reading "sky130_fd_sc_hd__o221ai_1".
+Reading "sky130_fd_sc_hd__o32a_1".
+Reading "sky130_fd_sc_hd__o41a_1".
+Reading "sky130_fd_sc_hd__a22oi_1".
+Reading "sky130_fd_sc_hd__and3_2".
+Reading "sky130_fd_sc_hd__o221a_2".
+Reading "sky130_fd_sc_hd__o221a_1".
+Reading "sky130_fd_sc_hd__and2_4".
+Reading "sky130_fd_sc_hd__or3_1".
+Reading "sky130_fd_sc_hd__o22ai_2".
+Reading "sky130_fd_sc_hd__a221oi_2".
+Reading "sky130_fd_sc_hd__a221oi_1".
+Reading "sky130_fd_sc_hd__o211ai_2".
+Reading "sky130_fd_sc_hd__o311ai_2".
+Reading "sky130_fd_sc_hd__o31ai_4".
+Reading "sky130_fd_sc_hd__a311oi_2".
+Reading "sky130_fd_sc_hd__a31oi_2".
+Reading "sky130_fd_sc_hd__o311a_2".
+Reading "sky130_fd_sc_hd__o41ai_4".
+Reading "sky130_fd_sc_hd__or3_2".
+Reading "sky130_fd_sc_hd__o31a_4".
+Reading "sky130_fd_sc_hd__o21ba_2".
+Reading "sky130_fd_sc_hd__a311oi_4".
+Reading "sky130_fd_sc_hd__and2b_4".
+Reading "sky130_fd_sc_hd__o2bb2ai_2".
+Reading "sky130_fd_sc_hd__o2bb2ai_4".
+Reading "sky130_fd_sc_hd__o22a_2".
+Reading "sky130_fd_sc_hd__and4b_1".
+Reading "sky130_fd_sc_hd__nand2b_1".
+Reading "sky130_fd_sc_hd__o2111a_2".
+Reading "sky130_fd_sc_hd__a2bb2oi_4".
+Reading "sky130_fd_sc_hd__a2bb2oi_2".
+Reading "sky130_fd_sc_hd__dlymetal6s4s_1".
+Reading "sky130_fd_sc_hd__or3_4".
+Reading "sky130_fd_sc_hd__a22o_4".
+Reading "sky130_fd_sc_hd__a32oi_4".
+Reading "sky130_fd_sc_hd__o311a_1".
+Reading "sky130_fd_sc_hd__a21o_4".
+Reading "sky130_fd_sc_hd__or4b_1".
+Reading "sky130_fd_sc_hd__a32o_4".
+Reading "sky130_fd_sc_hd__and3b_2".
+Reading "sky130_fd_sc_hd__o32ai_4".
+Reading "sky130_fd_sc_hd__or2b_2".
+Reading "sky130_fd_sc_hd__o221ai_2".
+Reading "sky130_fd_sc_hd__a31o_4".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_39".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_19".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_14".
@@ -1900,18 +1923,11 @@
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_replica_bitcell_array".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_bank".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8".
-Reading "sky130_fd_sc_hd__a211oi_4".
-Reading "sky130_fd_sc_hd__a211oi_2".
-Reading "sky130_fd_sc_hd__o2111a_4".
-Reading "sky130_fd_sc_hd__o31a_2".
-Reading "sky130_fd_sc_hd__o31a_4".
-Reading "sky130_fd_sc_hd__a2bb2oi_1".
-Reading "sky130_fd_sc_hd__a41oi_2".
-Reading "sky130_fd_sc_hd__a41oi_1".
-Reading "sky130_fd_sc_hd__o221ai_4".
-Reading "sky130_fd_sc_hd__a311oi_4".
-Reading "sky130_fd_sc_hd__o2bb2ai_2".
-Reading "sky130_fd_sc_hd__a2111oi_4".
+Reading "sky130_fd_sc_hd__o32ai_2".
+Reading "sky130_fd_sc_hd__a21bo_2".
+Reading "sky130_fd_sc_hd__o31ai_2".
+Reading "sky130_fd_sc_hd__o41ai_2".
+Reading "sky130_fd_sc_hd__o211a_4".
 Reading "mgmt_core".
 Reading "mgmt_core_wrapper".
    Generating output for cell gpio_defaults_block_1800
@@ -1929,10 +1945,10 @@
    Generating output for cell sky130_fd_io__corner_bus_overlay
    Generating output for cell sky130_ef_io__corner_pad
    Generating output for cell sky130_ef_io__com_bus_slice_20um
-   Generating output for cell sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um
-   Generating output for cell sky130_ef_io__com_bus_slice_10um
-   Generating output for cell sky130_ef_io__com_bus_slice_1um
    Generating output for cell sky130_ef_io__com_bus_slice_5um
+   Generating output for cell sky130_ef_io__com_bus_slice_1um
+   Generating output for cell sky130_ef_io__com_bus_slice_10um
+   Generating output for cell sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um
    Generating output for cell sky130_ef_io__hvc_vdda_overlay
    Generating output for cell sky130_fd_io__com_bus_slice
    Generating output for cell sky130_fd_io__com_bus_hookup
diff --git a/signoff/klayout_drc_fom.log b/signoff/klayout_drc_fom.log
index 42d9789..6b2c1ae 100644
--- a/signoff/klayout_drc_fom.log
+++ b/signoff/klayout_drc_fom.log
@@ -53,6 +53,6 @@
 {{ CHECK }} 2535/2730
 {{ CHECK }} 2600/2730
 {{ CHECK }} 2665/2730
-minimum fom density  = 0.3559
+minimum fom density  = 0.3543
 maximum fom density  = 0.5122
 finish received: success = true
diff --git a/signoff/klayout_drc_met.log b/signoff/klayout_drc_met.log
index 854b86d..0f48a2d 100644
--- a/signoff/klayout_drc_met.log
+++ b/signoff/klayout_drc_met.log
@@ -1,6 +1,6 @@
-li1_ca_density is 0.42199398538866395
-m1_ca_density is 0.4781443671052511
-m2_ca_density is 0.5018193215869193
-m3_ca_density is 0.5014527723215128
-m4_ca_density is 0.4669784236659551
-m5_ca_density is 0.41783820534352
+li1_ca_density is 0.4224595867698894
+m1_ca_density is 0.4779649363171108
+m2_ca_density is 0.502163952060906
+m3_ca_density is 0.5015519526859695
+m4_ca_density is 0.4672178112243336
+m5_ca_density is 0.42021857795002326
diff --git a/signoff/make_final b/signoff/make_final
index b29b054..4611ada 100644
--- a/signoff/make_final
+++ b/signoff/make_final
@@ -1 +1 @@
-960ddd68e283e40ec0ea0107bfd9d92262eb8ee3  ./gds/caravel_00020003.gds
+b6e03b3683dece75a4113b878858f5b949f10c8a  ./gds/caravel_00020003.gds
diff --git a/signoff/tapeout.log b/signoff/tapeout.log
index 57a4d1f..3f0b0ac 100644
--- a/signoff/tapeout.log
+++ b/signoff/tapeout.log
@@ -1,24 +1,31 @@
-2760168    4 drwx------   2 root     root         4096 Dec  8 04:22 /root/.ssh
-2760174    4 -rw-------   1 root     root          401 Dec  8 04:22 /root/.ssh/id_rsa.pub
-2760175    4 -rw-------   1 root     root         2757 Dec  8 04:22 /root/.ssh/known_hosts
-2760171    4 -rw-------   1 root     root          401 Dec  8 04:22 /root/.ssh/authorized_keys
-2760172    4 -rw-------   1 root     root          218 Dec  8 04:22 /root/.ssh/config
-2760173    4 -rw-------   1 root     root         1679 Dec  8 04:22 /root/.ssh/id_rsa
+2760163    4 drwx------   2 root     root         4096 Dec 10 00:32 /root/.ssh
+2760169    4 -rw-------   1 root     root          401 Dec 10 00:32 /root/.ssh/id_rsa.pub
+2760170    4 -rw-------   1 root     root         2757 Dec 10 00:32 /root/.ssh/known_hosts
+2760166    4 -rw-------   1 root     root          401 Dec 10 00:32 /root/.ssh/authorized_keys
+2760167    4 -rw-------   1 root     root          218 Dec 10 00:32 /root/.ssh/config
+2760168    4 -rw-------   1 root     root         1679 Dec 10 00:32 /root/.ssh/id_rsa
 Welcome to GitLab, @jeffdi!
 -------------------------------------------------------------------------------------------
 -------------------------------------------------------------------------------------------
 Beginning tapeout for mpw-two, slot-003 Analog-Neural-Network
 
-Wed Dec  8 04:22:31 UTC 2021
+Fri Dec 10 00:32:16 UTC 2021
 -------------------------------------------------------------------------------------------
 -------------------------------------------------------------------------------------------
 Everything up-to-date
 ok-------------------------------------------------------------------------------------------
 {{ STEP 1 }}  project ID: 00020003, project type: analog, shuttle-repo: https://foss-eda-tools.googlesource.com/third_party/shuttle/mpw-two/slot-003.git
 -------------------------------------------------------------------------------------------
+gds/caravel_00020003_fill_pattern.gds.gz.00.split gds/caravel_00020003_fill_pattern.gds.gz.01.split gds/caravel_00020003_fill_pattern.gds.gz.02.split gds/caravel_00020003_fill_pattern.gds.gz.03.split gds/caravel_00020003_fill_pattern.gds.gz.04.split -> gds/caravel_00020003_fill_pattern.gds.gz
+gds/caravel_00020003_fill_pattern.gds.gz -> gds/caravel_00020003_fill_pattern.gds
+gds/caravel_00020003.gds.gz.00.split gds/caravel_00020003.gds.gz.01.split gds/caravel_00020003.gds.gz.02.split gds/caravel_00020003.gds.gz.03.split gds/caravel_00020003.gds.gz.04.split -> gds/caravel_00020003.gds.gz
+gds/caravel_00020003.gds.gz -> gds/caravel_00020003.gds
 gds/user_analog_project_wrapper.orig.gds.gz -> gds/user_analog_project_wrapper.orig.gds
+gds/user_id_programming.gds.gz -> gds/user_id_programming.gds
+gds/user_id_prog_zero.gds.gz -> gds/user_id_prog_zero.gds
 gds/user_project_wrapper_empty.gds.gz -> gds/user_project_wrapper_empty.gds
 gds/user_analog_project_wrapper.gds.gz -> gds/user_analog_project_wrapper.gds
+gds/caravan.gds.gz -> gds/caravan.gds
 gds/user_analog_project_wrapper_empty.gds.gz -> gds/user_analog_project_wrapper_empty.gds
 All files are uncompressed!
 USER_ID is set to 00020003
@@ -59,8 +66,8 @@
 mkdir -p ./verilog/gl
 python3 /mnt/shuttles/shuttle/mpw-two/caravel/scripts/gen_gpio_defaults.py /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network 2>&1 | tee ./signoff/build/gpio_defaults.out
 Step 1:  Create new cells for new GPIO default vectors.
-Creating new layout file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/gpio_defaults_block_1800.mag
-Creating new gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/verilog/gl/gpio_defaults_block_1800.v
+Layout file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/gpio_defaults_block_1800.mag already exists and does not need to be generated.
+Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/verilog/gl/gpio_defaults_block_1800.v already exists and does not need to be generated.
 Layout file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/gpio_defaults_block_1800.mag already exists and does not need to be generated.
 Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/verilog/gl/gpio_defaults_block_1800.v already exists and does not need to be generated.
 Layout file /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/gpio_defaults_block_1800.mag already exists and does not need to be generated.
@@ -997,17 +1004,17 @@
 Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_20um" at bad file path ../mag/sky130_ef_io__com_bus_slice_20um.mag.
 The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_20um.mag.
 The discovered version will be used.
-Warning:  Parent cell lists instance of "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um" at bad file path ../mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
-The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
-The discovered version will be used.
-Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_10um" at bad file path ../mag/sky130_ef_io__com_bus_slice_10um.mag.
-The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_10um.mag.
+Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_5um" at bad file path ../mag/sky130_ef_io__com_bus_slice_5um.mag.
+The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_5um.mag.
 The discovered version will be used.
 Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_1um" at bad file path ../mag/sky130_ef_io__com_bus_slice_1um.mag.
 The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_1um.mag.
 The discovered version will be used.
-Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_5um" at bad file path ../mag/sky130_ef_io__com_bus_slice_5um.mag.
-The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_5um.mag.
+Warning:  Parent cell lists instance of "sky130_ef_io__com_bus_slice_10um" at bad file path ../mag/sky130_ef_io__com_bus_slice_10um.mag.
+The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_10um.mag.
+The discovered version will be used.
+Warning:  Parent cell lists instance of "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um" at bad file path ../mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
+The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
 The discovered version will be used.
 Warning:  Parent cell lists instance of "sky130_ef_io__vssa_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vssa_hvc_clamped_pad.mag.
 The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag.
@@ -1428,7 +1435,7 @@
 Warning:  Parent cell lists instance of "caravan_power_routing" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/caravan_power_routing.mag.
 The cell exists in the search paths at ../mag/caravan_power_routing.mag.
 The discovered version will be used.
-Processing timestamp mismatches: sky130_ef_io__top_power_hvc, sky130_ef_io__analog_pad, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_fd_io__top_xres4v2, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__com_bus_slice_1um, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__com_bus_slice_20um, sky130_ef_io__corner_pad, chip_io_alt, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__decap_6, sky130_fd_sc_hd__dfbbp_1, sky130_fd_sc_hd__inv_2, sky130_fd_sc_hd__nand2_2, sky130_fd_sc_hd__inv_8, sky130_fd_sc_hd__decap_8, sky130_fd_sc_hd__nor2_2, sky130_fd_sc_hd__mux2_2, sky130_fd_sc_hvl__conb_1, sky130_fd_sc_hvl__lsbufhv2lv_1, sky130_fd_sc_hvl__fill_1, sky130_fd_sc_hvl__fill_2, sky130_fd_sc_hd__and2_4, sky130_fd_sc_hd__einvp_8, sky130_fd_sc_hd__einvp_4, sky130_fd_sc_hd__clkbuf_4, sky130_fd_sc_hd__diode_2, sky130_fd_sc_hd__buf_2, sky130_fd_sc_hd__clkbuf_1, sky130_fd_sc_hd__buf_4, sky130_fd_sc_hd__and2_1, sky130_fd_sc_hd__nand2_1, sky130_fd_sc_hd__inv_6, sky130_fd_sc_hd__nand2_4, sky130_fd_sc_hd__clkinv_8, sky130_fd_sc_hd__nand2_8, sky130_fd_sc_hd__einvp_2, sky130_fd_sc_hd__clkbuf_2, sky130_fd_sc_hd__buf_6, sky130_fd_sc_hd__buf_8, sky130_fd_sc_hd__clkbuf_16, sky130_fd_sc_hd__buf_12, sky130_fd_sc_hd__clkinv_4, sky130_fd_sc_hd__and2b_1, sky130_fd_sc_hd__clkinv_2, sky130_fd_sc_hd__inv_4, sky130_fd_sc_hd__inv_12, sky130_fd_sc_hd__dlymetal6s2s_1, sky130_fd_sc_hd__ebufn_1, sky130_fd_sc_hd__dfbbn_1, sky130_fd_sc_hd__or2_1, sky130_fd_sc_hd__or2b_1, sky130_fd_sc_hd__dfrtp_1, sky130_fd_sc_hd__buf_1, sky130_fd_sc_hd__clkdlybuf4s25_1, sky130_fd_sc_hd__mux2_1, sky130_fd_sc_hd__a22oi_2, sky130_fd_sc_hd__a21oi_2, sky130_fd_sc_hd__a311o_2, sky130_fd_sc_hd__a2bb2o_2, sky130_fd_sc_hd__einvp_1, sky130_fd_sc_hd__a31o_2, sky130_fd_sc_hd__o41a_2, sky130_fd_sc_hd__o31a_2, sky130_fd_sc_hd__and2_2, sky130_fd_sc_hd__o21a_2, sky130_fd_sc_hd__einvn_4, sky130_fd_sc_hd__einvn_8, sky130_fd_sc_hd__clkinv_1, digital_pll, sky130_fd_sc_hd__o311a_2, sky130_fd_sc_hd__or2_2, sky130_fd_sc_hd__or3_2, sky130_fd_sc_hd__or4_2, sky130_fd_sc_hd__and3_2, sky130_fd_sc_hd__o21ai_2, sky130_fd_sc_hd__o32a_2, sky130_fd_sc_hd__a32o_2, sky130_fd_sc_hd__a22o_2, sky130_fd_sc_hd__o2bb2a_2, sky130_fd_sc_hd__o211a_2, sky130_fd_sc_hd__a221o_2, sky130_fd_sc_hd__o22a_2, sky130_fd_sc_hd__dfrtp_2, sky130_fd_sc_hd__o221ai_2, sky130_fd_sc_hd__o22ai_2, sky130_fd_sc_hd__o221a_2, sky130_fd_sc_hd__a21bo_2, sky130_fd_sc_hd__a21o_2, sky130_fd_sc_hd__and4_2, sky130_fd_sc_hd__o2111ai_2, sky130_fd_sc_hd__o2bb2ai_2, sky130_fd_sc_hd__a31oi_1, sky130_fd_sc_hd__nor2_8, sky130_fd_sc_hd__o21ai_4, sky130_fd_sc_hd__or3b_4, sky130_fd_sc_hd__o221a_4, sky130_fd_sc_hd__and3b_1, sky130_fd_sc_hd__or4b_4, sky130_fd_sc_hd__nand4_2, sky130_fd_sc_hd__nor3_2, sky130_fd_sc_hd__a2111o_1, sky130_fd_sc_hd__a311oi_2, sky130_fd_sc_hd__nand4b_4, sky130_fd_sc_hd__nand4_4, sky130_fd_sc_hd__o2111a_2, sky130_fd_sc_hd__o211ai_2, sky130_fd_sc_hd__and4bb_1, sky130_fd_sc_hd__and3_4, sky130_fd_sc_hd__a2111o_2, sky130_fd_sc_hd__nor4_2, sky130_fd_sc_hd__o221ai_4, sky130_fd_sc_hd__o2111a_1, sky130_fd_sc_hd__and4_1, sky130_fd_sc_hd__o2111ai_4, sky130_fd_sc_hd__nand3_4, sky130_fd_sc_hd__o211ai_1, sky130_fd_sc_hd__o22a_4, sky130_fd_sc_hd__o31a_1, sky130_fd_sc_hd__o221ai_1, sky130_fd_sc_hd__a211o_4, sky130_fd_sc_hd__o311a_1, sky130_fd_sc_hd__o2111ai_1, sky130_fd_sc_hd__o21ba_1, sky130_fd_sc_hd__a311oi_1, sky130_fd_sc_hd__a41o_2, sky130_fd_sc_hd__o22ai_4, sky130_fd_sc_hd__a41o_1, sky130_fd_sc_hd__mux2_4, sky130_fd_sc_hd__a22oi_1, sky130_fd_sc_hd__clkbuf_8, sky130_fd_sc_hd__or3b_2, sky130_fd_sc_hd__ebufn_2, sky130_fd_sc_hd__a32o_1, sky130_fd_sc_hd__nor4_1, sky130_fd_sc_hd__a31o_1, sky130_fd_sc_hd__nor2_4, sky130_fd_sc_hd__or4b_2, sky130_fd_sc_hd__or4_4, sky130_fd_sc_hd__nor3_4, sky130_fd_sc_hd__o221a_1, sky130_fd_sc_hd__and4b_1, sky130_fd_sc_hd__a311o_1, sky130_fd_sc_hd__clkinvlp_2, sky130_fd_sc_hd__or2b_2, sky130_fd_sc_hd__o31ai_4, sky130_fd_sc_hd__o32a_1, sky130_fd_sc_hd__o22ai_1, sky130_fd_sc_hd__or4bb_4, sky130_fd_sc_hd__or2_4, sky130_fd_sc_hd__a21oi_1, sky130_fd_sc_hd__a211o_1, sky130_fd_sc_hd__and3_1, sky130_fd_sc_hd__a2bb2o_1, sky130_fd_sc_hd__or3b_1, sky130_fd_sc_hd__a22oi_4, sky130_fd_sc_hd__mux2_8, sky130_fd_sc_hd__or3_4, sky130_fd_sc_hd__o2bb2a_1, sky130_fd_sc_hd__o22a_1, sky130_fd_sc_hd__or3_1, sky130_fd_sc_hd__a22o_1, sky130_fd_sc_hd__nand4bb_1, sky130_fd_sc_hd__nand4_1, sky130_fd_sc_hd__or4_1, sky130_fd_sc_hd__or4b_1, sky130_fd_sc_hd__or4bb_1, sky130_fd_sc_hd__a221o_1, sky130_fd_sc_hd__ebufn_8, sky130_fd_sc_hd__dfstp_1, sky130_fd_sc_hd__dfrtp_4, sky130_fd_sc_hd__dfxtp_1, sky130_fd_sc_hd__o21a_1, sky130_fd_sc_hd__nor2_1, sky130_fd_sc_hd__a21bo_1, sky130_fd_sc_hd__nor3_1, sky130_fd_sc_hd__o21ai_1, sky130_fd_sc_hd__nand3b_1, sky130_fd_sc_hd__o21bai_1, sky130_fd_sc_hd__a21o_1, sky130_fd_sc_hd__o211ai_4, sky130_fd_sc_hd__o211a_1, sky130_fd_sc_hd__dfrtn_1, sky130_fd_sc_hd__dfstp_2, sky130_fd_sc_hd__dfstp_4, sky130_fd_sc_hd__dlygate4sd1_1, sky130_fd_sc_hd__nor3b_1, sky130_fd_sc_hd__xnor2_1, sky130_fd_sc_hd__nor3b_2, sky130_fd_sc_hd__nand3_1, sky130_fd_sc_hd__xor2_1, caravel_clocking, alpha_3, alpha_2, sky130_fd_sc_hvl__decap_4, sky130_fd_sc_hvl__diode_2, sky130_fd_sc_hvl__decap_8.
+Processing timestamp mismatches: sky130_ef_io__top_power_hvc, sky130_ef_io__analog_pad, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_fd_io__top_xres4v2, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__com_bus_slice_1um, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__com_bus_slice_20um, sky130_ef_io__corner_pad, chip_io_alt, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__decap_6, sky130_fd_sc_hd__dfbbp_1, sky130_fd_sc_hd__inv_2, sky130_fd_sc_hd__nand2_2, sky130_fd_sc_hd__inv_8, sky130_fd_sc_hd__decap_8, sky130_fd_sc_hd__nor2_2, sky130_fd_sc_hd__mux2_2, sky130_fd_sc_hvl__conb_1, sky130_fd_sc_hvl__lsbufhv2lv_1, sky130_fd_sc_hvl__fill_1, sky130_fd_sc_hvl__fill_2, sky130_fd_sc_hd__and2_4, sky130_fd_sc_hd__einvp_8, sky130_fd_sc_hd__einvp_4, sky130_fd_sc_hd__clkbuf_4, sky130_fd_sc_hd__diode_2, sky130_fd_sc_hd__buf_2, sky130_fd_sc_hd__clkbuf_1, sky130_fd_sc_hd__buf_4, sky130_fd_sc_hd__and2_1, sky130_fd_sc_hd__nand2_1, sky130_fd_sc_hd__inv_6, sky130_fd_sc_hd__nand2_4, sky130_fd_sc_hd__clkinv_8, sky130_fd_sc_hd__nand2_8, sky130_fd_sc_hd__einvp_2, sky130_fd_sc_hd__clkbuf_2, sky130_fd_sc_hd__buf_6, sky130_fd_sc_hd__buf_8, sky130_fd_sc_hd__clkbuf_16, sky130_fd_sc_hd__buf_12, sky130_fd_sc_hd__clkinv_4, sky130_fd_sc_hd__and2b_1, sky130_fd_sc_hd__clkinv_2, sky130_fd_sc_hd__inv_4, sky130_fd_sc_hd__inv_12, sky130_fd_sc_hd__dlymetal6s2s_1, sky130_fd_sc_hd__ebufn_1, sky130_fd_sc_hd__dfbbn_1, sky130_fd_sc_hd__or2_1, sky130_fd_sc_hd__or2b_1, sky130_fd_sc_hd__dfrtp_1, sky130_fd_sc_hd__buf_1, sky130_fd_sc_hd__clkdlybuf4s25_1, sky130_fd_sc_hd__mux2_1, sky130_fd_sc_hd__a22oi_2, sky130_fd_sc_hd__a21oi_2, sky130_fd_sc_hd__a311o_2, sky130_fd_sc_hd__a2bb2o_2, sky130_fd_sc_hd__einvp_1, sky130_fd_sc_hd__a31o_2, sky130_fd_sc_hd__o41a_2, sky130_fd_sc_hd__o31a_2, sky130_fd_sc_hd__and2_2, sky130_fd_sc_hd__o21a_2, sky130_fd_sc_hd__einvn_4, sky130_fd_sc_hd__einvn_8, sky130_fd_sc_hd__clkinv_1, digital_pll, sky130_fd_sc_hd__o311a_2, sky130_fd_sc_hd__or2_2, sky130_fd_sc_hd__or3_2, sky130_fd_sc_hd__or4_2, sky130_fd_sc_hd__and3_2, sky130_fd_sc_hd__o21ai_2, sky130_fd_sc_hd__o32a_2, sky130_fd_sc_hd__a32o_2, sky130_fd_sc_hd__a22o_2, sky130_fd_sc_hd__o2bb2a_2, sky130_fd_sc_hd__o211a_2, sky130_fd_sc_hd__a221o_2, sky130_fd_sc_hd__o22a_2, sky130_fd_sc_hd__dfrtp_2, sky130_fd_sc_hd__o221ai_2, sky130_fd_sc_hd__o22ai_2, sky130_fd_sc_hd__o221a_2, sky130_fd_sc_hd__a21bo_2, sky130_fd_sc_hd__a21o_2, sky130_fd_sc_hd__and4_2, sky130_fd_sc_hd__o2111ai_2, sky130_fd_sc_hd__o2bb2ai_2, sky130_fd_sc_hd__a31oi_1, sky130_fd_sc_hd__nor2_8, sky130_fd_sc_hd__o21ai_4, sky130_fd_sc_hd__or3b_4, sky130_fd_sc_hd__o221a_4, sky130_fd_sc_hd__and3b_1, sky130_fd_sc_hd__or4b_4, sky130_fd_sc_hd__nand4_2, sky130_fd_sc_hd__nor3_2, sky130_fd_sc_hd__a2111o_1, sky130_fd_sc_hd__a311oi_2, sky130_fd_sc_hd__nand4b_4, sky130_fd_sc_hd__nand4_4, sky130_fd_sc_hd__o2111a_2, sky130_fd_sc_hd__o211ai_2, sky130_fd_sc_hd__and4bb_1, sky130_fd_sc_hd__and3_4, sky130_fd_sc_hd__a2111o_2, sky130_fd_sc_hd__nor4_2, sky130_fd_sc_hd__o221ai_4, sky130_fd_sc_hd__o2111a_1, sky130_fd_sc_hd__and4_1, sky130_fd_sc_hd__o2111ai_4, sky130_fd_sc_hd__nand3_4, sky130_fd_sc_hd__o211ai_1, sky130_fd_sc_hd__o22a_4, sky130_fd_sc_hd__o31a_1, sky130_fd_sc_hd__o221ai_1, sky130_fd_sc_hd__a211o_4, sky130_fd_sc_hd__o311a_1, sky130_fd_sc_hd__o2111ai_1, sky130_fd_sc_hd__o21ba_1, sky130_fd_sc_hd__a311oi_1, sky130_fd_sc_hd__a41o_2, sky130_fd_sc_hd__o22ai_4, sky130_fd_sc_hd__a41o_1, sky130_fd_sc_hd__mux2_4, sky130_fd_sc_hd__a22oi_1, sky130_fd_sc_hd__clkbuf_8, sky130_fd_sc_hd__or3b_2, sky130_fd_sc_hd__ebufn_2, sky130_fd_sc_hd__a32o_1, sky130_fd_sc_hd__nor4_1, sky130_fd_sc_hd__a31o_1, sky130_fd_sc_hd__nor2_4, sky130_fd_sc_hd__or4b_2, sky130_fd_sc_hd__or4_4, sky130_fd_sc_hd__nor3_4, sky130_fd_sc_hd__o221a_1, sky130_fd_sc_hd__and4b_1, sky130_fd_sc_hd__a311o_1, sky130_fd_sc_hd__clkinvlp_2, sky130_fd_sc_hd__or2b_2, sky130_fd_sc_hd__o31ai_4, sky130_fd_sc_hd__o32a_1, sky130_fd_sc_hd__o22ai_1, sky130_fd_sc_hd__or4bb_4, sky130_fd_sc_hd__or2_4, sky130_fd_sc_hd__a21oi_1, sky130_fd_sc_hd__a211o_1, sky130_fd_sc_hd__and3_1, sky130_fd_sc_hd__a2bb2o_1, sky130_fd_sc_hd__or3b_1, sky130_fd_sc_hd__a22oi_4, sky130_fd_sc_hd__mux2_8, sky130_fd_sc_hd__or3_4, sky130_fd_sc_hd__o2bb2a_1, sky130_fd_sc_hd__o22a_1, sky130_fd_sc_hd__or3_1, sky130_fd_sc_hd__a22o_1, sky130_fd_sc_hd__nand4bb_1, sky130_fd_sc_hd__nand4_1, sky130_fd_sc_hd__or4_1, sky130_fd_sc_hd__or4b_1, sky130_fd_sc_hd__or4bb_1, sky130_fd_sc_hd__a221o_1, sky130_fd_sc_hd__ebufn_8, sky130_fd_sc_hd__dfstp_1, sky130_fd_sc_hd__dfrtp_4, sky130_fd_sc_hd__dfxtp_1, sky130_fd_sc_hd__o21a_1, sky130_fd_sc_hd__nor2_1, sky130_fd_sc_hd__a21bo_1, sky130_fd_sc_hd__nor3_1, sky130_fd_sc_hd__o21ai_1, sky130_fd_sc_hd__nand3b_1, sky130_fd_sc_hd__o21bai_1, sky130_fd_sc_hd__a21o_1, sky130_fd_sc_hd__o211ai_4, sky130_fd_sc_hd__o211a_1, sky130_fd_sc_hd__dfrtn_1, sky130_fd_sc_hd__dfstp_2, sky130_fd_sc_hd__dfstp_4, sky130_fd_sc_hd__dlygate4sd1_1, sky130_fd_sc_hd__nor3b_1, sky130_fd_sc_hd__xnor2_1, sky130_fd_sc_hd__nor3b_2, sky130_fd_sc_hd__nand3_1, sky130_fd_sc_hd__xor2_1, caravel_clocking, alpha_3, alpha_2, sky130_fd_sc_hvl__decap_4, sky130_fd_sc_hvl__diode_2, sky130_fd_sc_hvl__decap_8.
    Generating output for cell sky130_fd_sc_hvl__decap_8
    Generating output for cell sky130_fd_sc_hvl__diode_2
    Generating output for cell sky130_fd_sc_hvl__decap_4
@@ -1730,163 +1737,186 @@
 Reading "DFFRAM".
 Reading "sky130_fd_sc_hd__dlygate4sd3_1".
 Reading "sky130_fd_sc_hd__buf_8".
-Reading "sky130_fd_sc_hd__buf_12".
-Reading "sky130_fd_sc_hd__buf_2".
 Reading "sky130_fd_sc_hd__buf_6".
-Reading "sky130_fd_sc_hd__clkdlybuf4s25_1".
-Reading "sky130_fd_sc_hd__buf_4".
-Reading "sky130_fd_sc_hd__dfxtp_4".
-Reading "sky130_fd_sc_hd__clkdlybuf4s50_1".
-Reading "sky130_fd_sc_hd__inv_2".
-Reading "sky130_fd_sc_hd__nand2_1".
 Reading "sky130_fd_sc_hd__dfxtp_2".
-Reading "sky130_fd_sc_hd__a21oi_4".
-Reading "sky130_fd_sc_hd__o221a_1".
 Reading "sky130_fd_sc_hd__a221o_1".
+Reading "sky130_fd_sc_hd__buf_2".
 Reading "sky130_fd_sc_hd__a22o_1".
-Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
-Reading "sky130_fd_sc_hd__a22o_4".
-Reading "sky130_fd_sc_hd__nor2_1".
-Reading "sky130_fd_sc_hd__o211a_1".
-Reading "sky130_fd_sc_hd__a221o_4".
-Reading "sky130_fd_sc_hd__nand2_2".
-Reading "sky130_fd_sc_hd__nor2_2".
-Reading "sky130_fd_sc_hd__or2_1".
-Reading "sky130_fd_sc_hd__mux2_2".
-Reading "sky130_fd_sc_hd__clkbuf_8".
-Reading "sky130_fd_sc_hd__a32o_1".
-Reading "sky130_fd_sc_hd__mux2_4".
-Reading "sky130_fd_sc_hd__clkinv_2".
-Reading "sky130_fd_sc_hd__and3_1".
-Reading "sky130_fd_sc_hd__nor2_8".
-Reading "sky130_fd_sc_hd__a21oi_1".
-Reading "sky130_fd_sc_hd__nand2_4".
-Reading "sky130_fd_sc_hd__nand2_8".
-Reading "sky130_fd_sc_hd__or2_2".
-Reading "sky130_fd_sc_hd__or3_1".
-Reading "sky130_fd_sc_hd__or4_1".
-Reading "sky130_fd_sc_hd__o21ai_1".
-Reading "sky130_fd_sc_hd__or2b_1".
-Reading "sky130_fd_sc_hd__o21a_1".
-Reading "sky130_fd_sc_hd__mux2_8".
-Reading "sky130_fd_sc_hd__nor2_4".
-Reading "sky130_fd_sc_hd__a21o_1".
-Reading "sky130_fd_sc_hd__and3b_1".
-Reading "sky130_fd_sc_hd__a21oi_2".
-Reading "sky130_fd_sc_hd__nand3_1".
-Reading "sky130_fd_sc_hd__clkinv_16".
-Reading "sky130_fd_sc_hd__o21bai_1".
-Reading "sky130_fd_sc_hd__a31o_1".
-Reading "sky130_fd_sc_hd__or3b_1".
-Reading "sky130_fd_sc_hd__or3_4".
-Reading "sky130_fd_sc_hd__nand3b_4".
-Reading "sky130_fd_sc_hd__inv_6".
-Reading "sky130_fd_sc_hd__o31a_1".
-Reading "sky130_fd_sc_hd__nor3b_1".
-Reading "sky130_fd_sc_hd__a211o_1".
-Reading "sky130_fd_sc_hd__clkinv_4".
-Reading "sky130_fd_sc_hd__o311a_1".
-Reading "sky130_fd_sc_hd__nor3_1".
-Reading "sky130_fd_sc_hd__a2bb2o_2".
-Reading "sky130_fd_sc_hd__a221oi_1".
-Reading "sky130_fd_sc_hd__or4_4".
-Reading "sky130_fd_sc_hd__o22a_1".
+Reading "sky130_fd_sc_hd__dfxtp_4".
 Reading "sky130_fd_sc_hd__nand3_4".
-Reading "sky130_fd_sc_hd__and3_2".
-Reading "sky130_fd_sc_hd__o41a_1".
-Reading "sky130_fd_sc_hd__nor3_2".
-Reading "sky130_fd_sc_hd__o21ai_2".
-Reading "sky130_fd_sc_hd__a211oi_1".
-Reading "sky130_fd_sc_hd__o211ai_4".
-Reading "sky130_fd_sc_hd__a31oi_4".
-Reading "sky130_fd_sc_hd__o2bb2a_1".
-Reading "sky130_fd_sc_hd__clkinv_8".
-Reading "sky130_fd_sc_hd__a31oi_1".
-Reading "sky130_fd_sc_hd__o2111ai_1".
-Reading "sky130_fd_sc_hd__o2111a_2".
-Reading "sky130_fd_sc_hd__a22o_2".
-Reading "sky130_fd_sc_hd__o211a_2".
-Reading "sky130_fd_sc_hd__o31ai_1".
-Reading "sky130_fd_sc_hd__or4_2".
-Reading "sky130_fd_sc_hd__a2bb2o_1".
-Reading "sky130_fd_sc_hd__and4_1".
-Reading "sky130_fd_sc_hd__inv_12".
-Reading "sky130_fd_sc_hd__a311o_1".
-Reading "sky130_fd_sc_hd__inv_4".
-Reading "sky130_fd_sc_hd__o32a_1".
-Reading "sky130_fd_sc_hd__o221ai_1".
-Reading "sky130_fd_sc_hd__o21ai_4".
-Reading "sky130_fd_sc_hd__nand3_2".
-Reading "sky130_fd_sc_hd__or3_2".
-Reading "sky130_fd_sc_hd__inv_8".
-Reading "sky130_fd_sc_hd__and4_4".
-Reading "sky130_fd_sc_hd__o2111a_1".
-Reading "sky130_fd_sc_hd__a21o_4".
-Reading "sky130_fd_sc_hd__or3b_2".
-Reading "sky130_fd_sc_hd__a22oi_1".
-Reading "sky130_fd_sc_hd__xor2_1".
-Reading "sky130_fd_sc_hd__and2b_1".
-Reading "sky130_fd_sc_hd__o41ai_1".
-Reading "sky130_fd_sc_hd__a41o_1".
-Reading "sky130_fd_sc_hd__xnor2_1".
-Reading "sky130_fd_sc_hd__o211ai_1".
-Reading "sky130_fd_sc_hd__o22ai_1".
-Reading "sky130_fd_sc_hd__a41oi_4".
-Reading "sky130_fd_sc_hd__a22oi_4".
-Reading "sky130_fd_sc_hd__a22oi_2".
 Reading "sky130_fd_sc_hd__a221o_2".
+Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
+Reading "sky130_fd_sc_hd__buf_12".
 Reading "sky130_fd_sc_hd__a2111o_1".
-Reading "sky130_fd_sc_hd__o221ai_2".
-Reading "sky130_fd_sc_hd__a221oi_4".
-Reading "sky130_fd_sc_hd__or4b_4".
-Reading "sky130_fd_sc_hd__mux4_2".
-Reading "sky130_fd_sc_hd__a21o_2".
-Reading "sky130_fd_sc_hd__a21boi_1".
-Reading "sky130_fd_sc_hd__o21bai_2".
-Reading "sky130_fd_sc_hd__o21bai_4".
-Reading "sky130_fd_sc_hd__a21boi_4".
-Reading "sky130_fd_sc_hd__o221a_2".
-Reading "sky130_fd_sc_hd__a21bo_1".
-Reading "sky130_fd_sc_hd__nand3b_1".
-Reading "sky130_fd_sc_hd__xor2_2".
-Reading "sky130_fd_sc_hd__o2bb2ai_4".
-Reading "sky130_fd_sc_hd__nor3_4".
-Reading "sky130_fd_sc_hd__a21boi_2".
-Reading "sky130_fd_sc_hd__o21a_2".
-Reading "sky130_fd_sc_hd__o22a_2".
-Reading "sky130_fd_sc_hd__o22a_4".
-Reading "sky130_fd_sc_hd__o2bb2ai_1".
-Reading "sky130_fd_sc_hd__or2b_2".
-Reading "sky130_fd_sc_hd__and4b_1".
-Reading "sky130_fd_sc_hd__o22ai_4".
-Reading "sky130_fd_sc_hd__a31o_4".
-Reading "sky130_fd_sc_hd__nand2b_4".
-Reading "sky130_fd_sc_hd__a31oi_2".
-Reading "sky130_fd_sc_hd__o21a_4".
-Reading "sky130_fd_sc_hd__or2_4".
-Reading "sky130_fd_sc_hd__and2_4".
-Reading "sky130_fd_sc_hd__o41a_2".
-Reading "sky130_fd_sc_hd__o41a_4".
-Reading "sky130_fd_sc_hd__a311oi_1".
-Reading "sky130_fd_sc_hd__or4b_1".
-Reading "sky130_fd_sc_hd__a221oi_2".
-Reading "sky130_fd_sc_hd__o2111ai_4".
-Reading "sky130_fd_sc_hd__a2111o_4".
-Reading "sky130_fd_sc_hd__or3b_4".
-Reading "sky130_fd_sc_hd__o21ba_1".
+Reading "sky130_fd_sc_hd__and4_1".
 Reading "sky130_fd_sc_hd__a2111o_2".
-Reading "sky130_fd_sc_hd__o211a_4".
-Reading "sky130_fd_sc_hd__o2bb2a_4".
-Reading "sky130_fd_sc_hd__o2bb2a_2".
-Reading "sky130_fd_sc_hd__o32ai_1".
-Reading "sky130_fd_sc_hd__nand3b_2".
-Reading "sky130_fd_sc_hd__o22ai_2".
-Reading "sky130_fd_sc_hd__xnor2_2".
-Reading "sky130_fd_sc_hd__xnor2_4".
-Reading "sky130_fd_sc_hd__a31o_2".
-Reading "sky130_fd_sc_hd__o32ai_4".
+Reading "sky130_fd_sc_hd__o211a_1".
+Reading "sky130_fd_sc_hd__and3_1".
+Reading "sky130_fd_sc_hd__inv_2".
+Reading "sky130_fd_sc_hd__and2b_1".
+Reading "sky130_fd_sc_hd__nand3b_1".
+Reading "sky130_fd_sc_hd__a2111oi_2".
+Reading "sky130_fd_sc_hd__a22o_2".
+Reading "sky130_fd_sc_hd__a221o_4".
+Reading "sky130_fd_sc_hd__nor3b_1".
+Reading "sky130_fd_sc_hd__nor3_4".
+Reading "sky130_fd_sc_hd__clkinv_8".
+Reading "sky130_fd_sc_hd__inv_12".
+Reading "sky130_fd_sc_hd__and3b_1".
+Reading "sky130_fd_sc_hd__nor3b_2".
+Reading "sky130_fd_sc_hd__or2_1".
+Reading "sky130_fd_sc_hd__buf_4".
+Reading "sky130_fd_sc_hd__a211oi_1".
+Reading "sky130_fd_sc_hd__inv_6".
+Reading "sky130_fd_sc_hd__nand2_1".
+Reading "sky130_fd_sc_hd__nand3b_4".
+Reading "sky130_fd_sc_hd__nand3_1".
+Reading "sky130_fd_sc_hd__inv_16".
+Reading "sky130_fd_sc_hd__o2111a_1".
+Reading "sky130_fd_sc_hd__a21oi_1".
+Reading "sky130_fd_sc_hd__o21ai_4".
+Reading "sky130_fd_sc_hd__mux2_2".
+Reading "sky130_fd_sc_hd__inv_4".
+Reading "sky130_fd_sc_hd__clkinv_2".
+Reading "sky130_fd_sc_hd__a21bo_1".
+Reading "sky130_fd_sc_hd__o21a_1".
+Reading "sky130_fd_sc_hd__a211o_2".
+Reading "sky130_fd_sc_hd__or2b_1".
+Reading "sky130_fd_sc_hd__a21oi_4".
+Reading "sky130_fd_sc_hd__o21ai_1".
+Reading "sky130_fd_sc_hd__a21boi_1".
+Reading "sky130_fd_sc_hd__nor3_1".
+Reading "sky130_fd_sc_hd__nor2_2".
+Reading "sky130_fd_sc_hd__a31oi_1".
+Reading "sky130_fd_sc_hd__a21o_1".
+Reading "sky130_fd_sc_hd__o21a_2".
+Reading "sky130_fd_sc_hd__o21bai_1".
+Reading "sky130_fd_sc_hd__o211ai_1".
+Reading "sky130_fd_sc_hd__o31ai_1".
+Reading "sky130_fd_sc_hd__nor2_1".
 Reading "sky130_fd_sc_hd__xor2_4".
+Reading "sky130_fd_sc_hd__nand3_2".
+Reading "sky130_fd_sc_hd__o21ba_1".
+Reading "sky130_fd_sc_hd__a41oi_1".
+Reading "sky130_fd_sc_hd__nor3_2".
+Reading "sky130_fd_sc_hd__o41ai_1".
+Reading "sky130_fd_sc_hd__o21bai_2".
+Reading "sky130_fd_sc_hd__xnor2_4".
+Reading "sky130_fd_sc_hd__clkbuf_8".
+Reading "sky130_fd_sc_hd__a41oi_4".
+Reading "sky130_fd_sc_hd__xnor2_2".
+Reading "sky130_fd_sc_hd__or3b_1".
+Reading "sky130_fd_sc_hd__nand2_4".
+Reading "sky130_fd_sc_hd__xnor2_1".
+Reading "sky130_fd_sc_hd__nand2_8".
+Reading "sky130_fd_sc_hd__xor2_1".
+Reading "sky130_fd_sc_hd__nor2b_2".
+Reading "sky130_fd_sc_hd__o211a_2".
+Reading "sky130_fd_sc_hd__o31a_1".
+Reading "sky130_fd_sc_hd__mux2_8".
+Reading "sky130_fd_sc_hd__mux2_4".
+Reading "sky130_fd_sc_hd__a21oi_2".
+Reading "sky130_fd_sc_hd__a21o_2".
+Reading "sky130_fd_sc_hd__o21bai_4".
+Reading "sky130_fd_sc_hd__a211o_1".
+Reading "sky130_fd_sc_hd__a311o_1".
+Reading "sky130_fd_sc_hd__a22oi_4".
+Reading "sky130_fd_sc_hd__o2111a_4".
+Reading "sky130_fd_sc_hd__o22a_1".
+Reading "sky130_fd_sc_hd__a31o_1".
+Reading "sky130_fd_sc_hd__and4_4".
+Reading "sky130_fd_sc_hd__a22oi_2".
+Reading "sky130_fd_sc_hd__o41a_2".
+Reading "sky130_fd_sc_hd__nand2_2".
+Reading "sky130_fd_sc_hd__nor2_8".
+Reading "sky130_fd_sc_hd__a32oi_2".
+Reading "sky130_fd_sc_hd__a221oi_4".
 Reading "sky130_fd_sc_hd__nor2b_4".
+Reading "sky130_fd_sc_hd__or4_2".
+Reading "sky130_fd_sc_hd__a211oi_2".
+Reading "sky130_fd_sc_hd__clkinv_4".
+Reading "sky130_fd_sc_hd__a2bb2oi_1".
+Reading "sky130_fd_sc_hd__a31oi_4".
+Reading "sky130_fd_sc_hd__nand3b_2".
+Reading "sky130_fd_sc_hd__a21boi_2".
+Reading "sky130_fd_sc_hd__nor2_4".
+Reading "sky130_fd_sc_hd__o311ai_1".
+Reading "sky130_fd_sc_hd__a41oi_2".
+Reading "sky130_fd_sc_hd__or3b_4".
+Reading "sky130_fd_sc_hd__clkinv_16".
+Reading "sky130_fd_sc_hd__o211ai_4".
+Reading "sky130_fd_sc_hd__o21ba_4".
+Reading "sky130_fd_sc_hd__o2111ai_2".
+Reading "sky130_fd_sc_hd__o2111ai_1".
+Reading "sky130_fd_sc_hd__a32o_1".
+Reading "sky130_fd_sc_hd__a311oi_1".
+Reading "sky130_fd_sc_hd__a2111o_4".
+Reading "sky130_fd_sc_hd__or4_4".
+Reading "sky130_fd_sc_hd__o21ai_2".
+Reading "sky130_fd_sc_hd__a2111oi_1".
+Reading "sky130_fd_sc_hd__a211oi_4".
+Reading "sky130_fd_sc_hd__o311ai_4".
+Reading "sky130_fd_sc_hd__a2111oi_4".
+Reading "sky130_fd_sc_hd__mux4_2".
+Reading "sky130_fd_sc_hd__or2b_4".
+Reading "sky130_fd_sc_hd__o21a_4".
+Reading "sky130_fd_sc_hd__and4b_4".
+Reading "sky130_fd_sc_hd__o2111ai_4".
+Reading "sky130_fd_sc_hd__or2_2".
+Reading "sky130_fd_sc_hd__o22ai_1".
+Reading "sky130_fd_sc_hd__o2bb2ai_1".
+Reading "sky130_fd_sc_hd__a32oi_1".
+Reading "sky130_fd_sc_hd__inv_8".
+Reading "sky130_fd_sc_hd__a21boi_4".
+Reading "sky130_fd_sc_hd__or4_1".
+Reading "sky130_fd_sc_hd__o32ai_1".
+Reading "sky130_fd_sc_hd__a41o_1".
+Reading "sky130_fd_sc_hd__xor2_2".
+Reading "sky130_fd_sc_hd__o221ai_1".
+Reading "sky130_fd_sc_hd__o32a_1".
+Reading "sky130_fd_sc_hd__o41a_1".
+Reading "sky130_fd_sc_hd__a22oi_1".
+Reading "sky130_fd_sc_hd__and3_2".
+Reading "sky130_fd_sc_hd__o221a_2".
+Reading "sky130_fd_sc_hd__o221a_1".
+Reading "sky130_fd_sc_hd__and2_4".
+Reading "sky130_fd_sc_hd__or3_1".
+Reading "sky130_fd_sc_hd__o22ai_2".
+Reading "sky130_fd_sc_hd__a221oi_2".
+Reading "sky130_fd_sc_hd__a221oi_1".
+Reading "sky130_fd_sc_hd__o211ai_2".
+Reading "sky130_fd_sc_hd__o311ai_2".
+Reading "sky130_fd_sc_hd__o31ai_4".
+Reading "sky130_fd_sc_hd__a311oi_2".
+Reading "sky130_fd_sc_hd__a31oi_2".
+Reading "sky130_fd_sc_hd__o311a_2".
+Reading "sky130_fd_sc_hd__o41ai_4".
+Reading "sky130_fd_sc_hd__or3_2".
+Reading "sky130_fd_sc_hd__o31a_4".
+Reading "sky130_fd_sc_hd__o21ba_2".
+Reading "sky130_fd_sc_hd__a311oi_4".
+Reading "sky130_fd_sc_hd__and2b_4".
+Reading "sky130_fd_sc_hd__o2bb2ai_2".
+Reading "sky130_fd_sc_hd__o2bb2ai_4".
+Reading "sky130_fd_sc_hd__o22a_2".
+Reading "sky130_fd_sc_hd__and4b_1".
+Reading "sky130_fd_sc_hd__nand2b_1".
+Reading "sky130_fd_sc_hd__o2111a_2".
+Reading "sky130_fd_sc_hd__a2bb2oi_4".
+Reading "sky130_fd_sc_hd__a2bb2oi_2".
+Reading "sky130_fd_sc_hd__dlymetal6s4s_1".
+Reading "sky130_fd_sc_hd__or3_4".
+Reading "sky130_fd_sc_hd__a22o_4".
+Reading "sky130_fd_sc_hd__a32oi_4".
+Reading "sky130_fd_sc_hd__o311a_1".
+Reading "sky130_fd_sc_hd__a21o_4".
+Reading "sky130_fd_sc_hd__or4b_1".
+Reading "sky130_fd_sc_hd__a32o_4".
+Reading "sky130_fd_sc_hd__and3b_2".
+Reading "sky130_fd_sc_hd__o32ai_4".
+Reading "sky130_fd_sc_hd__or2b_2".
+Reading "sky130_fd_sc_hd__o221ai_2".
+Reading "sky130_fd_sc_hd__a31o_4".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_39".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_19".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_14".
@@ -2048,18 +2078,11 @@
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_replica_bitcell_array".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8_bank".
 Reading "sky130_sram_2kbyte_1rw1r_32x512_8".
-Reading "sky130_fd_sc_hd__a211oi_4".
-Reading "sky130_fd_sc_hd__a211oi_2".
-Reading "sky130_fd_sc_hd__o2111a_4".
-Reading "sky130_fd_sc_hd__o31a_2".
-Reading "sky130_fd_sc_hd__o31a_4".
-Reading "sky130_fd_sc_hd__a2bb2oi_1".
-Reading "sky130_fd_sc_hd__a41oi_2".
-Reading "sky130_fd_sc_hd__a41oi_1".
-Reading "sky130_fd_sc_hd__o221ai_4".
-Reading "sky130_fd_sc_hd__a311oi_4".
-Reading "sky130_fd_sc_hd__o2bb2ai_2".
-Reading "sky130_fd_sc_hd__a2111oi_4".
+Reading "sky130_fd_sc_hd__o32ai_2".
+Reading "sky130_fd_sc_hd__a21bo_2".
+Reading "sky130_fd_sc_hd__o31ai_2".
+Reading "sky130_fd_sc_hd__o41ai_2".
+Reading "sky130_fd_sc_hd__o211a_4".
 Reading "mgmt_core".
 Reading "mgmt_core_wrapper".
    Generating output for cell gpio_defaults_block_1800
@@ -2077,10 +2100,10 @@
    Generating output for cell sky130_fd_io__corner_bus_overlay
    Generating output for cell sky130_ef_io__corner_pad
    Generating output for cell sky130_ef_io__com_bus_slice_20um
-   Generating output for cell sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um
-   Generating output for cell sky130_ef_io__com_bus_slice_10um
-   Generating output for cell sky130_ef_io__com_bus_slice_1um
    Generating output for cell sky130_ef_io__com_bus_slice_5um
+   Generating output for cell sky130_ef_io__com_bus_slice_1um
+   Generating output for cell sky130_ef_io__com_bus_slice_10um
+   Generating output for cell sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um
    Generating output for cell sky130_ef_io__hvc_vdda_overlay
    Generating output for cell sky130_fd_io__com_bus_slice
    Generating output for cell sky130_fd_io__com_bus_hookup
@@ -2822,7 +2845,7 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill.tcl" from command line.
-Started: 12/08/2021 04:23:04
+Started: 12/10/2021 00:34:21
 Warning: Calma reading is not undoable!  I hope that's OK.
 Library written using GDS-II Release 3.0
 Library name: caravan
@@ -3137,163 +3160,186 @@
     50000 uses
 Reading "F9_sky130_fd_sc_hd__dlygate4sd3_1".
 Reading "F9_sky130_fd_sc_hd__buf_8".
-Reading "F9_sky130_fd_sc_hd__buf_12".
-Reading "F9_sky130_fd_sc_hd__buf_2".
 Reading "F9_sky130_fd_sc_hd__buf_6".
-Reading "F9_sky130_fd_sc_hd__clkdlybuf4s25_1".
-Reading "F9_sky130_fd_sc_hd__buf_4".
-Reading "F9_sky130_fd_sc_hd__dfxtp_4".
-Reading "F9_sky130_fd_sc_hd__clkdlybuf4s50_1".
-Reading "F9_sky130_fd_sc_hd__inv_2".
-Reading "F9_sky130_fd_sc_hd__nand2_1".
 Reading "F9_sky130_fd_sc_hd__dfxtp_2".
-Reading "F9_sky130_fd_sc_hd__a21oi_4".
-Reading "F9_sky130_fd_sc_hd__o221a_1".
 Reading "F9_sky130_fd_sc_hd__a221o_1".
+Reading "F9_sky130_fd_sc_hd__buf_2".
 Reading "F9_sky130_fd_sc_hd__a22o_1".
-Reading "F9_sky130_fd_sc_hd__dlymetal6s2s_1".
-Reading "F9_sky130_fd_sc_hd__a22o_4".
-Reading "F9_sky130_fd_sc_hd__nor2_1".
-Reading "F9_sky130_fd_sc_hd__o211a_1".
-Reading "F9_sky130_fd_sc_hd__a221o_4".
-Reading "F9_sky130_fd_sc_hd__nand2_2".
-Reading "F9_sky130_fd_sc_hd__nor2_2".
-Reading "F9_sky130_fd_sc_hd__or2_1".
-Reading "F9_sky130_fd_sc_hd__mux2_2".
-Reading "F9_sky130_fd_sc_hd__clkbuf_8".
-Reading "F9_sky130_fd_sc_hd__a32o_1".
-Reading "F9_sky130_fd_sc_hd__mux2_4".
-Reading "F9_sky130_fd_sc_hd__clkinv_2".
-Reading "F9_sky130_fd_sc_hd__and3_1".
-Reading "F9_sky130_fd_sc_hd__nor2_8".
-Reading "F9_sky130_fd_sc_hd__a21oi_1".
-Reading "F9_sky130_fd_sc_hd__nand2_4".
-Reading "F9_sky130_fd_sc_hd__nand2_8".
-Reading "F9_sky130_fd_sc_hd__or2_2".
-Reading "F9_sky130_fd_sc_hd__or3_1".
-Reading "F9_sky130_fd_sc_hd__or4_1".
-Reading "F9_sky130_fd_sc_hd__o21ai_1".
-Reading "F9_sky130_fd_sc_hd__or2b_1".
-Reading "F9_sky130_fd_sc_hd__o21a_1".
-Reading "F9_sky130_fd_sc_hd__mux2_8".
-Reading "F9_sky130_fd_sc_hd__nor2_4".
-Reading "F9_sky130_fd_sc_hd__a21o_1".
-Reading "F9_sky130_fd_sc_hd__and3b_1".
-Reading "F9_sky130_fd_sc_hd__a21oi_2".
-Reading "F9_sky130_fd_sc_hd__nand3_1".
-Reading "F9_sky130_fd_sc_hd__clkinv_16".
-Reading "F9_sky130_fd_sc_hd__o21bai_1".
-Reading "F9_sky130_fd_sc_hd__a31o_1".
-Reading "F9_sky130_fd_sc_hd__or3b_1".
-Reading "F9_sky130_fd_sc_hd__or3_4".
-Reading "F9_sky130_fd_sc_hd__nand3b_4".
-Reading "F9_sky130_fd_sc_hd__inv_6".
-Reading "F9_sky130_fd_sc_hd__o31a_1".
-Reading "F9_sky130_fd_sc_hd__nor3b_1".
-Reading "F9_sky130_fd_sc_hd__a211o_1".
-Reading "F9_sky130_fd_sc_hd__clkinv_4".
-Reading "F9_sky130_fd_sc_hd__o311a_1".
-Reading "F9_sky130_fd_sc_hd__nor3_1".
-Reading "F9_sky130_fd_sc_hd__a2bb2o_2".
-Reading "F9_sky130_fd_sc_hd__a221oi_1".
-Reading "F9_sky130_fd_sc_hd__or4_4".
-Reading "F9_sky130_fd_sc_hd__o22a_1".
+Reading "F9_sky130_fd_sc_hd__dfxtp_4".
 Reading "F9_sky130_fd_sc_hd__nand3_4".
-Reading "F9_sky130_fd_sc_hd__and3_2".
-Reading "F9_sky130_fd_sc_hd__o41a_1".
-Reading "F9_sky130_fd_sc_hd__nor3_2".
-Reading "F9_sky130_fd_sc_hd__o21ai_2".
-Reading "F9_sky130_fd_sc_hd__a211oi_1".
-Reading "F9_sky130_fd_sc_hd__o211ai_4".
-Reading "F9_sky130_fd_sc_hd__a31oi_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2a_1".
-Reading "F9_sky130_fd_sc_hd__clkinv_8".
-Reading "F9_sky130_fd_sc_hd__a31oi_1".
-Reading "F9_sky130_fd_sc_hd__o2111ai_1".
-Reading "F9_sky130_fd_sc_hd__o2111a_2".
-Reading "F9_sky130_fd_sc_hd__a22o_2".
-Reading "F9_sky130_fd_sc_hd__o211a_2".
-Reading "F9_sky130_fd_sc_hd__o31ai_1".
-Reading "F9_sky130_fd_sc_hd__or4_2".
-Reading "F9_sky130_fd_sc_hd__a2bb2o_1".
-Reading "F9_sky130_fd_sc_hd__and4_1".
-Reading "F9_sky130_fd_sc_hd__inv_12".
-Reading "F9_sky130_fd_sc_hd__a311o_1".
-Reading "F9_sky130_fd_sc_hd__inv_4".
-Reading "F9_sky130_fd_sc_hd__o32a_1".
-Reading "F9_sky130_fd_sc_hd__o221ai_1".
-Reading "F9_sky130_fd_sc_hd__o21ai_4".
-Reading "F9_sky130_fd_sc_hd__nand3_2".
-Reading "F9_sky130_fd_sc_hd__or3_2".
-Reading "F9_sky130_fd_sc_hd__inv_8".
-Reading "F9_sky130_fd_sc_hd__and4_4".
-Reading "F9_sky130_fd_sc_hd__o2111a_1".
-Reading "F9_sky130_fd_sc_hd__a21o_4".
-Reading "F9_sky130_fd_sc_hd__or3b_2".
-Reading "F9_sky130_fd_sc_hd__a22oi_1".
-Reading "F9_sky130_fd_sc_hd__xor2_1".
-Reading "F9_sky130_fd_sc_hd__and2b_1".
-Reading "F9_sky130_fd_sc_hd__o41ai_1".
-Reading "F9_sky130_fd_sc_hd__a41o_1".
-Reading "F9_sky130_fd_sc_hd__xnor2_1".
-Reading "F9_sky130_fd_sc_hd__o211ai_1".
-Reading "F9_sky130_fd_sc_hd__o22ai_1".
-Reading "F9_sky130_fd_sc_hd__a41oi_4".
-Reading "F9_sky130_fd_sc_hd__a22oi_4".
-Reading "F9_sky130_fd_sc_hd__a22oi_2".
 Reading "F9_sky130_fd_sc_hd__a221o_2".
+Reading "F9_sky130_fd_sc_hd__dlymetal6s2s_1".
+Reading "F9_sky130_fd_sc_hd__buf_12".
 Reading "F9_sky130_fd_sc_hd__a2111o_1".
-Reading "F9_sky130_fd_sc_hd__o221ai_2".
-Reading "F9_sky130_fd_sc_hd__a221oi_4".
-Reading "F9_sky130_fd_sc_hd__or4b_4".
-Reading "F9_sky130_fd_sc_hd__mux4_2".
-Reading "F9_sky130_fd_sc_hd__a21o_2".
-Reading "F9_sky130_fd_sc_hd__a21boi_1".
-Reading "F9_sky130_fd_sc_hd__o21bai_2".
-Reading "F9_sky130_fd_sc_hd__o21bai_4".
-Reading "F9_sky130_fd_sc_hd__a21boi_4".
-Reading "F9_sky130_fd_sc_hd__o221a_2".
-Reading "F9_sky130_fd_sc_hd__a21bo_1".
-Reading "F9_sky130_fd_sc_hd__nand3b_1".
-Reading "F9_sky130_fd_sc_hd__xor2_2".
-Reading "F9_sky130_fd_sc_hd__o2bb2ai_4".
-Reading "F9_sky130_fd_sc_hd__nor3_4".
-Reading "F9_sky130_fd_sc_hd__a21boi_2".
-Reading "F9_sky130_fd_sc_hd__o21a_2".
-Reading "F9_sky130_fd_sc_hd__o22a_2".
-Reading "F9_sky130_fd_sc_hd__o22a_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2ai_1".
-Reading "F9_sky130_fd_sc_hd__or2b_2".
-Reading "F9_sky130_fd_sc_hd__and4b_1".
-Reading "F9_sky130_fd_sc_hd__o22ai_4".
-Reading "F9_sky130_fd_sc_hd__a31o_4".
-Reading "F9_sky130_fd_sc_hd__nand2b_4".
-Reading "F9_sky130_fd_sc_hd__a31oi_2".
-Reading "F9_sky130_fd_sc_hd__o21a_4".
-Reading "F9_sky130_fd_sc_hd__or2_4".
-Reading "F9_sky130_fd_sc_hd__and2_4".
-Reading "F9_sky130_fd_sc_hd__o41a_2".
-Reading "F9_sky130_fd_sc_hd__o41a_4".
-Reading "F9_sky130_fd_sc_hd__a311oi_1".
-Reading "F9_sky130_fd_sc_hd__or4b_1".
-Reading "F9_sky130_fd_sc_hd__a221oi_2".
-Reading "F9_sky130_fd_sc_hd__o2111ai_4".
-Reading "F9_sky130_fd_sc_hd__a2111o_4".
-Reading "F9_sky130_fd_sc_hd__or3b_4".
-Reading "F9_sky130_fd_sc_hd__o21ba_1".
+Reading "F9_sky130_fd_sc_hd__and4_1".
 Reading "F9_sky130_fd_sc_hd__a2111o_2".
-Reading "F9_sky130_fd_sc_hd__o211a_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2a_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2a_2".
-Reading "F9_sky130_fd_sc_hd__o32ai_1".
-Reading "F9_sky130_fd_sc_hd__nand3b_2".
-Reading "F9_sky130_fd_sc_hd__o22ai_2".
-Reading "F9_sky130_fd_sc_hd__xnor2_2".
-Reading "F9_sky130_fd_sc_hd__xnor2_4".
-Reading "F9_sky130_fd_sc_hd__a31o_2".
-Reading "F9_sky130_fd_sc_hd__o32ai_4".
+Reading "F9_sky130_fd_sc_hd__o211a_1".
+Reading "F9_sky130_fd_sc_hd__and3_1".
+Reading "F9_sky130_fd_sc_hd__inv_2".
+Reading "F9_sky130_fd_sc_hd__and2b_1".
+Reading "F9_sky130_fd_sc_hd__nand3b_1".
+Reading "F9_sky130_fd_sc_hd__a2111oi_2".
+Reading "F9_sky130_fd_sc_hd__a22o_2".
+Reading "F9_sky130_fd_sc_hd__a221o_4".
+Reading "F9_sky130_fd_sc_hd__nor3b_1".
+Reading "F9_sky130_fd_sc_hd__nor3_4".
+Reading "F9_sky130_fd_sc_hd__clkinv_8".
+Reading "F9_sky130_fd_sc_hd__inv_12".
+Reading "F9_sky130_fd_sc_hd__and3b_1".
+Reading "F9_sky130_fd_sc_hd__nor3b_2".
+Reading "F9_sky130_fd_sc_hd__or2_1".
+Reading "F9_sky130_fd_sc_hd__buf_4".
+Reading "F9_sky130_fd_sc_hd__a211oi_1".
+Reading "F9_sky130_fd_sc_hd__inv_6".
+Reading "F9_sky130_fd_sc_hd__nand2_1".
+Reading "F9_sky130_fd_sc_hd__nand3b_4".
+Reading "F9_sky130_fd_sc_hd__nand3_1".
+Reading "F9_sky130_fd_sc_hd__inv_16".
+Reading "F9_sky130_fd_sc_hd__o2111a_1".
+Reading "F9_sky130_fd_sc_hd__a21oi_1".
+Reading "F9_sky130_fd_sc_hd__o21ai_4".
+Reading "F9_sky130_fd_sc_hd__mux2_2".
+Reading "F9_sky130_fd_sc_hd__inv_4".
+Reading "F9_sky130_fd_sc_hd__clkinv_2".
+Reading "F9_sky130_fd_sc_hd__a21bo_1".
+Reading "F9_sky130_fd_sc_hd__o21a_1".
+Reading "F9_sky130_fd_sc_hd__a211o_2".
+Reading "F9_sky130_fd_sc_hd__or2b_1".
+Reading "F9_sky130_fd_sc_hd__a21oi_4".
+Reading "F9_sky130_fd_sc_hd__o21ai_1".
+Reading "F9_sky130_fd_sc_hd__a21boi_1".
+Reading "F9_sky130_fd_sc_hd__nor3_1".
+Reading "F9_sky130_fd_sc_hd__nor2_2".
+Reading "F9_sky130_fd_sc_hd__a31oi_1".
+Reading "F9_sky130_fd_sc_hd__a21o_1".
+Reading "F9_sky130_fd_sc_hd__o21a_2".
+Reading "F9_sky130_fd_sc_hd__o21bai_1".
+Reading "F9_sky130_fd_sc_hd__o211ai_1".
+Reading "F9_sky130_fd_sc_hd__o31ai_1".
+Reading "F9_sky130_fd_sc_hd__nor2_1".
 Reading "F9_sky130_fd_sc_hd__xor2_4".
+Reading "F9_sky130_fd_sc_hd__nand3_2".
+Reading "F9_sky130_fd_sc_hd__o21ba_1".
+Reading "F9_sky130_fd_sc_hd__a41oi_1".
+Reading "F9_sky130_fd_sc_hd__nor3_2".
+Reading "F9_sky130_fd_sc_hd__o41ai_1".
+Reading "F9_sky130_fd_sc_hd__o21bai_2".
+Reading "F9_sky130_fd_sc_hd__xnor2_4".
+Reading "F9_sky130_fd_sc_hd__clkbuf_8".
+Reading "F9_sky130_fd_sc_hd__a41oi_4".
+Reading "F9_sky130_fd_sc_hd__xnor2_2".
+Reading "F9_sky130_fd_sc_hd__or3b_1".
+Reading "F9_sky130_fd_sc_hd__nand2_4".
+Reading "F9_sky130_fd_sc_hd__xnor2_1".
+Reading "F9_sky130_fd_sc_hd__nand2_8".
+Reading "F9_sky130_fd_sc_hd__xor2_1".
+Reading "F9_sky130_fd_sc_hd__nor2b_2".
+Reading "F9_sky130_fd_sc_hd__o211a_2".
+Reading "F9_sky130_fd_sc_hd__o31a_1".
+Reading "F9_sky130_fd_sc_hd__mux2_8".
+Reading "F9_sky130_fd_sc_hd__mux2_4".
+Reading "F9_sky130_fd_sc_hd__a21oi_2".
+Reading "F9_sky130_fd_sc_hd__a21o_2".
+Reading "F9_sky130_fd_sc_hd__o21bai_4".
+Reading "F9_sky130_fd_sc_hd__a211o_1".
+Reading "F9_sky130_fd_sc_hd__a311o_1".
+Reading "F9_sky130_fd_sc_hd__a22oi_4".
+Reading "F9_sky130_fd_sc_hd__o2111a_4".
+Reading "F9_sky130_fd_sc_hd__o22a_1".
+Reading "F9_sky130_fd_sc_hd__a31o_1".
+Reading "F9_sky130_fd_sc_hd__and4_4".
+Reading "F9_sky130_fd_sc_hd__a22oi_2".
+Reading "F9_sky130_fd_sc_hd__o41a_2".
+Reading "F9_sky130_fd_sc_hd__nand2_2".
+Reading "F9_sky130_fd_sc_hd__nor2_8".
+Reading "F9_sky130_fd_sc_hd__a32oi_2".
+Reading "F9_sky130_fd_sc_hd__a221oi_4".
 Reading "F9_sky130_fd_sc_hd__nor2b_4".
+Reading "F9_sky130_fd_sc_hd__or4_2".
+Reading "F9_sky130_fd_sc_hd__a211oi_2".
+Reading "F9_sky130_fd_sc_hd__clkinv_4".
+Reading "F9_sky130_fd_sc_hd__a2bb2oi_1".
+Reading "F9_sky130_fd_sc_hd__a31oi_4".
+Reading "F9_sky130_fd_sc_hd__nand3b_2".
+Reading "F9_sky130_fd_sc_hd__a21boi_2".
+Reading "F9_sky130_fd_sc_hd__nor2_4".
+Reading "F9_sky130_fd_sc_hd__o311ai_1".
+Reading "F9_sky130_fd_sc_hd__a41oi_2".
+Reading "F9_sky130_fd_sc_hd__or3b_4".
+Reading "F9_sky130_fd_sc_hd__clkinv_16".
+Reading "F9_sky130_fd_sc_hd__o211ai_4".
+Reading "F9_sky130_fd_sc_hd__o21ba_4".
+Reading "F9_sky130_fd_sc_hd__o2111ai_2".
+Reading "F9_sky130_fd_sc_hd__o2111ai_1".
+Reading "F9_sky130_fd_sc_hd__a32o_1".
+Reading "F9_sky130_fd_sc_hd__a311oi_1".
+Reading "F9_sky130_fd_sc_hd__a2111o_4".
+Reading "F9_sky130_fd_sc_hd__or4_4".
+Reading "F9_sky130_fd_sc_hd__o21ai_2".
+Reading "F9_sky130_fd_sc_hd__a2111oi_1".
+Reading "F9_sky130_fd_sc_hd__a211oi_4".
+Reading "F9_sky130_fd_sc_hd__o311ai_4".
+Reading "F9_sky130_fd_sc_hd__a2111oi_4".
+Reading "F9_sky130_fd_sc_hd__mux4_2".
+Reading "F9_sky130_fd_sc_hd__or2b_4".
+Reading "F9_sky130_fd_sc_hd__o21a_4".
+Reading "F9_sky130_fd_sc_hd__and4b_4".
+Reading "F9_sky130_fd_sc_hd__o2111ai_4".
+Reading "F9_sky130_fd_sc_hd__or2_2".
+Reading "F9_sky130_fd_sc_hd__o22ai_1".
+Reading "F9_sky130_fd_sc_hd__o2bb2ai_1".
+Reading "F9_sky130_fd_sc_hd__a32oi_1".
+Reading "F9_sky130_fd_sc_hd__inv_8".
+Reading "F9_sky130_fd_sc_hd__a21boi_4".
+Reading "F9_sky130_fd_sc_hd__or4_1".
+Reading "F9_sky130_fd_sc_hd__o32ai_1".
+Reading "F9_sky130_fd_sc_hd__a41o_1".
+Reading "F9_sky130_fd_sc_hd__xor2_2".
+Reading "F9_sky130_fd_sc_hd__o221ai_1".
+Reading "F9_sky130_fd_sc_hd__o32a_1".
+Reading "F9_sky130_fd_sc_hd__o41a_1".
+Reading "F9_sky130_fd_sc_hd__a22oi_1".
+Reading "F9_sky130_fd_sc_hd__and3_2".
+Reading "F9_sky130_fd_sc_hd__o221a_2".
+Reading "F9_sky130_fd_sc_hd__o221a_1".
+Reading "F9_sky130_fd_sc_hd__and2_4".
+Reading "F9_sky130_fd_sc_hd__or3_1".
+Reading "F9_sky130_fd_sc_hd__o22ai_2".
+Reading "F9_sky130_fd_sc_hd__a221oi_2".
+Reading "F9_sky130_fd_sc_hd__a221oi_1".
+Reading "F9_sky130_fd_sc_hd__o211ai_2".
+Reading "F9_sky130_fd_sc_hd__o311ai_2".
+Reading "F9_sky130_fd_sc_hd__o31ai_4".
+Reading "F9_sky130_fd_sc_hd__a311oi_2".
+Reading "F9_sky130_fd_sc_hd__a31oi_2".
+Reading "F9_sky130_fd_sc_hd__o311a_2".
+Reading "F9_sky130_fd_sc_hd__o41ai_4".
+Reading "F9_sky130_fd_sc_hd__or3_2".
+Reading "F9_sky130_fd_sc_hd__o31a_4".
+Reading "F9_sky130_fd_sc_hd__o21ba_2".
+Reading "F9_sky130_fd_sc_hd__a311oi_4".
+Reading "F9_sky130_fd_sc_hd__and2b_4".
+Reading "F9_sky130_fd_sc_hd__o2bb2ai_2".
+Reading "F9_sky130_fd_sc_hd__o2bb2ai_4".
+Reading "F9_sky130_fd_sc_hd__o22a_2".
+Reading "F9_sky130_fd_sc_hd__and4b_1".
+Reading "F9_sky130_fd_sc_hd__nand2b_1".
+Reading "F9_sky130_fd_sc_hd__o2111a_2".
+Reading "F9_sky130_fd_sc_hd__a2bb2oi_4".
+Reading "F9_sky130_fd_sc_hd__a2bb2oi_2".
+Reading "F9_sky130_fd_sc_hd__dlymetal6s4s_1".
+Reading "F9_sky130_fd_sc_hd__or3_4".
+Reading "F9_sky130_fd_sc_hd__a22o_4".
+Reading "F9_sky130_fd_sc_hd__a32oi_4".
+Reading "F9_sky130_fd_sc_hd__o311a_1".
+Reading "F9_sky130_fd_sc_hd__a21o_4".
+Reading "F9_sky130_fd_sc_hd__or4b_1".
+Reading "F9_sky130_fd_sc_hd__a32o_4".
+Reading "F9_sky130_fd_sc_hd__and3b_2".
+Reading "F9_sky130_fd_sc_hd__o32ai_4".
+Reading "F9_sky130_fd_sc_hd__or2b_2".
+Reading "F9_sky130_fd_sc_hd__o221ai_2".
+Reading "F9_sky130_fd_sc_hd__a31o_4".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_contact_39".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_contact_19".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_contact_14".
@@ -3459,18 +3505,11 @@
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_bank".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8".
     5000 uses
-Reading "F9_sky130_fd_sc_hd__a211oi_4".
-Reading "F9_sky130_fd_sc_hd__a211oi_2".
-Reading "F9_sky130_fd_sc_hd__o2111a_4".
-Reading "F9_sky130_fd_sc_hd__o31a_2".
-Reading "F9_sky130_fd_sc_hd__o31a_4".
-Reading "F9_sky130_fd_sc_hd__a2bb2oi_1".
-Reading "F9_sky130_fd_sc_hd__a41oi_2".
-Reading "F9_sky130_fd_sc_hd__a41oi_1".
-Reading "F9_sky130_fd_sc_hd__o221ai_4".
-Reading "F9_sky130_fd_sc_hd__a311oi_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2ai_2".
-Reading "F9_sky130_fd_sc_hd__a2111oi_4".
+Reading "F9_sky130_fd_sc_hd__o32ai_2".
+Reading "F9_sky130_fd_sc_hd__a21bo_2".
+Reading "F9_sky130_fd_sc_hd__o31ai_2".
+Reading "F9_sky130_fd_sc_hd__o41ai_2".
+Reading "F9_sky130_fd_sc_hd__o211a_4".
 Reading "F9_mgmt_core".
     5000 uses
     10000 uses
@@ -3521,10 +3560,10 @@
 Reading "sky130_fd_io__corner_bus_overlay".
 Reading "sky130_ef_io__corner_pad".
 Reading "sky130_ef_io__com_bus_slice_20um".
-Reading "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um".
-Reading "sky130_ef_io__com_bus_slice_10um".
-Reading "sky130_ef_io__com_bus_slice_1um".
 Reading "sky130_ef_io__com_bus_slice_5um".
+Reading "sky130_ef_io__com_bus_slice_1um".
+Reading "sky130_ef_io__com_bus_slice_10um".
+Reading "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um".
 Reading "sky130_ef_io__hvc_vdda_overlay".
 Reading "sky130_fd_io__com_bus_slice".
 Reading "sky130_fd_io__com_bus_hookup".
@@ -4283,14 +4322,14 @@
 Error message output from magic:
 CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
 CIF file read warning: Input off lambda grid by 2/5; snapped to grid.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217202604): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217202636): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205228): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205260): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205292): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205324): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205356): NODE elements not supported: skipping.
-Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 217205452): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223975154): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223975186): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977778): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977810): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977842): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977874): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223977906): NODE elements not supported: skipping.
+Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223978002): NODE elements not supported: skipping.
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -4306,6 +4345,175 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+caravel_00020003_fill_pattern_0_4: 10000 rects
+caravel_00020003_fill_pattern_0_4: 20000 rects
+caravel_00020003_fill_pattern_0_4: 30000 rects
+caravel_00020003_fill_pattern_0_4: 40000 rects
+caravel_00020003_fill_pattern_0_4: 50000 rects
+caravel_00020003_fill_pattern_0_4: 60000 rects
+caravel_00020003_fill_pattern_0_4: 70000 rects
+caravel_00020003_fill_pattern_0_4: 80000 rects
+caravel_00020003_fill_pattern_0_4: 90000 rects
+caravel_00020003_fill_pattern_0_4: 100000 rects
+caravel_00020003_fill_pattern_0_4: 110000 rects
+caravel_00020003_fill_pattern_0_4: 120000 rects
+caravel_00020003_fill_pattern_0_4: 130000 rects
+caravel_00020003_fill_pattern_0_4: 140000 rects
+caravel_00020003_fill_pattern_0_4: 150000 rects
+caravel_00020003_fill_pattern_0_4: 160000 rects
+caravel_00020003_fill_pattern_0_4: 170000 rects
+caravel_00020003_fill_pattern_0_4: 180000 rects
+caravel_00020003_fill_pattern_0_4: 190000 rects
+caravel_00020003_fill_pattern_0_4: 200000 rects
+caravel_00020003_fill_pattern_0_4: 210000 rects
+caravel_00020003_fill_pattern_0_4: 220000 rects
+caravel_00020003_fill_pattern_0_4: 230000 rects
+caravel_00020003_fill_pattern_0_4: 240000 rects
+caravel_00020003_fill_pattern_0_4: 250000 rects
+caravel_00020003_fill_pattern_0_4: 260000 rects
+caravel_00020003_fill_pattern_0_4: 270000 rects
+caravel_00020003_fill_pattern_0_4: 280000 rects
+caravel_00020003_fill_pattern_0_4: 290000 rects
+caravel_00020003_fill_pattern_0_4: 300000 rects
+caravel_00020003_fill_pattern_0_4: 310000 rects
+caravel_00020003_fill_pattern_0_4: 320000 rects
+caravel_00020003_fill_pattern_0_4: 330000 rects
+caravel_00020003_fill_pattern_0_4: 340000 rects
+caravel_00020003_fill_pattern_0_4: 350000 rects
+caravel_00020003_fill_pattern_0_4: 360000 rects
+caravel_00020003_fill_pattern_0_4: 370000 rects
+caravel_00020003_fill_pattern_0_4: 380000 rects
+caravel_00020003_fill_pattern_0_4: 390000 rects
+caravel_00020003_fill_pattern_0_4: 400000 rects
+caravel_00020003_fill_pattern_0_4: 410000 rects
+caravel_00020003_fill_pattern_0_4: 420000 rects
+caravel_00020003_fill_pattern_0_4: 430000 rects
+caravel_00020003_fill_pattern_0_4: 440000 rects
+caravel_00020003_fill_pattern_0_4: 450000 rects
+caravel_00020003_fill_pattern_0_4: 460000 rects
+caravel_00020003_fill_pattern_0_4: 470000 rects
+caravel_00020003_fill_pattern_0_4: 480000 rects
+caravel_00020003_fill_pattern_0_4: 490000 rects
+caravel_00020003_fill_pattern_0_4: 500000 rects
+caravel_00020003_fill_pattern_0_4: 510000 rects
+caravel_00020003_fill_pattern_0_4: 520000 rects
+caravel_00020003_fill_pattern_0_4: 530000 rects
+caravel_00020003_fill_pattern_0_4: 540000 rects
+caravel_00020003_fill_pattern_0_4: 550000 rects
+caravel_00020003_fill_pattern_0_4: 560000 rects
+caravel_00020003_fill_pattern_0_4: 570000 rects
+caravel_00020003_fill_pattern_0_4: 580000 rects
+caravel_00020003_fill_pattern_0_4: 590000 rects
+caravel_00020003_fill_pattern_0_4: 600000 rects
+caravel_00020003_fill_pattern_0_4: 610000 rects
+caravel_00020003_fill_pattern_0_4: 620000 rects
+caravel_00020003_fill_pattern_0_4: 630000 rects
+caravel_00020003_fill_pattern_0_4: 640000 rects
+caravel_00020003_fill_pattern_0_4: 650000 rects
+caravel_00020003_fill_pattern_0_4: 660000 rects
+caravel_00020003_fill_pattern_0_4: 670000 rects
+caravel_00020003_fill_pattern_0_4: 680000 rects
+caravel_00020003_fill_pattern_0_4: 690000 rects
+caravel_00020003_fill_pattern_0_4: 700000 rects
+caravel_00020003_fill_pattern_0_4: 710000 rects
+caravel_00020003_fill_pattern_0_4: 720000 rects
+caravel_00020003_fill_pattern_0_4: 730000 rects
+caravel_00020003_fill_pattern_0_4: 740000 rects
+caravel_00020003_fill_pattern_0_4: 750000 rects
+caravel_00020003_fill_pattern_0_4: 760000 rects
+caravel_00020003_fill_pattern_0_4: 770000 rects
+caravel_00020003_fill_pattern_0_4: 780000 rects
+caravel_00020003_fill_pattern_0_4: 790000 rects
+caravel_00020003_fill_pattern_0_4: 800000 rects
+caravel_00020003_fill_pattern_0_4: 810000 rects
+caravel_00020003_fill_pattern_0_4: 820000 rects
+caravel_00020003_fill_pattern_0_4: 830000 rects
+caravel_00020003_fill_pattern_0_4: 840000 rects
+caravel_00020003_fill_pattern_0_4: 850000 rects
+caravel_00020003_fill_pattern_0_4: 860000 rects
+caravel_00020003_fill_pattern_0_4: 870000 rects
+caravel_00020003_fill_pattern_0_4: 880000 rects
+caravel_00020003_fill_pattern_0_4: 890000 rects
+caravel_00020003_fill_pattern_0_4: 900000 rects
+caravel_00020003_fill_pattern_0_4: 910000 rects
+caravel_00020003_fill_pattern_0_4: 920000 rects
+caravel_00020003_fill_pattern_0_4: 930000 rects
+caravel_00020003_fill_pattern_0_4: 940000 rects
+caravel_00020003_fill_pattern_0_4: 950000 rects
+caravel_00020003_fill_pattern_0_4: 960000 rects
+caravel_00020003_fill_pattern_0_4: 970000 rects
+caravel_00020003_fill_pattern_0_4: 980000 rects
+caravel_00020003_fill_pattern_0_4: 990000 rects
+caravel_00020003_fill_pattern_0_4: 1000000 rects
+caravel_00020003_fill_pattern_0_4: 1010000 rects
+caravel_00020003_fill_pattern_0_4: 1020000 rects
+caravel_00020003_fill_pattern_0_4: 1030000 rects
+caravel_00020003_fill_pattern_0_4: 1040000 rects
+caravel_00020003_fill_pattern_0_4: 1050000 rects
+caravel_00020003_fill_pattern_0_4: 1060000 rects
+caravel_00020003_fill_pattern_0_4: 1070000 rects
+caravel_00020003_fill_pattern_0_4: 1080000 rects
+caravel_00020003_fill_pattern_0_4: 1090000 rects
+caravel_00020003_fill_pattern_0_4: 1100000 rects
+caravel_00020003_fill_pattern_0_4: 1110000 rects
+caravel_00020003_fill_pattern_0_4: 1120000 rects
+caravel_00020003_fill_pattern_0_4: 1130000 rects
+caravel_00020003_fill_pattern_0_4: 1140000 rects
+caravel_00020003_fill_pattern_0_4: 1150000 rects
+caravel_00020003_fill_pattern_0_4: 1160000 rects
+caravel_00020003_fill_pattern_0_4: 1170000 rects
+caravel_00020003_fill_pattern_0_4: 1180000 rects
+caravel_00020003_fill_pattern_0_4: 1190000 rects
+caravel_00020003_fill_pattern_0_4: 1200000 rects
+caravel_00020003_fill_pattern_0_4: 1210000 rects
+caravel_00020003_fill_pattern_0_4: 1220000 rects
+caravel_00020003_fill_pattern_0_4: 1230000 rects
+caravel_00020003_fill_pattern_0_4: 1240000 rects
+caravel_00020003_fill_pattern_0_4: 1250000 rects
+caravel_00020003_fill_pattern_0_4: 1260000 rects
+caravel_00020003_fill_pattern_0_4: 1270000 rects
+caravel_00020003_fill_pattern_0_4: 1280000 rects
+caravel_00020003_fill_pattern_0_4: 1290000 rects
+caravel_00020003_fill_pattern_0_4: 1300000 rects
+caravel_00020003_fill_pattern_0_4: 1310000 rects
+caravel_00020003_fill_pattern_0_4: 1320000 rects
+caravel_00020003_fill_pattern_0_4: 1330000 rects
+caravel_00020003_fill_pattern_0_4: 1340000 rects
+caravel_00020003_fill_pattern_0_4: 1350000 rects
+caravel_00020003_fill_pattern_0_4: 1360000 rects
+caravel_00020003_fill_pattern_0_4: 1370000 rects
+caravel_00020003_fill_pattern_0_4: 1380000 rects
+caravel_00020003_fill_pattern_0_4: 1390000 rects
+caravel_00020003_fill_pattern_0_4: 1400000 rects
+caravel_00020003_fill_pattern_0_4: 1410000 rects
+caravel_00020003_fill_pattern_0_4: 1420000 rects
+caravel_00020003_fill_pattern_0_4: 1430000 rects
+caravel_00020003_fill_pattern_0_4: 1440000 rects
+caravel_00020003_fill_pattern_0_4: 1450000 rects
+caravel_00020003_fill_pattern_0_4: 1460000 rects
+caravel_00020003_fill_pattern_0_4: 1470000 rects
+caravel_00020003_fill_pattern_0_4: 1480000 rects
+caravel_00020003_fill_pattern_0_4: 1490000 rects
+caravel_00020003_fill_pattern_0_4: 1500000 rects
+caravel_00020003_fill_pattern_0_4: 1510000 rects
+caravel_00020003_fill_pattern_0_4: 1520000 rects
+caravel_00020003_fill_pattern_0_4: 1530000 rects
+caravel_00020003_fill_pattern_0_4: 1540000 rects
+caravel_00020003_fill_pattern_0_4: 1550000 rects
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_0_2: 10000 rects
 caravel_00020003_fill_pattern_0_2: 20000 rects
 caravel_00020003_fill_pattern_0_2: 30000 rects
@@ -4644,175 +4852,6 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_0_4: 10000 rects
-caravel_00020003_fill_pattern_0_4: 20000 rects
-caravel_00020003_fill_pattern_0_4: 30000 rects
-caravel_00020003_fill_pattern_0_4: 40000 rects
-caravel_00020003_fill_pattern_0_4: 50000 rects
-caravel_00020003_fill_pattern_0_4: 60000 rects
-caravel_00020003_fill_pattern_0_4: 70000 rects
-caravel_00020003_fill_pattern_0_4: 80000 rects
-caravel_00020003_fill_pattern_0_4: 90000 rects
-caravel_00020003_fill_pattern_0_4: 100000 rects
-caravel_00020003_fill_pattern_0_4: 110000 rects
-caravel_00020003_fill_pattern_0_4: 120000 rects
-caravel_00020003_fill_pattern_0_4: 130000 rects
-caravel_00020003_fill_pattern_0_4: 140000 rects
-caravel_00020003_fill_pattern_0_4: 150000 rects
-caravel_00020003_fill_pattern_0_4: 160000 rects
-caravel_00020003_fill_pattern_0_4: 170000 rects
-caravel_00020003_fill_pattern_0_4: 180000 rects
-caravel_00020003_fill_pattern_0_4: 190000 rects
-caravel_00020003_fill_pattern_0_4: 200000 rects
-caravel_00020003_fill_pattern_0_4: 210000 rects
-caravel_00020003_fill_pattern_0_4: 220000 rects
-caravel_00020003_fill_pattern_0_4: 230000 rects
-caravel_00020003_fill_pattern_0_4: 240000 rects
-caravel_00020003_fill_pattern_0_4: 250000 rects
-caravel_00020003_fill_pattern_0_4: 260000 rects
-caravel_00020003_fill_pattern_0_4: 270000 rects
-caravel_00020003_fill_pattern_0_4: 280000 rects
-caravel_00020003_fill_pattern_0_4: 290000 rects
-caravel_00020003_fill_pattern_0_4: 300000 rects
-caravel_00020003_fill_pattern_0_4: 310000 rects
-caravel_00020003_fill_pattern_0_4: 320000 rects
-caravel_00020003_fill_pattern_0_4: 330000 rects
-caravel_00020003_fill_pattern_0_4: 340000 rects
-caravel_00020003_fill_pattern_0_4: 350000 rects
-caravel_00020003_fill_pattern_0_4: 360000 rects
-caravel_00020003_fill_pattern_0_4: 370000 rects
-caravel_00020003_fill_pattern_0_4: 380000 rects
-caravel_00020003_fill_pattern_0_4: 390000 rects
-caravel_00020003_fill_pattern_0_4: 400000 rects
-caravel_00020003_fill_pattern_0_4: 410000 rects
-caravel_00020003_fill_pattern_0_4: 420000 rects
-caravel_00020003_fill_pattern_0_4: 430000 rects
-caravel_00020003_fill_pattern_0_4: 440000 rects
-caravel_00020003_fill_pattern_0_4: 450000 rects
-caravel_00020003_fill_pattern_0_4: 460000 rects
-caravel_00020003_fill_pattern_0_4: 470000 rects
-caravel_00020003_fill_pattern_0_4: 480000 rects
-caravel_00020003_fill_pattern_0_4: 490000 rects
-caravel_00020003_fill_pattern_0_4: 500000 rects
-caravel_00020003_fill_pattern_0_4: 510000 rects
-caravel_00020003_fill_pattern_0_4: 520000 rects
-caravel_00020003_fill_pattern_0_4: 530000 rects
-caravel_00020003_fill_pattern_0_4: 540000 rects
-caravel_00020003_fill_pattern_0_4: 550000 rects
-caravel_00020003_fill_pattern_0_4: 560000 rects
-caravel_00020003_fill_pattern_0_4: 570000 rects
-caravel_00020003_fill_pattern_0_4: 580000 rects
-caravel_00020003_fill_pattern_0_4: 590000 rects
-caravel_00020003_fill_pattern_0_4: 600000 rects
-caravel_00020003_fill_pattern_0_4: 610000 rects
-caravel_00020003_fill_pattern_0_4: 620000 rects
-caravel_00020003_fill_pattern_0_4: 630000 rects
-caravel_00020003_fill_pattern_0_4: 640000 rects
-caravel_00020003_fill_pattern_0_4: 650000 rects
-caravel_00020003_fill_pattern_0_4: 660000 rects
-caravel_00020003_fill_pattern_0_4: 670000 rects
-caravel_00020003_fill_pattern_0_4: 680000 rects
-caravel_00020003_fill_pattern_0_4: 690000 rects
-caravel_00020003_fill_pattern_0_4: 700000 rects
-caravel_00020003_fill_pattern_0_4: 710000 rects
-caravel_00020003_fill_pattern_0_4: 720000 rects
-caravel_00020003_fill_pattern_0_4: 730000 rects
-caravel_00020003_fill_pattern_0_4: 740000 rects
-caravel_00020003_fill_pattern_0_4: 750000 rects
-caravel_00020003_fill_pattern_0_4: 760000 rects
-caravel_00020003_fill_pattern_0_4: 770000 rects
-caravel_00020003_fill_pattern_0_4: 780000 rects
-caravel_00020003_fill_pattern_0_4: 790000 rects
-caravel_00020003_fill_pattern_0_4: 800000 rects
-caravel_00020003_fill_pattern_0_4: 810000 rects
-caravel_00020003_fill_pattern_0_4: 820000 rects
-caravel_00020003_fill_pattern_0_4: 830000 rects
-caravel_00020003_fill_pattern_0_4: 840000 rects
-caravel_00020003_fill_pattern_0_4: 850000 rects
-caravel_00020003_fill_pattern_0_4: 860000 rects
-caravel_00020003_fill_pattern_0_4: 870000 rects
-caravel_00020003_fill_pattern_0_4: 880000 rects
-caravel_00020003_fill_pattern_0_4: 890000 rects
-caravel_00020003_fill_pattern_0_4: 900000 rects
-caravel_00020003_fill_pattern_0_4: 910000 rects
-caravel_00020003_fill_pattern_0_4: 920000 rects
-caravel_00020003_fill_pattern_0_4: 930000 rects
-caravel_00020003_fill_pattern_0_4: 940000 rects
-caravel_00020003_fill_pattern_0_4: 950000 rects
-caravel_00020003_fill_pattern_0_4: 960000 rects
-caravel_00020003_fill_pattern_0_4: 970000 rects
-caravel_00020003_fill_pattern_0_4: 980000 rects
-caravel_00020003_fill_pattern_0_4: 990000 rects
-caravel_00020003_fill_pattern_0_4: 1000000 rects
-caravel_00020003_fill_pattern_0_4: 1010000 rects
-caravel_00020003_fill_pattern_0_4: 1020000 rects
-caravel_00020003_fill_pattern_0_4: 1030000 rects
-caravel_00020003_fill_pattern_0_4: 1040000 rects
-caravel_00020003_fill_pattern_0_4: 1050000 rects
-caravel_00020003_fill_pattern_0_4: 1060000 rects
-caravel_00020003_fill_pattern_0_4: 1070000 rects
-caravel_00020003_fill_pattern_0_4: 1080000 rects
-caravel_00020003_fill_pattern_0_4: 1090000 rects
-caravel_00020003_fill_pattern_0_4: 1100000 rects
-caravel_00020003_fill_pattern_0_4: 1110000 rects
-caravel_00020003_fill_pattern_0_4: 1120000 rects
-caravel_00020003_fill_pattern_0_4: 1130000 rects
-caravel_00020003_fill_pattern_0_4: 1140000 rects
-caravel_00020003_fill_pattern_0_4: 1150000 rects
-caravel_00020003_fill_pattern_0_4: 1160000 rects
-caravel_00020003_fill_pattern_0_4: 1170000 rects
-caravel_00020003_fill_pattern_0_4: 1180000 rects
-caravel_00020003_fill_pattern_0_4: 1190000 rects
-caravel_00020003_fill_pattern_0_4: 1200000 rects
-caravel_00020003_fill_pattern_0_4: 1210000 rects
-caravel_00020003_fill_pattern_0_4: 1220000 rects
-caravel_00020003_fill_pattern_0_4: 1230000 rects
-caravel_00020003_fill_pattern_0_4: 1240000 rects
-caravel_00020003_fill_pattern_0_4: 1250000 rects
-caravel_00020003_fill_pattern_0_4: 1260000 rects
-caravel_00020003_fill_pattern_0_4: 1270000 rects
-caravel_00020003_fill_pattern_0_4: 1280000 rects
-caravel_00020003_fill_pattern_0_4: 1290000 rects
-caravel_00020003_fill_pattern_0_4: 1300000 rects
-caravel_00020003_fill_pattern_0_4: 1310000 rects
-caravel_00020003_fill_pattern_0_4: 1320000 rects
-caravel_00020003_fill_pattern_0_4: 1330000 rects
-caravel_00020003_fill_pattern_0_4: 1340000 rects
-caravel_00020003_fill_pattern_0_4: 1350000 rects
-caravel_00020003_fill_pattern_0_4: 1360000 rects
-caravel_00020003_fill_pattern_0_4: 1370000 rects
-caravel_00020003_fill_pattern_0_4: 1380000 rects
-caravel_00020003_fill_pattern_0_4: 1390000 rects
-caravel_00020003_fill_pattern_0_4: 1400000 rects
-caravel_00020003_fill_pattern_0_4: 1410000 rects
-caravel_00020003_fill_pattern_0_4: 1420000 rects
-caravel_00020003_fill_pattern_0_4: 1430000 rects
-caravel_00020003_fill_pattern_0_4: 1440000 rects
-caravel_00020003_fill_pattern_0_4: 1450000 rects
-caravel_00020003_fill_pattern_0_4: 1460000 rects
-caravel_00020003_fill_pattern_0_4: 1470000 rects
-caravel_00020003_fill_pattern_0_4: 1480000 rects
-caravel_00020003_fill_pattern_0_4: 1490000 rects
-caravel_00020003_fill_pattern_0_4: 1500000 rects
-caravel_00020003_fill_pattern_0_4: 1510000 rects
-caravel_00020003_fill_pattern_0_4: 1520000 rects
-caravel_00020003_fill_pattern_0_4: 1530000 rects
-caravel_00020003_fill_pattern_0_4: 1540000 rects
-caravel_00020003_fill_pattern_0_4: 1550000 rects
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_4_0: 10000 rects
 caravel_00020003_fill_pattern_4_0: 20000 rects
 caravel_00020003_fill_pattern_4_0: 30000 rects
@@ -8954,7 +8993,7 @@
    Generating output for cell caravel_00020003_fill_pattern_5_7
 Reading "caravel_00020003_fill_pattern_5_7".
    Generating output for cell caravel_00020003_fill_pattern
-Ended: 12/08/2021 04:43:03
+Ended: 12/10/2021 00:52:07
 Done!
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
@@ -8988,54 +9027,6 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_5_0: 10000 rects
-caravel_00020003_fill_pattern_5_0: 20000 rects
-caravel_00020003_fill_pattern_5_0: 30000 rects
-caravel_00020003_fill_pattern_5_0: 40000 rects
-caravel_00020003_fill_pattern_5_0: 50000 rects
-caravel_00020003_fill_pattern_5_0: 60000 rects
-caravel_00020003_fill_pattern_5_0: 70000 rects
-caravel_00020003_fill_pattern_5_0: 80000 rects
-caravel_00020003_fill_pattern_5_0: 90000 rects
-caravel_00020003_fill_pattern_5_0: 100000 rects
-caravel_00020003_fill_pattern_5_0: 110000 rects
-caravel_00020003_fill_pattern_5_0: 120000 rects
-caravel_00020003_fill_pattern_5_0: 130000 rects
-caravel_00020003_fill_pattern_5_0: 140000 rects
-caravel_00020003_fill_pattern_5_0: 150000 rects
-caravel_00020003_fill_pattern_5_0: 160000 rects
-caravel_00020003_fill_pattern_5_0: 170000 rects
-caravel_00020003_fill_pattern_5_0: 180000 rects
-caravel_00020003_fill_pattern_5_0: 190000 rects
-caravel_00020003_fill_pattern_5_0: 200000 rects
-caravel_00020003_fill_pattern_5_0: 210000 rects
-caravel_00020003_fill_pattern_5_0: 220000 rects
-caravel_00020003_fill_pattern_5_0: 230000 rects
-caravel_00020003_fill_pattern_5_0: 240000 rects
-caravel_00020003_fill_pattern_5_0: 250000 rects
-caravel_00020003_fill_pattern_5_0: 260000 rects
-caravel_00020003_fill_pattern_5_0: 270000 rects
-caravel_00020003_fill_pattern_5_0: 280000 rects
-caravel_00020003_fill_pattern_5_0: 290000 rects
-caravel_00020003_fill_pattern_5_0: 300000 rects
-caravel_00020003_fill_pattern_5_0: 310000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_5_0
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_5_6: 10000 rects
 caravel_00020003_fill_pattern_5_6: 20000 rects
 caravel_00020003_fill_pattern_5_6: 30000 rects
@@ -9096,6 +9087,54 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+caravel_00020003_fill_pattern_5_0: 10000 rects
+caravel_00020003_fill_pattern_5_0: 20000 rects
+caravel_00020003_fill_pattern_5_0: 30000 rects
+caravel_00020003_fill_pattern_5_0: 40000 rects
+caravel_00020003_fill_pattern_5_0: 50000 rects
+caravel_00020003_fill_pattern_5_0: 60000 rects
+caravel_00020003_fill_pattern_5_0: 70000 rects
+caravel_00020003_fill_pattern_5_0: 80000 rects
+caravel_00020003_fill_pattern_5_0: 90000 rects
+caravel_00020003_fill_pattern_5_0: 100000 rects
+caravel_00020003_fill_pattern_5_0: 110000 rects
+caravel_00020003_fill_pattern_5_0: 120000 rects
+caravel_00020003_fill_pattern_5_0: 130000 rects
+caravel_00020003_fill_pattern_5_0: 140000 rects
+caravel_00020003_fill_pattern_5_0: 150000 rects
+caravel_00020003_fill_pattern_5_0: 160000 rects
+caravel_00020003_fill_pattern_5_0: 170000 rects
+caravel_00020003_fill_pattern_5_0: 180000 rects
+caravel_00020003_fill_pattern_5_0: 190000 rects
+caravel_00020003_fill_pattern_5_0: 200000 rects
+caravel_00020003_fill_pattern_5_0: 210000 rects
+caravel_00020003_fill_pattern_5_0: 220000 rects
+caravel_00020003_fill_pattern_5_0: 230000 rects
+caravel_00020003_fill_pattern_5_0: 240000 rects
+caravel_00020003_fill_pattern_5_0: 250000 rects
+caravel_00020003_fill_pattern_5_0: 260000 rects
+caravel_00020003_fill_pattern_5_0: 270000 rects
+caravel_00020003_fill_pattern_5_0: 280000 rects
+caravel_00020003_fill_pattern_5_0: 290000 rects
+caravel_00020003_fill_pattern_5_0: 300000 rects
+caravel_00020003_fill_pattern_5_0: 310000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_5_0
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_5_3: 10000 rects
 caravel_00020003_fill_pattern_5_3: 20000 rects
 caravel_00020003_fill_pattern_5_3: 30000 rects
@@ -9254,115 +9293,6 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_5_1: 10000 rects
-caravel_00020003_fill_pattern_5_1: 20000 rects
-caravel_00020003_fill_pattern_5_1: 30000 rects
-caravel_00020003_fill_pattern_5_1: 40000 rects
-caravel_00020003_fill_pattern_5_1: 50000 rects
-caravel_00020003_fill_pattern_5_1: 60000 rects
-caravel_00020003_fill_pattern_5_1: 70000 rects
-caravel_00020003_fill_pattern_5_1: 80000 rects
-caravel_00020003_fill_pattern_5_1: 90000 rects
-caravel_00020003_fill_pattern_5_1: 100000 rects
-caravel_00020003_fill_pattern_5_1: 110000 rects
-caravel_00020003_fill_pattern_5_1: 120000 rects
-caravel_00020003_fill_pattern_5_1: 130000 rects
-caravel_00020003_fill_pattern_5_1: 140000 rects
-caravel_00020003_fill_pattern_5_1: 150000 rects
-caravel_00020003_fill_pattern_5_1: 160000 rects
-caravel_00020003_fill_pattern_5_1: 170000 rects
-caravel_00020003_fill_pattern_5_1: 180000 rects
-caravel_00020003_fill_pattern_5_1: 190000 rects
-caravel_00020003_fill_pattern_5_1: 200000 rects
-caravel_00020003_fill_pattern_5_1: 210000 rects
-caravel_00020003_fill_pattern_5_1: 220000 rects
-caravel_00020003_fill_pattern_5_1: 230000 rects
-caravel_00020003_fill_pattern_5_1: 240000 rects
-caravel_00020003_fill_pattern_5_1: 250000 rects
-caravel_00020003_fill_pattern_5_1: 260000 rects
-caravel_00020003_fill_pattern_5_1: 270000 rects
-caravel_00020003_fill_pattern_5_1: 280000 rects
-caravel_00020003_fill_pattern_5_1: 290000 rects
-caravel_00020003_fill_pattern_5_1: 300000 rects
-caravel_00020003_fill_pattern_5_1: 310000 rects
-caravel_00020003_fill_pattern_5_1: 320000 rects
-caravel_00020003_fill_pattern_5_1: 330000 rects
-caravel_00020003_fill_pattern_5_1: 340000 rects
-caravel_00020003_fill_pattern_5_1: 350000 rects
-caravel_00020003_fill_pattern_5_1: 360000 rects
-caravel_00020003_fill_pattern_5_1: 370000 rects
-caravel_00020003_fill_pattern_5_1: 380000 rects
-caravel_00020003_fill_pattern_5_1: 390000 rects
-caravel_00020003_fill_pattern_5_1: 400000 rects
-caravel_00020003_fill_pattern_5_1: 410000 rects
-caravel_00020003_fill_pattern_5_1: 420000 rects
-caravel_00020003_fill_pattern_5_1: 430000 rects
-caravel_00020003_fill_pattern_5_1: 440000 rects
-caravel_00020003_fill_pattern_5_1: 450000 rects
-caravel_00020003_fill_pattern_5_1: 460000 rects
-caravel_00020003_fill_pattern_5_1: 470000 rects
-caravel_00020003_fill_pattern_5_1: 480000 rects
-caravel_00020003_fill_pattern_5_1: 490000 rects
-caravel_00020003_fill_pattern_5_1: 500000 rects
-caravel_00020003_fill_pattern_5_1: 510000 rects
-caravel_00020003_fill_pattern_5_1: 520000 rects
-caravel_00020003_fill_pattern_5_1: 530000 rects
-caravel_00020003_fill_pattern_5_1: 540000 rects
-caravel_00020003_fill_pattern_5_1: 550000 rects
-caravel_00020003_fill_pattern_5_1: 560000 rects
-caravel_00020003_fill_pattern_5_1: 570000 rects
-caravel_00020003_fill_pattern_5_1: 580000 rects
-caravel_00020003_fill_pattern_5_1: 590000 rects
-caravel_00020003_fill_pattern_5_1: 600000 rects
-caravel_00020003_fill_pattern_5_1: 610000 rects
-caravel_00020003_fill_pattern_5_1: 620000 rects
-caravel_00020003_fill_pattern_5_1: 630000 rects
-caravel_00020003_fill_pattern_5_1: 640000 rects
-caravel_00020003_fill_pattern_5_1: 650000 rects
-caravel_00020003_fill_pattern_5_1: 660000 rects
-caravel_00020003_fill_pattern_5_1: 670000 rects
-caravel_00020003_fill_pattern_5_1: 680000 rects
-caravel_00020003_fill_pattern_5_1: 690000 rects
-caravel_00020003_fill_pattern_5_1: 700000 rects
-caravel_00020003_fill_pattern_5_1: 710000 rects
-caravel_00020003_fill_pattern_5_1: 720000 rects
-caravel_00020003_fill_pattern_5_1: 730000 rects
-caravel_00020003_fill_pattern_5_1: 740000 rects
-caravel_00020003_fill_pattern_5_1: 750000 rects
-caravel_00020003_fill_pattern_5_1: 760000 rects
-caravel_00020003_fill_pattern_5_1: 770000 rects
-caravel_00020003_fill_pattern_5_1: 780000 rects
-caravel_00020003_fill_pattern_5_1: 790000 rects
-caravel_00020003_fill_pattern_5_1: 800000 rects
-caravel_00020003_fill_pattern_5_1: 810000 rects
-caravel_00020003_fill_pattern_5_1: 820000 rects
-caravel_00020003_fill_pattern_5_1: 830000 rects
-caravel_00020003_fill_pattern_5_1: 840000 rects
-caravel_00020003_fill_pattern_5_1: 850000 rects
-caravel_00020003_fill_pattern_5_1: 860000 rects
-caravel_00020003_fill_pattern_5_1: 870000 rects
-caravel_00020003_fill_pattern_5_1: 880000 rects
-caravel_00020003_fill_pattern_5_1: 890000 rects
-caravel_00020003_fill_pattern_5_1: 900000 rects
-caravel_00020003_fill_pattern_5_1: 910000 rects
-caravel_00020003_fill_pattern_5_1: 920000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_5_1
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_5_2: 10000 rects
 caravel_00020003_fill_pattern_5_2: 20000 rects
 caravel_00020003_fill_pattern_5_2: 30000 rects
@@ -9590,13 +9520,100 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_3_7: 10000 rects
-caravel_00020003_fill_pattern_3_7: 20000 rects
-caravel_00020003_fill_pattern_3_7: 30000 rects
-caravel_00020003_fill_pattern_3_7: 40000 rects
-caravel_00020003_fill_pattern_3_7: 50000 rects
+caravel_00020003_fill_pattern_5_1: 10000 rects
+caravel_00020003_fill_pattern_5_1: 20000 rects
+caravel_00020003_fill_pattern_5_1: 30000 rects
+caravel_00020003_fill_pattern_5_1: 40000 rects
+caravel_00020003_fill_pattern_5_1: 50000 rects
+caravel_00020003_fill_pattern_5_1: 60000 rects
+caravel_00020003_fill_pattern_5_1: 70000 rects
+caravel_00020003_fill_pattern_5_1: 80000 rects
+caravel_00020003_fill_pattern_5_1: 90000 rects
+caravel_00020003_fill_pattern_5_1: 100000 rects
+caravel_00020003_fill_pattern_5_1: 110000 rects
+caravel_00020003_fill_pattern_5_1: 120000 rects
+caravel_00020003_fill_pattern_5_1: 130000 rects
+caravel_00020003_fill_pattern_5_1: 140000 rects
+caravel_00020003_fill_pattern_5_1: 150000 rects
+caravel_00020003_fill_pattern_5_1: 160000 rects
+caravel_00020003_fill_pattern_5_1: 170000 rects
+caravel_00020003_fill_pattern_5_1: 180000 rects
+caravel_00020003_fill_pattern_5_1: 190000 rects
+caravel_00020003_fill_pattern_5_1: 200000 rects
+caravel_00020003_fill_pattern_5_1: 210000 rects
+caravel_00020003_fill_pattern_5_1: 220000 rects
+caravel_00020003_fill_pattern_5_1: 230000 rects
+caravel_00020003_fill_pattern_5_1: 240000 rects
+caravel_00020003_fill_pattern_5_1: 250000 rects
+caravel_00020003_fill_pattern_5_1: 260000 rects
+caravel_00020003_fill_pattern_5_1: 270000 rects
+caravel_00020003_fill_pattern_5_1: 280000 rects
+caravel_00020003_fill_pattern_5_1: 290000 rects
+caravel_00020003_fill_pattern_5_1: 300000 rects
+caravel_00020003_fill_pattern_5_1: 310000 rects
+caravel_00020003_fill_pattern_5_1: 320000 rects
+caravel_00020003_fill_pattern_5_1: 330000 rects
+caravel_00020003_fill_pattern_5_1: 340000 rects
+caravel_00020003_fill_pattern_5_1: 350000 rects
+caravel_00020003_fill_pattern_5_1: 360000 rects
+caravel_00020003_fill_pattern_5_1: 370000 rects
+caravel_00020003_fill_pattern_5_1: 380000 rects
+caravel_00020003_fill_pattern_5_1: 390000 rects
+caravel_00020003_fill_pattern_5_1: 400000 rects
+caravel_00020003_fill_pattern_5_1: 410000 rects
+caravel_00020003_fill_pattern_5_1: 420000 rects
+caravel_00020003_fill_pattern_5_1: 430000 rects
+caravel_00020003_fill_pattern_5_1: 440000 rects
+caravel_00020003_fill_pattern_5_1: 450000 rects
+caravel_00020003_fill_pattern_5_1: 460000 rects
+caravel_00020003_fill_pattern_5_1: 470000 rects
+caravel_00020003_fill_pattern_5_1: 480000 rects
+caravel_00020003_fill_pattern_5_1: 490000 rects
+caravel_00020003_fill_pattern_5_1: 500000 rects
+caravel_00020003_fill_pattern_5_1: 510000 rects
+caravel_00020003_fill_pattern_5_1: 520000 rects
+caravel_00020003_fill_pattern_5_1: 530000 rects
+caravel_00020003_fill_pattern_5_1: 540000 rects
+caravel_00020003_fill_pattern_5_1: 550000 rects
+caravel_00020003_fill_pattern_5_1: 560000 rects
+caravel_00020003_fill_pattern_5_1: 570000 rects
+caravel_00020003_fill_pattern_5_1: 580000 rects
+caravel_00020003_fill_pattern_5_1: 590000 rects
+caravel_00020003_fill_pattern_5_1: 600000 rects
+caravel_00020003_fill_pattern_5_1: 610000 rects
+caravel_00020003_fill_pattern_5_1: 620000 rects
+caravel_00020003_fill_pattern_5_1: 630000 rects
+caravel_00020003_fill_pattern_5_1: 640000 rects
+caravel_00020003_fill_pattern_5_1: 650000 rects
+caravel_00020003_fill_pattern_5_1: 660000 rects
+caravel_00020003_fill_pattern_5_1: 670000 rects
+caravel_00020003_fill_pattern_5_1: 680000 rects
+caravel_00020003_fill_pattern_5_1: 690000 rects
+caravel_00020003_fill_pattern_5_1: 700000 rects
+caravel_00020003_fill_pattern_5_1: 710000 rects
+caravel_00020003_fill_pattern_5_1: 720000 rects
+caravel_00020003_fill_pattern_5_1: 730000 rects
+caravel_00020003_fill_pattern_5_1: 740000 rects
+caravel_00020003_fill_pattern_5_1: 750000 rects
+caravel_00020003_fill_pattern_5_1: 760000 rects
+caravel_00020003_fill_pattern_5_1: 770000 rects
+caravel_00020003_fill_pattern_5_1: 780000 rects
+caravel_00020003_fill_pattern_5_1: 790000 rects
+caravel_00020003_fill_pattern_5_1: 800000 rects
+caravel_00020003_fill_pattern_5_1: 810000 rects
+caravel_00020003_fill_pattern_5_1: 820000 rects
+caravel_00020003_fill_pattern_5_1: 830000 rects
+caravel_00020003_fill_pattern_5_1: 840000 rects
+caravel_00020003_fill_pattern_5_1: 850000 rects
+caravel_00020003_fill_pattern_5_1: 860000 rects
+caravel_00020003_fill_pattern_5_1: 870000 rects
+caravel_00020003_fill_pattern_5_1: 880000 rects
+caravel_00020003_fill_pattern_5_1: 890000 rects
+caravel_00020003_fill_pattern_5_1: 900000 rects
+caravel_00020003_fill_pattern_5_1: 910000 rects
+caravel_00020003_fill_pattern_5_1: 920000 rects
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_7
+   Generating output for cell caravel_00020003_fill_pattern_5_1
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -9612,41 +9629,13 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_1_7: 10000 rects
-caravel_00020003_fill_pattern_1_7: 20000 rects
-caravel_00020003_fill_pattern_1_7: 30000 rects
-caravel_00020003_fill_pattern_1_7: 40000 rects
-caravel_00020003_fill_pattern_1_7: 50000 rects
-caravel_00020003_fill_pattern_1_7: 60000 rects
-caravel_00020003_fill_pattern_1_7: 70000 rects
-caravel_00020003_fill_pattern_1_7: 80000 rects
-caravel_00020003_fill_pattern_1_7: 90000 rects
-caravel_00020003_fill_pattern_1_7: 100000 rects
-caravel_00020003_fill_pattern_1_7: 110000 rects
-caravel_00020003_fill_pattern_1_7: 120000 rects
-caravel_00020003_fill_pattern_1_7: 130000 rects
-caravel_00020003_fill_pattern_1_7: 140000 rects
-caravel_00020003_fill_pattern_1_7: 150000 rects
-caravel_00020003_fill_pattern_1_7: 160000 rects
-caravel_00020003_fill_pattern_1_7: 170000 rects
-caravel_00020003_fill_pattern_1_7: 180000 rects
-caravel_00020003_fill_pattern_1_7: 190000 rects
-caravel_00020003_fill_pattern_1_7: 200000 rects
-caravel_00020003_fill_pattern_1_7: 210000 rects
-caravel_00020003_fill_pattern_1_7: 220000 rects
-caravel_00020003_fill_pattern_1_7: 230000 rects
-caravel_00020003_fill_pattern_1_7: 240000 rects
-caravel_00020003_fill_pattern_1_7: 250000 rects
-caravel_00020003_fill_pattern_1_7: 260000 rects
-caravel_00020003_fill_pattern_1_7: 270000 rects
-caravel_00020003_fill_pattern_1_7: 280000 rects
-caravel_00020003_fill_pattern_1_7: 290000 rects
-caravel_00020003_fill_pattern_1_7: 300000 rects
-caravel_00020003_fill_pattern_1_7: 310000 rects
-caravel_00020003_fill_pattern_1_7: 320000 rects
-caravel_00020003_fill_pattern_1_7: 330000 rects
+caravel_00020003_fill_pattern_3_7: 10000 rects
+caravel_00020003_fill_pattern_3_7: 20000 rects
+caravel_00020003_fill_pattern_3_7: 30000 rects
+caravel_00020003_fill_pattern_3_7: 40000 rects
+caravel_00020003_fill_pattern_3_7: 50000 rects
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_7
+   Generating output for cell caravel_00020003_fill_pattern_3_7
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -9787,6 +9776,56 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+caravel_00020003_fill_pattern_1_7: 10000 rects
+caravel_00020003_fill_pattern_1_7: 20000 rects
+caravel_00020003_fill_pattern_1_7: 30000 rects
+caravel_00020003_fill_pattern_1_7: 40000 rects
+caravel_00020003_fill_pattern_1_7: 50000 rects
+caravel_00020003_fill_pattern_1_7: 60000 rects
+caravel_00020003_fill_pattern_1_7: 70000 rects
+caravel_00020003_fill_pattern_1_7: 80000 rects
+caravel_00020003_fill_pattern_1_7: 90000 rects
+caravel_00020003_fill_pattern_1_7: 100000 rects
+caravel_00020003_fill_pattern_1_7: 110000 rects
+caravel_00020003_fill_pattern_1_7: 120000 rects
+caravel_00020003_fill_pattern_1_7: 130000 rects
+caravel_00020003_fill_pattern_1_7: 140000 rects
+caravel_00020003_fill_pattern_1_7: 150000 rects
+caravel_00020003_fill_pattern_1_7: 160000 rects
+caravel_00020003_fill_pattern_1_7: 170000 rects
+caravel_00020003_fill_pattern_1_7: 180000 rects
+caravel_00020003_fill_pattern_1_7: 190000 rects
+caravel_00020003_fill_pattern_1_7: 200000 rects
+caravel_00020003_fill_pattern_1_7: 210000 rects
+caravel_00020003_fill_pattern_1_7: 220000 rects
+caravel_00020003_fill_pattern_1_7: 230000 rects
+caravel_00020003_fill_pattern_1_7: 240000 rects
+caravel_00020003_fill_pattern_1_7: 250000 rects
+caravel_00020003_fill_pattern_1_7: 260000 rects
+caravel_00020003_fill_pattern_1_7: 270000 rects
+caravel_00020003_fill_pattern_1_7: 280000 rects
+caravel_00020003_fill_pattern_1_7: 290000 rects
+caravel_00020003_fill_pattern_1_7: 300000 rects
+caravel_00020003_fill_pattern_1_7: 310000 rects
+caravel_00020003_fill_pattern_1_7: 320000 rects
+caravel_00020003_fill_pattern_1_7: 330000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_7
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_4_7: 10000 rects
 caravel_00020003_fill_pattern_4_7: 20000 rects
 caravel_00020003_fill_pattern_4_7: 30000 rects
@@ -9833,176 +9872,6 @@
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_5
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_4
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_3
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_6
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_6
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_2
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_2_6
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_2_3
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_3_4
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_1_2
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_1_3
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
@@ -10037,7 +9906,58 @@
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_2_4
+   Generating output for cell caravel_00020003_fill_pattern_2_3
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_3_2
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_3_3
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_3_4
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -10070,6 +9990,108 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_5
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_2_6
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_3_6
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_2
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_6
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_2_5
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_0_6: 10000 rects
 caravel_00020003_fill_pattern_0_6: 20000 rects
 caravel_00020003_fill_pattern_0_6: 30000 rects
@@ -10148,7 +10170,7 @@
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_2_5
+   Generating output for cell caravel_00020003_fill_pattern_2_4
 
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
@@ -10227,6 +10249,23 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_1_4
+
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_0_3: 10000 rects
 caravel_00020003_fill_pattern_0_3: 20000 rects
 caravel_00020003_fill_pattern_0_3: 30000 rects
@@ -10448,85 +10487,6 @@
 Scaled tech values by 2 / 1 to match internal grid scaling
 Loading sky130A Device Generator Menu ...
 Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
-caravel_00020003_fill_pattern_4_3: 10000 rects
-caravel_00020003_fill_pattern_4_3: 20000 rects
-caravel_00020003_fill_pattern_4_3: 30000 rects
-caravel_00020003_fill_pattern_4_3: 40000 rects
-caravel_00020003_fill_pattern_4_3: 50000 rects
-caravel_00020003_fill_pattern_4_3: 60000 rects
-caravel_00020003_fill_pattern_4_3: 70000 rects
-caravel_00020003_fill_pattern_4_3: 80000 rects
-caravel_00020003_fill_pattern_4_3: 90000 rects
-caravel_00020003_fill_pattern_4_3: 100000 rects
-caravel_00020003_fill_pattern_4_3: 110000 rects
-caravel_00020003_fill_pattern_4_3: 120000 rects
-caravel_00020003_fill_pattern_4_3: 130000 rects
-caravel_00020003_fill_pattern_4_3: 140000 rects
-caravel_00020003_fill_pattern_4_3: 150000 rects
-caravel_00020003_fill_pattern_4_3: 160000 rects
-caravel_00020003_fill_pattern_4_3: 170000 rects
-caravel_00020003_fill_pattern_4_3: 180000 rects
-caravel_00020003_fill_pattern_4_3: 190000 rects
-caravel_00020003_fill_pattern_4_3: 200000 rects
-caravel_00020003_fill_pattern_4_3: 210000 rects
-caravel_00020003_fill_pattern_4_3: 220000 rects
-caravel_00020003_fill_pattern_4_3: 230000 rects
-caravel_00020003_fill_pattern_4_3: 240000 rects
-caravel_00020003_fill_pattern_4_3: 250000 rects
-caravel_00020003_fill_pattern_4_3: 260000 rects
-caravel_00020003_fill_pattern_4_3: 270000 rects
-caravel_00020003_fill_pattern_4_3: 280000 rects
-caravel_00020003_fill_pattern_4_3: 290000 rects
-caravel_00020003_fill_pattern_4_3: 300000 rects
-caravel_00020003_fill_pattern_4_3: 310000 rects
-caravel_00020003_fill_pattern_4_3: 320000 rects
-caravel_00020003_fill_pattern_4_3: 330000 rects
-caravel_00020003_fill_pattern_4_3: 340000 rects
-caravel_00020003_fill_pattern_4_3: 350000 rects
-caravel_00020003_fill_pattern_4_3: 360000 rects
-caravel_00020003_fill_pattern_4_3: 370000 rects
-caravel_00020003_fill_pattern_4_3: 380000 rects
-caravel_00020003_fill_pattern_4_3: 390000 rects
-caravel_00020003_fill_pattern_4_3: 400000 rects
-caravel_00020003_fill_pattern_4_3: 410000 rects
-caravel_00020003_fill_pattern_4_3: 420000 rects
-caravel_00020003_fill_pattern_4_3: 430000 rects
-caravel_00020003_fill_pattern_4_3: 440000 rects
-caravel_00020003_fill_pattern_4_3: 450000 rects
-caravel_00020003_fill_pattern_4_3: 460000 rects
-caravel_00020003_fill_pattern_4_3: 470000 rects
-caravel_00020003_fill_pattern_4_3: 480000 rects
-caravel_00020003_fill_pattern_4_3: 490000 rects
-caravel_00020003_fill_pattern_4_3: 500000 rects
-caravel_00020003_fill_pattern_4_3: 510000 rects
-caravel_00020003_fill_pattern_4_3: 520000 rects
-caravel_00020003_fill_pattern_4_3: 530000 rects
-caravel_00020003_fill_pattern_4_3: 540000 rects
-caravel_00020003_fill_pattern_4_3: 550000 rects
-caravel_00020003_fill_pattern_4_3: 560000 rects
-caravel_00020003_fill_pattern_4_3: 570000 rects
-caravel_00020003_fill_pattern_4_3: 580000 rects
-caravel_00020003_fill_pattern_4_3: 590000 rects
-caravel_00020003_fill_pattern_4_3: 600000 rects
-caravel_00020003_fill_pattern_4_3: 610000 rects
-caravel_00020003_fill_pattern_4_3: 620000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_4_3
-
-Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-site.pre: In custom site.pre...
-site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
-Processing system .magicrc file
-site.def: In custom site.def...
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130(vendor): scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
 caravel_00020003_fill_pattern_4_2: 10000 rects
 caravel_00020003_fill_pattern_4_2: 20000 rects
 caravel_00020003_fill_pattern_4_2: 30000 rects
@@ -10614,36 +10574,6 @@
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_4_2
 
-caravel_00020003_fill_pattern_0_2: 1560000 rects
-caravel_00020003_fill_pattern_0_2: 1570000 rects
-caravel_00020003_fill_pattern_0_2: 1580000 rects
-caravel_00020003_fill_pattern_0_2: 1590000 rects
-caravel_00020003_fill_pattern_0_2: 1600000 rects
-caravel_00020003_fill_pattern_0_2: 1610000 rects
-caravel_00020003_fill_pattern_0_2: 1620000 rects
-caravel_00020003_fill_pattern_0_2: 1630000 rects
-caravel_00020003_fill_pattern_0_2: 1640000 rects
-caravel_00020003_fill_pattern_0_2: 1650000 rects
-caravel_00020003_fill_pattern_0_2: 1660000 rects
-caravel_00020003_fill_pattern_0_2: 1670000 rects
-caravel_00020003_fill_pattern_0_2: 1680000 rects
-caravel_00020003_fill_pattern_0_2: 1690000 rects
-caravel_00020003_fill_pattern_0_2: 1700000 rects
-caravel_00020003_fill_pattern_0_2: 1710000 rects
-caravel_00020003_fill_pattern_0_2: 1720000 rects
-caravel_00020003_fill_pattern_0_2: 1730000 rects
-caravel_00020003_fill_pattern_0_2: 1740000 rects
-caravel_00020003_fill_pattern_0_2: 1750000 rects
-caravel_00020003_fill_pattern_0_2: 1760000 rects
-caravel_00020003_fill_pattern_0_2: 1770000 rects
-caravel_00020003_fill_pattern_0_2: 1780000 rects
-caravel_00020003_fill_pattern_0_2: 1790000 rects
-caravel_00020003_fill_pattern_0_2: 1800000 rects
-caravel_00020003_fill_pattern_0_2: 1810000 rects
-caravel_00020003_fill_pattern_0_2: 1820000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_0_2
-
 Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
 Starting magic under Tcl interpreter
 Using the terminal as the console.
@@ -10749,6 +10679,142 @@
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_4_4
 
+Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+site.pre: In custom site.pre...
+site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Processing system .magicrc file
+site.def: In custom site.def...
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network/mag/generate_fill_dist.tcl" from command line.
+caravel_00020003_fill_pattern_4_3: 10000 rects
+caravel_00020003_fill_pattern_4_3: 20000 rects
+caravel_00020003_fill_pattern_4_3: 30000 rects
+caravel_00020003_fill_pattern_4_3: 40000 rects
+caravel_00020003_fill_pattern_4_3: 50000 rects
+caravel_00020003_fill_pattern_4_3: 60000 rects
+caravel_00020003_fill_pattern_4_3: 70000 rects
+caravel_00020003_fill_pattern_4_3: 80000 rects
+caravel_00020003_fill_pattern_4_3: 90000 rects
+caravel_00020003_fill_pattern_4_3: 100000 rects
+caravel_00020003_fill_pattern_4_3: 110000 rects
+caravel_00020003_fill_pattern_4_3: 120000 rects
+caravel_00020003_fill_pattern_4_3: 130000 rects
+caravel_00020003_fill_pattern_4_3: 140000 rects
+caravel_00020003_fill_pattern_4_3: 150000 rects
+caravel_00020003_fill_pattern_4_3: 160000 rects
+caravel_00020003_fill_pattern_4_3: 170000 rects
+caravel_00020003_fill_pattern_4_3: 180000 rects
+caravel_00020003_fill_pattern_4_3: 190000 rects
+caravel_00020003_fill_pattern_4_3: 200000 rects
+caravel_00020003_fill_pattern_4_3: 210000 rects
+caravel_00020003_fill_pattern_4_3: 220000 rects
+caravel_00020003_fill_pattern_4_3: 230000 rects
+caravel_00020003_fill_pattern_4_3: 240000 rects
+caravel_00020003_fill_pattern_4_3: 250000 rects
+caravel_00020003_fill_pattern_4_3: 260000 rects
+caravel_00020003_fill_pattern_4_3: 270000 rects
+caravel_00020003_fill_pattern_4_3: 280000 rects
+caravel_00020003_fill_pattern_4_3: 290000 rects
+caravel_00020003_fill_pattern_4_3: 300000 rects
+caravel_00020003_fill_pattern_4_3: 310000 rects
+caravel_00020003_fill_pattern_4_3: 320000 rects
+caravel_00020003_fill_pattern_4_3: 330000 rects
+caravel_00020003_fill_pattern_4_3: 340000 rects
+caravel_00020003_fill_pattern_4_3: 350000 rects
+caravel_00020003_fill_pattern_4_3: 360000 rects
+caravel_00020003_fill_pattern_4_3: 370000 rects
+caravel_00020003_fill_pattern_4_3: 380000 rects
+caravel_00020003_fill_pattern_4_3: 390000 rects
+caravel_00020003_fill_pattern_4_3: 400000 rects
+caravel_00020003_fill_pattern_4_3: 410000 rects
+caravel_00020003_fill_pattern_4_3: 420000 rects
+caravel_00020003_fill_pattern_4_3: 430000 rects
+caravel_00020003_fill_pattern_4_3: 440000 rects
+caravel_00020003_fill_pattern_4_3: 450000 rects
+caravel_00020003_fill_pattern_4_3: 460000 rects
+caravel_00020003_fill_pattern_4_3: 470000 rects
+caravel_00020003_fill_pattern_4_3: 480000 rects
+caravel_00020003_fill_pattern_4_3: 490000 rects
+caravel_00020003_fill_pattern_4_3: 500000 rects
+caravel_00020003_fill_pattern_4_3: 510000 rects
+caravel_00020003_fill_pattern_4_3: 520000 rects
+caravel_00020003_fill_pattern_4_3: 530000 rects
+caravel_00020003_fill_pattern_4_3: 540000 rects
+caravel_00020003_fill_pattern_4_3: 550000 rects
+caravel_00020003_fill_pattern_4_3: 560000 rects
+caravel_00020003_fill_pattern_4_3: 570000 rects
+caravel_00020003_fill_pattern_4_3: 580000 rects
+caravel_00020003_fill_pattern_4_3: 590000 rects
+caravel_00020003_fill_pattern_4_3: 600000 rects
+caravel_00020003_fill_pattern_4_3: 610000 rects
+caravel_00020003_fill_pattern_4_3: 620000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_4_3
+
+caravel_00020003_fill_pattern_0_4: 1560000 rects
+caravel_00020003_fill_pattern_0_4: 1570000 rects
+caravel_00020003_fill_pattern_0_4: 1580000 rects
+caravel_00020003_fill_pattern_0_4: 1590000 rects
+caravel_00020003_fill_pattern_0_4: 1600000 rects
+caravel_00020003_fill_pattern_0_4: 1610000 rects
+caravel_00020003_fill_pattern_0_4: 1620000 rects
+caravel_00020003_fill_pattern_0_4: 1630000 rects
+caravel_00020003_fill_pattern_0_4: 1640000 rects
+caravel_00020003_fill_pattern_0_4: 1650000 rects
+caravel_00020003_fill_pattern_0_4: 1660000 rects
+caravel_00020003_fill_pattern_0_4: 1670000 rects
+caravel_00020003_fill_pattern_0_4: 1680000 rects
+caravel_00020003_fill_pattern_0_4: 1690000 rects
+caravel_00020003_fill_pattern_0_4: 1700000 rects
+caravel_00020003_fill_pattern_0_4: 1710000 rects
+caravel_00020003_fill_pattern_0_4: 1720000 rects
+caravel_00020003_fill_pattern_0_4: 1730000 rects
+caravel_00020003_fill_pattern_0_4: 1740000 rects
+caravel_00020003_fill_pattern_0_4: 1750000 rects
+caravel_00020003_fill_pattern_0_4: 1760000 rects
+caravel_00020003_fill_pattern_0_4: 1770000 rects
+caravel_00020003_fill_pattern_0_4: 1780000 rects
+caravel_00020003_fill_pattern_0_4: 1790000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_0_4
+
+caravel_00020003_fill_pattern_0_2: 1560000 rects
+caravel_00020003_fill_pattern_0_2: 1570000 rects
+caravel_00020003_fill_pattern_0_2: 1580000 rects
+caravel_00020003_fill_pattern_0_2: 1590000 rects
+caravel_00020003_fill_pattern_0_2: 1600000 rects
+caravel_00020003_fill_pattern_0_2: 1610000 rects
+caravel_00020003_fill_pattern_0_2: 1620000 rects
+caravel_00020003_fill_pattern_0_2: 1630000 rects
+caravel_00020003_fill_pattern_0_2: 1640000 rects
+caravel_00020003_fill_pattern_0_2: 1650000 rects
+caravel_00020003_fill_pattern_0_2: 1660000 rects
+caravel_00020003_fill_pattern_0_2: 1670000 rects
+caravel_00020003_fill_pattern_0_2: 1680000 rects
+caravel_00020003_fill_pattern_0_2: 1690000 rects
+caravel_00020003_fill_pattern_0_2: 1700000 rects
+caravel_00020003_fill_pattern_0_2: 1710000 rects
+caravel_00020003_fill_pattern_0_2: 1720000 rects
+caravel_00020003_fill_pattern_0_2: 1730000 rects
+caravel_00020003_fill_pattern_0_2: 1740000 rects
+caravel_00020003_fill_pattern_0_2: 1750000 rects
+caravel_00020003_fill_pattern_0_2: 1760000 rects
+caravel_00020003_fill_pattern_0_2: 1770000 rects
+caravel_00020003_fill_pattern_0_2: 1780000 rects
+caravel_00020003_fill_pattern_0_2: 1790000 rects
+caravel_00020003_fill_pattern_0_2: 1800000 rects
+caravel_00020003_fill_pattern_0_2: 1810000 rects
+caravel_00020003_fill_pattern_0_2: 1820000 rects
+CIF output style is now "wafflefill(tiled)"
+   Generating output for cell caravel_00020003_fill_pattern_0_2
+
 caravel_00020003_fill_pattern_0_5: 1560000 rects
 caravel_00020003_fill_pattern_0_5: 1570000 rects
 caravel_00020003_fill_pattern_0_5: 1580000 rects
@@ -10779,33 +10845,6 @@
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_0_5
 
-caravel_00020003_fill_pattern_0_4: 1560000 rects
-caravel_00020003_fill_pattern_0_4: 1570000 rects
-caravel_00020003_fill_pattern_0_4: 1580000 rects
-caravel_00020003_fill_pattern_0_4: 1590000 rects
-caravel_00020003_fill_pattern_0_4: 1600000 rects
-caravel_00020003_fill_pattern_0_4: 1610000 rects
-caravel_00020003_fill_pattern_0_4: 1620000 rects
-caravel_00020003_fill_pattern_0_4: 1630000 rects
-caravel_00020003_fill_pattern_0_4: 1640000 rects
-caravel_00020003_fill_pattern_0_4: 1650000 rects
-caravel_00020003_fill_pattern_0_4: 1660000 rects
-caravel_00020003_fill_pattern_0_4: 1670000 rects
-caravel_00020003_fill_pattern_0_4: 1680000 rects
-caravel_00020003_fill_pattern_0_4: 1690000 rects
-caravel_00020003_fill_pattern_0_4: 1700000 rects
-caravel_00020003_fill_pattern_0_4: 1710000 rects
-caravel_00020003_fill_pattern_0_4: 1720000 rects
-caravel_00020003_fill_pattern_0_4: 1730000 rects
-caravel_00020003_fill_pattern_0_4: 1740000 rects
-caravel_00020003_fill_pattern_0_4: 1750000 rects
-caravel_00020003_fill_pattern_0_4: 1760000 rects
-caravel_00020003_fill_pattern_0_4: 1770000 rects
-caravel_00020003_fill_pattern_0_4: 1780000 rects
-caravel_00020003_fill_pattern_0_4: 1790000 rects
-CIF output style is now "wafflefill(tiled)"
-   Generating output for cell caravel_00020003_fill_pattern_0_4
-
 caravel_00020003_fill_pattern_4_0: 1560000 rects
 caravel_00020003_fill_pattern_4_0: 1570000 rects
 caravel_00020003_fill_pattern_4_0: 1580000 rects
@@ -10907,7 +10946,6 @@
 caravel_00020003_fill_pattern_4_0: 2540000 rects
 caravel_00020003_fill_pattern_4_0: 2550000 rects
 caravel_00020003_fill_pattern_4_0: 2560000 rects
-caravel_00020003_fill_pattern_4_0: 2570000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_4_0
 
@@ -11065,9 +11103,6 @@
 caravel_00020003_fill_pattern_4_1: 3070000 rects
 caravel_00020003_fill_pattern_4_1: 3080000 rects
 caravel_00020003_fill_pattern_4_1: 3090000 rects
-caravel_00020003_fill_pattern_4_1: 3100000 rects
-caravel_00020003_fill_pattern_4_1: 3110000 rects
-caravel_00020003_fill_pattern_4_1: 3120000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_4_1
 
@@ -11193,6 +11228,14 @@
 caravel_00020003_fill_pattern_1_1: 3950000 rects
 caravel_00020003_fill_pattern_1_1: 3960000 rects
 caravel_00020003_fill_pattern_1_1: 3970000 rects
+caravel_00020003_fill_pattern_1_1: 3980000 rects
+caravel_00020003_fill_pattern_1_1: 3990000 rects
+caravel_00020003_fill_pattern_1_1: 4000000 rects
+caravel_00020003_fill_pattern_1_1: 4010000 rects
+caravel_00020003_fill_pattern_1_1: 4020000 rects
+caravel_00020003_fill_pattern_1_1: 4030000 rects
+caravel_00020003_fill_pattern_1_1: 4040000 rects
+caravel_00020003_fill_pattern_1_1: 4050000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_1_1
 
@@ -11391,6 +11434,16 @@
 caravel_00020003_fill_pattern_3_1: 3820000 rects
 caravel_00020003_fill_pattern_3_1: 3830000 rects
 caravel_00020003_fill_pattern_3_1: 3840000 rects
+caravel_00020003_fill_pattern_3_1: 3850000 rects
+caravel_00020003_fill_pattern_3_1: 3860000 rects
+caravel_00020003_fill_pattern_3_1: 3870000 rects
+caravel_00020003_fill_pattern_3_1: 3880000 rects
+caravel_00020003_fill_pattern_3_1: 3890000 rects
+caravel_00020003_fill_pattern_3_1: 3900000 rects
+caravel_00020003_fill_pattern_3_1: 3910000 rects
+caravel_00020003_fill_pattern_3_1: 3920000 rects
+caravel_00020003_fill_pattern_3_1: 3930000 rects
+caravel_00020003_fill_pattern_3_1: 3940000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_3_1
 
@@ -11487,6 +11540,21 @@
 caravel_00020003_fill_pattern_3_0: 5820000 rects
 caravel_00020003_fill_pattern_3_0: 5830000 rects
 caravel_00020003_fill_pattern_3_0: 5840000 rects
+caravel_00020003_fill_pattern_3_0: 5850000 rects
+caravel_00020003_fill_pattern_3_0: 5860000 rects
+caravel_00020003_fill_pattern_3_0: 5870000 rects
+caravel_00020003_fill_pattern_3_0: 5880000 rects
+caravel_00020003_fill_pattern_3_0: 5890000 rects
+caravel_00020003_fill_pattern_3_0: 5900000 rects
+caravel_00020003_fill_pattern_3_0: 5910000 rects
+caravel_00020003_fill_pattern_3_0: 5920000 rects
+caravel_00020003_fill_pattern_3_0: 5930000 rects
+caravel_00020003_fill_pattern_3_0: 5940000 rects
+caravel_00020003_fill_pattern_3_0: 5950000 rects
+caravel_00020003_fill_pattern_3_0: 5960000 rects
+caravel_00020003_fill_pattern_3_0: 5970000 rects
+caravel_00020003_fill_pattern_3_0: 5980000 rects
+caravel_00020003_fill_pattern_3_0: 5990000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_3_0
 
@@ -11645,6 +11713,10 @@
 caravel_00020003_fill_pattern_2_1: 4760000 rects
 caravel_00020003_fill_pattern_2_1: 4770000 rects
 caravel_00020003_fill_pattern_2_1: 4780000 rects
+caravel_00020003_fill_pattern_2_1: 4790000 rects
+caravel_00020003_fill_pattern_2_1: 4800000 rects
+caravel_00020003_fill_pattern_2_1: 4810000 rects
+caravel_00020003_fill_pattern_2_1: 4820000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_2_1
 
@@ -11776,6 +11848,18 @@
 caravel_00020003_fill_pattern_2_0: 6170000 rects
 caravel_00020003_fill_pattern_2_0: 6180000 rects
 caravel_00020003_fill_pattern_2_0: 6190000 rects
+caravel_00020003_fill_pattern_2_0: 6200000 rects
+caravel_00020003_fill_pattern_2_0: 6210000 rects
+caravel_00020003_fill_pattern_2_0: 6220000 rects
+caravel_00020003_fill_pattern_2_0: 6230000 rects
+caravel_00020003_fill_pattern_2_0: 6240000 rects
+caravel_00020003_fill_pattern_2_0: 6250000 rects
+caravel_00020003_fill_pattern_2_0: 6260000 rects
+caravel_00020003_fill_pattern_2_0: 6270000 rects
+caravel_00020003_fill_pattern_2_0: 6280000 rects
+caravel_00020003_fill_pattern_2_0: 6290000 rects
+caravel_00020003_fill_pattern_2_0: 6300000 rects
+caravel_00020003_fill_pattern_2_0: 6310000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_2_0
 
@@ -11874,9 +11958,6 @@
 caravel_00020003_fill_pattern_1_0: 7520000 rects
 caravel_00020003_fill_pattern_1_0: 7530000 rects
 caravel_00020003_fill_pattern_1_0: 7540000 rects
-caravel_00020003_fill_pattern_1_0: 7550000 rects
-caravel_00020003_fill_pattern_1_0: 7560000 rects
-caravel_00020003_fill_pattern_1_0: 7570000 rects
 CIF output style is now "wafflefill(tiled)"
    Generating output for cell caravel_00020003_fill_pattern_1_0
 #python3 /mnt/shuttles/shuttle/mpw-two/caravel/scripts/generate_fill.py 00020003 caravan /mnt/shuttles/shuttle/mpw-two/slot-003/Analog-Neural-Network -keep 2>&1 | tee ./signoff/build/generate_fill.out
@@ -11967,7 +12048,7 @@
 Scaled magic input cell user_id_textblock geometry by factor of 2
 Scaled magic input cell alpha_0 geometry by factor of 2
 Scaled magic input cell user_analog_project_wrapper geometry by factor of 2
-Processing timestamp mismatches: sky130_fd_io__top_xres4v2, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__top_power_hvc, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__analog_pad, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__com_bus_slice_20um, sky130_ef_io__corner_pad, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__com_bus_slice_1um, chip_io_alt, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__decap_6, spare_logic_block, mgmt_protect, gpio_defaults_block, gpio_control_block, user_id_programming, digital_pll, housekeeping, caravel_clocking, xres_buf, seal_ring_corner_abstract.
+Processing timestamp mismatches: sky130_fd_io__top_xres4v2, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__top_power_hvc, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__analog_pad, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__com_bus_slice_20um, sky130_ef_io__com_bus_slice_1um, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__corner_pad, chip_io_alt, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__decap_6, spare_logic_block, mgmt_protect, gpio_defaults_block, gpio_control_block, user_id_programming, digital_pll, housekeeping, caravel_clocking, xres_buf, seal_ring_corner_abstract.
    Generating output for cell advSeal_6um_gen
 Reading "sealring_slots".
 Reading "seal_ring_slots_array".
@@ -12344,163 +12425,186 @@
 Reading "F9_DFFRAM".
 Reading "F9_sky130_fd_sc_hd__dlygate4sd3_1".
 Reading "F9_sky130_fd_sc_hd__buf_8".
-Reading "F9_sky130_fd_sc_hd__buf_12".
-Reading "F9_sky130_fd_sc_hd__buf_2".
 Reading "F9_sky130_fd_sc_hd__buf_6".
-Reading "F9_sky130_fd_sc_hd__clkdlybuf4s25_1".
-Reading "F9_sky130_fd_sc_hd__buf_4".
-Reading "F9_sky130_fd_sc_hd__dfxtp_4".
-Reading "F9_sky130_fd_sc_hd__clkdlybuf4s50_1".
-Reading "F9_sky130_fd_sc_hd__inv_2".
-Reading "F9_sky130_fd_sc_hd__nand2_1".
 Reading "F9_sky130_fd_sc_hd__dfxtp_2".
-Reading "F9_sky130_fd_sc_hd__a21oi_4".
-Reading "F9_sky130_fd_sc_hd__o221a_1".
 Reading "F9_sky130_fd_sc_hd__a221o_1".
+Reading "F9_sky130_fd_sc_hd__buf_2".
 Reading "F9_sky130_fd_sc_hd__a22o_1".
-Reading "F9_sky130_fd_sc_hd__dlymetal6s2s_1".
-Reading "F9_sky130_fd_sc_hd__a22o_4".
-Reading "F9_sky130_fd_sc_hd__nor2_1".
-Reading "F9_sky130_fd_sc_hd__o211a_1".
-Reading "F9_sky130_fd_sc_hd__a221o_4".
-Reading "F9_sky130_fd_sc_hd__nand2_2".
-Reading "F9_sky130_fd_sc_hd__nor2_2".
-Reading "F9_sky130_fd_sc_hd__or2_1".
-Reading "F9_sky130_fd_sc_hd__mux2_2".
-Reading "F9_sky130_fd_sc_hd__clkbuf_8".
-Reading "F9_sky130_fd_sc_hd__a32o_1".
-Reading "F9_sky130_fd_sc_hd__mux2_4".
-Reading "F9_sky130_fd_sc_hd__clkinv_2".
-Reading "F9_sky130_fd_sc_hd__and3_1".
-Reading "F9_sky130_fd_sc_hd__nor2_8".
-Reading "F9_sky130_fd_sc_hd__a21oi_1".
-Reading "F9_sky130_fd_sc_hd__nand2_4".
-Reading "F9_sky130_fd_sc_hd__nand2_8".
-Reading "F9_sky130_fd_sc_hd__or2_2".
-Reading "F9_sky130_fd_sc_hd__or3_1".
-Reading "F9_sky130_fd_sc_hd__or4_1".
-Reading "F9_sky130_fd_sc_hd__o21ai_1".
-Reading "F9_sky130_fd_sc_hd__or2b_1".
-Reading "F9_sky130_fd_sc_hd__o21a_1".
-Reading "F9_sky130_fd_sc_hd__mux2_8".
-Reading "F9_sky130_fd_sc_hd__nor2_4".
-Reading "F9_sky130_fd_sc_hd__a21o_1".
-Reading "F9_sky130_fd_sc_hd__and3b_1".
-Reading "F9_sky130_fd_sc_hd__a21oi_2".
-Reading "F9_sky130_fd_sc_hd__nand3_1".
-Reading "F9_sky130_fd_sc_hd__clkinv_16".
-Reading "F9_sky130_fd_sc_hd__o21bai_1".
-Reading "F9_sky130_fd_sc_hd__a31o_1".
-Reading "F9_sky130_fd_sc_hd__or3b_1".
-Reading "F9_sky130_fd_sc_hd__or3_4".
-Reading "F9_sky130_fd_sc_hd__nand3b_4".
-Reading "F9_sky130_fd_sc_hd__inv_6".
-Reading "F9_sky130_fd_sc_hd__o31a_1".
-Reading "F9_sky130_fd_sc_hd__nor3b_1".
-Reading "F9_sky130_fd_sc_hd__a211o_1".
-Reading "F9_sky130_fd_sc_hd__clkinv_4".
-Reading "F9_sky130_fd_sc_hd__o311a_1".
-Reading "F9_sky130_fd_sc_hd__nor3_1".
-Reading "F9_sky130_fd_sc_hd__a2bb2o_2".
-Reading "F9_sky130_fd_sc_hd__a221oi_1".
-Reading "F9_sky130_fd_sc_hd__or4_4".
-Reading "F9_sky130_fd_sc_hd__o22a_1".
+Reading "F9_sky130_fd_sc_hd__dfxtp_4".
 Reading "F9_sky130_fd_sc_hd__nand3_4".
-Reading "F9_sky130_fd_sc_hd__and3_2".
-Reading "F9_sky130_fd_sc_hd__o41a_1".
-Reading "F9_sky130_fd_sc_hd__nor3_2".
-Reading "F9_sky130_fd_sc_hd__o21ai_2".
-Reading "F9_sky130_fd_sc_hd__a211oi_1".
-Reading "F9_sky130_fd_sc_hd__o211ai_4".
-Reading "F9_sky130_fd_sc_hd__a31oi_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2a_1".
-Reading "F9_sky130_fd_sc_hd__clkinv_8".
-Reading "F9_sky130_fd_sc_hd__a31oi_1".
-Reading "F9_sky130_fd_sc_hd__o2111ai_1".
-Reading "F9_sky130_fd_sc_hd__o2111a_2".
-Reading "F9_sky130_fd_sc_hd__a22o_2".
-Reading "F9_sky130_fd_sc_hd__o211a_2".
-Reading "F9_sky130_fd_sc_hd__o31ai_1".
-Reading "F9_sky130_fd_sc_hd__or4_2".
-Reading "F9_sky130_fd_sc_hd__a2bb2o_1".
-Reading "F9_sky130_fd_sc_hd__and4_1".
-Reading "F9_sky130_fd_sc_hd__inv_12".
-Reading "F9_sky130_fd_sc_hd__a311o_1".
-Reading "F9_sky130_fd_sc_hd__inv_4".
-Reading "F9_sky130_fd_sc_hd__o32a_1".
-Reading "F9_sky130_fd_sc_hd__o221ai_1".
-Reading "F9_sky130_fd_sc_hd__o21ai_4".
-Reading "F9_sky130_fd_sc_hd__nand3_2".
-Reading "F9_sky130_fd_sc_hd__or3_2".
-Reading "F9_sky130_fd_sc_hd__inv_8".
-Reading "F9_sky130_fd_sc_hd__and4_4".
-Reading "F9_sky130_fd_sc_hd__o2111a_1".
-Reading "F9_sky130_fd_sc_hd__a21o_4".
-Reading "F9_sky130_fd_sc_hd__or3b_2".
-Reading "F9_sky130_fd_sc_hd__a22oi_1".
-Reading "F9_sky130_fd_sc_hd__xor2_1".
-Reading "F9_sky130_fd_sc_hd__and2b_1".
-Reading "F9_sky130_fd_sc_hd__o41ai_1".
-Reading "F9_sky130_fd_sc_hd__a41o_1".
-Reading "F9_sky130_fd_sc_hd__xnor2_1".
-Reading "F9_sky130_fd_sc_hd__o211ai_1".
-Reading "F9_sky130_fd_sc_hd__o22ai_1".
-Reading "F9_sky130_fd_sc_hd__a41oi_4".
-Reading "F9_sky130_fd_sc_hd__a22oi_4".
-Reading "F9_sky130_fd_sc_hd__a22oi_2".
 Reading "F9_sky130_fd_sc_hd__a221o_2".
+Reading "F9_sky130_fd_sc_hd__dlymetal6s2s_1".
+Reading "F9_sky130_fd_sc_hd__buf_12".
 Reading "F9_sky130_fd_sc_hd__a2111o_1".
-Reading "F9_sky130_fd_sc_hd__o221ai_2".
-Reading "F9_sky130_fd_sc_hd__a221oi_4".
-Reading "F9_sky130_fd_sc_hd__or4b_4".
-Reading "F9_sky130_fd_sc_hd__mux4_2".
-Reading "F9_sky130_fd_sc_hd__a21o_2".
-Reading "F9_sky130_fd_sc_hd__a21boi_1".
-Reading "F9_sky130_fd_sc_hd__o21bai_2".
-Reading "F9_sky130_fd_sc_hd__o21bai_4".
-Reading "F9_sky130_fd_sc_hd__a21boi_4".
-Reading "F9_sky130_fd_sc_hd__o221a_2".
-Reading "F9_sky130_fd_sc_hd__a21bo_1".
-Reading "F9_sky130_fd_sc_hd__nand3b_1".
-Reading "F9_sky130_fd_sc_hd__xor2_2".
-Reading "F9_sky130_fd_sc_hd__o2bb2ai_4".
-Reading "F9_sky130_fd_sc_hd__nor3_4".
-Reading "F9_sky130_fd_sc_hd__a21boi_2".
-Reading "F9_sky130_fd_sc_hd__o21a_2".
-Reading "F9_sky130_fd_sc_hd__o22a_2".
-Reading "F9_sky130_fd_sc_hd__o22a_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2ai_1".
-Reading "F9_sky130_fd_sc_hd__or2b_2".
-Reading "F9_sky130_fd_sc_hd__and4b_1".
-Reading "F9_sky130_fd_sc_hd__o22ai_4".
-Reading "F9_sky130_fd_sc_hd__a31o_4".
-Reading "F9_sky130_fd_sc_hd__nand2b_4".
-Reading "F9_sky130_fd_sc_hd__a31oi_2".
-Reading "F9_sky130_fd_sc_hd__o21a_4".
-Reading "F9_sky130_fd_sc_hd__or2_4".
-Reading "F9_sky130_fd_sc_hd__and2_4".
-Reading "F9_sky130_fd_sc_hd__o41a_2".
-Reading "F9_sky130_fd_sc_hd__o41a_4".
-Reading "F9_sky130_fd_sc_hd__a311oi_1".
-Reading "F9_sky130_fd_sc_hd__or4b_1".
-Reading "F9_sky130_fd_sc_hd__a221oi_2".
-Reading "F9_sky130_fd_sc_hd__o2111ai_4".
-Reading "F9_sky130_fd_sc_hd__a2111o_4".
-Reading "F9_sky130_fd_sc_hd__or3b_4".
-Reading "F9_sky130_fd_sc_hd__o21ba_1".
+Reading "F9_sky130_fd_sc_hd__and4_1".
 Reading "F9_sky130_fd_sc_hd__a2111o_2".
-Reading "F9_sky130_fd_sc_hd__o211a_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2a_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2a_2".
-Reading "F9_sky130_fd_sc_hd__o32ai_1".
-Reading "F9_sky130_fd_sc_hd__nand3b_2".
-Reading "F9_sky130_fd_sc_hd__o22ai_2".
-Reading "F9_sky130_fd_sc_hd__xnor2_2".
-Reading "F9_sky130_fd_sc_hd__xnor2_4".
-Reading "F9_sky130_fd_sc_hd__a31o_2".
-Reading "F9_sky130_fd_sc_hd__o32ai_4".
+Reading "F9_sky130_fd_sc_hd__o211a_1".
+Reading "F9_sky130_fd_sc_hd__and3_1".
+Reading "F9_sky130_fd_sc_hd__inv_2".
+Reading "F9_sky130_fd_sc_hd__and2b_1".
+Reading "F9_sky130_fd_sc_hd__nand3b_1".
+Reading "F9_sky130_fd_sc_hd__a2111oi_2".
+Reading "F9_sky130_fd_sc_hd__a22o_2".
+Reading "F9_sky130_fd_sc_hd__a221o_4".
+Reading "F9_sky130_fd_sc_hd__nor3b_1".
+Reading "F9_sky130_fd_sc_hd__nor3_4".
+Reading "F9_sky130_fd_sc_hd__clkinv_8".
+Reading "F9_sky130_fd_sc_hd__inv_12".
+Reading "F9_sky130_fd_sc_hd__and3b_1".
+Reading "F9_sky130_fd_sc_hd__nor3b_2".
+Reading "F9_sky130_fd_sc_hd__or2_1".
+Reading "F9_sky130_fd_sc_hd__buf_4".
+Reading "F9_sky130_fd_sc_hd__a211oi_1".
+Reading "F9_sky130_fd_sc_hd__inv_6".
+Reading "F9_sky130_fd_sc_hd__nand2_1".
+Reading "F9_sky130_fd_sc_hd__nand3b_4".
+Reading "F9_sky130_fd_sc_hd__nand3_1".
+Reading "F9_sky130_fd_sc_hd__inv_16".
+Reading "F9_sky130_fd_sc_hd__o2111a_1".
+Reading "F9_sky130_fd_sc_hd__a21oi_1".
+Reading "F9_sky130_fd_sc_hd__o21ai_4".
+Reading "F9_sky130_fd_sc_hd__mux2_2".
+Reading "F9_sky130_fd_sc_hd__inv_4".
+Reading "F9_sky130_fd_sc_hd__clkinv_2".
+Reading "F9_sky130_fd_sc_hd__a21bo_1".
+Reading "F9_sky130_fd_sc_hd__o21a_1".
+Reading "F9_sky130_fd_sc_hd__a211o_2".
+Reading "F9_sky130_fd_sc_hd__or2b_1".
+Reading "F9_sky130_fd_sc_hd__a21oi_4".
+Reading "F9_sky130_fd_sc_hd__o21ai_1".
+Reading "F9_sky130_fd_sc_hd__a21boi_1".
+Reading "F9_sky130_fd_sc_hd__nor3_1".
+Reading "F9_sky130_fd_sc_hd__nor2_2".
+Reading "F9_sky130_fd_sc_hd__a31oi_1".
+Reading "F9_sky130_fd_sc_hd__a21o_1".
+Reading "F9_sky130_fd_sc_hd__o21a_2".
+Reading "F9_sky130_fd_sc_hd__o21bai_1".
+Reading "F9_sky130_fd_sc_hd__o211ai_1".
+Reading "F9_sky130_fd_sc_hd__o31ai_1".
+Reading "F9_sky130_fd_sc_hd__nor2_1".
 Reading "F9_sky130_fd_sc_hd__xor2_4".
+Reading "F9_sky130_fd_sc_hd__nand3_2".
+Reading "F9_sky130_fd_sc_hd__o21ba_1".
+Reading "F9_sky130_fd_sc_hd__a41oi_1".
+Reading "F9_sky130_fd_sc_hd__nor3_2".
+Reading "F9_sky130_fd_sc_hd__o41ai_1".
+Reading "F9_sky130_fd_sc_hd__o21bai_2".
+Reading "F9_sky130_fd_sc_hd__xnor2_4".
+Reading "F9_sky130_fd_sc_hd__clkbuf_8".
+Reading "F9_sky130_fd_sc_hd__a41oi_4".
+Reading "F9_sky130_fd_sc_hd__xnor2_2".
+Reading "F9_sky130_fd_sc_hd__or3b_1".
+Reading "F9_sky130_fd_sc_hd__nand2_4".
+Reading "F9_sky130_fd_sc_hd__xnor2_1".
+Reading "F9_sky130_fd_sc_hd__nand2_8".
+Reading "F9_sky130_fd_sc_hd__xor2_1".
+Reading "F9_sky130_fd_sc_hd__nor2b_2".
+Reading "F9_sky130_fd_sc_hd__o211a_2".
+Reading "F9_sky130_fd_sc_hd__o31a_1".
+Reading "F9_sky130_fd_sc_hd__mux2_8".
+Reading "F9_sky130_fd_sc_hd__mux2_4".
+Reading "F9_sky130_fd_sc_hd__a21oi_2".
+Reading "F9_sky130_fd_sc_hd__a21o_2".
+Reading "F9_sky130_fd_sc_hd__o21bai_4".
+Reading "F9_sky130_fd_sc_hd__a211o_1".
+Reading "F9_sky130_fd_sc_hd__a311o_1".
+Reading "F9_sky130_fd_sc_hd__a22oi_4".
+Reading "F9_sky130_fd_sc_hd__o2111a_4".
+Reading "F9_sky130_fd_sc_hd__o22a_1".
+Reading "F9_sky130_fd_sc_hd__a31o_1".
+Reading "F9_sky130_fd_sc_hd__and4_4".
+Reading "F9_sky130_fd_sc_hd__a22oi_2".
+Reading "F9_sky130_fd_sc_hd__o41a_2".
+Reading "F9_sky130_fd_sc_hd__nand2_2".
+Reading "F9_sky130_fd_sc_hd__nor2_8".
+Reading "F9_sky130_fd_sc_hd__a32oi_2".
+Reading "F9_sky130_fd_sc_hd__a221oi_4".
 Reading "F9_sky130_fd_sc_hd__nor2b_4".
+Reading "F9_sky130_fd_sc_hd__or4_2".
+Reading "F9_sky130_fd_sc_hd__a211oi_2".
+Reading "F9_sky130_fd_sc_hd__clkinv_4".
+Reading "F9_sky130_fd_sc_hd__a2bb2oi_1".
+Reading "F9_sky130_fd_sc_hd__a31oi_4".
+Reading "F9_sky130_fd_sc_hd__nand3b_2".
+Reading "F9_sky130_fd_sc_hd__a21boi_2".
+Reading "F9_sky130_fd_sc_hd__nor2_4".
+Reading "F9_sky130_fd_sc_hd__o311ai_1".
+Reading "F9_sky130_fd_sc_hd__a41oi_2".
+Reading "F9_sky130_fd_sc_hd__or3b_4".
+Reading "F9_sky130_fd_sc_hd__clkinv_16".
+Reading "F9_sky130_fd_sc_hd__o211ai_4".
+Reading "F9_sky130_fd_sc_hd__o21ba_4".
+Reading "F9_sky130_fd_sc_hd__o2111ai_2".
+Reading "F9_sky130_fd_sc_hd__o2111ai_1".
+Reading "F9_sky130_fd_sc_hd__a32o_1".
+Reading "F9_sky130_fd_sc_hd__a311oi_1".
+Reading "F9_sky130_fd_sc_hd__a2111o_4".
+Reading "F9_sky130_fd_sc_hd__or4_4".
+Reading "F9_sky130_fd_sc_hd__o21ai_2".
+Reading "F9_sky130_fd_sc_hd__a2111oi_1".
+Reading "F9_sky130_fd_sc_hd__a211oi_4".
+Reading "F9_sky130_fd_sc_hd__o311ai_4".
+Reading "F9_sky130_fd_sc_hd__a2111oi_4".
+Reading "F9_sky130_fd_sc_hd__mux4_2".
+Reading "F9_sky130_fd_sc_hd__or2b_4".
+Reading "F9_sky130_fd_sc_hd__o21a_4".
+Reading "F9_sky130_fd_sc_hd__and4b_4".
+Reading "F9_sky130_fd_sc_hd__o2111ai_4".
+Reading "F9_sky130_fd_sc_hd__or2_2".
+Reading "F9_sky130_fd_sc_hd__o22ai_1".
+Reading "F9_sky130_fd_sc_hd__o2bb2ai_1".
+Reading "F9_sky130_fd_sc_hd__a32oi_1".
+Reading "F9_sky130_fd_sc_hd__inv_8".
+Reading "F9_sky130_fd_sc_hd__a21boi_4".
+Reading "F9_sky130_fd_sc_hd__or4_1".
+Reading "F9_sky130_fd_sc_hd__o32ai_1".
+Reading "F9_sky130_fd_sc_hd__a41o_1".
+Reading "F9_sky130_fd_sc_hd__xor2_2".
+Reading "F9_sky130_fd_sc_hd__o221ai_1".
+Reading "F9_sky130_fd_sc_hd__o32a_1".
+Reading "F9_sky130_fd_sc_hd__o41a_1".
+Reading "F9_sky130_fd_sc_hd__a22oi_1".
+Reading "F9_sky130_fd_sc_hd__and3_2".
+Reading "F9_sky130_fd_sc_hd__o221a_2".
+Reading "F9_sky130_fd_sc_hd__o221a_1".
+Reading "F9_sky130_fd_sc_hd__and2_4".
+Reading "F9_sky130_fd_sc_hd__or3_1".
+Reading "F9_sky130_fd_sc_hd__o22ai_2".
+Reading "F9_sky130_fd_sc_hd__a221oi_2".
+Reading "F9_sky130_fd_sc_hd__a221oi_1".
+Reading "F9_sky130_fd_sc_hd__o211ai_2".
+Reading "F9_sky130_fd_sc_hd__o311ai_2".
+Reading "F9_sky130_fd_sc_hd__o31ai_4".
+Reading "F9_sky130_fd_sc_hd__a311oi_2".
+Reading "F9_sky130_fd_sc_hd__a31oi_2".
+Reading "F9_sky130_fd_sc_hd__o311a_2".
+Reading "F9_sky130_fd_sc_hd__o41ai_4".
+Reading "F9_sky130_fd_sc_hd__or3_2".
+Reading "F9_sky130_fd_sc_hd__o31a_4".
+Reading "F9_sky130_fd_sc_hd__o21ba_2".
+Reading "F9_sky130_fd_sc_hd__a311oi_4".
+Reading "F9_sky130_fd_sc_hd__and2b_4".
+Reading "F9_sky130_fd_sc_hd__o2bb2ai_2".
+Reading "F9_sky130_fd_sc_hd__o2bb2ai_4".
+Reading "F9_sky130_fd_sc_hd__o22a_2".
+Reading "F9_sky130_fd_sc_hd__and4b_1".
+Reading "F9_sky130_fd_sc_hd__nand2b_1".
+Reading "F9_sky130_fd_sc_hd__o2111a_2".
+Reading "F9_sky130_fd_sc_hd__a2bb2oi_4".
+Reading "F9_sky130_fd_sc_hd__a2bb2oi_2".
+Reading "F9_sky130_fd_sc_hd__dlymetal6s4s_1".
+Reading "F9_sky130_fd_sc_hd__or3_4".
+Reading "F9_sky130_fd_sc_hd__a22o_4".
+Reading "F9_sky130_fd_sc_hd__a32oi_4".
+Reading "F9_sky130_fd_sc_hd__o311a_1".
+Reading "F9_sky130_fd_sc_hd__a21o_4".
+Reading "F9_sky130_fd_sc_hd__or4b_1".
+Reading "F9_sky130_fd_sc_hd__a32o_4".
+Reading "F9_sky130_fd_sc_hd__and3b_2".
+Reading "F9_sky130_fd_sc_hd__o32ai_4".
+Reading "F9_sky130_fd_sc_hd__or2b_2".
+Reading "F9_sky130_fd_sc_hd__o221ai_2".
+Reading "F9_sky130_fd_sc_hd__a31o_4".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_contact_39".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_contact_19".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_contact_14".
@@ -12662,18 +12766,11 @@
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_replica_bitcell_array".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8_bank".
 Reading "F9_sky130_sram_2kbyte_1rw1r_32x512_8".
-Reading "F9_sky130_fd_sc_hd__a211oi_4".
-Reading "F9_sky130_fd_sc_hd__a211oi_2".
-Reading "F9_sky130_fd_sc_hd__o2111a_4".
-Reading "F9_sky130_fd_sc_hd__o31a_2".
-Reading "F9_sky130_fd_sc_hd__o31a_4".
-Reading "F9_sky130_fd_sc_hd__a2bb2oi_1".
-Reading "F9_sky130_fd_sc_hd__a41oi_2".
-Reading "F9_sky130_fd_sc_hd__a41oi_1".
-Reading "F9_sky130_fd_sc_hd__o221ai_4".
-Reading "F9_sky130_fd_sc_hd__a311oi_4".
-Reading "F9_sky130_fd_sc_hd__o2bb2ai_2".
-Reading "F9_sky130_fd_sc_hd__a2111oi_4".
+Reading "F9_sky130_fd_sc_hd__o32ai_2".
+Reading "F9_sky130_fd_sc_hd__a21bo_2".
+Reading "F9_sky130_fd_sc_hd__o31ai_2".
+Reading "F9_sky130_fd_sc_hd__o41ai_2".
+Reading "F9_sky130_fd_sc_hd__o211a_4".
 Reading "F9_mgmt_core".
 Reading "mgmt_core_wrapper".
 Reading "gpio_defaults_block_1800".
@@ -12691,10 +12788,10 @@
 Reading "sky130_fd_io__corner_bus_overlay".
 Reading "sky130_ef_io__corner_pad".
 Reading "sky130_ef_io__com_bus_slice_20um".
-Reading "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um".
-Reading "sky130_ef_io__com_bus_slice_10um".
-Reading "sky130_ef_io__com_bus_slice_1um".
 Reading "sky130_ef_io__com_bus_slice_5um".
+Reading "sky130_ef_io__com_bus_slice_1um".
+Reading "sky130_ef_io__com_bus_slice_10um".
+Reading "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um".
 Reading "sky130_ef_io__hvc_vdda_overlay".
 Reading "sky130_fd_io__com_bus_slice".
 Reading "sky130_fd_io__com_bus_hookup".
@@ -13409,24 +13506,26 @@
 Final build completed.
 converting gds file to oasis file
 [INFO] Changing from ./gds/caravel_00020003.gds to ./gds/caravel_00020003.oas
+Warning: Could not create backup file: unable to rename original file './gds/caravel_00020003.oas' to backup file
 Done
 -------------------------------------------------------------------------------------------
 {{ STEP 4 }} final gds generated for mpw-two, slot-003 : Analog-Neural-Network
 -------------------------------------------------------------------------------------------
-ok[main 483bb0b] final gds oasis
+ok[main 9ea6d7e] final gds oasis
  2 files changed, 1 insertion(+), 1 deletion(-)
+remote: Waiting for private key checker: 1/2 objects left        
 To https://foss-eda-tools.googlesource.com/third_party/shuttle/mpw-two/slot-003.git
-   7114090..483bb0b  HEAD -> main
+   671ea27..9ea6d7e  HEAD -> main
 -------------------------------------------------------------------------------------------
 {{ STEP 6 }} fom & met density checks mpw-two, slot-003 : Analog-Neural-Network
 -------------------------------------------------------------------------------------------
 {{ MET CHECK }} running met density check () for mpw-two, slot-003 : Analog-Neural-Network
-li1_ca_density is 0.42199398538866395
-m1_ca_density is 0.4781443671052511
-m2_ca_density is 0.5018193215869193
-m3_ca_density is 0.5014527723215128
-m4_ca_density is 0.4669784236659551
-m5_ca_density is 0.41783820534352
+li1_ca_density is 0.4224595867698894
+m1_ca_density is 0.4779649363171108
+m2_ca_density is 0.502163952060906
+m3_ca_density is 0.5015519526859695
+m4_ca_density is 0.4672178112243336
+m5_ca_density is 0.42021857795002326
 ok{{ FOM CHECK }} running FOM check (70) for mpw-two, slot-003 : Analog-Neural-Network
 fom_density.drc:: sourcing design file=./gds/caravel_00020003.gds topcell=caravel_00020003 ...
 done.
@@ -13483,7 +13582,7 @@
 {{ CHECK }} 2535/2730
 {{ CHECK }} 2600/2730
 {{ CHECK }} 2665/2730
-minimum fom density  = 0.3559
+minimum fom density  = 0.3543
 maximum fom density  = 0.5122
 finish received: success = true
 ok-------------------------------------------------------------------------------------------
@@ -13501,18 +13600,6 @@
 gds/caravel_00020003.gds.gz -> gds/caravel_00020003.gds.gz.00.split gds/caravel_00020003.gds.gz.01.split gds/caravel_00020003.gds.gz.02.split gds/caravel_00020003.gds.gz.03.split gds/caravel_00020003.gds.gz.04.split
 gds/caravel_00020003_fill_pattern.gds.gz -> gds/caravel_00020003_fill_pattern.gds.gz.00.split gds/caravel_00020003_fill_pattern.gds.gz.01.split gds/caravel_00020003_fill_pattern.gds.gz.02.split gds/caravel_00020003_fill_pattern.gds.gz.03.split gds/caravel_00020003_fill_pattern.gds.gz.04.split
 Files larger than 100 MBytes are compressed!
-warning: You ran 'git add' with neither '-A (--all)' or '--ignore-removal',
-whose behaviour will change in Git 2.0 with respect to paths you removed.
-Paths like 'mag/gpio_defaults_block_0403.mag' that are
-removed from your working tree are ignored with this version of Git.
-
-* 'git add --ignore-removal <pathspec>', which is the current default,
-  ignores paths you removed from your working tree.
-
-* 'git add --all <pathspec>' will let you also record the removals.
-
-Run 'git status' to check the paths you removed from your working tree.
-
 fatal: pathspec 'maglef' did not match any files
 -------------------------------------------------------------------------------------------
 {{ STEP 8 }} pushing tapeout updates to shuttle-repo for mpw-two, slot-003 : Analog-Neural-Network
diff --git a/signoff/versions b/signoff/versions
index 5e060dc..8d04076 100644
--- a/signoff/versions
+++ b/signoff/versions
@@ -1,19 +1,19 @@
 ------------------------------------
-make_ship: Wed Dec 8 04:22:39 UTC 2021
+make_ship: Fri Dec 10 00:33:55 UTC 2021
 make_ship: caravel = commit develop
 make_ship: magic = 8.3.234
 make_ship: sky130A tech = version 1.0.250-1-g89f6ff4
 make_ship: open_pdks = 1.0.251
 make_ship: klayout = KLayout 0.27.3
 ------------------------------------
-generate_fill: Wed Dec 8 04:23:02 UTC 2021
+generate_fill: Fri Dec 10 00:34:19 UTC 2021
 generate_fill: caravel = commit develop
 generate_fill: magic = 8.3.234
 generate_fill: sky130A tech = version 1.0.250-1-g89f6ff4
 generate_fill: open_pdks = 1.0.251
 generate_fill: klayout = KLayout 0.27.3
 ------------------------------------
-make_final: Wed Dec 8 04:43:11 UTC 2021
+make_final: Fri Dec 10 00:52:09 UTC 2021
 make_final: caravel = commit develop
 make_final: magic = 8.3.234
 make_final: sky130A tech = version 1.0.250-1-g89f6ff4