blob: 876f1c6fe5df198fdaa959fd9612ad698808fde1 [file] [log] [blame]
`timescale 1ns / 1ps
module top(
a,
b,
c);
input wire a;
input wire b;
output wire c;
assign c = a & b;
endmodule