blob: dddfa7c327f08e30bbac40874f1566e40cf106a7 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN caravel ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 3588000 5188000 ) ;
ROW ROW_0 unithv 5760 16280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1 unithv 5760 20350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_2 unithv 5760 24420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_3 unithv 5760 28490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_4 unithv 5760 32560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_5 unithv 5760 36630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_6 unithv 5760 40700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_7 unithv 5760 44770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_8 unithv 5760 48840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_9 unithv 5760 52910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_10 unithv 5760 56980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_11 unithv 5760 61050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_12 unithv 5760 65120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_13 unithv 5760 69190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_14 unithv 5760 73260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_15 unithv 5760 77330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_16 unithv 5760 81400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_17 unithv 5760 85470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_18 unithv 5760 89540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_19 unithv 5760 93610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_20 unithv 5760 97680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_21 unithv 5760 101750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_22 unithv 5760 105820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_23 unithv 5760 109890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_24 unithv 5760 113960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_25 unithv 5760 118030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_26 unithv 5760 122100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_27 unithv 5760 126170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_28 unithv 5760 130240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_29 unithv 5760 134310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_30 unithv 5760 138380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_31 unithv 5760 142450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_32 unithv 5760 146520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_33 unithv 5760 150590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_34 unithv 5760 154660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_35 unithv 5760 158730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_36 unithv 5760 162800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_37 unithv 5760 166870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_38 unithv 5760 170940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_39 unithv 5760 175010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_40 unithv 5760 179080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_41 unithv 5760 183150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_42 unithv 5760 187220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_43 unithv 5760 191290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_44 unithv 5760 195360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_45 unithv 5760 199430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_46 unithv 5760 203500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_47 unithv 5760 207570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_48 unithv 5760 211640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_49 unithv 5760 215710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_50 unithv 5760 219780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_51 unithv 5760 223850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_52 unithv 5760 227920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_53 unithv 5760 231990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_54 unithv 5760 236060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_55 unithv 5760 240130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_56 unithv 5760 244200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_57 unithv 5760 248270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_58 unithv 5760 252340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_59 unithv 5760 256410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_60 unithv 5760 260480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_61 unithv 5760 264550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_62 unithv 5760 268620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_63 unithv 5760 272690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_64 unithv 5760 276760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_65 unithv 5760 280830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_66 unithv 5760 284900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_67 unithv 5760 288970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_68 unithv 5760 293040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_69 unithv 5760 297110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_70 unithv 5760 301180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_71 unithv 5760 305250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_72 unithv 5760 309320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_73 unithv 5760 313390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_74 unithv 5760 317460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_75 unithv 5760 321530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_76 unithv 5760 325600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_77 unithv 5760 329670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_78 unithv 5760 333740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_79 unithv 5760 337810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_80 unithv 5760 341880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_81 unithv 5760 345950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_82 unithv 5760 350020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_83 unithv 5760 354090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_84 unithv 5760 358160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_85 unithv 5760 362230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_86 unithv 5760 366300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_87 unithv 5760 370370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_88 unithv 5760 374440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_89 unithv 5760 378510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_90 unithv 5760 382580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_91 unithv 5760 386650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_92 unithv 5760 390720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_93 unithv 5760 394790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_94 unithv 5760 398860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_95 unithv 5760 402930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_96 unithv 5760 407000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_97 unithv 5760 411070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_98 unithv 5760 415140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_99 unithv 5760 419210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_100 unithv 5760 423280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_101 unithv 5760 427350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_102 unithv 5760 431420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_103 unithv 5760 435490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_104 unithv 5760 439560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_105 unithv 5760 443630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_106 unithv 5760 447700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_107 unithv 5760 451770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_108 unithv 5760 455840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_109 unithv 5760 459910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_110 unithv 5760 463980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_111 unithv 5760 468050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_112 unithv 5760 472120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_113 unithv 5760 476190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_114 unithv 5760 480260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_115 unithv 5760 484330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_116 unithv 5760 488400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_117 unithv 5760 492470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_118 unithv 5760 496540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_119 unithv 5760 500610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_120 unithv 5760 504680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_121 unithv 5760 508750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_122 unithv 5760 512820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_123 unithv 5760 516890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_124 unithv 5760 520960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_125 unithv 5760 525030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_126 unithv 5760 529100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_127 unithv 5760 533170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_128 unithv 5760 537240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_129 unithv 5760 541310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_130 unithv 5760 545380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_131 unithv 5760 549450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_132 unithv 5760 553520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_133 unithv 5760 557590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_134 unithv 5760 561660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_135 unithv 5760 565730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_136 unithv 5760 569800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_137 unithv 5760 573870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_138 unithv 5760 577940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_139 unithv 5760 582010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_140 unithv 5760 586080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_141 unithv 5760 590150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_142 unithv 5760 594220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_143 unithv 5760 598290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_144 unithv 5760 602360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_145 unithv 5760 606430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_146 unithv 5760 610500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_147 unithv 5760 614570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_148 unithv 5760 618640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_149 unithv 5760 622710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_150 unithv 5760 626780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_151 unithv 5760 630850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_152 unithv 5760 634920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_153 unithv 5760 638990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_154 unithv 5760 643060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_155 unithv 5760 647130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_156 unithv 5760 651200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_157 unithv 5760 655270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_158 unithv 5760 659340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_159 unithv 5760 663410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_160 unithv 5760 667480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_161 unithv 5760 671550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_162 unithv 5760 675620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_163 unithv 5760 679690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_164 unithv 5760 683760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_165 unithv 5760 687830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_166 unithv 5760 691900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_167 unithv 5760 695970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_168 unithv 5760 700040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_169 unithv 5760 704110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_170 unithv 5760 708180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_171 unithv 5760 712250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_172 unithv 5760 716320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_173 unithv 5760 720390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_174 unithv 5760 724460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_175 unithv 5760 728530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_176 unithv 5760 732600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_177 unithv 5760 736670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_178 unithv 5760 740740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_179 unithv 5760 744810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_180 unithv 5760 748880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_181 unithv 5760 752950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_182 unithv 5760 757020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_183 unithv 5760 761090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_184 unithv 5760 765160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_185 unithv 5760 769230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_186 unithv 5760 773300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_187 unithv 5760 777370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_188 unithv 5760 781440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_189 unithv 5760 785510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_190 unithv 5760 789580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_191 unithv 5760 793650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_192 unithv 5760 797720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_193 unithv 5760 801790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_194 unithv 5760 805860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_195 unithv 5760 809930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_196 unithv 5760 814000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_197 unithv 5760 818070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_198 unithv 5760 822140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_199 unithv 5760 826210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_200 unithv 5760 830280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_201 unithv 5760 834350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_202 unithv 5760 838420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_203 unithv 5760 842490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_204 unithv 5760 846560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_205 unithv 5760 850630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_206 unithv 5760 854700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_207 unithv 5760 858770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_208 unithv 5760 862840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_209 unithv 5760 866910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_210 unithv 5760 870980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_211 unithv 5760 875050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_212 unithv 5760 879120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_213 unithv 5760 883190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_214 unithv 5760 887260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_215 unithv 5760 891330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_216 unithv 5760 895400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_217 unithv 5760 899470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_218 unithv 5760 903540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_219 unithv 5760 907610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_220 unithv 5760 911680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_221 unithv 5760 915750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_222 unithv 5760 919820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_223 unithv 5760 923890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_224 unithv 5760 927960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_225 unithv 5760 932030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_226 unithv 5760 936100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_227 unithv 5760 940170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_228 unithv 5760 944240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_229 unithv 5760 948310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_230 unithv 5760 952380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_231 unithv 5760 956450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_232 unithv 5760 960520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_233 unithv 5760 964590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_234 unithv 5760 968660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_235 unithv 5760 972730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_236 unithv 5760 976800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_237 unithv 5760 980870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_238 unithv 5760 984940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_239 unithv 5760 989010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_240 unithv 5760 993080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_241 unithv 5760 997150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_242 unithv 5760 1001220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_243 unithv 5760 1005290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_244 unithv 5760 1009360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_245 unithv 5760 1013430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_246 unithv 5760 1017500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_247 unithv 5760 1021570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_248 unithv 5760 1025640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_249 unithv 5760 1029710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_250 unithv 5760 1033780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_251 unithv 5760 1037850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_252 unithv 5760 1041920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_253 unithv 5760 1045990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_254 unithv 5760 1050060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_255 unithv 5760 1054130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_256 unithv 5760 1058200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_257 unithv 5760 1062270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_258 unithv 5760 1066340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_259 unithv 5760 1070410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_260 unithv 5760 1074480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_261 unithv 5760 1078550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_262 unithv 5760 1082620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_263 unithv 5760 1086690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_264 unithv 5760 1090760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_265 unithv 5760 1094830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_266 unithv 5760 1098900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_267 unithv 5760 1102970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_268 unithv 5760 1107040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_269 unithv 5760 1111110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_270 unithv 5760 1115180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_271 unithv 5760 1119250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_272 unithv 5760 1123320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_273 unithv 5760 1127390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_274 unithv 5760 1131460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_275 unithv 5760 1135530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_276 unithv 5760 1139600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_277 unithv 5760 1143670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_278 unithv 5760 1147740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_279 unithv 5760 1151810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_280 unithv 5760 1155880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_281 unithv 5760 1159950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_282 unithv 5760 1164020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_283 unithv 5760 1168090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_284 unithv 5760 1172160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_285 unithv 5760 1176230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_286 unithv 5760 1180300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_287 unithv 5760 1184370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_288 unithv 5760 1188440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_289 unithv 5760 1192510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_290 unithv 5760 1196580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_291 unithv 5760 1200650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_292 unithv 5760 1204720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_293 unithv 5760 1208790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_294 unithv 5760 1212860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_295 unithv 5760 1216930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_296 unithv 5760 1221000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_297 unithv 5760 1225070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_298 unithv 5760 1229140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_299 unithv 5760 1233210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_300 unithv 5760 1237280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_301 unithv 5760 1241350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_302 unithv 5760 1245420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_303 unithv 5760 1249490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_304 unithv 5760 1253560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_305 unithv 5760 1257630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_306 unithv 5760 1261700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_307 unithv 5760 1265770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_308 unithv 5760 1269840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_309 unithv 5760 1273910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_310 unithv 5760 1277980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_311 unithv 5760 1282050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_312 unithv 5760 1286120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_313 unithv 5760 1290190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_314 unithv 5760 1294260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_315 unithv 5760 1298330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_316 unithv 5760 1302400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_317 unithv 5760 1306470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_318 unithv 5760 1310540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_319 unithv 5760 1314610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_320 unithv 5760 1318680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_321 unithv 5760 1322750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_322 unithv 5760 1326820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_323 unithv 5760 1330890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_324 unithv 5760 1334960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_325 unithv 5760 1339030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_326 unithv 5760 1343100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_327 unithv 5760 1347170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_328 unithv 5760 1351240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_329 unithv 5760 1355310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_330 unithv 5760 1359380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_331 unithv 5760 1363450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_332 unithv 5760 1367520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_333 unithv 5760 1371590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_334 unithv 5760 1375660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_335 unithv 5760 1379730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_336 unithv 5760 1383800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_337 unithv 5760 1387870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_338 unithv 5760 1391940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_339 unithv 5760 1396010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_340 unithv 5760 1400080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_341 unithv 5760 1404150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_342 unithv 5760 1408220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_343 unithv 5760 1412290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_344 unithv 5760 1416360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_345 unithv 5760 1420430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_346 unithv 5760 1424500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_347 unithv 5760 1428570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_348 unithv 5760 1432640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_349 unithv 5760 1436710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_350 unithv 5760 1440780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_351 unithv 5760 1444850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_352 unithv 5760 1448920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_353 unithv 5760 1452990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_354 unithv 5760 1457060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_355 unithv 5760 1461130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_356 unithv 5760 1465200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_357 unithv 5760 1469270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_358 unithv 5760 1473340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_359 unithv 5760 1477410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_360 unithv 5760 1481480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_361 unithv 5760 1485550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_362 unithv 5760 1489620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_363 unithv 5760 1493690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_364 unithv 5760 1497760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_365 unithv 5760 1501830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_366 unithv 5760 1505900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_367 unithv 5760 1509970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_368 unithv 5760 1514040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_369 unithv 5760 1518110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_370 unithv 5760 1522180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_371 unithv 5760 1526250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_372 unithv 5760 1530320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_373 unithv 5760 1534390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_374 unithv 5760 1538460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_375 unithv 5760 1542530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_376 unithv 5760 1546600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_377 unithv 5760 1550670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_378 unithv 5760 1554740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_379 unithv 5760 1558810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_380 unithv 5760 1562880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_381 unithv 5760 1566950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_382 unithv 5760 1571020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_383 unithv 5760 1575090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_384 unithv 5760 1579160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_385 unithv 5760 1583230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_386 unithv 5760 1587300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_387 unithv 5760 1591370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_388 unithv 5760 1595440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_389 unithv 5760 1599510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_390 unithv 5760 1603580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_391 unithv 5760 1607650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_392 unithv 5760 1611720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_393 unithv 5760 1615790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_394 unithv 5760 1619860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_395 unithv 5760 1623930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_396 unithv 5760 1628000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_397 unithv 5760 1632070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_398 unithv 5760 1636140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_399 unithv 5760 1640210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_400 unithv 5760 1644280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_401 unithv 5760 1648350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_402 unithv 5760 1652420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_403 unithv 5760 1656490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_404 unithv 5760 1660560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_405 unithv 5760 1664630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_406 unithv 5760 1668700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_407 unithv 5760 1672770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_408 unithv 5760 1676840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_409 unithv 5760 1680910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_410 unithv 5760 1684980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_411 unithv 5760 1689050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_412 unithv 5760 1693120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_413 unithv 5760 1697190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_414 unithv 5760 1701260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_415 unithv 5760 1705330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_416 unithv 5760 1709400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_417 unithv 5760 1713470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_418 unithv 5760 1717540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_419 unithv 5760 1721610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_420 unithv 5760 1725680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_421 unithv 5760 1729750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_422 unithv 5760 1733820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_423 unithv 5760 1737890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_424 unithv 5760 1741960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_425 unithv 5760 1746030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_426 unithv 5760 1750100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_427 unithv 5760 1754170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_428 unithv 5760 1758240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_429 unithv 5760 1762310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_430 unithv 5760 1766380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_431 unithv 5760 1770450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_432 unithv 5760 1774520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_433 unithv 5760 1778590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_434 unithv 5760 1782660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_435 unithv 5760 1786730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_436 unithv 5760 1790800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_437 unithv 5760 1794870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_438 unithv 5760 1798940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_439 unithv 5760 1803010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_440 unithv 5760 1807080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_441 unithv 5760 1811150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_442 unithv 5760 1815220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_443 unithv 5760 1819290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_444 unithv 5760 1823360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_445 unithv 5760 1827430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_446 unithv 5760 1831500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_447 unithv 5760 1835570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_448 unithv 5760 1839640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_449 unithv 5760 1843710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_450 unithv 5760 1847780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_451 unithv 5760 1851850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_452 unithv 5760 1855920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_453 unithv 5760 1859990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_454 unithv 5760 1864060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_455 unithv 5760 1868130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_456 unithv 5760 1872200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_457 unithv 5760 1876270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_458 unithv 5760 1880340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_459 unithv 5760 1884410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_460 unithv 5760 1888480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_461 unithv 5760 1892550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_462 unithv 5760 1896620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_463 unithv 5760 1900690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_464 unithv 5760 1904760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_465 unithv 5760 1908830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_466 unithv 5760 1912900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_467 unithv 5760 1916970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_468 unithv 5760 1921040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_469 unithv 5760 1925110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_470 unithv 5760 1929180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_471 unithv 5760 1933250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_472 unithv 5760 1937320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_473 unithv 5760 1941390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_474 unithv 5760 1945460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_475 unithv 5760 1949530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_476 unithv 5760 1953600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_477 unithv 5760 1957670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_478 unithv 5760 1961740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_479 unithv 5760 1965810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_480 unithv 5760 1969880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_481 unithv 5760 1973950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_482 unithv 5760 1978020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_483 unithv 5760 1982090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_484 unithv 5760 1986160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_485 unithv 5760 1990230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_486 unithv 5760 1994300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_487 unithv 5760 1998370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_488 unithv 5760 2002440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_489 unithv 5760 2006510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_490 unithv 5760 2010580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_491 unithv 5760 2014650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_492 unithv 5760 2018720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_493 unithv 5760 2022790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_494 unithv 5760 2026860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_495 unithv 5760 2030930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_496 unithv 5760 2035000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_497 unithv 5760 2039070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_498 unithv 5760 2043140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_499 unithv 5760 2047210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_500 unithv 5760 2051280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_501 unithv 5760 2055350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_502 unithv 5760 2059420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_503 unithv 5760 2063490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_504 unithv 5760 2067560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_505 unithv 5760 2071630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_506 unithv 5760 2075700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_507 unithv 5760 2079770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_508 unithv 5760 2083840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_509 unithv 5760 2087910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_510 unithv 5760 2091980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_511 unithv 5760 2096050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_512 unithv 5760 2100120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_513 unithv 5760 2104190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_514 unithv 5760 2108260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_515 unithv 5760 2112330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_516 unithv 5760 2116400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_517 unithv 5760 2120470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_518 unithv 5760 2124540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_519 unithv 5760 2128610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_520 unithv 5760 2132680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_521 unithv 5760 2136750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_522 unithv 5760 2140820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_523 unithv 5760 2144890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_524 unithv 5760 2148960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_525 unithv 5760 2153030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_526 unithv 5760 2157100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_527 unithv 5760 2161170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_528 unithv 5760 2165240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_529 unithv 5760 2169310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_530 unithv 5760 2173380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_531 unithv 5760 2177450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_532 unithv 5760 2181520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_533 unithv 5760 2185590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_534 unithv 5760 2189660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_535 unithv 5760 2193730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_536 unithv 5760 2197800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_537 unithv 5760 2201870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_538 unithv 5760 2205940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_539 unithv 5760 2210010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_540 unithv 5760 2214080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_541 unithv 5760 2218150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_542 unithv 5760 2222220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_543 unithv 5760 2226290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_544 unithv 5760 2230360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_545 unithv 5760 2234430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_546 unithv 5760 2238500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_547 unithv 5760 2242570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_548 unithv 5760 2246640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_549 unithv 5760 2250710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_550 unithv 5760 2254780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_551 unithv 5760 2258850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_552 unithv 5760 2262920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_553 unithv 5760 2266990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_554 unithv 5760 2271060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_555 unithv 5760 2275130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_556 unithv 5760 2279200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_557 unithv 5760 2283270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_558 unithv 5760 2287340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_559 unithv 5760 2291410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_560 unithv 5760 2295480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_561 unithv 5760 2299550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_562 unithv 5760 2303620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_563 unithv 5760 2307690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_564 unithv 5760 2311760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_565 unithv 5760 2315830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_566 unithv 5760 2319900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_567 unithv 5760 2323970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_568 unithv 5760 2328040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_569 unithv 5760 2332110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_570 unithv 5760 2336180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_571 unithv 5760 2340250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_572 unithv 5760 2344320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_573 unithv 5760 2348390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_574 unithv 5760 2352460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_575 unithv 5760 2356530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_576 unithv 5760 2360600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_577 unithv 5760 2364670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_578 unithv 5760 2368740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_579 unithv 5760 2372810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_580 unithv 5760 2376880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_581 unithv 5760 2380950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_582 unithv 5760 2385020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_583 unithv 5760 2389090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_584 unithv 5760 2393160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_585 unithv 5760 2397230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_586 unithv 5760 2401300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_587 unithv 5760 2405370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_588 unithv 5760 2409440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_589 unithv 5760 2413510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_590 unithv 5760 2417580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_591 unithv 5760 2421650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_592 unithv 5760 2425720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_593 unithv 5760 2429790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_594 unithv 5760 2433860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_595 unithv 5760 2437930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_596 unithv 5760 2442000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_597 unithv 5760 2446070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_598 unithv 5760 2450140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_599 unithv 5760 2454210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_600 unithv 5760 2458280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_601 unithv 5760 2462350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_602 unithv 5760 2466420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_603 unithv 5760 2470490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_604 unithv 5760 2474560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_605 unithv 5760 2478630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_606 unithv 5760 2482700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_607 unithv 5760 2486770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_608 unithv 5760 2490840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_609 unithv 5760 2494910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_610 unithv 5760 2498980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_611 unithv 5760 2503050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_612 unithv 5760 2507120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_613 unithv 5760 2511190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_614 unithv 5760 2515260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_615 unithv 5760 2519330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_616 unithv 5760 2523400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_617 unithv 5760 2527470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_618 unithv 5760 2531540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_619 unithv 5760 2535610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_620 unithv 5760 2539680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_621 unithv 5760 2543750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_622 unithv 5760 2547820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_623 unithv 5760 2551890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_624 unithv 5760 2555960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_625 unithv 5760 2560030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_626 unithv 5760 2564100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_627 unithv 5760 2568170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_628 unithv 5760 2572240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_629 unithv 5760 2576310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_630 unithv 5760 2580380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_631 unithv 5760 2584450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_632 unithv 5760 2588520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_633 unithv 5760 2592590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_634 unithv 5760 2596660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_635 unithv 5760 2600730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_636 unithv 5760 2604800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_637 unithv 5760 2608870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_638 unithv 5760 2612940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_639 unithv 5760 2617010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_640 unithv 5760 2621080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_641 unithv 5760 2625150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_642 unithv 5760 2629220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_643 unithv 5760 2633290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_644 unithv 5760 2637360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_645 unithv 5760 2641430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_646 unithv 5760 2645500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_647 unithv 5760 2649570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_648 unithv 5760 2653640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_649 unithv 5760 2657710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_650 unithv 5760 2661780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_651 unithv 5760 2665850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_652 unithv 5760 2669920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_653 unithv 5760 2673990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_654 unithv 5760 2678060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_655 unithv 5760 2682130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_656 unithv 5760 2686200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_657 unithv 5760 2690270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_658 unithv 5760 2694340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_659 unithv 5760 2698410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_660 unithv 5760 2702480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_661 unithv 5760 2706550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_662 unithv 5760 2710620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_663 unithv 5760 2714690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_664 unithv 5760 2718760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_665 unithv 5760 2722830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_666 unithv 5760 2726900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_667 unithv 5760 2730970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_668 unithv 5760 2735040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_669 unithv 5760 2739110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_670 unithv 5760 2743180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_671 unithv 5760 2747250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_672 unithv 5760 2751320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_673 unithv 5760 2755390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_674 unithv 5760 2759460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_675 unithv 5760 2763530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_676 unithv 5760 2767600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_677 unithv 5760 2771670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_678 unithv 5760 2775740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_679 unithv 5760 2779810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_680 unithv 5760 2783880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_681 unithv 5760 2787950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_682 unithv 5760 2792020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_683 unithv 5760 2796090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_684 unithv 5760 2800160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_685 unithv 5760 2804230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_686 unithv 5760 2808300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_687 unithv 5760 2812370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_688 unithv 5760 2816440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_689 unithv 5760 2820510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_690 unithv 5760 2824580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_691 unithv 5760 2828650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_692 unithv 5760 2832720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_693 unithv 5760 2836790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_694 unithv 5760 2840860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_695 unithv 5760 2844930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_696 unithv 5760 2849000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_697 unithv 5760 2853070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_698 unithv 5760 2857140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_699 unithv 5760 2861210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_700 unithv 5760 2865280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_701 unithv 5760 2869350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_702 unithv 5760 2873420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_703 unithv 5760 2877490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_704 unithv 5760 2881560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_705 unithv 5760 2885630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_706 unithv 5760 2889700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_707 unithv 5760 2893770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_708 unithv 5760 2897840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_709 unithv 5760 2901910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_710 unithv 5760 2905980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_711 unithv 5760 2910050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_712 unithv 5760 2914120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_713 unithv 5760 2918190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_714 unithv 5760 2922260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_715 unithv 5760 2926330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_716 unithv 5760 2930400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_717 unithv 5760 2934470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_718 unithv 5760 2938540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_719 unithv 5760 2942610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_720 unithv 5760 2946680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_721 unithv 5760 2950750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_722 unithv 5760 2954820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_723 unithv 5760 2958890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_724 unithv 5760 2962960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_725 unithv 5760 2967030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_726 unithv 5760 2971100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_727 unithv 5760 2975170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_728 unithv 5760 2979240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_729 unithv 5760 2983310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_730 unithv 5760 2987380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_731 unithv 5760 2991450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_732 unithv 5760 2995520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_733 unithv 5760 2999590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_734 unithv 5760 3003660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_735 unithv 5760 3007730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_736 unithv 5760 3011800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_737 unithv 5760 3015870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_738 unithv 5760 3019940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_739 unithv 5760 3024010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_740 unithv 5760 3028080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_741 unithv 5760 3032150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_742 unithv 5760 3036220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_743 unithv 5760 3040290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_744 unithv 5760 3044360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_745 unithv 5760 3048430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_746 unithv 5760 3052500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_747 unithv 5760 3056570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_748 unithv 5760 3060640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_749 unithv 5760 3064710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_750 unithv 5760 3068780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_751 unithv 5760 3072850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_752 unithv 5760 3076920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_753 unithv 5760 3080990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_754 unithv 5760 3085060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_755 unithv 5760 3089130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_756 unithv 5760 3093200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_757 unithv 5760 3097270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_758 unithv 5760 3101340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_759 unithv 5760 3105410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_760 unithv 5760 3109480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_761 unithv 5760 3113550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_762 unithv 5760 3117620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_763 unithv 5760 3121690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_764 unithv 5760 3125760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_765 unithv 5760 3129830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_766 unithv 5760 3133900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_767 unithv 5760 3137970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_768 unithv 5760 3142040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_769 unithv 5760 3146110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_770 unithv 5760 3150180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_771 unithv 5760 3154250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_772 unithv 5760 3158320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_773 unithv 5760 3162390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_774 unithv 5760 3166460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_775 unithv 5760 3170530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_776 unithv 5760 3174600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_777 unithv 5760 3178670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_778 unithv 5760 3182740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_779 unithv 5760 3186810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_780 unithv 5760 3190880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_781 unithv 5760 3194950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_782 unithv 5760 3199020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_783 unithv 5760 3203090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_784 unithv 5760 3207160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_785 unithv 5760 3211230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_786 unithv 5760 3215300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_787 unithv 5760 3219370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_788 unithv 5760 3223440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_789 unithv 5760 3227510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_790 unithv 5760 3231580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_791 unithv 5760 3235650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_792 unithv 5760 3239720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_793 unithv 5760 3243790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_794 unithv 5760 3247860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_795 unithv 5760 3251930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_796 unithv 5760 3256000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_797 unithv 5760 3260070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_798 unithv 5760 3264140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_799 unithv 5760 3268210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_800 unithv 5760 3272280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_801 unithv 5760 3276350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_802 unithv 5760 3280420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_803 unithv 5760 3284490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_804 unithv 5760 3288560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_805 unithv 5760 3292630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_806 unithv 5760 3296700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_807 unithv 5760 3300770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_808 unithv 5760 3304840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_809 unithv 5760 3308910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_810 unithv 5760 3312980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_811 unithv 5760 3317050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_812 unithv 5760 3321120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_813 unithv 5760 3325190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_814 unithv 5760 3329260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_815 unithv 5760 3333330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_816 unithv 5760 3337400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_817 unithv 5760 3341470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_818 unithv 5760 3345540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_819 unithv 5760 3349610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_820 unithv 5760 3353680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_821 unithv 5760 3357750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_822 unithv 5760 3361820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_823 unithv 5760 3365890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_824 unithv 5760 3369960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_825 unithv 5760 3374030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_826 unithv 5760 3378100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_827 unithv 5760 3382170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_828 unithv 5760 3386240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_829 unithv 5760 3390310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_830 unithv 5760 3394380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_831 unithv 5760 3398450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_832 unithv 5760 3402520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_833 unithv 5760 3406590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_834 unithv 5760 3410660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_835 unithv 5760 3414730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_836 unithv 5760 3418800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_837 unithv 5760 3422870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_838 unithv 5760 3426940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_839 unithv 5760 3431010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_840 unithv 5760 3435080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_841 unithv 5760 3439150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_842 unithv 5760 3443220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_843 unithv 5760 3447290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_844 unithv 5760 3451360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_845 unithv 5760 3455430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_846 unithv 5760 3459500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_847 unithv 5760 3463570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_848 unithv 5760 3467640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_849 unithv 5760 3471710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_850 unithv 5760 3475780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_851 unithv 5760 3479850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_852 unithv 5760 3483920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_853 unithv 5760 3487990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_854 unithv 5760 3492060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_855 unithv 5760 3496130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_856 unithv 5760 3500200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_857 unithv 5760 3504270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_858 unithv 5760 3508340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_859 unithv 5760 3512410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_860 unithv 5760 3516480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_861 unithv 5760 3520550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_862 unithv 5760 3524620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_863 unithv 5760 3528690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_864 unithv 5760 3532760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_865 unithv 5760 3536830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_866 unithv 5760 3540900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_867 unithv 5760 3544970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_868 unithv 5760 3549040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_869 unithv 5760 3553110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_870 unithv 5760 3557180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_871 unithv 5760 3561250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_872 unithv 5760 3565320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_873 unithv 5760 3569390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_874 unithv 5760 3573460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_875 unithv 5760 3577530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_876 unithv 5760 3581600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_877 unithv 5760 3585670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_878 unithv 5760 3589740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_879 unithv 5760 3593810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_880 unithv 5760 3597880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_881 unithv 5760 3601950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_882 unithv 5760 3606020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_883 unithv 5760 3610090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_884 unithv 5760 3614160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_885 unithv 5760 3618230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_886 unithv 5760 3622300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_887 unithv 5760 3626370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_888 unithv 5760 3630440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_889 unithv 5760 3634510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_890 unithv 5760 3638580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_891 unithv 5760 3642650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_892 unithv 5760 3646720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_893 unithv 5760 3650790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_894 unithv 5760 3654860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_895 unithv 5760 3658930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_896 unithv 5760 3663000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_897 unithv 5760 3667070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_898 unithv 5760 3671140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_899 unithv 5760 3675210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_900 unithv 5760 3679280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_901 unithv 5760 3683350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_902 unithv 5760 3687420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_903 unithv 5760 3691490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_904 unithv 5760 3695560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_905 unithv 5760 3699630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_906 unithv 5760 3703700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_907 unithv 5760 3707770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_908 unithv 5760 3711840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_909 unithv 5760 3715910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_910 unithv 5760 3719980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_911 unithv 5760 3724050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_912 unithv 5760 3728120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_913 unithv 5760 3732190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_914 unithv 5760 3736260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_915 unithv 5760 3740330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_916 unithv 5760 3744400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_917 unithv 5760 3748470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_918 unithv 5760 3752540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_919 unithv 5760 3756610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_920 unithv 5760 3760680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_921 unithv 5760 3764750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_922 unithv 5760 3768820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_923 unithv 5760 3772890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_924 unithv 5760 3776960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_925 unithv 5760 3781030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_926 unithv 5760 3785100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_927 unithv 5760 3789170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_928 unithv 5760 3793240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_929 unithv 5760 3797310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_930 unithv 5760 3801380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_931 unithv 5760 3805450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_932 unithv 5760 3809520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_933 unithv 5760 3813590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_934 unithv 5760 3817660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_935 unithv 5760 3821730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_936 unithv 5760 3825800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_937 unithv 5760 3829870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_938 unithv 5760 3833940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_939 unithv 5760 3838010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_940 unithv 5760 3842080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_941 unithv 5760 3846150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_942 unithv 5760 3850220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_943 unithv 5760 3854290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_944 unithv 5760 3858360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_945 unithv 5760 3862430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_946 unithv 5760 3866500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_947 unithv 5760 3870570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_948 unithv 5760 3874640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_949 unithv 5760 3878710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_950 unithv 5760 3882780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_951 unithv 5760 3886850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_952 unithv 5760 3890920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_953 unithv 5760 3894990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_954 unithv 5760 3899060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_955 unithv 5760 3903130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_956 unithv 5760 3907200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_957 unithv 5760 3911270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_958 unithv 5760 3915340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_959 unithv 5760 3919410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_960 unithv 5760 3923480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_961 unithv 5760 3927550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_962 unithv 5760 3931620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_963 unithv 5760 3935690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_964 unithv 5760 3939760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_965 unithv 5760 3943830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_966 unithv 5760 3947900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_967 unithv 5760 3951970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_968 unithv 5760 3956040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_969 unithv 5760 3960110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_970 unithv 5760 3964180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_971 unithv 5760 3968250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_972 unithv 5760 3972320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_973 unithv 5760 3976390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_974 unithv 5760 3980460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_975 unithv 5760 3984530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_976 unithv 5760 3988600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_977 unithv 5760 3992670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_978 unithv 5760 3996740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_979 unithv 5760 4000810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_980 unithv 5760 4004880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_981 unithv 5760 4008950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_982 unithv 5760 4013020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_983 unithv 5760 4017090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_984 unithv 5760 4021160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_985 unithv 5760 4025230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_986 unithv 5760 4029300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_987 unithv 5760 4033370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_988 unithv 5760 4037440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_989 unithv 5760 4041510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_990 unithv 5760 4045580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_991 unithv 5760 4049650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_992 unithv 5760 4053720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_993 unithv 5760 4057790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_994 unithv 5760 4061860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_995 unithv 5760 4065930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_996 unithv 5760 4070000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_997 unithv 5760 4074070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_998 unithv 5760 4078140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_999 unithv 5760 4082210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1000 unithv 5760 4086280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1001 unithv 5760 4090350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1002 unithv 5760 4094420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1003 unithv 5760 4098490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1004 unithv 5760 4102560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1005 unithv 5760 4106630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1006 unithv 5760 4110700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1007 unithv 5760 4114770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1008 unithv 5760 4118840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1009 unithv 5760 4122910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1010 unithv 5760 4126980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1011 unithv 5760 4131050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1012 unithv 5760 4135120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1013 unithv 5760 4139190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1014 unithv 5760 4143260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1015 unithv 5760 4147330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1016 unithv 5760 4151400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1017 unithv 5760 4155470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1018 unithv 5760 4159540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1019 unithv 5760 4163610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1020 unithv 5760 4167680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1021 unithv 5760 4171750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1022 unithv 5760 4175820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1023 unithv 5760 4179890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1024 unithv 5760 4183960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1025 unithv 5760 4188030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1026 unithv 5760 4192100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1027 unithv 5760 4196170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1028 unithv 5760 4200240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1029 unithv 5760 4204310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1030 unithv 5760 4208380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1031 unithv 5760 4212450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1032 unithv 5760 4216520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1033 unithv 5760 4220590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1034 unithv 5760 4224660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1035 unithv 5760 4228730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1036 unithv 5760 4232800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1037 unithv 5760 4236870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1038 unithv 5760 4240940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1039 unithv 5760 4245010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1040 unithv 5760 4249080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1041 unithv 5760 4253150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1042 unithv 5760 4257220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1043 unithv 5760 4261290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1044 unithv 5760 4265360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1045 unithv 5760 4269430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1046 unithv 5760 4273500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1047 unithv 5760 4277570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1048 unithv 5760 4281640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1049 unithv 5760 4285710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1050 unithv 5760 4289780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1051 unithv 5760 4293850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1052 unithv 5760 4297920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1053 unithv 5760 4301990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1054 unithv 5760 4306060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1055 unithv 5760 4310130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1056 unithv 5760 4314200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1057 unithv 5760 4318270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1058 unithv 5760 4322340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1059 unithv 5760 4326410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1060 unithv 5760 4330480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1061 unithv 5760 4334550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1062 unithv 5760 4338620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1063 unithv 5760 4342690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1064 unithv 5760 4346760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1065 unithv 5760 4350830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1066 unithv 5760 4354900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1067 unithv 5760 4358970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1068 unithv 5760 4363040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1069 unithv 5760 4367110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1070 unithv 5760 4371180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1071 unithv 5760 4375250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1072 unithv 5760 4379320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1073 unithv 5760 4383390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1074 unithv 5760 4387460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1075 unithv 5760 4391530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1076 unithv 5760 4395600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1077 unithv 5760 4399670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1078 unithv 5760 4403740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1079 unithv 5760 4407810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1080 unithv 5760 4411880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1081 unithv 5760 4415950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1082 unithv 5760 4420020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1083 unithv 5760 4424090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1084 unithv 5760 4428160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1085 unithv 5760 4432230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1086 unithv 5760 4436300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1087 unithv 5760 4440370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1088 unithv 5760 4444440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1089 unithv 5760 4448510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1090 unithv 5760 4452580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1091 unithv 5760 4456650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1092 unithv 5760 4460720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1093 unithv 5760 4464790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1094 unithv 5760 4468860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1095 unithv 5760 4472930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1096 unithv 5760 4477000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1097 unithv 5760 4481070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1098 unithv 5760 4485140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1099 unithv 5760 4489210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1100 unithv 5760 4493280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1101 unithv 5760 4497350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1102 unithv 5760 4501420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1103 unithv 5760 4505490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1104 unithv 5760 4509560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1105 unithv 5760 4513630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1106 unithv 5760 4517700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1107 unithv 5760 4521770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1108 unithv 5760 4525840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1109 unithv 5760 4529910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1110 unithv 5760 4533980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1111 unithv 5760 4538050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1112 unithv 5760 4542120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1113 unithv 5760 4546190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1114 unithv 5760 4550260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1115 unithv 5760 4554330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1116 unithv 5760 4558400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1117 unithv 5760 4562470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1118 unithv 5760 4566540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1119 unithv 5760 4570610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1120 unithv 5760 4574680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1121 unithv 5760 4578750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1122 unithv 5760 4582820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1123 unithv 5760 4586890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1124 unithv 5760 4590960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1125 unithv 5760 4595030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1126 unithv 5760 4599100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1127 unithv 5760 4603170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1128 unithv 5760 4607240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1129 unithv 5760 4611310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1130 unithv 5760 4615380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1131 unithv 5760 4619450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1132 unithv 5760 4623520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1133 unithv 5760 4627590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1134 unithv 5760 4631660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1135 unithv 5760 4635730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1136 unithv 5760 4639800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1137 unithv 5760 4643870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1138 unithv 5760 4647940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1139 unithv 5760 4652010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1140 unithv 5760 4656080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1141 unithv 5760 4660150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1142 unithv 5760 4664220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1143 unithv 5760 4668290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1144 unithv 5760 4672360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1145 unithv 5760 4676430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1146 unithv 5760 4680500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1147 unithv 5760 4684570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1148 unithv 5760 4688640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1149 unithv 5760 4692710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1150 unithv 5760 4696780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1151 unithv 5760 4700850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1152 unithv 5760 4704920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1153 unithv 5760 4708990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1154 unithv 5760 4713060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1155 unithv 5760 4717130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1156 unithv 5760 4721200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1157 unithv 5760 4725270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1158 unithv 5760 4729340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1159 unithv 5760 4733410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1160 unithv 5760 4737480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1161 unithv 5760 4741550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1162 unithv 5760 4745620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1163 unithv 5760 4749690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1164 unithv 5760 4753760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1165 unithv 5760 4757830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1166 unithv 5760 4761900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1167 unithv 5760 4765970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1168 unithv 5760 4770040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1169 unithv 5760 4774110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1170 unithv 5760 4778180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1171 unithv 5760 4782250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1172 unithv 5760 4786320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1173 unithv 5760 4790390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1174 unithv 5760 4794460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1175 unithv 5760 4798530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1176 unithv 5760 4802600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1177 unithv 5760 4806670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1178 unithv 5760 4810740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1179 unithv 5760 4814810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1180 unithv 5760 4818880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1181 unithv 5760 4822950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1182 unithv 5760 4827020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1183 unithv 5760 4831090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1184 unithv 5760 4835160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1185 unithv 5760 4839230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1186 unithv 5760 4843300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1187 unithv 5760 4847370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1188 unithv 5760 4851440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1189 unithv 5760 4855510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1190 unithv 5760 4859580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1191 unithv 5760 4863650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1192 unithv 5760 4867720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1193 unithv 5760 4871790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1194 unithv 5760 4875860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1195 unithv 5760 4879930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1196 unithv 5760 4884000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1197 unithv 5760 4888070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1198 unithv 5760 4892140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1199 unithv 5760 4896210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1200 unithv 5760 4900280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1201 unithv 5760 4904350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1202 unithv 5760 4908420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1203 unithv 5760 4912490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1204 unithv 5760 4916560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1205 unithv 5760 4920630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1206 unithv 5760 4924700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1207 unithv 5760 4928770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1208 unithv 5760 4932840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1209 unithv 5760 4936910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1210 unithv 5760 4940980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1211 unithv 5760 4945050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1212 unithv 5760 4949120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1213 unithv 5760 4953190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1214 unithv 5760 4957260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1215 unithv 5760 4961330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1216 unithv 5760 4965400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1217 unithv 5760 4969470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1218 unithv 5760 4973540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1219 unithv 5760 4977610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1220 unithv 5760 4981680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1221 unithv 5760 4985750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1222 unithv 5760 4989820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1223 unithv 5760 4993890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1224 unithv 5760 4997960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1225 unithv 5760 5002030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1226 unithv 5760 5006100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1227 unithv 5760 5010170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1228 unithv 5760 5014240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1229 unithv 5760 5018310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1230 unithv 5760 5022380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1231 unithv 5760 5026450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1232 unithv 5760 5030520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1233 unithv 5760 5034590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1234 unithv 5760 5038660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1235 unithv 5760 5042730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1236 unithv 5760 5046800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1237 unithv 5760 5050870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1238 unithv 5760 5054940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1239 unithv 5760 5059010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1240 unithv 5760 5063080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1241 unithv 5760 5067150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1242 unithv 5760 5071220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1243 unithv 5760 5075290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1244 unithv 5760 5079360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1245 unithv 5760 5083430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1246 unithv 5760 5087500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1247 unithv 5760 5091570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1248 unithv 5760 5095640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1249 unithv 5760 5099710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1250 unithv 5760 5103780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1251 unithv 5760 5107850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1252 unithv 5760 5111920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1253 unithv 5760 5115990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1254 unithv 5760 5120060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1255 unithv 5760 5124130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1256 unithv 5760 5128200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1257 unithv 5760 5132270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1258 unithv 5760 5136340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1259 unithv 5760 5140410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1260 unithv 5760 5144480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1261 unithv 5760 5148550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1262 unithv 5760 5152620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1263 unithv 5760 5156690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1264 unithv 5760 5160760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1265 unithv 5760 5164830 N DO 7451 BY 1 STEP 480 0
;
TRACKS X 240 DO 7475 STEP 480 LAYER li1 ;
TRACKS Y 240 DO 10808 STEP 480 LAYER li1 ;
TRACKS X 185 DO 9697 STEP 370 LAYER met1 ;
TRACKS Y 185 DO 14022 STEP 370 LAYER met1 ;
TRACKS X 240 DO 7475 STEP 480 LAYER met2 ;
TRACKS Y 240 DO 10808 STEP 480 LAYER met2 ;
TRACKS X 370 DO 4849 STEP 740 LAYER met3 ;
TRACKS Y 370 DO 7011 STEP 740 LAYER met3 ;
TRACKS X 480 DO 3738 STEP 960 LAYER met4 ;
TRACKS Y 480 DO 5404 STEP 960 LAYER met4 ;
TRACKS X 1665 DO 1077 STEP 3330 LAYER met5 ;
TRACKS Y 1665 DO 1558 STEP 3330 LAYER met5 ;
VIAS 2 ;
- via2_FR
+ RECT met2 ( -140 -185 ) ( 140 185 )
+ RECT via2 ( -100 -100 ) ( 100 100 )
+ RECT met3 ( -165 -165 ) ( 165 165 )
;
- via4_FR
+ RECT met4 ( -590 -590 ) ( 590 590 )
+ RECT via4 ( -400 -400 ) ( 400 400 )
+ RECT met5 ( -710 -710 ) ( 710 710 )
;
END VIAS
COMPONENTS 48 ;
- obs_li1 obs + PLACED ( 0 0 ) N ;
- obs_vddio_obs obs_vddio_obs + FIXED ( 103400 607150 ) N ;
- gpio_control_bidir\[0\] gpio_control_block + FIXED ( 3373015 605000 ) FN ;
- gpio_control_bidir\[1\] gpio_control_block + FIXED ( 3373015 831000 ) FN ;
- gpio_control_in\[10\] gpio_control_block + FIXED ( 3373015 3070000 ) FN ;
- gpio_control_in\[11\] gpio_control_block + FIXED ( 3373015 3295000 ) FN ;
- gpio_control_in\[12\] gpio_control_block + FIXED ( 3373015 3521000 ) FN ;
- gpio_control_in\[13\] gpio_control_block + FIXED ( 3373015 3746000 ) FN ;
- gpio_control_in\[14\] gpio_control_block + FIXED ( 3373015 4638000 ) FN ;
- gpio_control_in\[15\] gpio_control_block + FIXED ( 2746000 4979065 ) E ;
- gpio_control_in\[16\] gpio_control_block + FIXED ( 2489000 4979065 ) E ;
- gpio_control_in\[17\] gpio_control_block + FIXED ( 2104000 4979065 ) E ;
- gpio_control_in\[18\] gpio_control_block + FIXED ( 1767000 4979065 ) E ;
- gpio_control_in\[19\] gpio_control_block + FIXED ( 1515000 4979065 ) E ;
- gpio_control_in\[20\] gpio_control_block + FIXED ( 1257000 4979065 ) E ;
- gpio_control_in\[21\] gpio_control_block + FIXED ( 1000000 4979065 ) E ;
- gpio_control_in\[22\] gpio_control_block + FIXED ( 743000 4979065 ) E ;
- gpio_control_in\[23\] gpio_control_block + FIXED ( 486000 4979065 ) E ;
- gpio_control_in\[24\] gpio_control_block + FIXED ( 42835 4656120 ) N ;
- gpio_control_in\[25\] gpio_control_block + FIXED ( 42835 4027000 ) N ;
- gpio_control_in\[26\] gpio_control_block + FIXED ( 42835 3811000 ) N ;
- gpio_control_in\[27\] gpio_control_block + FIXED ( 42835 3595000 ) N ;
- gpio_control_in\[28\] gpio_control_block + FIXED ( 42835 3379000 ) N ;
- gpio_control_in\[29\] gpio_control_block + FIXED ( 42835 3163000 ) N ;
- gpio_control_in\[2\] gpio_control_block + FIXED ( 3373015 1056000 ) FN ;
- gpio_control_in\[30\] gpio_control_block + FIXED ( 42835 2947000 ) N ;
- gpio_control_in\[31\] gpio_control_block + FIXED ( 42835 2731000 ) N ;
- gpio_control_in\[32\] gpio_control_block + FIXED ( 42835 2093000 ) N ;
- gpio_control_in\[33\] gpio_control_block + FIXED ( 42835 1877000 ) N ;
- gpio_control_in\[34\] gpio_control_block + FIXED ( 42835 1661000 ) N ;
- gpio_control_in\[35\] gpio_control_block + FIXED ( 42835 1445000 ) N ;
- gpio_control_in\[36\] gpio_control_block + FIXED ( 42835 1229000 ) N ;
- gpio_control_in\[37\] gpio_control_block + FIXED ( 42835 1013000 ) N ;
- gpio_control_in\[3\] gpio_control_block + FIXED ( 3373015 1282000 ) FN ;
- gpio_control_in\[4\] gpio_control_block + FIXED ( 3373015 1507000 ) FN ;
- gpio_control_in\[5\] gpio_control_block + FIXED ( 3373015 1732000 ) FN ;
- gpio_control_in\[6\] gpio_control_block + FIXED ( 3373015 1958000 ) FN ;
- gpio_control_in\[7\] gpio_control_block + FIXED ( 3373015 2399000 ) FN ;
- gpio_control_in\[8\] gpio_control_block + FIXED ( 3373015 2619000 ) FN ;
- gpio_control_in\[9\] gpio_control_block + FIXED ( 3373015 2844000 ) FN ;
- mgmt_buffers mgmt_protect + FIXED ( 1060900 1234240 ) N ;
- mprj user_project_wrapper + FIXED ( 326540 1393580 ) N ;
- padframe chip_io + FIXED ( 0 0 ) N ;
- por simple_por + FIXED ( 3270880 522710 ) FS ;
- rstb_level sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped + FIXED ( 664480 234780 ) S ;
- soc mgmt_core + FIXED ( 1052110 268010 ) N ;
- storage storage + FIXED ( 260160 265780 ) N ;
- user_id_value user_id_programming + FIXED ( 3283120 404630 ) N ;
END COMPONENTS
NETS 1928 ;
- vddio ( padframe vddio ) ( padframe mprj_io_hldh_n[9] ) ( padframe mprj_io_hldh_n[8] ) ( padframe mprj_io_hldh_n[7] )
( padframe mprj_io_hldh_n[6] ) ( padframe mprj_io_hldh_n[5] ) ( padframe mprj_io_hldh_n[4] ) ( padframe mprj_io_hldh_n[3] ) ( padframe mprj_io_hldh_n[37] )
( padframe mprj_io_hldh_n[36] ) ( padframe mprj_io_hldh_n[35] ) ( padframe mprj_io_hldh_n[34] ) ( padframe mprj_io_hldh_n[33] ) ( padframe mprj_io_hldh_n[32] )
( padframe mprj_io_hldh_n[31] ) ( padframe mprj_io_hldh_n[30] ) ( padframe mprj_io_hldh_n[2] ) ( padframe mprj_io_hldh_n[29] ) ( padframe mprj_io_hldh_n[28] )
( padframe mprj_io_hldh_n[27] ) ( padframe mprj_io_hldh_n[26] ) ( padframe mprj_io_hldh_n[25] ) ( padframe mprj_io_hldh_n[24] ) ( padframe mprj_io_hldh_n[23] )
( padframe mprj_io_hldh_n[22] ) ( padframe mprj_io_hldh_n[21] ) ( padframe mprj_io_hldh_n[20] ) ( padframe mprj_io_hldh_n[1] ) ( padframe mprj_io_hldh_n[19] )
( padframe mprj_io_hldh_n[18] ) ( padframe mprj_io_hldh_n[17] ) ( padframe mprj_io_hldh_n[16] ) ( padframe mprj_io_hldh_n[15] ) ( padframe mprj_io_hldh_n[14] )
( padframe mprj_io_hldh_n[13] ) ( padframe mprj_io_hldh_n[12] ) ( padframe mprj_io_hldh_n[11] ) ( padframe mprj_io_hldh_n[10] ) ( padframe mprj_io_hldh_n[0] )
+ ROUTED met3 ( 199200 624930 0 ) ( 199200 626410 )
NEW met3 ( 199200 626410 ) ( 199440 626410 )
NEW met2 ( 199440 626410 ) ( 199440 626595 )
NEW met1 ( 199440 626595 ) ( 212400 626595 )
NEW met2 ( 209040 1588040 0 ) ( 209040 1589150 )
NEW met3 ( 208800 1589150 ) ( 209040 1589150 )
NEW met3 ( 212400 4810370 ) ( 214560 4810370 )
NEW met2 ( 211920 923890 ) ( 212400 923890 )
NEW met2 ( 212400 626595 ) ( 212400 923890 )
NEW met2 ( 210960 3953985 0 ) ( 210960 3954930 )
NEW met3 ( 210960 3954930 ) ( 214560 3954930 )
NEW met4 ( 207840 3951045 ) ( 208800 3951045 )
NEW met4 ( 207840 3951045 ) ( 207840 3954930 )
NEW met3 ( 207840 3954930 ) ( 210960 3954930 )
NEW met2 ( 210960 4802970 0 ) ( 210960 4805005 )
NEW met1 ( 210960 4805005 ) ( 212400 4805005 )
NEW met2 ( 212400 4805005 ) ( 212400 4810370 )
NEW met2 ( 413040 4975945 ) ( 413040 4977610 0 )
NEW met2 ( 413040 4969285 ) ( 413040 4975945 )
NEW met2 ( 1212720 4970210 ) ( 1212720 4971690 )
NEW met3 ( 1212720 4971690 ) ( 1224240 4971690 )
NEW met2 ( 1224240 4970395 ) ( 1224240 4971690 )
NEW met2 ( 1183920 4970210 ) ( 1183920 4977610 0 )
NEW met1 ( 1396560 4967805 ) ( 1440720 4967805 )
NEW met2 ( 1396560 4967805 ) ( 1396560 4970395 )
NEW met3 ( 214560 4810370 ) ( 309360 4810370 )
NEW met2 ( 3377520 1225070 0 ) ( 3377520 1225810 )
NEW met3 ( 3377520 1225810 ) ( 3377760 1225810 )
NEW met3 ( 3374640 1209530 ) ( 3376800 1209530 )
NEW met2 ( 3374640 1209530 ) ( 3374640 1225810 )
NEW met3 ( 3374640 1225810 ) ( 3377520 1225810 )
NEW met2 ( 3377520 1448550 ) ( 3377520 1450030 0 )
NEW met3 ( 3377520 1448550 ) ( 3377760 1448550 )
NEW met2 ( 3376080 1674990 ) ( 3377040 1674990 0 )
NEW met2 ( 3376080 1647610 ) ( 3376080 1674990 )
NEW met3 ( 3376080 1647610 ) ( 3377760 1647610 )
NEW met3 ( 3377520 1675730 ) ( 3377760 1675730 )
NEW met2 ( 3377520 1674990 0 ) ( 3377520 1675730 )
NEW met2 ( 3377040 1674990 0 ) ( 3377520 1674990 0 )
NEW met2 ( 3377040 3012910 0 ) ( 3377040 3015315 )
NEW met1 ( 3375600 3015315 ) ( 3377040 3015315 )
NEW met1 ( 3368880 3015315 ) ( 3375600 3015315 )
NEW met2 ( 3377520 3462275 ) ( 3377520 3463940 0 )
NEW met1 ( 3365040 3462275 ) ( 3377520 3462275 )
NEW met2 ( 3377520 3687235 ) ( 3377520 3688900 0 )
NEW met1 ( 3369360 3687235 ) ( 3377520 3687235 )
NEW met3 ( 3372720 4837010 ) ( 3376800 4837010 )
NEW met2 ( 309360 4810370 ) ( 309360 4969285 )
NEW met1 ( 309360 4969285 ) ( 413040 4969285 )
NEW met1 ( 1440720 4967805 ) ( 1950960 4967805 )
NEW met1 ( 1950960 4967805 ) ( 2395920 4967805 )
NEW met3 ( 3376800 550190 ) ( 3377040 550190 )
NEW met2 ( 3377040 547970 0 ) ( 3377040 550190 )
NEW met2 ( 3377040 772930 ) ( 3377040 774040 0 )
NEW met3 ( 3376800 772930 ) ( 3377040 772930 )
NEW met4 ( 3377760 1225810 ) ( 3377760 1448550 )
NEW met4 ( 3377760 1448550 ) ( 3377760 1647610 )
NEW met1 ( 3369360 3513335 ) ( 3373680 3513335 )
NEW met2 ( 3369360 3513335 ) ( 3369360 3687235 )
NEW met2 ( 3373680 3462275 ) ( 3373680 3513335 )
NEW met1 ( 3364080 3743845 ) ( 3369360 3743845 )
NEW met2 ( 3369360 3687235 ) ( 3369360 3743845 )
NEW met2 ( 3377520 3914015 0 ) ( 3377520 3915525 )
NEW met1 ( 3375600 3915525 ) ( 3377520 3915525 )
NEW met2 ( 3375600 3915525 ) ( 3375600 3945865 )
NEW met1 ( 3370320 3945865 ) ( 3375600 3945865 )
NEW met1 ( 3364080 3915525 ) ( 3375600 3915525 )
NEW met2 ( 3377040 4358415 ) ( 3377040 4360080 0 )
NEW met1 ( 3370320 4358415 ) ( 3377040 4358415 )
NEW met3 ( 3375600 4378950 ) ( 3376800 4378950 )
NEW met2 ( 3375600 4358415 ) ( 3375600 4378950 )
NEW met2 ( 3370320 3945865 ) ( 3370320 4358415 )
NEW met2 ( 3377040 4805930 0 ) ( 3377040 4806670 )
NEW met3 ( 3376800 4806670 ) ( 3377040 4806670 )
NEW met4 ( 3376800 4378950 ) ( 3376800 4837010 )
NEW met1 ( 3162000 4918225 ) ( 3372720 4918225 )
NEW met2 ( 3372720 4837010 ) ( 3372720 4918225 )
NEW met2 ( 209040 939985 0 ) ( 209040 941650 )
NEW met3 ( 208800 941650 ) ( 209040 941650 )
NEW met2 ( 211440 936470 ) ( 211920 936470 )
NEW met2 ( 211440 936470 ) ( 211440 939985 )
NEW met2 ( 209040 939985 0 ) ( 211440 939985 )
NEW met2 ( 211920 923890 ) ( 211920 936470 )
NEW met2 ( 210000 1154770 ) ( 210000 1155880 0 )
NEW met3 ( 209760 1154770 ) ( 210000 1154770 )
NEW met3 ( 209760 1151070 ) ( 209760 1154770 )
NEW met3 ( 208800 1151070 ) ( 209760 1151070 )
NEW met3 ( 210000 1154770 ) ( 210720 1154770 )
NEW met2 ( 209040 1371960 0 ) ( 209040 1374550 )
NEW met3 ( 208800 1374550 ) ( 209040 1374550 )
NEW met3 ( 208800 1352350 ) ( 212880 1352350 )
NEW met4 ( 208800 1352350 ) ( 208800 1374550 )
NEW met2 ( 209040 1803010 ) ( 209040 1804120 0 )
NEW met3 ( 208800 1803010 ) ( 209040 1803010 )
NEW met4 ( 208800 1374550 ) ( 208800 1803010 )
NEW met2 ( 209040 2018350 ) ( 209040 2019985 0 )
NEW met3 ( 208800 2018350 ) ( 209040 2018350 )
NEW met4 ( 208800 3807855 ) ( 209760 3807855 )
NEW met4 ( 209760 3764565 ) ( 209760 3807855 )
NEW met4 ( 209760 3764565 ) ( 210720 3764565 )
NEW met4 ( 208800 3807855 ) ( 208800 3951045 )
NEW met2 ( 1950960 4967805 ) ( 1950960 4977610 0 )
NEW met2 ( 2395920 4967805 ) ( 2395920 4977610 0 )
NEW met2 ( 2632560 4974650 ) ( 2632560 4976870 )
NEW met2 ( 3162000 4918225 ) ( 3162000 4977610 0 )
NEW met2 ( 3377040 996410 ) ( 3377040 999000 0 )
NEW met3 ( 3376800 996410 ) ( 3377040 996410 )
NEW met4 ( 3376800 550190 ) ( 3376800 996410 )
NEW met4 ( 3376800 996410 ) ( 3376800 1209530 )
NEW met1 ( 3368880 2820695 ) ( 3375600 2820695 )
NEW met2 ( 3375600 2789245 ) ( 3375600 2820695 )
NEW met1 ( 3375600 2789245 ) ( 3377040 2789245 )
NEW met2 ( 3377040 2787015 0 ) ( 3377040 2789245 )
NEW met2 ( 3377040 3235465 ) ( 3377040 3238015 0 )
NEW met1 ( 3371280 3235465 ) ( 3377040 3235465 )
NEW met2 ( 3364080 3743845 ) ( 3364080 3915525 )
NEW met4 ( 208800 941650 ) ( 208800 1151070 )
NEW met2 ( 212880 1339030 ) ( 213840 1339030 )
NEW met2 ( 212880 1339030 ) ( 212880 1352350 )
NEW met4 ( 208800 1803010 ) ( 208800 2018350 )
NEW met2 ( 209040 2873985 0 ) ( 209040 2875270 )
NEW met3 ( 207840 2875270 ) ( 209040 2875270 )
NEW met2 ( 209040 3089870 0 ) ( 209040 3091350 )
NEW met3 ( 207840 3091350 ) ( 209040 3091350 )
NEW met4 ( 207840 3091350 ) ( 207840 3141855 )
NEW met4 ( 207840 3141855 ) ( 208800 3141855 )
NEW met4 ( 207840 3085245 ) ( 208800 3085245 )
NEW met4 ( 207840 3085245 ) ( 207840 3091350 )
NEW met2 ( 210480 3305210 ) ( 210480 3305950 0 )
NEW met2 ( 210480 3305210 ) ( 210960 3305210 )
NEW met2 ( 210960 3303730 ) ( 210960 3305210 )
NEW met3 ( 209760 3303730 ) ( 210960 3303730 )
NEW met3 ( 209760 3300770 ) ( 209760 3303730 )
NEW met3 ( 208800 3300770 ) ( 209760 3300770 )
NEW met4 ( 205920 3315015 ) ( 207840 3315015 )
NEW met4 ( 205920 3303730 ) ( 205920 3315015 )
NEW met3 ( 205920 3303730 ) ( 209760 3303730 )
NEW met4 ( 208800 3141855 ) ( 208800 3300770 )
NEW met2 ( 209040 3520550 ) ( 209040 3522030 0 )
NEW met3 ( 208800 3520550 ) ( 209040 3520550 )
NEW met4 ( 208800 3524805 ) ( 210720 3524805 )
NEW met4 ( 208800 3520550 ) ( 208800 3524805 )
NEW met2 ( 209520 3736630 ) ( 209520 3738110 0 )
NEW met3 ( 208800 3736630 ) ( 209520 3736630 )
NEW met3 ( 209520 3736630 ) ( 210720 3736630 )
NEW met4 ( 210720 3736630 ) ( 210720 3764565 )
NEW met4 ( 214560 3954930 ) ( 214560 4810370 )
NEW met3 ( 3376080 1872570 ) ( 3377760 1872570 )
NEW met2 ( 3376080 1872570 ) ( 3376080 1895510 )
NEW met2 ( 3375600 1895510 ) ( 3376080 1895510 )
NEW met2 ( 3375600 1895510 ) ( 3375600 1901060 )
NEW met2 ( 3375600 1901060 ) ( 3377040 1901060 0 )
NEW met4 ( 3377760 1675730 ) ( 3377760 1872570 )
NEW met2 ( 3368880 2820695 ) ( 3368880 3015315 )
NEW met1 ( 3371280 3066745 ) ( 3375600 3066745 )
NEW met2 ( 3371280 3066745 ) ( 3371280 3235465 )
NEW met2 ( 3375600 3015315 ) ( 3375600 3066745 )
NEW met1 ( 3365040 3268765 ) ( 3371280 3268765 )
NEW met2 ( 3365040 3268765 ) ( 3365040 3462275 )
NEW met2 ( 3371280 3235465 ) ( 3371280 3268765 )
NEW met2 ( 670080 4976315 ) ( 670080 4977610 0 )
NEW met1 ( 670080 4976315 ) ( 719760 4976315 )
NEW met2 ( 719760 4976315 ) ( 719760 4976870 )
NEW met2 ( 719760 4976870 ) ( 720720 4976870 )
NEW met2 ( 720720 4976870 ) ( 720720 5011465 )
NEW met1 ( 670080 4975945 ) ( 670080 4976315 )
NEW met1 ( 413040 4975945 ) ( 670080 4975945 )
NEW met2 ( 926160 4978350 ) ( 927120 4978350 0 )
NEW met3 ( 892560 4978350 ) ( 926160 4978350 )
NEW met2 ( 892560 4978350 ) ( 892560 5011465 )
NEW met2 ( 927120 4970210 ) ( 927120 4978350 0 )
NEW met1 ( 720720 5011465 ) ( 892560 5011465 )
NEW met3 ( 927120 4970210 ) ( 1212720 4970210 )
NEW met1 ( 1224240 4970395 ) ( 1396560 4970395 )
NEW met2 ( 1440720 4977610 ) ( 1441920 4977610 0 )
NEW met2 ( 1440720 4967805 ) ( 1440720 4977610 )
NEW met2 ( 2684400 4970950 ) ( 2684400 4976870 )
NEW met2 ( 2652960 4977610 0 ) ( 2653680 4977610 )
NEW met2 ( 2653680 4976870 ) ( 2653680 4977610 )
NEW met3 ( 2632560 4976870 ) ( 2684400 4976870 )
NEW met3 ( 2684400 4970950 ) ( 3162000 4970950 )
NEW met3 ( 210720 1235430 ) ( 210960 1235430 )
NEW met2 ( 210960 1235430 ) ( 210960 1249305 )
NEW met1 ( 210960 1249305 ) ( 213360 1249305 )
NEW met2 ( 213360 1249305 ) ( 213360 1249490 )
NEW met2 ( 213360 1249490 ) ( 213840 1249490 )
NEW met4 ( 210720 1154770 ) ( 210720 1235430 )
NEW met2 ( 213840 1249490 ) ( 213840 1339030 )
NEW met2 ( 209040 2658080 0 ) ( 209040 2659190 )
NEW met3 ( 207840 2659190 ) ( 209040 2659190 )
NEW met3 ( 208800 2318790 ) ( 208800 2319530 )
NEW met3 ( 208800 2319530 ) ( 209760 2319530 )
NEW met4 ( 208800 2018350 ) ( 208800 2318790 )
NEW met3 ( 207840 2620710 ) ( 208080 2620710 )
NEW met2 ( 208080 2520070 ) ( 208080 2620710 )
NEW met3 ( 208080 2520070 ) ( 208800 2520070 )
NEW met4 ( 207840 2620710 ) ( 207840 2659190 )
NEW met4 ( 207840 2923370 ) ( 208080 2923370 )
NEW met4 ( 208080 2923370 ) ( 208080 2924110 )
NEW met4 ( 208080 2924110 ) ( 209760 2924110 )
NEW met4 ( 209760 2924110 ) ( 209760 2955375 )
NEW met4 ( 208800 2955375 ) ( 209760 2955375 )
NEW met4 ( 207840 2875270 ) ( 207840 2923370 )
NEW met4 ( 208800 2955375 ) ( 208800 3085245 )
NEW met4 ( 207840 3378285 ) ( 208800 3378285 )
NEW met4 ( 207840 3315015 ) ( 207840 3378285 )
NEW met4 ( 208800 3378285 ) ( 208800 3520550 )
NEW met4 ( 208800 3578085 ) ( 210720 3578085 )
NEW met4 ( 208800 3578085 ) ( 208800 3736630 )
NEW met4 ( 210720 3524805 ) ( 210720 3578085 )
NEW met2 ( 2596560 4974650 ) ( 2596560 5012945 )
NEW met3 ( 2596560 4974650 ) ( 2632560 4974650 )
NEW met3 ( 208800 2454950 ) ( 209040 2454950 )
NEW met2 ( 209040 2405370 ) ( 209040 2454950 )
NEW met3 ( 209040 2405370 ) ( 209760 2405370 )
NEW met4 ( 208800 2454950 ) ( 208800 2520070 )
NEW met4 ( 209760 2319530 ) ( 209760 2405370 )
NEW met2 ( 2505360 4967805 ) ( 2505360 5012945 )
NEW met1 ( 2395920 4967805 ) ( 2505360 4967805 )
NEW met1 ( 2505360 5012945 ) ( 2596560 5012945 )
NEW met3 ( 210720 1224330 ) ( 3374640 1224330 )
NEW met3 ( 203040 2678430 ) ( 207840 2678430 )
NEW met4 ( 203040 2678430 ) ( 203040 2724310 )
NEW met3 ( 203040 2724310 ) ( 206880 2724310 )
NEW met4 ( 207840 2659190 ) ( 207840 2678430 )
NEW met3 ( 206880 2765010 ) ( 207120 2765010 )
NEW met2 ( 207120 2765010 ) ( 207120 2779810 )
NEW met3 ( 206880 2779810 ) ( 207120 2779810 )
NEW met4 ( 206880 2779810 ) ( 206880 2852145 )
NEW met4 ( 206880 2852145 ) ( 207840 2852145 )
NEW met4 ( 206880 2724310 ) ( 206880 2765010 )
NEW met4 ( 207840 2852145 ) ( 207840 2875270 )
NEW met2 ( 199440 626410 ) via2_FR
NEW met1 ( 199440 626595 ) M1M2_PR
NEW met1 ( 212400 626595 ) M1M2_PR
NEW met2 ( 209040 1589150 ) via2_FR
NEW met3 ( 208800 1589150 ) M3M4_PR_M
NEW met3 ( 214560 4810370 ) M3M4_PR_M
NEW met2 ( 212400 4810370 ) via2_FR
NEW met2 ( 210960 3954930 ) via2_FR
NEW met3 ( 214560 3954930 ) M3M4_PR_M
NEW met3 ( 207840 3954930 ) M3M4_PR_M
NEW met1 ( 210960 4805005 ) M1M2_PR
NEW met1 ( 212400 4805005 ) M1M2_PR
NEW met1 ( 413040 4975945 ) M1M2_PR
NEW met1 ( 413040 4969285 ) M1M2_PR
NEW met2 ( 1212720 4970210 ) via2_FR
NEW met2 ( 1212720 4971690 ) via2_FR
NEW met2 ( 1224240 4971690 ) via2_FR
NEW met1 ( 1224240 4970395 ) M1M2_PR
NEW met2 ( 1183920 4970210 ) via2_FR
NEW met1 ( 1440720 4967805 ) M1M2_PR
NEW met1 ( 1396560 4967805 ) M1M2_PR
NEW met1 ( 1396560 4970395 ) M1M2_PR
NEW met1 ( 2395920 4967805 ) M1M2_PR
NEW met2 ( 309360 4810370 ) via2_FR
NEW met2 ( 3377520 1225810 ) via2_FR
NEW met3 ( 3377760 1225810 ) M3M4_PR_M
NEW met3 ( 3376800 1209530 ) M3M4_PR_M
NEW met2 ( 3374640 1209530 ) via2_FR
NEW met2 ( 3374640 1225810 ) via2_FR
NEW met2 ( 3374640 1224330 ) via2_FR
NEW met2 ( 3377520 1448550 ) via2_FR
NEW met3 ( 3377760 1448550 ) M3M4_PR_M
NEW met2 ( 3376080 1647610 ) via2_FR
NEW met3 ( 3377760 1647610 ) M3M4_PR_M
NEW met3 ( 3377760 1675730 ) M3M4_PR_M
NEW met2 ( 3377520 1675730 ) via2_FR
NEW met1 ( 3377040 3015315 ) M1M2_PR
NEW met1 ( 3375600 3015315 ) M1M2_PR
NEW met1 ( 3368880 3015315 ) M1M2_PR
NEW met1 ( 3377520 3462275 ) M1M2_PR
NEW met1 ( 3365040 3462275 ) M1M2_PR
NEW met1 ( 3373680 3462275 ) M1M2_PR
NEW met1 ( 3377520 3687235 ) M1M2_PR
NEW met1 ( 3369360 3687235 ) M1M2_PR
NEW met2 ( 3372720 4837010 ) via2_FR
NEW met3 ( 3376800 4837010 ) M3M4_PR_M
NEW met1 ( 309360 4969285 ) M1M2_PR
NEW met1 ( 1950960 4967805 ) M1M2_PR
NEW met1 ( 3162000 4918225 ) M1M2_PR
NEW met3 ( 3376800 550190 ) M3M4_PR_M
NEW met2 ( 3377040 550190 ) via2_FR
NEW met2 ( 3377040 772930 ) via2_FR
NEW met3 ( 3376800 772930 ) M3M4_PR_M
NEW met1 ( 3369360 3513335 ) M1M2_PR
NEW met1 ( 3373680 3513335 ) M1M2_PR
NEW met1 ( 3364080 3743845 ) M1M2_PR
NEW met1 ( 3369360 3743845 ) M1M2_PR
NEW met1 ( 3377520 3915525 ) M1M2_PR
NEW met1 ( 3375600 3915525 ) M1M2_PR
NEW met1 ( 3375600 3945865 ) M1M2_PR
NEW met1 ( 3370320 3945865 ) M1M2_PR
NEW met1 ( 3364080 3915525 ) M1M2_PR
NEW met1 ( 3377040 4358415 ) M1M2_PR
NEW met1 ( 3370320 4358415 ) M1M2_PR
NEW met3 ( 3376800 4378950 ) M3M4_PR_M
NEW met2 ( 3375600 4378950 ) via2_FR
NEW met1 ( 3375600 4358415 ) M1M2_PR
NEW met2 ( 3377040 4806670 ) via2_FR
NEW met3 ( 3376800 4806670 ) M3M4_PR_M
NEW met1 ( 3372720 4918225 ) M1M2_PR
NEW met2 ( 209040 941650 ) via2_FR
NEW met3 ( 208800 941650 ) M3M4_PR_M
NEW met2 ( 210000 1154770 ) via2_FR
NEW met3 ( 208800 1151070 ) M3M4_PR_M
NEW met3 ( 210720 1154770 ) M3M4_PR_M
NEW met2 ( 209040 1374550 ) via2_FR
NEW met3 ( 208800 1374550 ) M3M4_PR_M
NEW met2 ( 212880 1352350 ) via2_FR
NEW met3 ( 208800 1352350 ) M3M4_PR_M
NEW met2 ( 209040 1803010 ) via2_FR
NEW met3 ( 208800 1803010 ) M3M4_PR_M
NEW met2 ( 209040 2018350 ) via2_FR
NEW met3 ( 208800 2018350 ) M3M4_PR_M
NEW met2 ( 2632560 4976870 ) via2_FR
NEW met2 ( 2632560 4974650 ) via2_FR
NEW met2 ( 3162000 4970950 ) via2_FR
NEW met2 ( 3377040 996410 ) via2_FR
NEW met3 ( 3376800 996410 ) M3M4_PR_M
NEW met1 ( 3368880 2820695 ) M1M2_PR
NEW met1 ( 3375600 2820695 ) M1M2_PR
NEW met1 ( 3375600 2789245 ) M1M2_PR
NEW met1 ( 3377040 2789245 ) M1M2_PR
NEW met1 ( 3377040 3235465 ) M1M2_PR
NEW met1 ( 3371280 3235465 ) M1M2_PR
NEW met2 ( 209040 2875270 ) via2_FR
NEW met3 ( 207840 2875270 ) M3M4_PR_M
NEW met2 ( 209040 3091350 ) via2_FR
NEW met3 ( 207840 3091350 ) M3M4_PR_M
NEW met2 ( 210960 3303730 ) via2_FR
NEW met3 ( 208800 3300770 ) M3M4_PR_M
NEW met3 ( 205920 3303730 ) M3M4_PR_M
NEW met2 ( 209040 3520550 ) via2_FR
NEW met3 ( 208800 3520550 ) M3M4_PR_M
NEW met2 ( 209520 3736630 ) via2_FR
NEW met3 ( 208800 3736630 ) M3M4_PR_M
NEW met3 ( 210720 3736630 ) M3M4_PR_M
NEW met3 ( 3377760 1872570 ) M3M4_PR_M
NEW met2 ( 3376080 1872570 ) via2_FR
NEW met1 ( 3371280 3066745 ) M1M2_PR
NEW met1 ( 3375600 3066745 ) M1M2_PR
NEW met1 ( 3365040 3268765 ) M1M2_PR
NEW met1 ( 3371280 3268765 ) M1M2_PR
NEW met1 ( 670080 4976315 ) M1M2_PR
NEW met1 ( 719760 4976315 ) M1M2_PR
NEW met1 ( 720720 5011465 ) M1M2_PR
NEW met2 ( 926160 4978350 ) via2_FR
NEW met2 ( 892560 4978350 ) via2_FR
NEW met1 ( 892560 5011465 ) M1M2_PR
NEW met2 ( 927120 4970210 ) via2_FR
NEW met2 ( 2684400 4976870 ) via2_FR
NEW met2 ( 2684400 4970950 ) via2_FR
NEW met2 ( 2653680 4976870 ) via2_FR
NEW met3 ( 210720 1235430 ) M3M4_PR_M
NEW met2 ( 210960 1235430 ) via2_FR
NEW met1 ( 210960 1249305 ) M1M2_PR
NEW met1 ( 213360 1249305 ) M1M2_PR
NEW met3 ( 210720 1224330 ) M3M4_PR_M
NEW met2 ( 209040 2659190 ) via2_FR
NEW met3 ( 207840 2659190 ) M3M4_PR_M
NEW met3 ( 208800 2318790 ) M3M4_PR_M
NEW met3 ( 209760 2319530 ) M3M4_PR_M
NEW met3 ( 207840 2620710 ) M3M4_PR_M
NEW met2 ( 208080 2620710 ) via2_FR
NEW met2 ( 208080 2520070 ) via2_FR
NEW met3 ( 208800 2520070 ) M3M4_PR_M
NEW met2 ( 2596560 4974650 ) via2_FR
NEW met1 ( 2596560 5012945 ) M1M2_PR
NEW met3 ( 208800 2454950 ) M3M4_PR_M
NEW met2 ( 209040 2454950 ) via2_FR
NEW met2 ( 209040 2405370 ) via2_FR
NEW met3 ( 209760 2405370 ) M3M4_PR_M
NEW met1 ( 2505360 4967805 ) M1M2_PR
NEW met1 ( 2505360 5012945 ) M1M2_PR
NEW met3 ( 207840 2678430 ) M3M4_PR_M
NEW met3 ( 203040 2678430 ) M3M4_PR_M
NEW met3 ( 203040 2724310 ) M3M4_PR_M
NEW met3 ( 206880 2724310 ) M3M4_PR_M
NEW met3 ( 206880 2765010 ) M3M4_PR_M
NEW met2 ( 207120 2765010 ) via2_FR
NEW met2 ( 207120 2779810 ) via2_FR
NEW met3 ( 206880 2779810 ) M3M4_PR_M
NEW met3 ( 209040 1589150 ) RECT ( 0 -150 380 150 )
NEW met4 ( 208800 1589150 ) RECT ( -150 -800 150 0 )
NEW met3 ( 1183920 4970210 ) RECT ( -800 -150 0 150 )
NEW met3 ( 3377520 1225810 ) RECT ( -380 -150 0 150 )
NEW met2 ( 3374640 1224330 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3377520 1448550 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3377760 1675730 ) RECT ( 0 -150 380 150 )
NEW met1 ( 3373680 3462275 ) RECT ( -595 -70 0 70 )
NEW met3 ( 3376800 550190 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3377040 772930 ) RECT ( 0 -150 380 150 )
NEW met4 ( 3376800 772930 ) RECT ( -150 -800 150 0 )
NEW met1 ( 3375600 4358415 ) RECT ( -595 -70 0 70 )
NEW met3 ( 3377040 4806670 ) RECT ( 0 -150 380 150 )
NEW met4 ( 3376800 4806670 ) RECT ( -150 -800 150 0 )
NEW met3 ( 209040 941650 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 1374550 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 1803010 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 2018350 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3162000 4970950 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3377040 996410 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 3520550 ) RECT ( 0 -150 380 150 )
NEW met3 ( 2653680 4976870 ) RECT ( -800 -150 0 150 )
NEW met3 ( 210720 1235430 ) RECT ( -380 -150 0 150 )
NEW met4 ( 210720 1224330 ) RECT ( -150 -800 150 0 )
NEW met3 ( 207840 2620710 ) RECT ( -380 -150 0 150 )
NEW met3 ( 208800 2454950 ) RECT ( -380 -150 0 150 )
NEW met3 ( 206880 2765010 ) RECT ( -380 -150 0 150 )
NEW met3 ( 207120 2779810 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- caravel_clk ( storage mgmt_clk ) ( soc core_clk ) ( mgmt_buffers caravel_clk )
+ ROUTED met1 ( 720720 370555 ) ( 741840 370555 )
NEW met2 ( 720720 363710 ) ( 720720 370555 )
NEW met3 ( 704160 363710 0 ) ( 720720 363710 )
NEW met2 ( 741840 370555 ) ( 741840 1187885 )
NEW met2 ( 1034640 1223590 ) ( 1035600 1223590 )
NEW met2 ( 1035600 1187885 ) ( 1035600 1223590 )
NEW met2 ( 1034640 1223590 ) ( 1034640 1249490 )
NEW met2 ( 1110000 1167350 ) ( 1111200 1167350 0 )
NEW met2 ( 1110000 1167350 ) ( 1110000 1187885 )
NEW met1 ( 741840 1187885 ) ( 1110000 1187885 )
NEW met3 ( 1034640 1249490 ) ( 1059360 1249490 0 )
NEW met2 ( 1034640 1249490 ) via2_FR
NEW met1 ( 741840 370555 ) M1M2_PR
NEW met1 ( 720720 370555 ) M1M2_PR
NEW met2 ( 720720 363710 ) via2_FR
NEW met1 ( 741840 1187885 ) M1M2_PR
NEW met1 ( 1035600 1187885 ) M1M2_PR
NEW met1 ( 1110000 1187885 ) M1M2_PR
NEW met1 ( 1035600 1187885 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- caravel_clk2 ( soc user_clk ) ( mgmt_buffers caravel_clk2 )
+ ROUTED met2 ( 1036560 1216930 ) ( 1036560 1276870 )
NEW met2 ( 1926480 1167350 0 ) ( 1926480 1216930 )
NEW met3 ( 1036560 1216930 ) ( 1926480 1216930 )
NEW met3 ( 1059360 1276870 ) ( 1059360 1279320 0 )
NEW met3 ( 1036560 1276870 ) ( 1059360 1276870 )
NEW met2 ( 1036560 1276870 ) via2_FR
NEW met2 ( 1036560 1216930 ) via2_FR
NEW met2 ( 1926480 1216930 ) via2_FR
+ USE SIGNAL ;
- caravel_rstn ( soc core_rstn ) ( mgmt_buffers caravel_rstn )
+ ROUTED met2 ( 1437360 1167350 ) ( 1438800 1167350 0 )
NEW met2 ( 1437360 1167350 ) ( 1437360 1212675 )
NEW met1 ( 1339440 1212675 ) ( 1437360 1212675 )
NEW met2 ( 1339440 1212675 ) ( 1339440 1220815 )
NEW met3 ( 1057680 1309380 ) ( 1059360 1309380 0 )
NEW met2 ( 1057680 1220815 ) ( 1057680 1309380 )
NEW met1 ( 1057680 1220815 ) ( 1339440 1220815 )
NEW met1 ( 1437360 1212675 ) M1M2_PR
NEW met1 ( 1339440 1212675 ) M1M2_PR
NEW met1 ( 1339440 1220815 ) M1M2_PR
NEW met2 ( 1057680 1309380 ) via2_FR
NEW met1 ( 1057680 1220815 ) M1M2_PR
+ USE SIGNAL ;
- clock_core ( soc clock ) ( padframe clock_core )
+ ROUTED met4 ( 949920 203870 ) ( 949920 209050 )
NEW met3 ( 938160 209050 ) ( 949920 209050 )
NEW met2 ( 936720 209050 0 ) ( 938160 209050 )
NEW met3 ( 949920 203870 ) ( 1053840 203870 )
NEW met2 ( 1053840 268250 ) ( 1055040 268250 0 )
NEW met2 ( 1053840 203870 ) ( 1053840 268250 )
NEW met2 ( 1053840 203870 ) via2_FR
NEW met3 ( 949920 203870 ) M3M4_PR_M
NEW met3 ( 949920 209050 ) M3M4_PR_M
NEW met2 ( 938160 209050 ) via2_FR
+ USE SIGNAL ;
- flash_clk_core ( soc flash_clk ) ( padframe flash_clk_core )
+ ROUTED met2 ( 1640400 204610 ) ( 1640400 226255 )
NEW met4 ( 1800480 204610 ) ( 1800480 209050 )
NEW met3 ( 1800480 209050 ) ( 1807440 209050 )
NEW met2 ( 1807440 209050 ) ( 1808880 209050 0 )
NEW met3 ( 1640400 204610 ) ( 1800480 204610 )
NEW met1 ( 1057200 226255 ) ( 1640400 226255 )
NEW met2 ( 1056960 266770 ) ( 1057200 266770 )
NEW met2 ( 1056960 266770 ) ( 1056960 268250 0 )
NEW met2 ( 1057200 226255 ) ( 1057200 266770 )
NEW met2 ( 1640400 204610 ) via2_FR
NEW met1 ( 1640400 226255 ) M1M2_PR
NEW met3 ( 1800480 204610 ) M3M4_PR_M
NEW met3 ( 1800480 209050 ) M3M4_PR_M
NEW met2 ( 1807440 209050 ) via2_FR
NEW met1 ( 1057200 226255 ) M1M2_PR
+ USE SIGNAL ;
- flash_clk_ieb_core ( soc flash_clk_ieb ) ( padframe flash_clk_ieb_core )
+ ROUTED met3 ( 1050000 273800 ) ( 1052640 273800 0 )
NEW met2 ( 1050000 268065 ) ( 1050000 273800 )
NEW met2 ( 1654800 258075 ) ( 1654800 268065 )
NEW met1 ( 1050000 268065 ) ( 1654800 268065 )
NEW met2 ( 1787280 210530 0 ) ( 1787280 214415 )
NEW met1 ( 1741680 214415 ) ( 1787280 214415 )
NEW met2 ( 1741680 214415 ) ( 1741680 258075 )
NEW met1 ( 1654800 258075 ) ( 1741680 258075 )
NEW met2 ( 1050000 273800 ) via2_FR
NEW met1 ( 1050000 268065 ) M1M2_PR
NEW met1 ( 1654800 268065 ) M1M2_PR
NEW met1 ( 1654800 258075 ) M1M2_PR
NEW met1 ( 1787280 214415 ) M1M2_PR
NEW met1 ( 1741680 214415 ) M1M2_PR
NEW met1 ( 1741680 258075 ) M1M2_PR
+ USE SIGNAL ;
- flash_clk_oeb_core ( soc flash_clk_oeb ) ( padframe flash_clk_oeb_core )
+ ROUTED met2 ( 1636560 203870 ) ( 1636560 226625 )
NEW met4 ( 1814880 203870 ) ( 1814880 209050 )
NEW met3 ( 1814880 209050 ) ( 1823280 209050 )
NEW met2 ( 1823280 209050 ) ( 1824720 209050 0 )
NEW met1 ( 1058640 226625 ) ( 1636560 226625 )
NEW met3 ( 1636560 203870 ) ( 1814880 203870 )
NEW met2 ( 1058640 226625 ) ( 1058640 268250 0 )
NEW met1 ( 1058640 226625 ) M1M2_PR
NEW met2 ( 1636560 203870 ) via2_FR
NEW met1 ( 1636560 226625 ) M1M2_PR
NEW met3 ( 1814880 203870 ) M3M4_PR_M
NEW met3 ( 1814880 209050 ) M3M4_PR_M
NEW met2 ( 1823280 209050 ) via2_FR
+ USE SIGNAL ;
- flash_csb_core ( soc flash_csb ) ( padframe flash_csb_core )
+ ROUTED met2 ( 1533840 210530 ) ( 1535040 210530 0 )
NEW met3 ( 1511760 210530 ) ( 1533840 210530 )
NEW met2 ( 1511760 210530 ) ( 1511760 229215 )
NEW met1 ( 1469040 229215 ) ( 1511760 229215 )
NEW met3 ( 1052640 274910 ) ( 1052640 276390 0 )
NEW met3 ( 1051440 274910 ) ( 1052640 274910 )
NEW met2 ( 1051440 268435 ) ( 1051440 274910 )
NEW met1 ( 1051440 268435 ) ( 1469040 268435 )
NEW met2 ( 1469040 229215 ) ( 1469040 268435 )
NEW met1 ( 1469040 229215 ) M1M2_PR
NEW met2 ( 1533840 210530 ) via2_FR
NEW met2 ( 1511760 210530 ) via2_FR
NEW met1 ( 1511760 229215 ) M1M2_PR
NEW met2 ( 1051440 274910 ) via2_FR
NEW met1 ( 1051440 268435 ) M1M2_PR
NEW met1 ( 1469040 268435 ) M1M2_PR
+ USE SIGNAL ;
- flash_csb_ieb_core ( soc flash_csb_ieb ) ( padframe flash_csb_ieb_core )
+ ROUTED met4 ( 1512480 216450 ) ( 1512480 225330 )
NEW met3 ( 1512480 216450 ) ( 1512720 216450 )
NEW met2 ( 1512720 210530 ) ( 1512720 216450 )
NEW met2 ( 1512720 210530 ) ( 1513440 210530 0 )
NEW met3 ( 1060560 225330 ) ( 1512480 225330 )
NEW met2 ( 1060560 225330 ) ( 1060560 268250 0 )
NEW met2 ( 1060560 225330 ) via2_FR
NEW met3 ( 1512480 225330 ) M3M4_PR_M
NEW met3 ( 1512480 216450 ) M3M4_PR_M
NEW met2 ( 1512720 216450 ) via2_FR
NEW met3 ( 1512480 216450 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- flash_csb_oeb_core ( soc flash_csb_oeb ) ( padframe flash_csb_oeb_core )
+ ROUTED met2 ( 1550640 210530 0 ) ( 1550640 211825 )
NEW met1 ( 1062480 211825 ) ( 1550640 211825 )
NEW met2 ( 1062480 211825 ) ( 1062480 268250 0 )
NEW met1 ( 1062480 211825 ) M1M2_PR
NEW met1 ( 1550640 211825 ) M1M2_PR
+ USE SIGNAL ;
- flash_io0_di_core ( soc flash_io0_di ) ( padframe flash_io0_di_core )
+ ROUTED met2 ( 2026320 210530 ) ( 2027760 210530 0 )
NEW met2 ( 2026320 210530 ) ( 2026320 210715 )
NEW met1 ( 1034640 210715 ) ( 2026320 210715 )
NEW met2 ( 1034640 210715 ) ( 1034640 279350 )
NEW met3 ( 1034640 279350 ) ( 1052640 279350 0 )
NEW met1 ( 1034640 210715 ) M1M2_PR
NEW met1 ( 2026320 210715 ) M1M2_PR
NEW met2 ( 1034640 279350 ) via2_FR
+ USE SIGNAL ;
- flash_io0_do_core ( soc flash_io0_do ) ( padframe flash_io0_do_core )
+ ROUTED met4 ( 2074080 216450 ) ( 2074080 224590 )
NEW met3 ( 2074080 216450 ) ( 2082960 216450 )
NEW met2 ( 2082960 210530 0 ) ( 2082960 216450 )
NEW met3 ( 1064400 224590 ) ( 2074080 224590 )
NEW met2 ( 1064400 224590 ) ( 1064400 268250 0 )
NEW met2 ( 1064400 224590 ) via2_FR
NEW met3 ( 2074080 224590 ) M3M4_PR_M
NEW met3 ( 2074080 216450 ) M3M4_PR_M
NEW met2 ( 2082960 216450 ) via2_FR
+ USE SIGNAL ;
- flash_io0_ieb_core ( soc flash_io0_ieb ) ( padframe flash_io0_ieb_core )
+ ROUTED met2 ( 2015760 258630 ) ( 2015760 267695 )
NEW met3 ( 1052640 280090 ) ( 1052640 281940 0 )
NEW met3 ( 1050000 280090 ) ( 1052640 280090 )
NEW met2 ( 1050000 274355 ) ( 1050000 280090 )
NEW met1 ( 1050000 272875 ) ( 1050000 274355 )
NEW met1 ( 1050000 272875 ) ( 1050480 272875 )
NEW met1 ( 1050480 272135 ) ( 1050480 272875 )
NEW met1 ( 1050480 272135 ) ( 1105200 272135 )
NEW met1 ( 1105200 271395 ) ( 1105200 272135 )
NEW met2 ( 1105200 267695 ) ( 1105200 271395 )
NEW met1 ( 1105200 267695 ) ( 2015760 267695 )
NEW met2 ( 2016240 258630 ) ( 2016240 259370 )
NEW met2 ( 2016240 259370 ) ( 2017200 259370 )
NEW met2 ( 2017200 209235 ) ( 2017200 259370 )
NEW met1 ( 2017200 209235 ) ( 2046960 209235 )
NEW met1 ( 2046960 209235 ) ( 2046960 209270 0 )
NEW met2 ( 2015760 258630 ) ( 2016240 258630 )
NEW met1 ( 2015760 267695 ) M1M2_PR
NEW met2 ( 1050000 280090 ) via2_FR
NEW met1 ( 1050000 274355 ) M1M2_PR
NEW met1 ( 1105200 271395 ) M1M2_PR
NEW met1 ( 1105200 267695 ) M1M2_PR
NEW met1 ( 2017200 209235 ) M1M2_PR
+ USE SIGNAL ;
- flash_io0_oeb_core ( soc flash_io0_oeb ) ( padframe flash_io0_oeb_core )
+ ROUTED met2 ( 2055120 216265 ) ( 2055120 216450 )
NEW met2 ( 2055120 216450 ) ( 2055510 216450 0 )
NEW met1 ( 1066320 216265 ) ( 2055120 216265 )
NEW met2 ( 1066080 268250 0 ) ( 1066320 268250 )
NEW met2 ( 1066320 216265 ) ( 1066320 268250 )
NEW met1 ( 1066320 216265 ) M1M2_PR
NEW met1 ( 2055120 216265 ) M1M2_PR
+ USE SIGNAL ;
- flash_io1_di_core ( soc flash_io1_di ) ( padframe flash_io1_di_core )
+ ROUTED met2 ( 2300400 210345 ) ( 2300400 210530 )
NEW met2 ( 2300400 210530 ) ( 2301840 210530 0 )
NEW met1 ( 1068240 210345 ) ( 2300400 210345 )
NEW met2 ( 1068000 266770 ) ( 1068240 266770 )
NEW met2 ( 1068000 266770 ) ( 1068000 268250 0 )
NEW met2 ( 1068240 210345 ) ( 1068240 266770 )
NEW met1 ( 2300400 210345 ) M1M2_PR
NEW met1 ( 1068240 210345 ) M1M2_PR
+ USE SIGNAL ;
- flash_io1_do_core ( soc flash_io1_do ) ( padframe flash_io1_do_core )
+ ROUTED met2 ( 2355600 210530 ) ( 2357040 210530 0 )
NEW met3 ( 2355360 210530 ) ( 2355600 210530 )
NEW met4 ( 2355360 210530 ) ( 2355360 230510 )
NEW met3 ( 1052640 283050 ) ( 1052640 284530 0 )
NEW met3 ( 1046640 283050 ) ( 1052640 283050 )
NEW met2 ( 1046640 269915 ) ( 1046640 283050 )
NEW met1 ( 1046640 269915 ) ( 1094160 269915 )
NEW met2 ( 1094160 266955 ) ( 1094160 269915 )
NEW met2 ( 2333040 230510 ) ( 2333040 245310 )
NEW met2 ( 2332560 245310 ) ( 2333040 245310 )
NEW met2 ( 2332560 245310 ) ( 2332560 266955 )
NEW met3 ( 2333040 230510 ) ( 2355360 230510 )
NEW met1 ( 1094160 266955 ) ( 2332560 266955 )
NEW met2 ( 2355600 210530 ) via2_FR
NEW met3 ( 2355360 210530 ) M3M4_PR_M
NEW met3 ( 2355360 230510 ) M3M4_PR_M
NEW met2 ( 1046640 283050 ) via2_FR
NEW met1 ( 1046640 269915 ) M1M2_PR
NEW met1 ( 1094160 269915 ) M1M2_PR
NEW met1 ( 1094160 266955 ) M1M2_PR
NEW met2 ( 2333040 230510 ) via2_FR
NEW met1 ( 2332560 266955 ) M1M2_PR
NEW met3 ( 2355600 210530 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- flash_io1_ieb_core ( soc flash_io1_ieb ) ( padframe flash_io1_ieb_core )
+ ROUTED met2 ( 2234640 215895 ) ( 2234640 225885 )
NEW met1 ( 2234640 215895 ) ( 2274960 215895 )
NEW met2 ( 2274960 201650 ) ( 2274960 215895 )
NEW met3 ( 2274960 201650 ) ( 2275680 201650 )
NEW met4 ( 2275680 201465 ) ( 2275680 201650 )
NEW met1 ( 1069680 225885 ) ( 2234640 225885 )
NEW met4 ( 2296800 201465 ) ( 2296800 209050 )
NEW met3 ( 2296800 209050 ) ( 2318640 209050 )
NEW met2 ( 2318640 209050 ) ( 2320080 209050 0 )
NEW met5 ( 2275680 201465 ) ( 2296800 201465 )
NEW met2 ( 1069680 225885 ) ( 1069680 268250 0 )
NEW met1 ( 1069680 225885 ) M1M2_PR
NEW met1 ( 2234640 225885 ) M1M2_PR
NEW met1 ( 2234640 215895 ) M1M2_PR
NEW met1 ( 2274960 215895 ) M1M2_PR
NEW met2 ( 2274960 201650 ) via2_FR
NEW met3 ( 2275680 201650 ) M3M4_PR_M
NEW met4 ( 2275680 201465 ) via4_FR
NEW met4 ( 2296800 201465 ) via4_FR
NEW met3 ( 2296800 209050 ) M3M4_PR_M
NEW met2 ( 2318640 209050 ) via2_FR
+ USE SIGNAL ;
- flash_io1_oeb_core ( soc flash_io1_oeb ) ( padframe flash_io1_oeb_core )
+ ROUTED met2 ( 2305680 215710 ) ( 2305680 217745 )
NEW met3 ( 2305680 215710 ) ( 2328240 215710 )
NEW met2 ( 2328240 215710 ) ( 2329210 215710 0 )
NEW met1 ( 2261040 217745 ) ( 2305680 217745 )
NEW met3 ( 1052640 286010 ) ( 1052640 287490 0 )
NEW met3 ( 1046160 286010 ) ( 1052640 286010 )
NEW met2 ( 1046160 270285 ) ( 1046160 286010 )
NEW met1 ( 1046160 270285 ) ( 1095120 270285 )
NEW met2 ( 1095120 267325 ) ( 1095120 270285 )
NEW met1 ( 1095120 267325 ) ( 2261040 267325 )
NEW met2 ( 2261040 217745 ) ( 2261040 267325 )
NEW met1 ( 2261040 217745 ) M1M2_PR
NEW met1 ( 2305680 217745 ) M1M2_PR
NEW met2 ( 2305680 215710 ) via2_FR
NEW met2 ( 2328240 215710 ) via2_FR
NEW met2 ( 1046160 286010 ) via2_FR
NEW met1 ( 1046160 270285 ) M1M2_PR
NEW met1 ( 1095120 270285 ) M1M2_PR
NEW met1 ( 1095120 267325 ) M1M2_PR
NEW met1 ( 2261040 267325 ) M1M2_PR
+ USE SIGNAL ;
- gpio_in_core ( soc gpio_in_pad ) ( padframe gpio_in_core )
+ ROUTED met2 ( 2574480 209790 ) ( 2574480 209975 )
NEW met2 ( 2574480 209790 ) ( 2575680 209790 0 )
NEW met1 ( 1071600 209975 ) ( 2574480 209975 )
NEW met2 ( 1071600 209975 ) ( 1071600 268250 0 )
NEW met1 ( 1071600 209975 ) M1M2_PR
NEW met1 ( 2574480 209975 ) M1M2_PR
+ USE SIGNAL ;
- gpio_inenb_core ( soc gpio_inenb_pad ) ( padframe gpio_inenb_core )
+ ROUTED met2 ( 2608080 209790 ) ( 2608080 209975 )
NEW met2 ( 2608080 209790 ) ( 2609280 209790 0 )
NEW met2 ( 2534160 209605 ) ( 2534160 225515 )
NEW met1 ( 2534160 209605 ) ( 2574960 209605 )
NEW met1 ( 2574960 209605 ) ( 2574960 209975 )
NEW met1 ( 1073520 225515 ) ( 2534160 225515 )
NEW met1 ( 2574960 209975 ) ( 2608080 209975 )
NEW met2 ( 1073520 225515 ) ( 1073520 268250 0 )
NEW met1 ( 1073520 225515 ) M1M2_PR
NEW met1 ( 2608080 209975 ) M1M2_PR
NEW met1 ( 2534160 225515 ) M1M2_PR
NEW met1 ( 2534160 209605 ) M1M2_PR
+ USE SIGNAL ;
- gpio_mode0_core ( soc gpio_mode0_pad ) ( padframe gpio_mode0_core )
+ ROUTED met2 ( 2603280 210530 0 ) ( 2603280 216450 )
NEW met2 ( 2589360 216450 ) ( 2589360 266585 )
NEW met3 ( 2589360 216450 ) ( 2603280 216450 )
NEW met2 ( 1099440 264365 ) ( 1099440 266585 )
NEW met3 ( 1052640 288230 ) ( 1052640 290080 0 )
NEW met3 ( 1036560 288230 ) ( 1052640 288230 )
NEW met2 ( 1036560 264365 ) ( 1036560 288230 )
NEW met1 ( 1036560 264365 ) ( 1099440 264365 )
NEW met1 ( 1099440 266585 ) ( 2589360 266585 )
NEW met2 ( 2603280 216450 ) via2_FR
NEW met2 ( 2589360 216450 ) via2_FR
NEW met1 ( 2589360 266585 ) M1M2_PR
NEW met2 ( 1036560 288230 ) via2_FR
NEW met1 ( 1099440 264365 ) M1M2_PR
NEW met1 ( 1099440 266585 ) M1M2_PR
NEW met1 ( 1036560 264365 ) M1M2_PR
+ USE SIGNAL ;
- gpio_mode1_core ( soc gpio_mode1_pad ) ( padframe gpio_mode1_core )
+ ROUTED met2 ( 2592720 208865 ) ( 2592720 209050 )
NEW met2 ( 2592720 209050 ) ( 2594160 209050 0 )
NEW met2 ( 2533680 208865 ) ( 2533680 225145 )
NEW met1 ( 1075440 225145 ) ( 2533680 225145 )
NEW met1 ( 2533680 208865 ) ( 2592720 208865 )
NEW met2 ( 1075440 225145 ) ( 1075440 268250 0 )
NEW met1 ( 1075440 225145 ) M1M2_PR
NEW met1 ( 2592720 208865 ) M1M2_PR
NEW met1 ( 2533680 225145 ) M1M2_PR
NEW met1 ( 2533680 208865 ) M1M2_PR
+ USE SIGNAL ;
- gpio_out_core ( soc gpio_out_pad ) ( padframe gpio_out_core )
+ ROUTED met2 ( 2629680 210530 ) ( 2630880 210530 0 )
NEW met2 ( 2629680 210530 ) ( 2629680 237725 )
NEW met1 ( 1338960 265845 ) ( 1338960 266215 )
NEW met3 ( 1055520 291190 ) ( 1055520 292670 0 )
NEW met4 ( 1055520 265290 ) ( 1055520 291190 )
NEW met3 ( 1055520 265290 ) ( 1080240 265290 )
NEW met2 ( 1080240 265290 ) ( 1080240 265475 )
NEW met1 ( 1209840 265475 ) ( 1209840 266215 )
NEW met1 ( 1209840 266215 ) ( 1238640 266215 )
NEW met1 ( 1238640 265845 ) ( 1238640 266215 )
NEW met1 ( 1238640 265845 ) ( 1338960 265845 )
NEW met1 ( 1439760 265845 ) ( 1439760 266215 )
NEW met1 ( 1439760 265845 ) ( 1456080 265845 )
NEW met1 ( 1456080 265845 ) ( 1456080 266215 )
NEW met1 ( 1338960 266215 ) ( 1439760 266215 )
NEW met1 ( 1641360 265845 ) ( 1641360 266215 )
NEW met1 ( 1641360 265845 ) ( 1659120 265845 )
NEW met1 ( 1659120 265845 ) ( 1659120 266215 )
NEW met1 ( 1842960 265105 ) ( 1842960 266215 )
NEW met1 ( 2061920 265475 ) ( 2061920 266215 )
NEW met2 ( 2419440 265105 ) ( 2419440 266215 )
NEW met1 ( 1080240 265475 ) ( 1209840 265475 )
NEW met2 ( 1540560 266030 ) ( 1540560 266215 )
NEW met2 ( 1540560 266030 ) ( 1541040 266030 )
NEW met2 ( 1541040 265845 ) ( 1541040 266030 )
NEW met1 ( 1541040 265845 ) ( 1612560 265845 )
NEW met1 ( 1612560 265845 ) ( 1612560 266215 )
NEW met1 ( 1456080 266215 ) ( 1540560 266215 )
NEW met1 ( 1612560 266215 ) ( 1641360 266215 )
NEW met2 ( 1742160 266030 ) ( 1742160 266215 )
NEW met2 ( 1742160 266030 ) ( 1742640 266030 )
NEW met2 ( 1742640 265845 ) ( 1742640 266030 )
NEW met1 ( 1742640 265845 ) ( 1814160 265845 )
NEW met1 ( 1814160 265845 ) ( 1814160 266215 )
NEW met1 ( 1659120 266215 ) ( 1742160 266215 )
NEW met1 ( 1814160 266215 ) ( 1842960 266215 )
NEW met1 ( 1915440 265105 ) ( 1915440 265475 )
NEW met1 ( 1842960 265105 ) ( 1915440 265105 )
NEW met1 ( 1915440 265475 ) ( 2061920 265475 )
NEW met2 ( 2570160 237725 ) ( 2570160 265105 )
NEW met1 ( 2419440 265105 ) ( 2570160 265105 )
NEW met1 ( 2570160 237725 ) ( 2629680 237725 )
NEW met2 ( 2102640 266215 ) ( 2103120 266215 )
NEW met2 ( 2103120 265475 ) ( 2103120 266215 )
NEW met1 ( 2061920 266215 ) ( 2102640 266215 )
NEW met2 ( 2217360 265475 ) ( 2217360 266030 )
NEW met2 ( 2217360 266030 ) ( 2217840 266030 )
NEW met2 ( 2217840 266030 ) ( 2217840 266215 )
NEW met1 ( 2103120 265475 ) ( 2217360 265475 )
NEW met1 ( 2289840 265845 ) ( 2289840 266215 )
NEW met1 ( 2289840 265845 ) ( 2318160 265845 )
NEW met2 ( 2318160 265845 ) ( 2318640 265845 )
NEW met2 ( 2318640 265845 ) ( 2318640 266215 )
NEW met1 ( 2217840 266215 ) ( 2289840 266215 )
NEW met1 ( 2318640 266215 ) ( 2419440 266215 )
NEW met1 ( 2629680 237725 ) M1M2_PR
NEW met3 ( 1055520 291190 ) M3M4_PR_M
NEW met3 ( 1055520 265290 ) M3M4_PR_M
NEW met2 ( 1080240 265290 ) via2_FR
NEW met1 ( 1080240 265475 ) M1M2_PR
NEW met1 ( 2419440 266215 ) M1M2_PR
NEW met1 ( 2419440 265105 ) M1M2_PR
NEW met1 ( 1540560 266215 ) M1M2_PR
NEW met1 ( 1541040 265845 ) M1M2_PR
NEW met1 ( 1742160 266215 ) M1M2_PR
NEW met1 ( 1742640 265845 ) M1M2_PR
NEW met1 ( 2570160 265105 ) M1M2_PR
NEW met1 ( 2570160 237725 ) M1M2_PR
NEW met1 ( 2102640 266215 ) M1M2_PR
NEW met1 ( 2103120 265475 ) M1M2_PR
NEW met1 ( 2217360 265475 ) M1M2_PR
NEW met1 ( 2217840 266215 ) M1M2_PR
NEW met1 ( 2318160 265845 ) M1M2_PR
NEW met1 ( 2318640 266215 ) M1M2_PR
+ USE SIGNAL ;
- gpio_outenb_core ( soc gpio_outenb_pad ) ( padframe gpio_outenb_core )
+ ROUTED met2 ( 2645040 210530 ) ( 2646480 210530 0 )
NEW met3 ( 2634960 210530 ) ( 2645040 210530 )
NEW met2 ( 2634960 210530 ) ( 2634960 224775 )
NEW met1 ( 1077360 224775 ) ( 2634960 224775 )
NEW met2 ( 1077120 268250 0 ) ( 1077360 268250 )
NEW met2 ( 1077360 224775 ) ( 1077360 268250 )
NEW met1 ( 1077360 224775 ) M1M2_PR
NEW met2 ( 2645040 210530 ) via2_FR
NEW met2 ( 2634960 210530 ) via2_FR
NEW met1 ( 2634960 224775 ) M1M2_PR
+ USE SIGNAL ;
- gpio_serial_link\[0\] ( gpio_control_bidir\[1\] serial_data_in ) ( gpio_control_bidir\[0\] serial_data_out )
+ ROUTED met3 ( 3370080 684130 ) ( 3373920 684130 0 )
NEW met3 ( 3370080 906130 ) ( 3373920 906130 0 )
NEW met4 ( 3370080 684130 ) ( 3370080 906130 )
NEW met3 ( 3370080 684130 ) M3M4_PR_M
NEW met3 ( 3370080 906130 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[10\] ( gpio_control_in\[11\] serial_data_in ) ( gpio_control_in\[10\] serial_data_out )
+ ROUTED met3 ( 3366480 3370330 ) ( 3373920 3370330 0 )
NEW met3 ( 3366480 3149070 ) ( 3373920 3149070 0 )
NEW met2 ( 3366480 3149070 ) ( 3366480 3370330 )
NEW met2 ( 3366480 3370330 ) via2_FR
NEW met2 ( 3366480 3149070 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[11\] ( gpio_control_in\[12\] serial_data_in ) ( gpio_control_in\[11\] serial_data_out )
+ ROUTED met1 ( 3363600 3595105 ) ( 3373680 3595105 )
NEW met2 ( 3373680 3595105 ) ( 3373680 3595290 )
NEW met3 ( 3373680 3595290 ) ( 3373920 3595290 )
NEW met3 ( 3373920 3595290 ) ( 3373920 3596030 0 )
NEW met1 ( 3363600 3383835 ) ( 3369360 3383835 )
NEW met2 ( 3369360 3374030 ) ( 3369360 3383835 )
NEW met3 ( 3369360 3374030 ) ( 3373920 3374030 0 )
NEW met2 ( 3363600 3383835 ) ( 3363600 3595105 )
NEW met1 ( 3363600 3595105 ) M1M2_PR
NEW met1 ( 3373680 3595105 ) M1M2_PR
NEW met2 ( 3373680 3595290 ) via2_FR
NEW met1 ( 3363600 3383835 ) M1M2_PR
NEW met1 ( 3369360 3383835 ) M1M2_PR
NEW met2 ( 3369360 3374030 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[12\] ( gpio_control_in\[13\] serial_data_in ) ( gpio_control_in\[12\] serial_data_out )
+ ROUTED met1 ( 3363600 3601395 ) ( 3373680 3601395 )
NEW met2 ( 3373680 3601210 ) ( 3373680 3601395 )
NEW met3 ( 3373680 3601210 ) ( 3373920 3601210 )
NEW met3 ( 3373920 3600470 0 ) ( 3373920 3601210 )
NEW met1 ( 3363600 3816365 ) ( 3369360 3816365 )
NEW met2 ( 3369360 3816365 ) ( 3369360 3820990 )
NEW met3 ( 3369360 3820990 ) ( 3373920 3820990 0 )
NEW met2 ( 3363600 3601395 ) ( 3363600 3816365 )
NEW met1 ( 3363600 3601395 ) M1M2_PR
NEW met1 ( 3373680 3601395 ) M1M2_PR
NEW met2 ( 3373680 3601210 ) via2_FR
NEW met1 ( 3363600 3816365 ) M1M2_PR
NEW met1 ( 3369360 3816365 ) M1M2_PR
NEW met2 ( 3369360 3820990 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[13\] ( gpio_control_in\[14\] serial_data_in ) ( gpio_control_in\[13\] serial_data_out )
+ ROUTED met3 ( 3369360 4712690 ) ( 3373920 4712690 )
NEW met3 ( 3373920 4712690 ) ( 3373920 4713060 0 )
NEW met3 ( 3369360 3825430 ) ( 3373920 3825430 0 )
NEW met2 ( 3369360 3825430 ) ( 3369360 4712690 )
NEW met2 ( 3369360 4712690 ) via2_FR
NEW met2 ( 3369360 3825430 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[14\] ( gpio_control_in\[15\] serial_data_in ) ( gpio_control_in\[14\] serial_data_out )
+ ROUTED met1 ( 2824080 5011835 ) ( 2838480 5011835 )
NEW met2 ( 2824080 5011650 ) ( 2824080 5011835 )
NEW met3 ( 2820960 5011650 0 ) ( 2824080 5011650 )
NEW met2 ( 2838480 4946345 ) ( 2838480 5011835 )
NEW met2 ( 3290160 4710285 ) ( 3290160 4946345 )
NEW met1 ( 2838480 4946345 ) ( 3290160 4946345 )
NEW met2 ( 3372720 4710285 ) ( 3372720 4714170 )
NEW met3 ( 3372720 4714170 ) ( 3373920 4714170 )
NEW met3 ( 3373920 4714170 ) ( 3373920 4717130 0 )
NEW met1 ( 3290160 4710285 ) ( 3372720 4710285 )
NEW met1 ( 2838480 5011835 ) M1M2_PR
NEW met1 ( 2824080 5011835 ) M1M2_PR
NEW met2 ( 2824080 5011650 ) via2_FR
NEW met1 ( 2838480 4946345 ) M1M2_PR
NEW met1 ( 3290160 4710285 ) M1M2_PR
NEW met1 ( 3290160 4946345 ) M1M2_PR
NEW met1 ( 3372720 4710285 ) M1M2_PR
NEW met2 ( 3372720 4714170 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[15\] ( gpio_control_in\[16\] serial_data_in ) ( gpio_control_in\[15\] serial_data_out )
+ ROUTED met2 ( 2824080 4979830 ) ( 2824080 4980015 )
NEW met3 ( 2824080 4979830 ) ( 2825280 4979830 0 )
NEW met2 ( 2566800 4979830 ) ( 2566800 4980015 )
NEW met3 ( 2564160 4979830 0 ) ( 2566800 4979830 )
NEW met1 ( 2566800 4980015 ) ( 2824080 4980015 )
NEW met1 ( 2824080 4980015 ) M1M2_PR
NEW met2 ( 2824080 4979830 ) via2_FR
NEW met1 ( 2566800 4980015 ) M1M2_PR
NEW met2 ( 2566800 4979830 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[16\] ( gpio_control_in\[17\] serial_data_in ) ( gpio_control_in\[16\] serial_data_out )
+ ROUTED met2 ( 2182320 4980570 ) ( 2182320 4980755 )
NEW met3 ( 2179200 4980570 0 ) ( 2182320 4980570 )
NEW met2 ( 2567280 4980570 ) ( 2567280 4980755 )
NEW met3 ( 2567280 4980570 ) ( 2568480 4980570 0 )
NEW met1 ( 2182320 4980755 ) ( 2567280 4980755 )
NEW met1 ( 2182320 4980755 ) M1M2_PR
NEW met2 ( 2182320 4980570 ) via2_FR
NEW met1 ( 2567280 4980755 ) M1M2_PR
NEW met2 ( 2567280 4980570 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[17\] ( gpio_control_in\[18\] serial_data_in ) ( gpio_control_in\[17\] serial_data_out )
+ ROUTED met3 ( 1842240 4978350 ) ( 1842240 4979090 0 )
NEW met2 ( 2181840 4980755 ) ( 2181840 4981310 )
NEW met3 ( 2181840 4981310 ) ( 2183040 4981310 0 )
NEW met2 ( 1843440 4978350 ) ( 1843440 4980755 )
NEW met3 ( 1842240 4978350 ) ( 1843440 4978350 )
NEW met1 ( 1843440 4980755 ) ( 2181840 4980755 )
NEW met1 ( 2181840 4980755 ) M1M2_PR
NEW met2 ( 2181840 4981310 ) via2_FR
NEW met2 ( 1843440 4978350 ) via2_FR
NEW met1 ( 1843440 4980755 ) M1M2_PR
+ USE SIGNAL ;
- gpio_serial_link\[18\] ( gpio_control_in\[19\] serial_data_in ) ( gpio_control_in\[18\] serial_data_out )
+ ROUTED met2 ( 1593360 5026265 ) ( 1593360 5026450 )
NEW met3 ( 1590240 5026450 0 ) ( 1593360 5026450 )
NEW met2 ( 1643760 4988525 ) ( 1643760 5026265 )
NEW met1 ( 1593360 5026265 ) ( 1643760 5026265 )
NEW met2 ( 1845360 4988525 ) ( 1845360 4988710 )
NEW met3 ( 1845360 4988710 ) ( 1846080 4988710 0 )
NEW met1 ( 1643760 4988525 ) ( 1845360 4988525 )
NEW met1 ( 1593360 5026265 ) M1M2_PR
NEW met2 ( 1593360 5026450 ) via2_FR
NEW met1 ( 1643760 5026265 ) M1M2_PR
NEW met1 ( 1643760 4988525 ) M1M2_PR
NEW met1 ( 1845360 4988525 ) M1M2_PR
NEW met2 ( 1845360 4988710 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[19\] ( gpio_control_in\[20\] serial_data_in ) ( gpio_control_in\[19\] serial_data_out )
+ ROUTED met2 ( 1353840 4978350 ) ( 1353840 4980755 )
NEW met2 ( 1593360 4980570 ) ( 1593360 4980755 )
NEW met3 ( 1593360 4980570 ) ( 1594080 4980570 0 )
NEW met3 ( 1332000 4978350 ) ( 1332000 4979090 0 )
NEW met3 ( 1332000 4978350 ) ( 1353840 4978350 )
NEW met1 ( 1353840 4980755 ) ( 1593360 4980755 )
NEW met1 ( 1353840 4980755 ) M1M2_PR
NEW met2 ( 1353840 4978350 ) via2_FR
NEW met1 ( 1593360 4980755 ) M1M2_PR
NEW met2 ( 1593360 4980570 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[1\] ( gpio_control_in\[2\] serial_data_in ) ( gpio_control_bidir\[1\] serial_data_out )
+ ROUTED met3 ( 3370080 912790 ) ( 3373920 912790 )
NEW met3 ( 3373920 910200 0 ) ( 3373920 912790 )
NEW met3 ( 3370080 1131090 ) ( 3373920 1131090 0 )
NEW met4 ( 3370080 912790 ) ( 3370080 1131090 )
NEW met3 ( 3370080 912790 ) M3M4_PR_M
NEW met3 ( 3370080 1131090 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[20\] ( gpio_control_in\[21\] serial_data_in ) ( gpio_control_in\[20\] serial_data_out )
+ ROUTED met2 ( 1078320 4980385 ) ( 1078320 4980570 )
NEW met3 ( 1075200 4980570 0 ) ( 1078320 4980570 )
NEW met2 ( 1335120 4980385 ) ( 1335120 4980570 )
NEW met3 ( 1335120 4980570 ) ( 1336320 4980570 0 )
NEW met1 ( 1078320 4980385 ) ( 1335120 4980385 )
NEW met1 ( 1078320 4980385 ) M1M2_PR
NEW met2 ( 1078320 4980570 ) via2_FR
NEW met1 ( 1335120 4980385 ) M1M2_PR
NEW met2 ( 1335120 4980570 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[21\] ( gpio_control_in\[22\] serial_data_in ) ( gpio_control_in\[21\] serial_data_out )
+ ROUTED met2 ( 821040 4979830 ) ( 821040 4980015 )
NEW met3 ( 818400 4979830 0 ) ( 821040 4979830 )
NEW met2 ( 1077360 4979830 ) ( 1077360 4980015 )
NEW met3 ( 1077360 4979830 ) ( 1079040 4979830 0 )
NEW met1 ( 821040 4980015 ) ( 1077360 4980015 )
NEW met1 ( 821040 4980015 ) M1M2_PR
NEW met2 ( 821040 4979830 ) via2_FR
NEW met1 ( 1077360 4980015 ) M1M2_PR
NEW met2 ( 1077360 4979830 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[22\] ( gpio_control_in\[23\] serial_data_in ) ( gpio_control_in\[22\] serial_data_out )
+ ROUTED met3 ( 561120 4978350 ) ( 561120 4979090 0 )
NEW met2 ( 820560 4980015 ) ( 820560 4980570 )
NEW met3 ( 820560 4980570 ) ( 822240 4980570 0 )
NEW met2 ( 688080 4978350 ) ( 688080 4978905 )
NEW met1 ( 688080 4978905 ) ( 688080 4980015 )
NEW met3 ( 561120 4978350 ) ( 688080 4978350 )
NEW met1 ( 688080 4980015 ) ( 820560 4980015 )
NEW met1 ( 820560 4980015 ) M1M2_PR
NEW met2 ( 820560 4980570 ) via2_FR
NEW met2 ( 688080 4978350 ) via2_FR
NEW met1 ( 688080 4978905 ) M1M2_PR
+ USE SIGNAL ;
- gpio_serial_link\[23\] ( gpio_control_in\[24\] serial_data_in ) ( gpio_control_in\[23\] serial_data_out )
+ ROUTED met2 ( 237360 4731190 ) ( 237360 4932655 )
NEW met1 ( 237360 4932655 ) ( 590640 4932655 )
NEW met1 ( 567120 4978905 ) ( 590640 4978905 )
NEW met2 ( 567120 4978905 ) ( 567120 4979090 )
NEW met3 ( 564960 4979090 0 ) ( 567120 4979090 )
NEW met2 ( 590640 4932655 ) ( 590640 4978905 )
NEW met3 ( 211680 4731190 0 ) ( 237360 4731190 )
NEW met2 ( 237360 4731190 ) via2_FR
NEW met1 ( 237360 4932655 ) M1M2_PR
NEW met1 ( 590640 4932655 ) M1M2_PR
NEW met1 ( 590640 4978905 ) M1M2_PR
NEW met1 ( 567120 4978905 ) M1M2_PR
NEW met2 ( 567120 4979090 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[24\] ( gpio_control_in\[25\] serial_data_in ) ( gpio_control_in\[24\] serial_data_out )
+ ROUTED met3 ( 203040 4103670 ) ( 203280 4103670 )
NEW met3 ( 203040 4102190 0 ) ( 203040 4103670 )
NEW met3 ( 203040 4732670 ) ( 203280 4732670 )
NEW met3 ( 203040 4732670 ) ( 203040 4735260 0 )
NEW met2 ( 203280 4103670 ) ( 203280 4732670 )
NEW met2 ( 203280 4103670 ) via2_FR
NEW met2 ( 203280 4732670 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[25\] ( gpio_control_in\[26\] serial_data_in ) ( gpio_control_in\[25\] serial_data_out )
+ ROUTED met3 ( 211680 3886110 0 ) ( 216240 3886110 )
NEW met3 ( 211680 4105890 0 ) ( 216240 4105890 )
NEW met2 ( 216240 3886110 ) ( 216240 4105890 )
NEW met2 ( 216240 3886110 ) via2_FR
NEW met2 ( 216240 4105890 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[26\] ( gpio_control_in\[27\] serial_data_in ) ( gpio_control_in\[26\] serial_data_out )
+ ROUTED met3 ( 211680 3889810 ) ( 216720 3889810 )
NEW met3 ( 211680 3889810 ) ( 211680 3890180 0 )
NEW met3 ( 211680 3670030 0 ) ( 216720 3670030 )
NEW met2 ( 216720 3670030 ) ( 216720 3889810 )
NEW met2 ( 216720 3889810 ) via2_FR
NEW met2 ( 216720 3670030 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[27\] ( gpio_control_in\[28\] serial_data_in ) ( gpio_control_in\[27\] serial_data_out )
+ ROUTED met3 ( 211680 3453950 0 ) ( 216240 3453950 )
NEW met3 ( 211680 3674470 0 ) ( 216240 3674470 )
NEW met2 ( 216240 3453950 ) ( 216240 3674470 )
NEW met2 ( 216240 3453950 ) via2_FR
NEW met2 ( 216240 3674470 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[28\] ( gpio_control_in\[29\] serial_data_in ) ( gpio_control_in\[28\] serial_data_out )
+ ROUTED met3 ( 211680 3237870 0 ) ( 217680 3237870 )
NEW met3 ( 211680 3458390 0 ) ( 217680 3458390 )
NEW met2 ( 217680 3237870 ) ( 217680 3458390 )
NEW met2 ( 217680 3237870 ) via2_FR
NEW met2 ( 217680 3458390 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[29\] ( gpio_control_in\[30\] serial_data_in ) ( gpio_control_in\[29\] serial_data_out )
+ ROUTED met3 ( 211680 3242310 0 ) ( 216240 3242310 )
NEW met3 ( 211680 3022160 0 ) ( 211680 3023270 )
NEW met3 ( 211680 3023270 ) ( 216240 3023270 )
NEW met2 ( 216240 3023270 ) ( 216240 3242310 )
NEW met2 ( 216240 3242310 ) via2_FR
NEW met2 ( 216240 3023270 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[2\] ( gpio_control_in\[3\] serial_data_in ) ( gpio_control_in\[2\] serial_data_out )
+ ROUTED met3 ( 3370080 1137010 ) ( 3373920 1137010 )
NEW met3 ( 3373920 1135160 0 ) ( 3373920 1137010 )
NEW met3 ( 3370080 1354570 ) ( 3373920 1354570 )
NEW met3 ( 3373920 1354570 ) ( 3373920 1357160 0 )
NEW met4 ( 3370080 1137010 ) ( 3370080 1354570 )
NEW met3 ( 3370080 1137010 ) M3M4_PR_M
NEW met3 ( 3370080 1354570 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[30\] ( gpio_control_in\[31\] serial_data_in ) ( gpio_control_in\[30\] serial_data_out )
+ ROUTED met3 ( 211680 2806080 0 ) ( 211680 2807930 )
NEW met3 ( 211680 2807930 ) ( 217680 2807930 )
NEW met3 ( 211680 3026230 0 ) ( 217680 3026230 )
NEW met2 ( 217680 2807930 ) ( 217680 3026230 )
NEW met2 ( 217680 2807930 ) via2_FR
NEW met2 ( 217680 3026230 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[31\] ( gpio_control_in\[32\] serial_data_in ) ( gpio_control_in\[31\] serial_data_out )
+ ROUTED met3 ( 211680 2810150 0 ) ( 216240 2810150 )
NEW met3 ( 211680 2167830 0 ) ( 216240 2167830 )
NEW met2 ( 216240 2167830 ) ( 216240 2810150 )
NEW met2 ( 216240 2810150 ) via2_FR
NEW met2 ( 216240 2167830 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[32\] ( gpio_control_in\[33\] serial_data_in ) ( gpio_control_in\[32\] serial_data_out )
+ ROUTED met3 ( 211680 1952120 0 ) ( 211680 1954710 )
NEW met3 ( 211680 1954710 ) ( 217680 1954710 )
NEW met2 ( 216240 2145630 ) ( 216720 2145630 )
NEW met2 ( 216720 2145630 ) ( 216720 2172270 )
NEW met3 ( 211680 2172270 0 ) ( 216720 2172270 )
NEW met1 ( 216240 2074405 ) ( 218640 2074405 )
NEW met2 ( 218640 2016130 ) ( 218640 2074405 )
NEW met3 ( 217680 2016130 ) ( 218640 2016130 )
NEW met2 ( 216240 2074405 ) ( 216240 2145630 )
NEW met2 ( 217680 1954710 ) ( 217680 2016130 )
NEW met2 ( 217680 1954710 ) via2_FR
NEW met2 ( 216720 2172270 ) via2_FR
NEW met1 ( 216240 2074405 ) M1M2_PR
NEW met1 ( 218640 2074405 ) M1M2_PR
NEW met2 ( 218640 2016130 ) via2_FR
NEW met2 ( 217680 2016130 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[33\] ( gpio_control_in\[34\] serial_data_in ) ( gpio_control_in\[33\] serial_data_out )
+ ROUTED met3 ( 211680 1736040 0 ) ( 211680 1738630 )
NEW met3 ( 211680 1738630 ) ( 216240 1738630 )
NEW met3 ( 211680 1956190 0 ) ( 216240 1956190 )
NEW met2 ( 216240 1738630 ) ( 216240 1956190 )
NEW met2 ( 216240 1738630 ) via2_FR
NEW met2 ( 216240 1956190 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[34\] ( gpio_control_in\[35\] serial_data_in ) ( gpio_control_in\[34\] serial_data_out )
+ ROUTED met3 ( 211680 1520330 0 ) ( 216240 1520330 )
NEW met3 ( 216240 1737890 ) ( 217440 1737890 )
NEW met3 ( 217440 1737890 ) ( 217440 1739370 )
NEW met3 ( 211680 1739370 ) ( 217440 1739370 )
NEW met3 ( 211680 1739370 ) ( 211680 1740110 0 )
NEW met2 ( 216240 1520330 ) ( 216240 1737890 )
NEW met2 ( 216240 1520330 ) via2_FR
NEW met2 ( 216240 1737890 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[35\] ( gpio_control_in\[36\] serial_data_in ) ( gpio_control_in\[35\] serial_data_out )
+ ROUTED met3 ( 216240 1519590 ) ( 217440 1519590 )
NEW met3 ( 217440 1519590 ) ( 217440 1521070 )
NEW met3 ( 211680 1521070 ) ( 217440 1521070 )
NEW met3 ( 211680 1521070 ) ( 211680 1524030 0 )
NEW met3 ( 211680 1304250 0 ) ( 216240 1304250 )
NEW met2 ( 216240 1304250 ) ( 216240 1519590 )
NEW met2 ( 216240 1519590 ) via2_FR
NEW met2 ( 216240 1304250 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[36\] ( gpio_control_in\[37\] serial_data_in ) ( gpio_control_in\[36\] serial_data_out )
+ ROUTED met1 ( 216240 1137935 ) ( 217680 1137935 )
NEW met3 ( 211680 1088170 0 ) ( 216240 1088170 )
NEW met2 ( 216240 1088170 ) ( 216240 1137935 )
NEW met3 ( 211680 1307950 0 ) ( 217680 1307950 )
NEW met2 ( 217680 1137935 ) ( 217680 1307950 )
NEW met1 ( 216240 1137935 ) M1M2_PR
NEW met1 ( 217680 1137935 ) M1M2_PR
NEW met2 ( 216240 1088170 ) via2_FR
NEW met2 ( 217680 1307950 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[3\] ( gpio_control_in\[4\] serial_data_in ) ( gpio_control_in\[3\] serial_data_out )
+ ROUTED met3 ( 3374880 1361230 0 ) ( 3374880 1364190 )
NEW met3 ( 3374880 1579530 ) ( 3374880 1582120 0 )
NEW met4 ( 3374880 1364190 ) ( 3374880 1579530 )
NEW met3 ( 3374880 1364190 ) M3M4_PR_M
NEW met3 ( 3374880 1579530 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[4\] ( gpio_control_in\[5\] serial_data_in ) ( gpio_control_in\[4\] serial_data_out )
+ ROUTED met3 ( 3372000 1586190 ) ( 3373920 1586190 0 )
NEW met3 ( 3372000 1807080 ) ( 3373920 1807080 0 )
NEW met4 ( 3372000 1586190 ) ( 3372000 1807080 )
NEW met3 ( 3372000 1586190 ) M3M4_PR_M
NEW met3 ( 3372000 1807080 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[5\] ( gpio_control_in\[6\] serial_data_in ) ( gpio_control_in\[5\] serial_data_out )
+ ROUTED met3 ( 3369360 1811150 ) ( 3373920 1811150 0 )
NEW met3 ( 3369360 2033150 ) ( 3373920 2033150 0 )
NEW met2 ( 3369360 1811150 ) ( 3369360 2033150 )
NEW met2 ( 3369360 1811150 ) via2_FR
NEW met2 ( 3369360 2033150 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[6\] ( gpio_control_in\[7\] serial_data_in ) ( gpio_control_in\[6\] serial_data_out )
+ ROUTED met3 ( 3383520 2472710 ) ( 3383760 2472710 )
NEW met3 ( 3383520 2472710 ) ( 3383520 2474190 0 )
NEW met3 ( 3383520 2038330 ) ( 3383760 2038330 )
NEW met3 ( 3383520 2037220 0 ) ( 3383520 2038330 )
NEW met2 ( 3383760 2038330 ) ( 3383760 2472710 )
NEW met2 ( 3383760 2472710 ) via2_FR
NEW met2 ( 3383760 2038330 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[7\] ( gpio_control_in\[8\] serial_data_in ) ( gpio_control_in\[7\] serial_data_out )
+ ROUTED met3 ( 3383520 2479370 ) ( 3383760 2479370 )
NEW met3 ( 3383520 2477890 0 ) ( 3383520 2479370 )
NEW met3 ( 3383520 2693230 ) ( 3383760 2693230 )
NEW met3 ( 3383520 2693230 ) ( 3383520 2693970 0 )
NEW met2 ( 3383760 2479370 ) ( 3383760 2693230 )
NEW met2 ( 3383760 2479370 ) via2_FR
NEW met2 ( 3383760 2693230 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[8\] ( gpio_control_in\[9\] serial_data_in ) ( gpio_control_in\[8\] serial_data_out )
+ ROUTED met1 ( 3359760 2699335 ) ( 3373200 2699335 )
NEW met2 ( 3373200 2699150 ) ( 3373200 2699335 )
NEW met3 ( 3373200 2699150 ) ( 3373920 2699150 )
NEW met3 ( 3373920 2698410 0 ) ( 3373920 2699150 )
NEW met1 ( 3359760 2909125 ) ( 3369360 2909125 )
NEW met2 ( 3369360 2909125 ) ( 3369360 2918930 )
NEW met3 ( 3369360 2918930 ) ( 3373920 2918930 0 )
NEW met2 ( 3359760 2699335 ) ( 3359760 2909125 )
NEW met1 ( 3359760 2699335 ) M1M2_PR
NEW met1 ( 3373200 2699335 ) M1M2_PR
NEW met2 ( 3373200 2699150 ) via2_FR
NEW met1 ( 3359760 2909125 ) M1M2_PR
NEW met1 ( 3369360 2909125 ) M1M2_PR
NEW met2 ( 3369360 2918930 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[9\] ( gpio_control_in\[9\] serial_data_out ) ( gpio_control_in\[10\] serial_data_in )
+ ROUTED met1 ( 3360240 2930955 ) ( 3369360 2930955 )
NEW met2 ( 3369360 2923370 ) ( 3369360 2930955 )
NEW met3 ( 3369360 2923370 ) ( 3373920 2923370 0 )
NEW met1 ( 3360240 3139265 ) ( 3369360 3139265 )
NEW met2 ( 3369360 3139265 ) ( 3369360 3145370 )
NEW met3 ( 3369360 3145370 ) ( 3373920 3145370 0 )
NEW met2 ( 3360240 2930955 ) ( 3360240 3139265 )
NEW met1 ( 3360240 2930955 ) M1M2_PR
NEW met1 ( 3369360 2930955 ) M1M2_PR
NEW met2 ( 3369360 2923370 ) via2_FR
NEW met1 ( 3360240 3139265 ) M1M2_PR
NEW met1 ( 3369360 3139265 ) M1M2_PR
NEW met2 ( 3369360 3145370 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link_shifted\[0\] ( soc mprj_io_loader_data ) ( gpio_control_bidir\[0\] serial_data_in )
+ ROUTED met3 ( 3202080 600140 0 ) ( 3202080 601990 )
NEW met3 ( 3202080 601990 ) ( 3232560 601990 )
NEW met2 ( 3232560 601990 ) ( 3232560 676915 )
NEW met2 ( 3373680 676915 ) ( 3373680 678210 )
NEW met3 ( 3373680 678210 ) ( 3373920 678210 )
NEW met3 ( 3373920 678210 ) ( 3373920 680060 0 )
NEW met1 ( 3232560 676915 ) ( 3373680 676915 )
NEW met2 ( 3232560 601990 ) via2_FR
NEW met1 ( 3232560 676915 ) M1M2_PR
NEW met1 ( 3373680 676915 ) M1M2_PR
NEW met2 ( 3373680 678210 ) via2_FR
+ USE SIGNAL ;
- jtag_out ( soc jtag_out ) ( gpio_control_bidir\[0\] mgmt_gpio_out )
+ ROUTED met3 ( 3202080 605690 0 ) ( 3239280 605690 )
NEW met2 ( 3239280 605690 ) ( 3239280 606245 )
NEW met2 ( 3373680 606245 ) ( 3373680 611610 )
NEW met3 ( 3373680 611610 ) ( 3373920 611610 )
NEW met3 ( 3373920 611610 ) ( 3373920 612720 0 )
NEW met1 ( 3239280 606245 ) ( 3373680 606245 )
NEW met2 ( 3239280 605690 ) via2_FR
NEW met1 ( 3239280 606245 ) M1M2_PR
NEW met1 ( 3373680 606245 ) M1M2_PR
NEW met2 ( 3373680 611610 ) via2_FR
+ USE SIGNAL ;
- jtag_outenb ( soc jtag_outenb ) ( gpio_control_bidir\[0\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3202080 602730 0 ) ( 3202080 604210 )
NEW met3 ( 3202080 604210 ) ( 3239760 604210 )
NEW met2 ( 3239760 604210 ) ( 3239760 605505 )
NEW met2 ( 3373200 605505 ) ( 3373200 606430 )
NEW met3 ( 3373200 606430 ) ( 3373920 606430 )
NEW met3 ( 3373920 606430 ) ( 3373920 608650 0 )
NEW met1 ( 3239760 605505 ) ( 3373200 605505 )
NEW met2 ( 3239760 604210 ) via2_FR
NEW met1 ( 3239760 605505 ) M1M2_PR
NEW met1 ( 3373200 605505 ) M1M2_PR
NEW met2 ( 3373200 606430 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[0\] ( soc la_input[0] ) ( mgmt_buffers la_data_in_mprj[0] )
+ ROUTED met2 ( 1407120 1181595 ) ( 1407120 1183075 )
NEW met1 ( 1341840 1183075 ) ( 1407120 1183075 )
NEW met2 ( 1341840 1183075 ) ( 1341840 1232470 )
NEW met2 ( 1340880 1232470 0 ) ( 1341840 1232470 )
NEW met2 ( 1440720 1167350 0 ) ( 1440720 1181595 )
NEW met1 ( 1407120 1181595 ) ( 1440720 1181595 )
NEW met1 ( 1407120 1181595 ) M1M2_PR
NEW met1 ( 1407120 1183075 ) M1M2_PR
NEW met1 ( 1341840 1183075 ) M1M2_PR
NEW met1 ( 1440720 1181595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[100\] ( soc la_input[100] ) ( mgmt_buffers la_data_in_mprj[100] )
+ ROUTED met2 ( 1436880 1167350 0 ) ( 1436880 1208605 )
NEW met2 ( 1558320 1201205 ) ( 1558320 1232470 0 )
NEW met2 ( 1490640 1201205 ) ( 1490640 1208605 )
NEW met1 ( 1436880 1208605 ) ( 1490640 1208605 )
NEW met1 ( 1490640 1201205 ) ( 1558320 1201205 )
NEW met1 ( 1436880 1208605 ) M1M2_PR
NEW met1 ( 1558320 1201205 ) M1M2_PR
NEW met1 ( 1490640 1208605 ) M1M2_PR
NEW met1 ( 1490640 1201205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[101\] ( soc la_input[101] ) ( mgmt_buffers la_data_in_mprj[101] )
+ ROUTED met2 ( 1560720 1183075 ) ( 1560720 1232470 0 )
NEW met2 ( 1442400 1167350 0 ) ( 1443600 1167350 )
NEW met2 ( 1443600 1167350 ) ( 1443600 1183075 )
NEW met1 ( 1443600 1183075 ) ( 1560720 1183075 )
NEW met1 ( 1560720 1183075 ) M1M2_PR
NEW met1 ( 1443600 1183075 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[102\] ( soc la_input[102] ) ( mgmt_buffers la_data_in_mprj[102] )
+ ROUTED met2 ( 1434960 1167350 0 ) ( 1434960 1199725 )
NEW met2 ( 1563120 1197505 ) ( 1563120 1232470 0 )
NEW met2 ( 1488240 1197505 ) ( 1488240 1199725 )
NEW met1 ( 1434960 1199725 ) ( 1488240 1199725 )
NEW met1 ( 1488240 1197505 ) ( 1563120 1197505 )
NEW met1 ( 1434960 1199725 ) M1M2_PR
NEW met1 ( 1563120 1197505 ) M1M2_PR
NEW met1 ( 1488240 1199725 ) M1M2_PR
NEW met1 ( 1488240 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[103\] ( soc la_input[103] ) ( mgmt_buffers la_data_in_mprj[103] )
+ ROUTED met2 ( 1564080 1199725 ) ( 1564080 1232470 )
NEW met2 ( 1564080 1232470 ) ( 1565280 1232470 0 )
NEW met2 ( 1444320 1167350 0 ) ( 1445520 1167350 )
NEW met2 ( 1445520 1167350 ) ( 1445520 1200095 )
NEW met1 ( 1445520 1200095 ) ( 1463280 1200095 )
NEW met2 ( 1463280 1197875 ) ( 1463280 1200095 )
NEW met1 ( 1463280 1197875 ) ( 1490160 1197875 )
NEW met2 ( 1490160 1197875 ) ( 1490160 1198430 )
NEW met2 ( 1490160 1198430 ) ( 1491120 1198430 )
NEW met2 ( 1491120 1198430 ) ( 1491120 1199725 )
NEW met1 ( 1491120 1199725 ) ( 1564080 1199725 )
NEW met1 ( 1564080 1199725 ) M1M2_PR
NEW met1 ( 1445520 1200095 ) M1M2_PR
NEW met1 ( 1463280 1200095 ) M1M2_PR
NEW met1 ( 1463280 1197875 ) M1M2_PR
NEW met1 ( 1490160 1197875 ) M1M2_PR
NEW met1 ( 1491120 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[104\] ( soc la_input[104] ) ( mgmt_buffers la_data_in_mprj[104] )
+ ROUTED met2 ( 1566000 1200835 ) ( 1566000 1232470 )
NEW met2 ( 1566000 1232470 ) ( 1567200 1232470 0 )
NEW met2 ( 1433280 1167350 0 ) ( 1434480 1167350 )
NEW met2 ( 1434480 1167350 ) ( 1434480 1184370 )
NEW met3 ( 1434480 1184370 ) ( 1479600 1184370 )
NEW met2 ( 1479600 1184370 ) ( 1479600 1200835 )
NEW met1 ( 1479600 1200835 ) ( 1566000 1200835 )
NEW met1 ( 1566000 1200835 ) M1M2_PR
NEW met2 ( 1434480 1184370 ) via2_FR
NEW met2 ( 1479600 1184370 ) via2_FR
NEW met1 ( 1479600 1200835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[105\] ( soc la_input[105] ) ( mgmt_buffers la_data_in_mprj[105] )
+ ROUTED met2 ( 1569360 1197875 ) ( 1569360 1232470 0 )
NEW met2 ( 1534800 1197875 ) ( 1534800 1201575 )
NEW met1 ( 1534800 1197875 ) ( 1569360 1197875 )
NEW met2 ( 1446000 1167350 0 ) ( 1446000 1201575 )
NEW met1 ( 1446000 1201575 ) ( 1534800 1201575 )
NEW met1 ( 1569360 1197875 ) M1M2_PR
NEW met1 ( 1534800 1201575 ) M1M2_PR
NEW met1 ( 1534800 1197875 ) M1M2_PR
NEW met1 ( 1446000 1201575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[106\] ( soc la_input[106] ) ( mgmt_buffers la_data_in_mprj[106] )
+ ROUTED met2 ( 1431360 1167350 0 ) ( 1432560 1167350 )
NEW met2 ( 1432560 1167350 ) ( 1432560 1169015 )
NEW met2 ( 1571760 1169015 ) ( 1571760 1232470 0 )
NEW met1 ( 1432560 1169015 ) ( 1571760 1169015 )
NEW met1 ( 1432560 1169015 ) M1M2_PR
NEW met1 ( 1571760 1169015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[107\] ( soc la_input[107] ) ( mgmt_buffers la_data_in_mprj[107] )
+ ROUTED met2 ( 1447920 1167350 0 ) ( 1449360 1167350 )
NEW met2 ( 1449360 1167350 ) ( 1449360 1167535 )
NEW met1 ( 1449360 1167535 ) ( 1478640 1167535 )
NEW met2 ( 1478640 1167535 ) ( 1478640 1199355 )
NEW met1 ( 1540080 1198615 ) ( 1540080 1199355 )
NEW met1 ( 1540080 1198615 ) ( 1541520 1198615 )
NEW met1 ( 1541520 1198615 ) ( 1541520 1198985 )
NEW met1 ( 1541520 1198985 ) ( 1574160 1198985 )
NEW met1 ( 1478640 1199355 ) ( 1540080 1199355 )
NEW met2 ( 1574160 1198985 ) ( 1574160 1232470 0 )
NEW met1 ( 1449360 1167535 ) M1M2_PR
NEW met1 ( 1478640 1167535 ) M1M2_PR
NEW met1 ( 1478640 1199355 ) M1M2_PR
NEW met1 ( 1574160 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[108\] ( soc la_input[108] ) ( mgmt_buffers la_data_in_mprj[108] )
+ ROUTED met2 ( 1429680 1167350 0 ) ( 1430640 1167350 )
NEW met2 ( 1430640 1167350 ) ( 1430640 1168275 )
NEW met1 ( 1430640 1168275 ) ( 1575600 1168275 )
NEW met2 ( 1575600 1230990 ) ( 1575840 1230990 )
NEW met2 ( 1575840 1230990 ) ( 1575840 1232470 0 )
NEW met2 ( 1575600 1168275 ) ( 1575600 1230990 )
NEW met1 ( 1430640 1168275 ) M1M2_PR
NEW met1 ( 1575600 1168275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[109\] ( soc la_input[109] ) ( mgmt_buffers la_data_in_mprj[109] )
+ ROUTED met2 ( 1577040 1187145 ) ( 1577040 1232470 )
NEW met2 ( 1577040 1232470 ) ( 1578240 1232470 0 )
NEW met2 ( 1449840 1167350 0 ) ( 1449840 1182705 )
NEW met1 ( 1449840 1182705 ) ( 1523760 1182705 )
NEW met2 ( 1523760 1182705 ) ( 1523760 1187145 )
NEW met1 ( 1523760 1187145 ) ( 1577040 1187145 )
NEW met1 ( 1577040 1187145 ) M1M2_PR
NEW met1 ( 1449840 1182705 ) M1M2_PR
NEW met1 ( 1523760 1182705 ) M1M2_PR
NEW met1 ( 1523760 1187145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[10\] ( soc la_input[10] ) ( mgmt_buffers la_data_in_mprj[10] )
+ ROUTED met2 ( 1427760 1167350 0 ) ( 1427760 1196395 )
NEW met1 ( 1362480 1196395 ) ( 1427760 1196395 )
NEW met2 ( 1362480 1196395 ) ( 1362480 1232470 0 )
NEW met1 ( 1427760 1196395 ) M1M2_PR
NEW met1 ( 1362480 1196395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[110\] ( soc la_input[110] ) ( mgmt_buffers la_data_in_mprj[110] )
+ ROUTED met1 ( 1560720 1208235 ) ( 1560720 1208975 )
NEW met1 ( 1560720 1208975 ) ( 1572240 1208975 )
NEW met2 ( 1572240 1208235 ) ( 1572240 1208975 )
NEW met1 ( 1572240 1208235 ) ( 1580400 1208235 )
NEW met2 ( 1580400 1208235 ) ( 1580400 1232470 0 )
NEW met2 ( 1451760 1167350 0 ) ( 1452720 1167350 )
NEW met2 ( 1452720 1167350 ) ( 1452720 1168830 )
NEW met2 ( 1452720 1168830 ) ( 1453200 1168830 )
NEW met2 ( 1453200 1168830 ) ( 1453200 1208975 )
NEW met1 ( 1453200 1208975 ) ( 1491120 1208975 )
NEW met1 ( 1491120 1208235 ) ( 1491120 1208975 )
NEW met1 ( 1491120 1208235 ) ( 1560720 1208235 )
NEW met1 ( 1572240 1208975 ) M1M2_PR
NEW met1 ( 1572240 1208235 ) M1M2_PR
NEW met1 ( 1580400 1208235 ) M1M2_PR
NEW met1 ( 1453200 1208975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[111\] ( soc la_input[111] ) ( mgmt_buffers la_data_in_mprj[111] )
+ ROUTED met2 ( 1582320 1213785 ) ( 1582320 1232470 0 )
NEW met3 ( 1425840 1213230 ) ( 1489680 1213230 )
NEW met2 ( 1489680 1213230 ) ( 1489680 1213785 )
NEW met2 ( 1425840 1167350 0 ) ( 1425840 1213230 )
NEW met1 ( 1489680 1213785 ) ( 1582320 1213785 )
NEW met1 ( 1582320 1213785 ) M1M2_PR
NEW met2 ( 1425840 1213230 ) via2_FR
NEW met2 ( 1489680 1213230 ) via2_FR
NEW met1 ( 1489680 1213785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[112\] ( soc la_input[112] ) ( mgmt_buffers la_data_in_mprj[112] )
+ ROUTED met3 ( 1453680 1212490 ) ( 1491120 1212490 )
NEW met2 ( 1491120 1210455 ) ( 1491120 1212490 )
NEW met2 ( 1453440 1167350 0 ) ( 1453440 1168275 )
NEW met2 ( 1453440 1168275 ) ( 1453680 1168275 )
NEW met2 ( 1453680 1168275 ) ( 1453680 1212490 )
NEW met2 ( 1584720 1210455 ) ( 1584720 1232470 0 )
NEW met1 ( 1491120 1210455 ) ( 1584720 1210455 )
NEW met2 ( 1453680 1212490 ) via2_FR
NEW met2 ( 1491120 1212490 ) via2_FR
NEW met1 ( 1491120 1210455 ) M1M2_PR
NEW met1 ( 1584720 1210455 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[113\] ( soc la_input[113] ) ( mgmt_buffers la_data_in_mprj[113] )
+ ROUTED met2 ( 1585680 1213045 ) ( 1585680 1232470 )
NEW met2 ( 1585680 1232470 ) ( 1586880 1232470 0 )
NEW met2 ( 1423920 1167350 0 ) ( 1423920 1213045 )
NEW met1 ( 1423920 1213045 ) ( 1585680 1213045 )
NEW met1 ( 1585680 1213045 ) M1M2_PR
NEW met1 ( 1423920 1213045 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[114\] ( soc la_input[114] ) ( mgmt_buffers la_data_in_mprj[114] )
+ ROUTED met1 ( 1577520 1186775 ) ( 1577520 1187515 )
NEW met1 ( 1577520 1187515 ) ( 1588080 1187515 )
NEW met2 ( 1588080 1187515 ) ( 1588080 1232470 )
NEW met2 ( 1588080 1232470 ) ( 1589280 1232470 0 )
NEW met2 ( 1455360 1167350 0 ) ( 1456560 1167350 )
NEW met2 ( 1456560 1167350 ) ( 1456560 1186775 )
NEW met1 ( 1456560 1186775 ) ( 1577520 1186775 )
NEW met1 ( 1588080 1187515 ) M1M2_PR
NEW met1 ( 1456560 1186775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[115\] ( soc la_input[115] ) ( mgmt_buffers la_data_in_mprj[115] )
+ ROUTED met2 ( 1422240 1167350 0 ) ( 1423440 1167350 )
NEW met2 ( 1423440 1167350 ) ( 1423440 1214525 )
NEW met2 ( 1590000 1212305 ) ( 1590000 1232470 )
NEW met2 ( 1590000 1232470 ) ( 1590960 1232470 0 )
NEW met2 ( 1490640 1212305 ) ( 1490640 1214525 )
NEW met1 ( 1423440 1214525 ) ( 1490640 1214525 )
NEW met1 ( 1490640 1212305 ) ( 1590000 1212305 )
NEW met1 ( 1423440 1214525 ) M1M2_PR
NEW met1 ( 1590000 1212305 ) M1M2_PR
NEW met1 ( 1490640 1214525 ) M1M2_PR
NEW met1 ( 1490640 1212305 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[116\] ( soc la_input[116] ) ( mgmt_buffers la_data_in_mprj[116] )
+ ROUTED met2 ( 1592400 1232470 ) ( 1593360 1232470 0 )
NEW met2 ( 1457040 1167350 0 ) ( 1457040 1185665 )
NEW met1 ( 1457040 1185665 ) ( 1458960 1185665 )
NEW met1 ( 1458960 1185665 ) ( 1458960 1186035 )
NEW met1 ( 1552080 1185665 ) ( 1552080 1186035 )
NEW met1 ( 1552080 1185665 ) ( 1592400 1185665 )
NEW met1 ( 1458960 1186035 ) ( 1552080 1186035 )
NEW met2 ( 1592400 1185665 ) ( 1592400 1232470 )
NEW met1 ( 1457040 1185665 ) M1M2_PR
NEW met1 ( 1592400 1185665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[117\] ( soc la_input[117] ) ( mgmt_buffers la_data_in_mprj[117] )
+ ROUTED met2 ( 1420320 1167350 0 ) ( 1421520 1167350 )
NEW met2 ( 1595760 1212675 ) ( 1595760 1232470 0 )
NEW met1 ( 1421520 1210455 ) ( 1489680 1210455 )
NEW met2 ( 1489680 1210455 ) ( 1489680 1212675 )
NEW met2 ( 1421520 1167350 ) ( 1421520 1210455 )
NEW met1 ( 1489680 1212675 ) ( 1595760 1212675 )
NEW met1 ( 1595760 1212675 ) M1M2_PR
NEW met1 ( 1421520 1210455 ) M1M2_PR
NEW met1 ( 1489680 1210455 ) M1M2_PR
NEW met1 ( 1489680 1212675 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[118\] ( soc la_input[118] ) ( mgmt_buffers la_data_in_mprj[118] )
+ ROUTED met2 ( 1596720 1180855 ) ( 1596720 1232470 )
NEW met2 ( 1596720 1232470 ) ( 1597920 1232470 0 )
NEW met2 ( 1458960 1167350 0 ) ( 1458960 1180855 )
NEW met1 ( 1458960 1180855 ) ( 1596720 1180855 )
NEW met1 ( 1596720 1180855 ) M1M2_PR
NEW met1 ( 1458960 1180855 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[119\] ( soc la_input[119] ) ( mgmt_buffers la_data_in_mprj[119] )
+ ROUTED met2 ( 1418640 1167350 0 ) ( 1418640 1171605 )
NEW met2 ( 1598640 1171605 ) ( 1598640 1232470 )
NEW met2 ( 1598640 1232470 ) ( 1599840 1232470 0 )
NEW met1 ( 1418640 1171605 ) ( 1598640 1171605 )
NEW met1 ( 1418640 1171605 ) M1M2_PR
NEW met1 ( 1598640 1171605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[11\] ( soc la_input[11] ) ( mgmt_buffers la_data_in_mprj[11] )
+ ROUTED met2 ( 1409520 1198615 ) ( 1409520 1209345 )
NEW met1 ( 1365840 1209345 ) ( 1409520 1209345 )
NEW met2 ( 1365840 1209345 ) ( 1365840 1232470 )
NEW met2 ( 1364640 1232470 0 ) ( 1365840 1232470 )
NEW met2 ( 1460880 1167350 0 ) ( 1460880 1198615 )
NEW met1 ( 1409520 1198615 ) ( 1460880 1198615 )
NEW met1 ( 1409520 1198615 ) M1M2_PR
NEW met1 ( 1409520 1209345 ) M1M2_PR
NEW met1 ( 1365840 1209345 ) M1M2_PR
NEW met1 ( 1460880 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[120\] ( soc la_input[120] ) ( mgmt_buffers la_data_in_mprj[120] )
+ ROUTED met2 ( 1416720 1167350 0 ) ( 1416720 1171975 )
NEW met2 ( 1602000 1171975 ) ( 1602000 1232470 0 )
NEW met1 ( 1416720 1171975 ) ( 1602000 1171975 )
NEW met1 ( 1416720 1171975 ) M1M2_PR
NEW met1 ( 1602000 1171975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[121\] ( soc la_input[121] ) ( mgmt_buffers la_data_in_mprj[121] )
+ ROUTED met2 ( 1604400 1213415 ) ( 1604400 1232470 0 )
NEW met2 ( 1462800 1167350 0 ) ( 1462800 1187145 )
NEW met1 ( 1462800 1187145 ) ( 1468560 1187145 )
NEW met2 ( 1468560 1187145 ) ( 1468560 1211010 )
NEW met2 ( 1468560 1211010 ) ( 1469520 1211010 )
NEW met2 ( 1469520 1211010 ) ( 1469520 1213785 )
NEW met1 ( 1469520 1213785 ) ( 1489200 1213785 )
NEW met1 ( 1489200 1213415 ) ( 1489200 1213785 )
NEW met1 ( 1489200 1213415 ) ( 1604400 1213415 )
NEW met1 ( 1604400 1213415 ) M1M2_PR
NEW met1 ( 1462800 1187145 ) M1M2_PR
NEW met1 ( 1468560 1187145 ) M1M2_PR
NEW met1 ( 1469520 1213785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[122\] ( soc la_input[122] ) ( mgmt_buffers la_data_in_mprj[122] )
+ ROUTED met2 ( 1414800 1167350 0 ) ( 1416240 1167350 )
NEW met2 ( 1606800 1211935 ) ( 1606800 1232470 0 )
NEW met3 ( 1416240 1211750 ) ( 1454160 1211750 )
NEW met2 ( 1454160 1211750 ) ( 1454160 1211935 )
NEW met2 ( 1416240 1167350 ) ( 1416240 1211750 )
NEW met1 ( 1454160 1211935 ) ( 1606800 1211935 )
NEW met1 ( 1606800 1211935 ) M1M2_PR
NEW met2 ( 1416240 1211750 ) via2_FR
NEW met2 ( 1454160 1211750 ) via2_FR
NEW met1 ( 1454160 1211935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[123\] ( soc la_input[123] ) ( mgmt_buffers la_data_in_mprj[123] )
+ ROUTED met2 ( 1607280 1168645 ) ( 1607280 1232470 )
NEW met2 ( 1607280 1232470 ) ( 1608480 1232470 0 )
NEW met2 ( 1464480 1167350 0 ) ( 1464480 1168645 )
NEW met1 ( 1464480 1168645 ) ( 1607280 1168645 )
NEW met1 ( 1607280 1168645 ) M1M2_PR
NEW met1 ( 1464480 1168645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[124\] ( soc la_input[124] ) ( mgmt_buffers la_data_in_mprj[124] )
+ ROUTED met2 ( 1609680 1211195 ) ( 1609680 1232470 )
NEW met2 ( 1609680 1232470 ) ( 1610880 1232470 0 )
NEW met3 ( 1412880 1211010 ) ( 1462320 1211010 )
NEW met2 ( 1462320 1211010 ) ( 1462320 1211195 )
NEW met2 ( 1412880 1167350 0 ) ( 1412880 1211010 )
NEW met1 ( 1462320 1211195 ) ( 1609680 1211195 )
NEW met1 ( 1609680 1211195 ) M1M2_PR
NEW met2 ( 1412880 1211010 ) via2_FR
NEW met2 ( 1462320 1211010 ) via2_FR
NEW met1 ( 1462320 1211195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[125\] ( soc la_input[125] ) ( mgmt_buffers la_data_in_mprj[125] )
+ ROUTED met2 ( 1613040 1169755 ) ( 1613040 1232470 0 )
NEW met2 ( 1466400 1167350 0 ) ( 1467600 1167350 )
NEW met2 ( 1467600 1167350 ) ( 1467600 1169755 )
NEW met1 ( 1467600 1169755 ) ( 1613040 1169755 )
NEW met1 ( 1613040 1169755 ) M1M2_PR
NEW met1 ( 1467600 1169755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[126\] ( soc la_input[126] ) ( mgmt_buffers la_data_in_mprj[126] )
+ ROUTED met2 ( 1410960 1167350 ) ( 1411200 1167350 0 )
NEW met2 ( 1410960 1167350 ) ( 1410960 1216005 )
NEW met2 ( 1615440 1216375 ) ( 1615440 1232470 0 )
NEW met2 ( 1483440 1215450 ) ( 1483440 1216005 )
NEW met3 ( 1483440 1215450 ) ( 1486320 1215450 )
NEW met2 ( 1486320 1215450 ) ( 1486320 1216375 )
NEW met1 ( 1410960 1216005 ) ( 1483440 1216005 )
NEW met1 ( 1486320 1216375 ) ( 1615440 1216375 )
NEW met1 ( 1410960 1216005 ) M1M2_PR
NEW met1 ( 1615440 1216375 ) M1M2_PR
NEW met1 ( 1483440 1216005 ) M1M2_PR
NEW met2 ( 1483440 1215450 ) via2_FR
NEW met2 ( 1486320 1215450 ) via2_FR
NEW met1 ( 1486320 1216375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[127\] ( soc la_input[127] ) ( mgmt_buffers la_data_in_mprj[127] )
+ ROUTED met2 ( 1617360 1211565 ) ( 1617360 1232470 0 )
NEW met2 ( 1468080 1167350 0 ) ( 1468080 1210085 )
NEW met1 ( 1468080 1210085 ) ( 1498320 1210085 )
NEW met2 ( 1498320 1210085 ) ( 1498320 1211565 )
NEW met1 ( 1498320 1211565 ) ( 1617360 1211565 )
NEW met1 ( 1617360 1211565 ) M1M2_PR
NEW met1 ( 1468080 1210085 ) M1M2_PR
NEW met1 ( 1498320 1210085 ) M1M2_PR
NEW met1 ( 1498320 1211565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[12\] ( soc la_input[12] ) ( mgmt_buffers la_data_in_mprj[12] )
+ ROUTED met2 ( 1408080 1167350 ) ( 1409280 1167350 0 )
NEW met2 ( 1408080 1167350 ) ( 1408080 1197505 )
NEW met1 ( 1367760 1197505 ) ( 1408080 1197505 )
NEW met2 ( 1367760 1197505 ) ( 1367760 1232470 )
NEW met2 ( 1367040 1232470 0 ) ( 1367760 1232470 )
NEW met1 ( 1408080 1197505 ) M1M2_PR
NEW met1 ( 1367760 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[13\] ( soc la_input[13] ) ( mgmt_buffers la_data_in_mprj[13] )
+ ROUTED met2 ( 1435440 1198245 ) ( 1435440 1208975 )
NEW met1 ( 1370160 1208975 ) ( 1435440 1208975 )
NEW met2 ( 1370160 1208975 ) ( 1370160 1232470 )
NEW met2 ( 1368960 1232470 0 ) ( 1370160 1232470 )
NEW met2 ( 1470000 1167350 0 ) ( 1470000 1181225 )
NEW met1 ( 1454160 1181225 ) ( 1470000 1181225 )
NEW met2 ( 1454160 1181225 ) ( 1454160 1198245 )
NEW met1 ( 1435440 1198245 ) ( 1454160 1198245 )
NEW met1 ( 1435440 1198245 ) M1M2_PR
NEW met1 ( 1435440 1208975 ) M1M2_PR
NEW met1 ( 1370160 1208975 ) M1M2_PR
NEW met1 ( 1470000 1181225 ) M1M2_PR
NEW met1 ( 1454160 1181225 ) M1M2_PR
NEW met1 ( 1454160 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[14\] ( soc la_input[14] ) ( mgmt_buffers la_data_in_mprj[14] )
+ ROUTED met2 ( 1407600 1167350 0 ) ( 1407600 1186775 )
NEW met1 ( 1371120 1186775 ) ( 1407600 1186775 )
NEW met2 ( 1371120 1186775 ) ( 1371120 1232470 0 )
NEW met1 ( 1407600 1186775 ) M1M2_PR
NEW met1 ( 1371120 1186775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[15\] ( soc la_input[15] ) ( mgmt_buffers la_data_in_mprj[15] )
+ ROUTED met2 ( 1373520 1183815 ) ( 1373520 1232470 0 )
NEW met2 ( 1471920 1167350 0 ) ( 1471920 1183815 )
NEW met1 ( 1373520 1183815 ) ( 1471920 1183815 )
NEW met1 ( 1373520 1183815 ) M1M2_PR
NEW met1 ( 1471920 1183815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[16\] ( soc la_input[16] ) ( mgmt_buffers la_data_in_mprj[16] )
+ ROUTED met2 ( 1405680 1167350 0 ) ( 1405680 1197875 )
NEW met1 ( 1376880 1197875 ) ( 1405680 1197875 )
NEW met2 ( 1376880 1197875 ) ( 1376880 1232470 )
NEW met2 ( 1375680 1232470 0 ) ( 1376880 1232470 )
NEW met1 ( 1405680 1197875 ) M1M2_PR
NEW met1 ( 1376880 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[17\] ( soc la_input[17] ) ( mgmt_buffers la_data_in_mprj[17] )
+ ROUTED met2 ( 1415280 1198985 ) ( 1415280 1201945 )
NEW met1 ( 1378800 1201945 ) ( 1415280 1201945 )
NEW met2 ( 1378800 1201945 ) ( 1378800 1232470 )
NEW met2 ( 1377600 1232470 0 ) ( 1378800 1232470 )
NEW met2 ( 1473840 1167350 0 ) ( 1473840 1198985 )
NEW met1 ( 1415280 1198985 ) ( 1473840 1198985 )
NEW met1 ( 1415280 1198985 ) M1M2_PR
NEW met1 ( 1415280 1201945 ) M1M2_PR
NEW met1 ( 1378800 1201945 ) M1M2_PR
NEW met1 ( 1473840 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[18\] ( soc la_input[18] ) ( mgmt_buffers la_data_in_mprj[18] )
+ ROUTED met2 ( 1402320 1167350 ) ( 1403760 1167350 0 )
NEW met2 ( 1402320 1167350 ) ( 1402320 1196025 )
NEW met1 ( 1381200 1196025 ) ( 1402320 1196025 )
NEW met2 ( 1381200 1196025 ) ( 1381200 1232470 )
NEW met2 ( 1380000 1232470 0 ) ( 1381200 1232470 )
NEW met1 ( 1402320 1196025 ) M1M2_PR
NEW met1 ( 1381200 1196025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[19\] ( soc la_input[19] ) ( mgmt_buffers la_data_in_mprj[19] )
+ ROUTED met2 ( 1382160 1182335 ) ( 1382160 1232470 0 )
NEW met2 ( 1474320 1167350 ) ( 1475520 1167350 0 )
NEW met2 ( 1474320 1167350 ) ( 1474320 1182335 )
NEW met1 ( 1382160 1182335 ) ( 1474320 1182335 )
NEW met1 ( 1382160 1182335 ) M1M2_PR
NEW met1 ( 1474320 1182335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[1\] ( soc la_input[1] ) ( mgmt_buffers la_data_in_mprj[1] )
+ ROUTED met2 ( 1401840 1167350 0 ) ( 1401840 1199725 )
NEW met1 ( 1343760 1199725 ) ( 1401840 1199725 )
NEW met2 ( 1343760 1199725 ) ( 1343760 1232470 )
NEW met2 ( 1342560 1232470 0 ) ( 1343760 1232470 )
NEW met1 ( 1401840 1199725 ) M1M2_PR
NEW met1 ( 1343760 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[20\] ( soc la_input[20] ) ( mgmt_buffers la_data_in_mprj[20] )
+ ROUTED met2 ( 1419600 1199355 ) ( 1419600 1201205 )
NEW met1 ( 1384080 1201205 ) ( 1419600 1201205 )
NEW met2 ( 1384080 1201205 ) ( 1384080 1232470 0 )
NEW met2 ( 1476240 1167350 ) ( 1477440 1167350 0 )
NEW met2 ( 1476240 1167350 ) ( 1476240 1199355 )
NEW met1 ( 1419600 1199355 ) ( 1476240 1199355 )
NEW met1 ( 1419600 1199355 ) M1M2_PR
NEW met1 ( 1419600 1201205 ) M1M2_PR
NEW met1 ( 1384080 1201205 ) M1M2_PR
NEW met1 ( 1476240 1199355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[21\] ( soc la_input[21] ) ( mgmt_buffers la_data_in_mprj[21] )
+ ROUTED met2 ( 1398960 1167350 ) ( 1400160 1167350 0 )
NEW met2 ( 1398960 1167350 ) ( 1398960 1184925 )
NEW met1 ( 1386480 1184925 ) ( 1398960 1184925 )
NEW met2 ( 1386480 1184925 ) ( 1386480 1232470 0 )
NEW met1 ( 1398960 1184925 ) M1M2_PR
NEW met1 ( 1386480 1184925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[22\] ( soc la_input[22] ) ( mgmt_buffers la_data_in_mprj[22] )
+ ROUTED met1 ( 1397040 1200095 ) ( 1397040 1200465 )
NEW met2 ( 1479120 1167350 0 ) ( 1479120 1200835 )
NEW met1 ( 1440720 1200835 ) ( 1479120 1200835 )
NEW met1 ( 1440720 1200095 ) ( 1440720 1200835 )
NEW met1 ( 1397040 1200095 ) ( 1440720 1200095 )
NEW met2 ( 1388400 1200465 ) ( 1388400 1230990 )
NEW met2 ( 1388400 1230990 ) ( 1388640 1230990 )
NEW met2 ( 1388640 1230990 ) ( 1388640 1232470 0 )
NEW met1 ( 1388400 1200465 ) ( 1397040 1200465 )
NEW met1 ( 1479120 1200835 ) M1M2_PR
NEW met1 ( 1388400 1200465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[23\] ( soc la_input[23] ) ( mgmt_buffers la_data_in_mprj[23] )
+ ROUTED met2 ( 1397040 1167350 ) ( 1398240 1167350 0 )
NEW met2 ( 1397040 1167350 ) ( 1397040 1183445 )
NEW met1 ( 1392240 1183445 ) ( 1397040 1183445 )
NEW met2 ( 1392240 1183445 ) ( 1392240 1232470 )
NEW met2 ( 1391040 1232470 0 ) ( 1392240 1232470 )
NEW met1 ( 1397040 1183445 ) M1M2_PR
NEW met1 ( 1392240 1183445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[24\] ( soc la_input[24] ) ( mgmt_buffers la_data_in_mprj[24] )
+ ROUTED met2 ( 1394160 1184185 ) ( 1394160 1232470 )
NEW met2 ( 1392720 1232470 0 ) ( 1394160 1232470 )
NEW met2 ( 1481040 1167350 0 ) ( 1481040 1183815 )
NEW met1 ( 1476240 1183815 ) ( 1481040 1183815 )
NEW met1 ( 1476240 1183815 ) ( 1476240 1184185 )
NEW met1 ( 1394160 1184185 ) ( 1476240 1184185 )
NEW met1 ( 1394160 1184185 ) M1M2_PR
NEW met1 ( 1481040 1183815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[25\] ( soc la_input[25] ) ( mgmt_buffers la_data_in_mprj[25] )
+ ROUTED met2 ( 1395120 1167350 ) ( 1396560 1167350 0 )
NEW met2 ( 1395120 1167350 ) ( 1395120 1232470 0 )
+ USE SIGNAL ;
- la_data_in_mprj\[26\] ( soc la_input[26] ) ( mgmt_buffers la_data_in_mprj[26] )
+ ROUTED met2 ( 1397520 1200465 ) ( 1397520 1232470 0 )
NEW met2 ( 1482960 1167350 0 ) ( 1482960 1201205 )
NEW met1 ( 1440240 1201205 ) ( 1482960 1201205 )
NEW met1 ( 1440240 1200835 ) ( 1440240 1201205 )
NEW met1 ( 1439760 1200835 ) ( 1440240 1200835 )
NEW met1 ( 1439760 1200465 ) ( 1439760 1200835 )
NEW met1 ( 1397520 1200465 ) ( 1439760 1200465 )
NEW met1 ( 1397520 1200465 ) M1M2_PR
NEW met1 ( 1482960 1201205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[27\] ( soc la_input[27] ) ( mgmt_buffers la_data_in_mprj[27] )
+ ROUTED met2 ( 1394640 1167350 0 ) ( 1394640 1198245 )
NEW met1 ( 1394640 1198245 ) ( 1398480 1198245 )
NEW met2 ( 1398480 1198245 ) ( 1398480 1232470 )
NEW met2 ( 1398480 1232470 ) ( 1399680 1232470 0 )
NEW met1 ( 1394640 1198245 ) M1M2_PR
NEW met1 ( 1398480 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[28\] ( soc la_input[28] ) ( mgmt_buffers la_data_in_mprj[28] )
+ ROUTED met1 ( 1433040 1197505 ) ( 1433040 1198245 )
NEW met1 ( 1402800 1198245 ) ( 1433040 1198245 )
NEW met2 ( 1402800 1198245 ) ( 1402800 1232470 )
NEW met2 ( 1401600 1232470 0 ) ( 1402800 1232470 )
NEW met2 ( 1484880 1167350 0 ) ( 1484880 1197505 )
NEW met1 ( 1433040 1197505 ) ( 1484880 1197505 )
NEW met1 ( 1402800 1198245 ) M1M2_PR
NEW met1 ( 1484880 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[29\] ( soc la_input[29] ) ( mgmt_buffers la_data_in_mprj[29] )
+ ROUTED met2 ( 1392720 1167350 0 ) ( 1392720 1194915 )
NEW met1 ( 1392720 1194915 ) ( 1403760 1194915 )
NEW met2 ( 1403760 1194915 ) ( 1403760 1232470 0 )
NEW met1 ( 1392720 1194915 ) M1M2_PR
NEW met1 ( 1403760 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[2\] ( soc la_input[2] ) ( mgmt_buffers la_data_in_mprj[2] )
+ ROUTED met1 ( 1345680 1167165 ) ( 1345680 1167905 )
NEW met2 ( 1345680 1167905 ) ( 1345680 1232470 )
NEW met2 ( 1344960 1232470 0 ) ( 1345680 1232470 )
NEW met1 ( 1485360 1167165 ) ( 1485360 1167535 )
NEW met2 ( 1485360 1167350 ) ( 1485360 1167535 )
NEW met2 ( 1485360 1167350 ) ( 1486560 1167350 0 )
NEW met1 ( 1345680 1167165 ) ( 1485360 1167165 )
NEW met1 ( 1345680 1167905 ) M1M2_PR
NEW met1 ( 1485360 1167535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[30\] ( soc la_input[30] ) ( mgmt_buffers la_data_in_mprj[30] )
+ ROUTED met2 ( 1390800 1167350 0 ) ( 1390800 1181225 )
NEW met1 ( 1390800 1181225 ) ( 1406160 1181225 )
NEW met2 ( 1406160 1181225 ) ( 1406160 1232470 0 )
NEW met1 ( 1390800 1181225 ) M1M2_PR
NEW met1 ( 1406160 1181225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[31\] ( soc la_input[31] ) ( mgmt_buffers la_data_in_mprj[31] )
+ ROUTED met2 ( 1408560 1186775 ) ( 1408560 1232470 0 )
NEW met2 ( 1487280 1167350 ) ( 1488480 1167350 0 )
NEW met2 ( 1487280 1167350 ) ( 1487280 1171790 )
NEW met3 ( 1434000 1171790 ) ( 1487280 1171790 )
NEW met2 ( 1434000 1171790 ) ( 1434000 1186775 )
NEW met1 ( 1408560 1186775 ) ( 1434000 1186775 )
NEW met1 ( 1408560 1186775 ) M1M2_PR
NEW met2 ( 1487280 1171790 ) via2_FR
NEW met2 ( 1434000 1171790 ) via2_FR
NEW met1 ( 1434000 1186775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[32\] ( soc la_input[32] ) ( mgmt_buffers la_data_in_mprj[32] )
+ ROUTED met2 ( 1389120 1167350 0 ) ( 1390320 1167350 )
NEW met2 ( 1390320 1167350 ) ( 1390320 1181595 )
NEW met2 ( 1390320 1181595 ) ( 1391280 1181595 )
NEW met2 ( 1391280 1181595 ) ( 1391280 1198615 )
NEW met1 ( 1391280 1198615 ) ( 1409040 1198615 )
NEW met2 ( 1409040 1198615 ) ( 1409040 1232470 )
NEW met2 ( 1409040 1232470 ) ( 1410240 1232470 0 )
NEW met1 ( 1391280 1198615 ) M1M2_PR
NEW met1 ( 1409040 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[33\] ( soc la_input[33] ) ( mgmt_buffers la_data_in_mprj[33] )
+ ROUTED met2 ( 1413840 1185295 ) ( 1413840 1232470 )
NEW met2 ( 1412640 1232470 0 ) ( 1413840 1232470 )
NEW met2 ( 1490160 1167350 0 ) ( 1490160 1184925 )
NEW met1 ( 1446000 1184925 ) ( 1490160 1184925 )
NEW met1 ( 1446000 1184925 ) ( 1446000 1185295 )
NEW met1 ( 1413840 1185295 ) ( 1446000 1185295 )
NEW met1 ( 1413840 1185295 ) M1M2_PR
NEW met1 ( 1490160 1184925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[34\] ( soc la_input[34] ) ( mgmt_buffers la_data_in_mprj[34] )
+ ROUTED met2 ( 1387200 1167350 0 ) ( 1388400 1167350 )
NEW met2 ( 1388400 1167350 ) ( 1388400 1198985 )
NEW met1 ( 1388400 1198985 ) ( 1414800 1198985 )
NEW met2 ( 1414800 1198985 ) ( 1414800 1232470 0 )
NEW met1 ( 1388400 1198985 ) M1M2_PR
NEW met1 ( 1414800 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[35\] ( soc la_input[35] ) ( mgmt_buffers la_data_in_mprj[35] )
+ ROUTED met2 ( 1490640 1167350 ) ( 1492080 1167350 0 )
NEW met2 ( 1490640 1167350 ) ( 1490640 1185850 )
NEW met2 ( 1489680 1185850 ) ( 1490640 1185850 )
NEW met2 ( 1489680 1185850 ) ( 1489680 1198615 )
NEW met1 ( 1461360 1198615 ) ( 1489680 1198615 )
NEW met1 ( 1417200 1209345 ) ( 1445520 1209345 )
NEW met1 ( 1445520 1210085 ) ( 1461360 1210085 )
NEW met2 ( 1417200 1209345 ) ( 1417200 1232470 0 )
NEW met1 ( 1445520 1209345 ) ( 1445520 1210085 )
NEW met2 ( 1461360 1198615 ) ( 1461360 1210085 )
NEW met1 ( 1417200 1209345 ) M1M2_PR
NEW met1 ( 1489680 1198615 ) M1M2_PR
NEW met1 ( 1461360 1198615 ) M1M2_PR
NEW met1 ( 1461360 1210085 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[36\] ( soc la_input[36] ) ( mgmt_buffers la_data_in_mprj[36] )
+ ROUTED met2 ( 1385520 1167350 0 ) ( 1385520 1199355 )
NEW met1 ( 1385520 1199355 ) ( 1419120 1199355 )
NEW met2 ( 1419120 1199355 ) ( 1419120 1232470 0 )
NEW met1 ( 1385520 1199355 ) M1M2_PR
NEW met1 ( 1419120 1199355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[37\] ( soc la_input[37] ) ( mgmt_buffers la_data_in_mprj[37] )
+ ROUTED met2 ( 1422000 1184555 ) ( 1422000 1232470 )
NEW met2 ( 1421280 1232470 0 ) ( 1422000 1232470 )
NEW met2 ( 1494000 1167350 0 ) ( 1494000 1184555 )
NEW met1 ( 1422000 1184555 ) ( 1494000 1184555 )
NEW met1 ( 1422000 1184555 ) M1M2_PR
NEW met1 ( 1494000 1184555 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[38\] ( soc la_input[38] ) ( mgmt_buffers la_data_in_mprj[38] )
+ ROUTED met2 ( 1383600 1167350 0 ) ( 1383600 1186405 )
NEW met1 ( 1383600 1186405 ) ( 1422480 1186405 )
NEW met2 ( 1422480 1186405 ) ( 1422480 1232470 )
NEW met2 ( 1422480 1232470 ) ( 1423680 1232470 0 )
NEW met1 ( 1383600 1186405 ) M1M2_PR
NEW met1 ( 1422480 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[39\] ( soc la_input[39] ) ( mgmt_buffers la_data_in_mprj[39] )
+ ROUTED met1 ( 1432560 1197135 ) ( 1432560 1197505 )
NEW met1 ( 1425360 1197505 ) ( 1432560 1197505 )
NEW met2 ( 1425360 1197505 ) ( 1425360 1232470 0 )
NEW met2 ( 1495920 1167350 0 ) ( 1495920 1195655 )
NEW met1 ( 1459440 1195655 ) ( 1495920 1195655 )
NEW met2 ( 1459440 1195655 ) ( 1459440 1197135 )
NEW met1 ( 1432560 1197135 ) ( 1459440 1197135 )
NEW met1 ( 1425360 1197505 ) M1M2_PR
NEW met1 ( 1495920 1195655 ) M1M2_PR
NEW met1 ( 1459440 1195655 ) M1M2_PR
NEW met1 ( 1459440 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[3\] ( soc la_input[3] ) ( mgmt_buffers la_data_in_mprj[3] )
+ ROUTED met2 ( 1381680 1167350 0 ) ( 1381680 1198615 )
NEW met1 ( 1347120 1198615 ) ( 1381680 1198615 )
NEW met2 ( 1347120 1198615 ) ( 1347120 1232470 0 )
NEW met1 ( 1381680 1198615 ) M1M2_PR
NEW met1 ( 1347120 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[40\] ( soc la_input[40] ) ( mgmt_buffers la_data_in_mprj[40] )
+ ROUTED met2 ( 1427760 1232470 0 ) ( 1429200 1232470 )
NEW met2 ( 1496400 1167350 ) ( 1497600 1167350 0 )
NEW met2 ( 1496400 1167350 ) ( 1496400 1183445 )
NEW met1 ( 1429200 1183445 ) ( 1496400 1183445 )
NEW met2 ( 1429200 1183445 ) ( 1429200 1232470 )
NEW met1 ( 1496400 1183445 ) M1M2_PR
NEW met1 ( 1429200 1183445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[41\] ( soc la_input[41] ) ( mgmt_buffers la_data_in_mprj[41] )
+ ROUTED met2 ( 1379760 1167350 0 ) ( 1379760 1197135 )
NEW met1 ( 1379760 1197135 ) ( 1430160 1197135 )
NEW met2 ( 1430160 1197135 ) ( 1430160 1232470 0 )
NEW met1 ( 1379760 1197135 ) M1M2_PR
NEW met1 ( 1430160 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[42\] ( soc la_input[42] ) ( mgmt_buffers la_data_in_mprj[42] )
+ ROUTED met2 ( 1435920 1186405 ) ( 1435920 1187145 )
NEW met1 ( 1432560 1187145 ) ( 1435920 1187145 )
NEW met2 ( 1432560 1187145 ) ( 1432560 1232470 )
NEW met2 ( 1432320 1232470 0 ) ( 1432560 1232470 )
NEW met2 ( 1498320 1167350 ) ( 1499520 1167350 0 )
NEW met2 ( 1498320 1167350 ) ( 1498320 1186405 )
NEW met1 ( 1435920 1186405 ) ( 1498320 1186405 )
NEW met1 ( 1435920 1186405 ) M1M2_PR
NEW met1 ( 1435920 1187145 ) M1M2_PR
NEW met1 ( 1432560 1187145 ) M1M2_PR
NEW met1 ( 1498320 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[43\] ( soc la_input[43] ) ( mgmt_buffers la_data_in_mprj[43] )
+ ROUTED met2 ( 1378080 1167350 0 ) ( 1379280 1167350 )
NEW met2 ( 1379280 1167350 ) ( 1379280 1186035 )
NEW met1 ( 1379280 1186035 ) ( 1433040 1186035 )
NEW met2 ( 1433040 1186035 ) ( 1433040 1232470 )
NEW met2 ( 1433040 1232470 ) ( 1434240 1232470 0 )
NEW met1 ( 1379280 1186035 ) M1M2_PR
NEW met1 ( 1433040 1186035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[44\] ( soc la_input[44] ) ( mgmt_buffers la_data_in_mprj[44] )
+ ROUTED met2 ( 1436400 1196395 ) ( 1436400 1232470 0 )
NEW met2 ( 1501200 1167350 0 ) ( 1501200 1181225 )
NEW met1 ( 1487760 1181225 ) ( 1501200 1181225 )
NEW met2 ( 1487760 1181225 ) ( 1487760 1197135 )
NEW met1 ( 1459920 1197135 ) ( 1487760 1197135 )
NEW met1 ( 1459920 1196395 ) ( 1459920 1197135 )
NEW met1 ( 1436400 1196395 ) ( 1459920 1196395 )
NEW met1 ( 1436400 1196395 ) M1M2_PR
NEW met1 ( 1501200 1181225 ) M1M2_PR
NEW met1 ( 1487760 1181225 ) M1M2_PR
NEW met1 ( 1487760 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[45\] ( soc la_input[45] ) ( mgmt_buffers la_data_in_mprj[45] )
+ ROUTED met2 ( 1376160 1167350 0 ) ( 1377360 1167350 )
NEW met2 ( 1377360 1167350 ) ( 1377360 1196765 )
NEW met1 ( 1377360 1196765 ) ( 1438800 1196765 )
NEW met2 ( 1438800 1196765 ) ( 1438800 1232470 0 )
NEW met1 ( 1377360 1196765 ) M1M2_PR
NEW met1 ( 1438800 1196765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[46\] ( soc la_input[46] ) ( mgmt_buffers la_data_in_mprj[46] )
+ ROUTED met2 ( 1503120 1167350 0 ) ( 1503120 1200465 )
NEW met1 ( 1441200 1200465 ) ( 1503120 1200465 )
NEW met2 ( 1441200 1200465 ) ( 1441200 1232470 0 )
NEW met1 ( 1503120 1200465 ) M1M2_PR
NEW met1 ( 1441200 1200465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[47\] ( soc la_input[47] ) ( mgmt_buffers la_data_in_mprj[47] )
+ ROUTED met2 ( 1374480 1167350 0 ) ( 1374480 1198985 )
NEW met1 ( 1374480 1198985 ) ( 1382160 1198985 )
NEW met1 ( 1382160 1198615 ) ( 1382160 1198985 )
NEW met1 ( 1382160 1198615 ) ( 1390320 1198615 )
NEW met2 ( 1390320 1198615 ) ( 1390320 1201575 )
NEW met1 ( 1390320 1201575 ) ( 1442160 1201575 )
NEW met2 ( 1442160 1232470 ) ( 1442880 1232470 0 )
NEW met2 ( 1442160 1201575 ) ( 1442160 1232470 )
NEW met1 ( 1374480 1198985 ) M1M2_PR
NEW met1 ( 1390320 1198615 ) M1M2_PR
NEW met1 ( 1390320 1201575 ) M1M2_PR
NEW met1 ( 1442160 1201575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[48\] ( soc la_input[48] ) ( mgmt_buffers la_data_in_mprj[48] )
+ ROUTED met2 ( 1505040 1167350 0 ) ( 1505040 1185295 )
NEW met1 ( 1446480 1185295 ) ( 1505040 1185295 )
NEW met2 ( 1446480 1185295 ) ( 1446480 1232470 )
NEW met2 ( 1445280 1232470 0 ) ( 1446480 1232470 )
NEW met1 ( 1505040 1185295 ) M1M2_PR
NEW met1 ( 1446480 1185295 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[49\] ( soc la_input[49] ) ( mgmt_buffers la_data_in_mprj[49] )
+ ROUTED met2 ( 1372560 1167350 0 ) ( 1372560 1211935 )
NEW met1 ( 1372560 1211935 ) ( 1447440 1211935 )
NEW met2 ( 1447440 1211935 ) ( 1447440 1232470 0 )
NEW met1 ( 1372560 1211935 ) M1M2_PR
NEW met1 ( 1447440 1211935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[4\] ( soc la_input[4] ) ( mgmt_buffers la_data_in_mprj[4] )
+ ROUTED met2 ( 1349520 1169385 ) ( 1349520 1232470 0 )
NEW met2 ( 1506960 1167350 0 ) ( 1506960 1169385 )
NEW met1 ( 1349520 1169385 ) ( 1506960 1169385 )
NEW met1 ( 1349520 1169385 ) M1M2_PR
NEW met1 ( 1506960 1169385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[50\] ( soc la_input[50] ) ( mgmt_buffers la_data_in_mprj[50] )
+ ROUTED met2 ( 1370640 1167350 0 ) ( 1370640 1182705 )
NEW met1 ( 1370640 1182705 ) ( 1448400 1182705 )
NEW met2 ( 1448400 1232470 ) ( 1449840 1232470 0 )
NEW met2 ( 1448400 1182705 ) ( 1448400 1232470 )
NEW met1 ( 1370640 1182705 ) M1M2_PR
NEW met1 ( 1448400 1182705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[51\] ( soc la_input[51] ) ( mgmt_buffers la_data_in_mprj[51] )
+ ROUTED met2 ( 1507440 1167350 ) ( 1508640 1167350 0 )
NEW met2 ( 1507440 1167350 ) ( 1507440 1181595 )
NEW met1 ( 1451760 1181595 ) ( 1507440 1181595 )
NEW met2 ( 1450800 1220815 ) ( 1451760 1220815 )
NEW met2 ( 1450800 1220815 ) ( 1450800 1232470 )
NEW met2 ( 1450800 1232470 ) ( 1451760 1232470 0 )
NEW met2 ( 1451760 1181595 ) ( 1451760 1220815 )
NEW met1 ( 1507440 1181595 ) M1M2_PR
NEW met1 ( 1451760 1181595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[52\] ( soc la_input[52] ) ( mgmt_buffers la_data_in_mprj[52] )
+ ROUTED met2 ( 1368720 1167350 0 ) ( 1370160 1167350 )
NEW met2 ( 1370160 1167350 ) ( 1370160 1183630 )
NEW met2 ( 1370160 1183630 ) ( 1370640 1183630 )
NEW met2 ( 1370640 1183630 ) ( 1370640 1208605 )
NEW met1 ( 1370640 1208605 ) ( 1435920 1208605 )
NEW met1 ( 1435920 1208605 ) ( 1435920 1208975 )
NEW met1 ( 1435920 1208975 ) ( 1452720 1208975 )
NEW met2 ( 1454160 1231730 ) ( 1454160 1232470 )
NEW met2 ( 1453920 1232470 0 ) ( 1454160 1232470 )
NEW met2 ( 1452720 1208975 ) ( 1452720 1209715 )
NEW met2 ( 1458000 1209715 ) ( 1458000 1233025 )
NEW met1 ( 1455120 1233025 ) ( 1458000 1233025 )
NEW met2 ( 1455120 1232285 ) ( 1455120 1233025 )
NEW met2 ( 1454640 1232285 ) ( 1455120 1232285 )
NEW met2 ( 1454640 1231730 ) ( 1454640 1232285 )
NEW met1 ( 1452720 1209715 ) ( 1458000 1209715 )
NEW met2 ( 1454160 1231730 ) ( 1454640 1231730 )
NEW met1 ( 1370640 1208605 ) M1M2_PR
NEW met1 ( 1452720 1208975 ) M1M2_PR
NEW met1 ( 1452720 1209715 ) M1M2_PR
NEW met1 ( 1458000 1209715 ) M1M2_PR
NEW met1 ( 1458000 1233025 ) M1M2_PR
NEW met1 ( 1455120 1233025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[53\] ( soc la_input[53] ) ( mgmt_buffers la_data_in_mprj[53] )
+ ROUTED met2 ( 1509360 1167350 ) ( 1510560 1167350 0 )
NEW met2 ( 1509360 1167350 ) ( 1509360 1196025 )
NEW met1 ( 1457520 1196025 ) ( 1509360 1196025 )
NEW met2 ( 1457520 1196025 ) ( 1457520 1232470 )
NEW met2 ( 1456320 1232470 0 ) ( 1457520 1232470 )
NEW met1 ( 1509360 1196025 ) M1M2_PR
NEW met1 ( 1457520 1196025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[54\] ( soc la_input[54] ) ( mgmt_buffers la_data_in_mprj[54] )
+ ROUTED met2 ( 1367040 1167350 0 ) ( 1367760 1167350 )
NEW met2 ( 1367760 1167350 ) ( 1367760 1185665 )
NEW met1 ( 1434960 1185665 ) ( 1434960 1186035 )
NEW met1 ( 1434960 1186035 ) ( 1458480 1186035 )
NEW met1 ( 1367760 1185665 ) ( 1434960 1185665 )
NEW met2 ( 1458480 1186035 ) ( 1458480 1232470 0 )
NEW met1 ( 1367760 1185665 ) M1M2_PR
NEW met1 ( 1458480 1186035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[55\] ( soc la_input[55] ) ( mgmt_buffers la_data_in_mprj[55] )
+ ROUTED met2 ( 1512240 1167350 0 ) ( 1512240 1196765 )
NEW met1 ( 1460400 1196765 ) ( 1512240 1196765 )
NEW met2 ( 1460400 1196765 ) ( 1460400 1232470 0 )
NEW met1 ( 1512240 1196765 ) M1M2_PR
NEW met1 ( 1460400 1196765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[56\] ( soc la_input[56] ) ( mgmt_buffers la_data_in_mprj[56] )
+ ROUTED met2 ( 1365120 1167350 0 ) ( 1366320 1167350 )
NEW met2 ( 1366320 1167350 ) ( 1366320 1195655 )
NEW met1 ( 1366320 1195655 ) ( 1433520 1195655 )
NEW met2 ( 1433520 1195655 ) ( 1433520 1197875 )
NEW met2 ( 1462800 1197875 ) ( 1462800 1232470 0 )
NEW met1 ( 1433520 1197875 ) ( 1462800 1197875 )
NEW met1 ( 1366320 1195655 ) M1M2_PR
NEW met1 ( 1433520 1195655 ) M1M2_PR
NEW met1 ( 1433520 1197875 ) M1M2_PR
NEW met1 ( 1462800 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[57\] ( soc la_input[57] ) ( mgmt_buffers la_data_in_mprj[57] )
+ ROUTED met2 ( 1514160 1167350 0 ) ( 1514160 1196395 )
NEW met1 ( 1466160 1196395 ) ( 1514160 1196395 )
NEW met2 ( 1466160 1196395 ) ( 1466160 1232470 )
NEW met2 ( 1464960 1232470 0 ) ( 1466160 1232470 )
NEW met1 ( 1514160 1196395 ) M1M2_PR
NEW met1 ( 1466160 1196395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[58\] ( soc la_input[58] ) ( mgmt_buffers la_data_in_mprj[58] )
+ ROUTED met2 ( 1363440 1167350 0 ) ( 1363440 1181965 )
NEW met1 ( 1444560 1181595 ) ( 1444560 1181965 )
NEW met1 ( 1444560 1181595 ) ( 1448400 1181595 )
NEW met1 ( 1448400 1180855 ) ( 1448400 1181595 )
NEW met1 ( 1448400 1180855 ) ( 1454640 1180855 )
NEW met2 ( 1454640 1180855 ) ( 1454640 1194915 )
NEW met1 ( 1454640 1194915 ) ( 1466640 1194915 )
NEW met2 ( 1466640 1194915 ) ( 1466640 1232470 )
NEW met2 ( 1466640 1232470 ) ( 1466880 1232470 0 )
NEW met1 ( 1363440 1181965 ) ( 1444560 1181965 )
NEW met1 ( 1363440 1181965 ) M1M2_PR
NEW met1 ( 1454640 1180855 ) M1M2_PR
NEW met1 ( 1454640 1194915 ) M1M2_PR
NEW met1 ( 1466640 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[59\] ( soc la_input[59] ) ( mgmt_buffers la_data_in_mprj[59] )
+ ROUTED met2 ( 1516080 1167350 0 ) ( 1516080 1194915 )
NEW met1 ( 1470480 1194915 ) ( 1516080 1194915 )
NEW met2 ( 1470480 1194915 ) ( 1470480 1232470 )
NEW met2 ( 1469040 1232470 0 ) ( 1470480 1232470 )
NEW met1 ( 1516080 1194915 ) M1M2_PR
NEW met1 ( 1470480 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[5\] ( soc la_input[5] ) ( mgmt_buffers la_data_in_mprj[5] )
+ ROUTED met2 ( 1361520 1167350 0 ) ( 1361520 1198245 )
NEW met1 ( 1351440 1198245 ) ( 1361520 1198245 )
NEW met2 ( 1351440 1198245 ) ( 1351440 1232470 0 )
NEW met1 ( 1361520 1198245 ) M1M2_PR
NEW met1 ( 1351440 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[60\] ( soc la_input[60] ) ( mgmt_buffers la_data_in_mprj[60] )
+ ROUTED met2 ( 1518000 1167350 0 ) ( 1518000 1200095 )
NEW met1 ( 1471440 1200095 ) ( 1518000 1200095 )
NEW met2 ( 1471440 1200095 ) ( 1471440 1232470 0 )
NEW met1 ( 1518000 1200095 ) M1M2_PR
NEW met1 ( 1471440 1200095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[61\] ( soc la_input[61] ) ( mgmt_buffers la_data_in_mprj[61] )
+ ROUTED met2 ( 1359600 1167350 0 ) ( 1359600 1195285 )
NEW met1 ( 1359600 1195285 ) ( 1439280 1195285 )
NEW met2 ( 1439280 1195285 ) ( 1439280 1196765 )
NEW met2 ( 1445040 1195285 ) ( 1445040 1196765 )
NEW met1 ( 1445040 1195285 ) ( 1472400 1195285 )
NEW met2 ( 1472400 1195285 ) ( 1472400 1232470 )
NEW met2 ( 1472400 1232470 ) ( 1473840 1232470 0 )
NEW met1 ( 1439280 1196765 ) ( 1445040 1196765 )
NEW met1 ( 1359600 1195285 ) M1M2_PR
NEW met1 ( 1439280 1195285 ) M1M2_PR
NEW met1 ( 1439280 1196765 ) M1M2_PR
NEW met1 ( 1445040 1196765 ) M1M2_PR
NEW met1 ( 1445040 1195285 ) M1M2_PR
NEW met1 ( 1472400 1195285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[62\] ( soc la_input[62] ) ( mgmt_buffers la_data_in_mprj[62] )
+ ROUTED met2 ( 1518480 1167350 ) ( 1519680 1167350 0 )
NEW met2 ( 1518480 1167350 ) ( 1518480 1184185 )
NEW met1 ( 1476720 1184185 ) ( 1518480 1184185 )
NEW met2 ( 1476720 1184185 ) ( 1476720 1232470 )
NEW met2 ( 1475520 1232470 0 ) ( 1476720 1232470 )
NEW met1 ( 1518480 1184185 ) M1M2_PR
NEW met1 ( 1476720 1184185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[63\] ( soc la_input[63] ) ( mgmt_buffers la_data_in_mprj[63] )
+ ROUTED met2 ( 1357680 1167350 0 ) ( 1357680 1181595 )
NEW met1 ( 1357680 1181595 ) ( 1406640 1181595 )
NEW met1 ( 1406640 1181225 ) ( 1406640 1181595 )
NEW met2 ( 1446960 1181225 ) ( 1446960 1181410 )
NEW met3 ( 1446960 1181410 ) ( 1469040 1181410 )
NEW met2 ( 1469040 1181410 ) ( 1469040 1187145 )
NEW met1 ( 1469040 1187145 ) ( 1477200 1187145 )
NEW met2 ( 1477200 1187145 ) ( 1477200 1232470 )
NEW met2 ( 1477200 1232470 ) ( 1477920 1232470 0 )
NEW met1 ( 1406640 1181225 ) ( 1446960 1181225 )
NEW met1 ( 1357680 1181595 ) M1M2_PR
NEW met1 ( 1446960 1181225 ) M1M2_PR
NEW met2 ( 1446960 1181410 ) via2_FR
NEW met2 ( 1469040 1181410 ) via2_FR
NEW met1 ( 1469040 1187145 ) M1M2_PR
NEW met1 ( 1477200 1187145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[64\] ( soc la_input[64] ) ( mgmt_buffers la_data_in_mprj[64] )
+ ROUTED met2 ( 1520400 1167350 ) ( 1521600 1167350 0 )
NEW met2 ( 1520400 1167350 ) ( 1520400 1195285 )
NEW met1 ( 1480080 1195285 ) ( 1520400 1195285 )
NEW met2 ( 1480080 1195285 ) ( 1480080 1232470 0 )
NEW met1 ( 1520400 1195285 ) M1M2_PR
NEW met1 ( 1480080 1195285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[65\] ( soc la_input[65] ) ( mgmt_buffers la_data_in_mprj[65] )
+ ROUTED met2 ( 1356000 1167350 0 ) ( 1357200 1167350 )
NEW met2 ( 1357200 1167350 ) ( 1357200 1197875 )
NEW met1 ( 1357200 1197875 ) ( 1362000 1197875 )
NEW met1 ( 1362000 1197875 ) ( 1362000 1198245 )
NEW met1 ( 1362000 1198245 ) ( 1389840 1198245 )
NEW met2 ( 1389840 1198245 ) ( 1389840 1200835 )
NEW met1 ( 1389840 1200835 ) ( 1434000 1200835 )
NEW met2 ( 1434000 1195655 ) ( 1434000 1200835 )
NEW met2 ( 1446960 1195655 ) ( 1446960 1208235 )
NEW met1 ( 1446960 1208235 ) ( 1482480 1208235 )
NEW met2 ( 1482480 1208235 ) ( 1482480 1232470 0 )
NEW met1 ( 1434000 1195655 ) ( 1446960 1195655 )
NEW met1 ( 1357200 1197875 ) M1M2_PR
NEW met1 ( 1389840 1198245 ) M1M2_PR
NEW met1 ( 1389840 1200835 ) M1M2_PR
NEW met1 ( 1434000 1200835 ) M1M2_PR
NEW met1 ( 1434000 1195655 ) M1M2_PR
NEW met1 ( 1446960 1195655 ) M1M2_PR
NEW met1 ( 1446960 1208235 ) M1M2_PR
NEW met1 ( 1482480 1208235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[66\] ( soc la_input[66] ) ( mgmt_buffers la_data_in_mprj[66] )
+ ROUTED met1 ( 1485840 1233395 ) ( 1523280 1233395 )
NEW met2 ( 1485840 1233210 ) ( 1485840 1233395 )
NEW met2 ( 1484400 1233210 0 ) ( 1485840 1233210 )
NEW met2 ( 1523280 1167350 0 ) ( 1523280 1233395 )
NEW met1 ( 1523280 1233395 ) M1M2_PR
NEW met1 ( 1485840 1233395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[67\] ( soc la_input[67] ) ( mgmt_buffers la_data_in_mprj[67] )
+ ROUTED met2 ( 1354080 1167350 0 ) ( 1355280 1167350 )
NEW met2 ( 1355280 1167350 ) ( 1355280 1184555 )
NEW met1 ( 1355280 1184555 ) ( 1399440 1184555 )
NEW met1 ( 1399440 1184555 ) ( 1399440 1184925 )
NEW met2 ( 1445040 1181965 ) ( 1445040 1184925 )
NEW met1 ( 1399440 1184925 ) ( 1445040 1184925 )
NEW met2 ( 1486800 1181965 ) ( 1486800 1232470 )
NEW met2 ( 1486560 1232470 0 ) ( 1486800 1232470 )
NEW met1 ( 1445040 1181965 ) ( 1486800 1181965 )
NEW met1 ( 1355280 1184555 ) M1M2_PR
NEW met1 ( 1445040 1184925 ) M1M2_PR
NEW met1 ( 1445040 1181965 ) M1M2_PR
NEW met1 ( 1486800 1181965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[68\] ( soc la_input[68] ) ( mgmt_buffers la_data_in_mprj[68] )
+ ROUTED met2 ( 1525200 1167350 0 ) ( 1525200 1197135 )
NEW met1 ( 1489200 1197135 ) ( 1525200 1197135 )
NEW met2 ( 1488960 1230990 ) ( 1489200 1230990 )
NEW met2 ( 1488960 1230990 ) ( 1488960 1232470 0 )
NEW met2 ( 1489200 1197135 ) ( 1489200 1230990 )
NEW met1 ( 1525200 1197135 ) M1M2_PR
NEW met1 ( 1489200 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[69\] ( soc la_input[69] ) ( mgmt_buffers la_data_in_mprj[69] )
+ ROUTED met2 ( 1352400 1167350 0 ) ( 1352400 1210825 )
NEW met2 ( 1488240 1210825 ) ( 1488240 1232655 )
NEW met1 ( 1488240 1232655 ) ( 1489680 1232655 )
NEW met2 ( 1489680 1232470 ) ( 1489680 1232655 )
NEW met2 ( 1489680 1232470 ) ( 1491120 1232470 0 )
NEW met1 ( 1352400 1210825 ) ( 1488240 1210825 )
NEW met1 ( 1352400 1210825 ) M1M2_PR
NEW met1 ( 1488240 1210825 ) M1M2_PR
NEW met1 ( 1488240 1232655 ) M1M2_PR
NEW met1 ( 1489680 1232655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[6\] ( soc la_input[6] ) ( mgmt_buffers la_data_in_mprj[6] )
+ ROUTED met2 ( 1354320 1213785 ) ( 1354320 1232470 )
NEW met2 ( 1353600 1232470 0 ) ( 1354320 1232470 )
NEW met2 ( 1527120 1167350 0 ) ( 1527120 1210825 )
NEW met1 ( 1490160 1210825 ) ( 1527120 1210825 )
NEW met2 ( 1490160 1210825 ) ( 1490160 1211750 )
NEW met3 ( 1487760 1211750 ) ( 1490160 1211750 )
NEW met2 ( 1487760 1211750 ) ( 1487760 1212305 )
NEW met1 ( 1470000 1212305 ) ( 1487760 1212305 )
NEW met2 ( 1470000 1212305 ) ( 1470000 1214710 )
NEW met2 ( 1467120 1214710 ) ( 1470000 1214710 )
NEW met2 ( 1467120 1213785 ) ( 1467120 1214710 )
NEW met1 ( 1354320 1213785 ) ( 1467120 1213785 )
NEW met1 ( 1354320 1213785 ) M1M2_PR
NEW met1 ( 1527120 1210825 ) M1M2_PR
NEW met1 ( 1490160 1210825 ) M1M2_PR
NEW met2 ( 1490160 1211750 ) via2_FR
NEW met2 ( 1487760 1211750 ) via2_FR
NEW met1 ( 1487760 1212305 ) M1M2_PR
NEW met1 ( 1470000 1212305 ) M1M2_PR
NEW met1 ( 1467120 1213785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[70\] ( soc la_input[70] ) ( mgmt_buffers la_data_in_mprj[70] )
+ ROUTED met2 ( 1350480 1167350 0 ) ( 1351440 1167350 )
NEW met2 ( 1351440 1167350 ) ( 1351440 1167905 )
NEW met2 ( 1493040 1167905 ) ( 1493040 1232470 0 )
NEW met1 ( 1351440 1167905 ) ( 1493040 1167905 )
NEW met1 ( 1351440 1167905 ) M1M2_PR
NEW met1 ( 1493040 1167905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[71\] ( soc la_input[71] ) ( mgmt_buffers la_data_in_mprj[71] )
+ ROUTED met2 ( 1529040 1167350 0 ) ( 1529040 1198985 )
NEW met2 ( 1495440 1198985 ) ( 1495440 1232470 0 )
NEW met1 ( 1495440 1198985 ) ( 1529040 1198985 )
NEW met1 ( 1529040 1198985 ) M1M2_PR
NEW met1 ( 1495440 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[72\] ( soc la_input[72] ) ( mgmt_buffers la_data_in_mprj[72] )
+ ROUTED met2 ( 1348560 1167350 0 ) ( 1348560 1212305 )
NEW met1 ( 1453680 1211565 ) ( 1453680 1212305 )
NEW met1 ( 1453680 1211565 ) ( 1496400 1211565 )
NEW met2 ( 1496400 1211565 ) ( 1496400 1232470 )
NEW met2 ( 1496400 1232470 ) ( 1497600 1232470 0 )
NEW met1 ( 1348560 1212305 ) ( 1453680 1212305 )
NEW met1 ( 1348560 1212305 ) M1M2_PR
NEW met1 ( 1496400 1211565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[73\] ( soc la_input[73] ) ( mgmt_buffers la_data_in_mprj[73] )
+ ROUTED met2 ( 1529520 1167350 ) ( 1530720 1167350 0 )
NEW met2 ( 1529520 1167350 ) ( 1529520 1185665 )
NEW met1 ( 1511760 1185665 ) ( 1529520 1185665 )
NEW met1 ( 1501200 1232655 ) ( 1511760 1232655 )
NEW met2 ( 1501200 1232470 ) ( 1501200 1232655 )
NEW met2 ( 1500000 1232470 0 ) ( 1501200 1232470 )
NEW met2 ( 1511760 1185665 ) ( 1511760 1232655 )
NEW met1 ( 1511760 1185665 ) M1M2_PR
NEW met1 ( 1529520 1185665 ) M1M2_PR
NEW met1 ( 1511760 1232655 ) M1M2_PR
NEW met1 ( 1501200 1232655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[74\] ( soc la_input[74] ) ( mgmt_buffers la_data_in_mprj[74] )
+ ROUTED met2 ( 1346640 1167350 0 ) ( 1346640 1170125 )
NEW met1 ( 1346640 1170125 ) ( 1501680 1170125 )
NEW met2 ( 1501680 1213785 ) ( 1502640 1213785 )
NEW met2 ( 1502640 1213785 ) ( 1502640 1218410 )
NEW met2 ( 1502160 1218410 ) ( 1502640 1218410 )
NEW met2 ( 1502160 1218410 ) ( 1502160 1232470 )
NEW met2 ( 1501680 1232470 0 ) ( 1502160 1232470 )
NEW met2 ( 1501680 1170125 ) ( 1501680 1213785 )
NEW met1 ( 1346640 1170125 ) M1M2_PR
NEW met1 ( 1501680 1170125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[75\] ( soc la_input[75] ) ( mgmt_buffers la_data_in_mprj[75] )
+ ROUTED met2 ( 1531440 1167350 ) ( 1532640 1167350 0 )
NEW met2 ( 1531440 1167350 ) ( 1531440 1184925 )
NEW met2 ( 1504080 1184925 ) ( 1504080 1232470 0 )
NEW met1 ( 1504080 1184925 ) ( 1531440 1184925 )
NEW met1 ( 1531440 1184925 ) M1M2_PR
NEW met1 ( 1504080 1184925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[76\] ( soc la_input[76] ) ( mgmt_buffers la_data_in_mprj[76] )
+ ROUTED met2 ( 1344960 1167350 0 ) ( 1346160 1167350 )
NEW met2 ( 1346160 1167350 ) ( 1346160 1211565 )
NEW met1 ( 1346160 1211565 ) ( 1437840 1211565 )
NEW met2 ( 1437840 1211565 ) ( 1437840 1212675 )
NEW met2 ( 1467600 1212675 ) ( 1467600 1213785 )
NEW met1 ( 1467600 1213785 ) ( 1468560 1213785 )
NEW met1 ( 1468560 1213785 ) ( 1468560 1214155 )
NEW met1 ( 1468560 1214155 ) ( 1506480 1214155 )
NEW met2 ( 1506480 1214155 ) ( 1506480 1232470 0 )
NEW met1 ( 1437840 1212675 ) ( 1467600 1212675 )
NEW met1 ( 1346160 1211565 ) M1M2_PR
NEW met1 ( 1437840 1211565 ) M1M2_PR
NEW met1 ( 1437840 1212675 ) M1M2_PR
NEW met1 ( 1467600 1212675 ) M1M2_PR
NEW met1 ( 1467600 1213785 ) M1M2_PR
NEW met1 ( 1506480 1214155 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[77\] ( soc la_input[77] ) ( mgmt_buffers la_data_in_mprj[77] )
+ ROUTED met2 ( 1534320 1167350 0 ) ( 1534320 1197875 )
NEW met1 ( 1509360 1197875 ) ( 1534320 1197875 )
NEW met2 ( 1509360 1197875 ) ( 1509360 1232470 )
NEW met2 ( 1508160 1232470 0 ) ( 1509360 1232470 )
NEW met1 ( 1534320 1197875 ) M1M2_PR
NEW met1 ( 1509360 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[78\] ( soc la_input[78] ) ( mgmt_buffers la_data_in_mprj[78] )
+ ROUTED met2 ( 1343040 1167350 0 ) ( 1344240 1167350 )
NEW met2 ( 1344240 1167350 ) ( 1344240 1170495 )
NEW met2 ( 1509840 1170495 ) ( 1509840 1232470 )
NEW met2 ( 1509840 1232470 ) ( 1510560 1232470 0 )
NEW met1 ( 1344240 1170495 ) ( 1509840 1170495 )
NEW met1 ( 1344240 1170495 ) M1M2_PR
NEW met1 ( 1509840 1170495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[79\] ( soc la_input[79] ) ( mgmt_buffers la_data_in_mprj[79] )
+ ROUTED met2 ( 1536240 1167350 0 ) ( 1536240 1196765 )
NEW met1 ( 1512720 1196765 ) ( 1536240 1196765 )
NEW met2 ( 1512720 1196765 ) ( 1512720 1232470 0 )
NEW met1 ( 1536240 1196765 ) M1M2_PR
NEW met1 ( 1512720 1196765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[7\] ( soc la_input[7] ) ( mgmt_buffers la_data_in_mprj[7] )
+ ROUTED met2 ( 1341360 1167350 0 ) ( 1341360 1194915 )
NEW met1 ( 1341360 1194915 ) ( 1354800 1194915 )
NEW met2 ( 1354800 1194915 ) ( 1354800 1232470 )
NEW met2 ( 1354800 1232470 ) ( 1356000 1232470 0 )
NEW met1 ( 1341360 1194915 ) M1M2_PR
NEW met1 ( 1354800 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[80\] ( soc la_input[80] ) ( mgmt_buffers la_data_in_mprj[80] )
+ ROUTED met2 ( 1538160 1167350 0 ) ( 1538160 1198615 )
NEW met1 ( 1515120 1198615 ) ( 1538160 1198615 )
NEW met2 ( 1515120 1198615 ) ( 1515120 1232470 0 )
NEW met1 ( 1538160 1198615 ) M1M2_PR
NEW met1 ( 1515120 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[81\] ( soc la_input[81] ) ( mgmt_buffers la_data_in_mprj[81] )
+ ROUTED met2 ( 1339440 1167350 0 ) ( 1339440 1170865 )
NEW met2 ( 1517040 1170865 ) ( 1517040 1232470 0 )
NEW met1 ( 1339440 1170865 ) ( 1517040 1170865 )
NEW met1 ( 1339440 1170865 ) M1M2_PR
NEW met1 ( 1517040 1170865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[82\] ( soc la_input[82] ) ( mgmt_buffers la_data_in_mprj[82] )
+ ROUTED met2 ( 1540080 1167350 0 ) ( 1540080 1198245 )
NEW met1 ( 1520400 1198245 ) ( 1540080 1198245 )
NEW met2 ( 1520400 1198245 ) ( 1520400 1232470 )
NEW met2 ( 1519200 1232470 0 ) ( 1520400 1232470 )
NEW met1 ( 1540080 1198245 ) M1M2_PR
NEW met1 ( 1520400 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[83\] ( soc la_input[83] ) ( mgmt_buffers la_data_in_mprj[83] )
+ ROUTED met2 ( 1337520 1167350 0 ) ( 1337520 1214895 )
NEW met2 ( 1520880 1214895 ) ( 1520880 1232470 )
NEW met2 ( 1520880 1232470 ) ( 1521600 1232470 0 )
NEW met1 ( 1337520 1214895 ) ( 1520880 1214895 )
NEW met1 ( 1337520 1214895 ) M1M2_PR
NEW met1 ( 1520880 1214895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[84\] ( soc la_input[84] ) ( mgmt_buffers la_data_in_mprj[84] )
+ ROUTED met2 ( 1541040 1167350 ) ( 1541760 1167350 0 )
NEW met2 ( 1541040 1167350 ) ( 1541040 1200095 )
NEW met2 ( 1523760 1200095 ) ( 1523760 1232470 0 )
NEW met1 ( 1523760 1200095 ) ( 1541040 1200095 )
NEW met1 ( 1541040 1200095 ) M1M2_PR
NEW met1 ( 1523760 1200095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[85\] ( soc la_input[85] ) ( mgmt_buffers la_data_in_mprj[85] )
+ ROUTED met2 ( 1335600 1167350 0 ) ( 1335600 1171235 )
NEW met2 ( 1525680 1171235 ) ( 1525680 1232470 0 )
NEW met1 ( 1335600 1171235 ) ( 1525680 1171235 )
NEW met1 ( 1335600 1171235 ) M1M2_PR
NEW met1 ( 1525680 1171235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[86\] ( soc la_input[86] ) ( mgmt_buffers la_data_in_mprj[86] )
+ ROUTED met2 ( 1542480 1167350 ) ( 1543680 1167350 0 )
NEW met2 ( 1542480 1167350 ) ( 1542480 1208605 )
NEW met2 ( 1528080 1208605 ) ( 1528080 1232470 0 )
NEW met1 ( 1528080 1208605 ) ( 1542480 1208605 )
NEW met1 ( 1542480 1208605 ) M1M2_PR
NEW met1 ( 1528080 1208605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[87\] ( soc la_input[87] ) ( mgmt_buffers la_data_in_mprj[87] )
+ ROUTED met2 ( 1333920 1167350 0 ) ( 1335120 1167350 )
NEW met2 ( 1335120 1167350 ) ( 1335120 1215635 )
NEW met2 ( 1483920 1214710 ) ( 1483920 1215635 )
NEW met3 ( 1483920 1214710 ) ( 1529040 1214710 )
NEW met2 ( 1529040 1214710 ) ( 1529040 1232470 )
NEW met2 ( 1529040 1232470 ) ( 1530240 1232470 0 )
NEW met2 ( 1398960 1215450 ) ( 1398960 1215635 )
NEW met2 ( 1398960 1215450 ) ( 1399920 1215450 )
NEW met2 ( 1399920 1215450 ) ( 1399920 1215635 )
NEW met1 ( 1335120 1215635 ) ( 1398960 1215635 )
NEW met1 ( 1399920 1215635 ) ( 1483920 1215635 )
NEW met1 ( 1335120 1215635 ) M1M2_PR
NEW met1 ( 1483920 1215635 ) M1M2_PR
NEW met2 ( 1483920 1214710 ) via2_FR
NEW met2 ( 1529040 1214710 ) via2_FR
NEW met1 ( 1398960 1215635 ) M1M2_PR
NEW met1 ( 1399920 1215635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[88\] ( soc la_input[88] ) ( mgmt_buffers la_data_in_mprj[88] )
+ ROUTED met2 ( 1545360 1167350 0 ) ( 1545840 1167350 )
NEW met2 ( 1545840 1167350 ) ( 1545840 1209345 )
NEW met2 ( 1533840 1209345 ) ( 1533840 1232470 )
NEW met2 ( 1532640 1232470 0 ) ( 1533840 1232470 )
NEW met1 ( 1533840 1209345 ) ( 1545840 1209345 )
NEW met1 ( 1545840 1209345 ) M1M2_PR
NEW met1 ( 1533840 1209345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[89\] ( soc la_input[89] ) ( mgmt_buffers la_data_in_mprj[89] )
+ ROUTED met2 ( 1332000 1167350 0 ) ( 1333200 1167350 )
NEW met2 ( 1333200 1167350 ) ( 1333200 1172715 )
NEW met2 ( 1533840 1172715 ) ( 1533840 1198430 )
NEW met2 ( 1533840 1198430 ) ( 1534320 1198430 )
NEW met2 ( 1534320 1198430 ) ( 1534320 1232470 )
NEW met2 ( 1534320 1232470 ) ( 1534560 1232470 0 )
NEW met1 ( 1333200 1172715 ) ( 1533840 1172715 )
NEW met1 ( 1333200 1172715 ) M1M2_PR
NEW met1 ( 1533840 1172715 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[8\] ( soc la_input[8] ) ( mgmt_buffers la_data_in_mprj[8] )
+ ROUTED met1 ( 1484400 1215265 ) ( 1484400 1215635 )
NEW met1 ( 1484400 1215635 ) ( 1547280 1215635 )
NEW met2 ( 1547280 1167350 0 ) ( 1547280 1215635 )
NEW met2 ( 1436880 1215265 ) ( 1436880 1231175 )
NEW met1 ( 1358160 1231175 ) ( 1436880 1231175 )
NEW met2 ( 1358160 1231175 ) ( 1358160 1232470 0 )
NEW met1 ( 1436880 1215265 ) ( 1484400 1215265 )
NEW met1 ( 1547280 1215635 ) M1M2_PR
NEW met1 ( 1436880 1215265 ) M1M2_PR
NEW met1 ( 1436880 1231175 ) M1M2_PR
NEW met1 ( 1358160 1231175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[90\] ( soc la_input[90] ) ( mgmt_buffers la_data_in_mprj[90] )
+ ROUTED met2 ( 1330320 1167350 0 ) ( 1330320 1172345 )
NEW met2 ( 1536720 1172345 ) ( 1536720 1232470 0 )
NEW met1 ( 1330320 1172345 ) ( 1536720 1172345 )
NEW met1 ( 1330320 1172345 ) M1M2_PR
NEW met1 ( 1536720 1172345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[91\] ( soc la_input[91] ) ( mgmt_buffers la_data_in_mprj[91] )
+ ROUTED met2 ( 1549200 1167350 0 ) ( 1549200 1208975 )
NEW met2 ( 1538160 1208975 ) ( 1538160 1232470 )
NEW met2 ( 1538160 1232470 ) ( 1539120 1232470 0 )
NEW met1 ( 1538160 1208975 ) ( 1549200 1208975 )
NEW met1 ( 1549200 1208975 ) M1M2_PR
NEW met1 ( 1538160 1208975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[92\] ( soc la_input[92] ) ( mgmt_buffers la_data_in_mprj[92] )
+ ROUTED met1 ( 1328400 1198245 ) ( 1350960 1198245 )
NEW met2 ( 1350960 1198245 ) ( 1350960 1216375 )
NEW met2 ( 1328400 1167350 0 ) ( 1328400 1198245 )
NEW met2 ( 1483920 1216005 ) ( 1483920 1216375 )
NEW met2 ( 1483920 1216005 ) ( 1484880 1216005 )
NEW met1 ( 1484880 1216005 ) ( 1542000 1216005 )
NEW met2 ( 1542000 1216005 ) ( 1542000 1232470 )
NEW met2 ( 1541280 1232470 0 ) ( 1542000 1232470 )
NEW met1 ( 1350960 1216375 ) ( 1483920 1216375 )
NEW met1 ( 1328400 1198245 ) M1M2_PR
NEW met1 ( 1350960 1198245 ) M1M2_PR
NEW met1 ( 1350960 1216375 ) M1M2_PR
NEW met1 ( 1483920 1216375 ) M1M2_PR
NEW met1 ( 1484880 1216005 ) M1M2_PR
NEW met1 ( 1542000 1216005 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[93\] ( soc la_input[93] ) ( mgmt_buffers la_data_in_mprj[93] )
+ ROUTED met2 ( 1551120 1167350 0 ) ( 1551120 1198615 )
NEW met1 ( 1544400 1198615 ) ( 1551120 1198615 )
NEW met2 ( 1544400 1198615 ) ( 1544400 1232470 )
NEW met2 ( 1543200 1232470 0 ) ( 1544400 1232470 )
NEW met1 ( 1551120 1198615 ) M1M2_PR
NEW met1 ( 1544400 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[94\] ( soc la_input[94] ) ( mgmt_buffers la_data_in_mprj[94] )
+ ROUTED met2 ( 1544400 1173085 ) ( 1544400 1194730 )
NEW met2 ( 1544400 1194730 ) ( 1544880 1194730 )
NEW met2 ( 1544880 1194730 ) ( 1544880 1232470 )
NEW met2 ( 1544880 1232470 ) ( 1545600 1232470 0 )
NEW met2 ( 1326480 1167350 0 ) ( 1326480 1173085 )
NEW met1 ( 1326480 1173085 ) ( 1544400 1173085 )
NEW met1 ( 1544400 1173085 ) M1M2_PR
NEW met1 ( 1326480 1173085 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[95\] ( soc la_input[95] ) ( mgmt_buffers la_data_in_mprj[95] )
+ ROUTED met2 ( 1551600 1167350 ) ( 1552800 1167350 0 )
NEW met2 ( 1551600 1167350 ) ( 1551600 1198245 )
NEW met1 ( 1547760 1198245 ) ( 1551600 1198245 )
NEW met2 ( 1547760 1198245 ) ( 1547760 1232470 0 )
NEW met1 ( 1551600 1198245 ) M1M2_PR
NEW met1 ( 1547760 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[96\] ( soc la_input[96] ) ( mgmt_buffers la_data_in_mprj[96] )
+ ROUTED met2 ( 1390320 1213045 ) ( 1390320 1223775 )
NEW met2 ( 1549680 1220815 ) ( 1549680 1232470 0 )
NEW met2 ( 1324560 1167350 0 ) ( 1324560 1213045 )
NEW met1 ( 1324560 1213045 ) ( 1390320 1213045 )
NEW met2 ( 1490160 1220815 ) ( 1490160 1223775 )
NEW met1 ( 1390320 1223775 ) ( 1490160 1223775 )
NEW met1 ( 1490160 1220815 ) ( 1549680 1220815 )
NEW met1 ( 1390320 1213045 ) M1M2_PR
NEW met1 ( 1390320 1223775 ) M1M2_PR
NEW met1 ( 1549680 1220815 ) M1M2_PR
NEW met1 ( 1324560 1213045 ) M1M2_PR
NEW met1 ( 1490160 1223775 ) M1M2_PR
NEW met1 ( 1490160 1220815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[97\] ( soc la_input[97] ) ( mgmt_buffers la_data_in_mprj[97] )
+ ROUTED met2 ( 1553520 1167350 ) ( 1554720 1167350 0 )
NEW met2 ( 1553520 1167350 ) ( 1553520 1232470 )
NEW met2 ( 1552080 1232470 0 ) ( 1553520 1232470 )
+ USE SIGNAL ;
- la_data_in_mprj\[98\] ( soc la_input[98] ) ( mgmt_buffers la_data_in_mprj[98] )
+ ROUTED met2 ( 1322880 1167350 0 ) ( 1324080 1167350 )
NEW met2 ( 1324080 1167350 ) ( 1324080 1180115 )
NEW met1 ( 1324080 1180115 ) ( 1554000 1180115 )
NEW met2 ( 1554000 1230990 ) ( 1554240 1230990 )
NEW met2 ( 1554240 1230990 ) ( 1554240 1232470 0 )
NEW met2 ( 1554000 1180115 ) ( 1554000 1230990 )
NEW met1 ( 1554000 1180115 ) M1M2_PR
NEW met1 ( 1324080 1180115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[99\] ( soc la_input[99] ) ( mgmt_buffers la_data_in_mprj[99] )
+ ROUTED met2 ( 1556400 1167350 0 ) ( 1556400 1232470 )
NEW met2 ( 1556400 1232470 ) ( 1556640 1232470 0 )
+ USE SIGNAL ;
- la_data_in_mprj\[9\] ( soc la_input[9] ) ( mgmt_buffers la_data_in_mprj[9] )
+ ROUTED met2 ( 1360080 1207495 ) ( 1360080 1232470 0 )
NEW met2 ( 1320960 1167350 0 ) ( 1321680 1167350 )
NEW met2 ( 1321680 1167350 ) ( 1321680 1207495 )
NEW met1 ( 1321680 1207495 ) ( 1360080 1207495 )
NEW met1 ( 1360080 1207495 ) M1M2_PR
NEW met1 ( 1321680 1207495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[0\] ( mprj la_data_in[0] ) ( mgmt_buffers la_data_in_core[0] )
+ ROUTED met2 ( 1036080 1353275 ) ( 1036080 1355125 )
NEW met1 ( 1030800 1353275 ) ( 1036080 1353275 )
NEW met1 ( 1030800 1353275 ) ( 1030800 1353645 )
NEW met1 ( 959760 1353645 ) ( 1030800 1353645 )
NEW met2 ( 959760 1353645 ) ( 959760 1389350 0 )
NEW met1 ( 1036080 1355125 ) ( 1069200 1355125 )
NEW met2 ( 1069200 1325710 ) ( 1070400 1325710 0 )
NEW met2 ( 1069200 1325710 ) ( 1069200 1355125 )
NEW met1 ( 1036080 1355125 ) M1M2_PR
NEW met1 ( 1036080 1353275 ) M1M2_PR
NEW met1 ( 959760 1353645 ) M1M2_PR
NEW met1 ( 1069200 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[100\] ( mprj la_data_in[100] ) ( mgmt_buffers la_data_in_core[100] )
+ ROUTED met2 ( 1849200 1352350 ) ( 1849200 1355310 )
NEW met3 ( 1289520 1352350 ) ( 1849200 1352350 )
NEW met2 ( 2743920 1355310 ) ( 2743920 1389350 0 )
NEW met3 ( 1849200 1355310 ) ( 2743920 1355310 )
NEW met2 ( 1288320 1325710 0 ) ( 1289520 1325710 )
NEW met2 ( 1289520 1325710 ) ( 1289520 1352350 )
NEW met2 ( 1289520 1352350 ) via2_FR
NEW met2 ( 1849200 1352350 ) via2_FR
NEW met2 ( 1849200 1355310 ) via2_FR
NEW met2 ( 2743920 1355310 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[101\] ( mprj la_data_in[101] ) ( mgmt_buffers la_data_in_core[101] )
+ ROUTED met3 ( 1786080 1339770 ) ( 1786080 1340510 )
NEW met2 ( 2761680 1356050 ) ( 2761680 1389350 0 )
NEW met3 ( 1291920 1339770 ) ( 1786080 1339770 )
NEW met2 ( 1290720 1325710 0 ) ( 1291920 1325710 )
NEW met2 ( 1291920 1325710 ) ( 1291920 1339770 )
NEW met2 ( 1841040 1340510 ) ( 1841040 1355310 )
NEW met3 ( 1841040 1355310 ) ( 1843920 1355310 )
NEW met3 ( 1843920 1355310 ) ( 1843920 1356050 )
NEW met3 ( 1786080 1340510 ) ( 1841040 1340510 )
NEW met3 ( 1843920 1356050 ) ( 2761680 1356050 )
NEW met2 ( 2761680 1356050 ) via2_FR
NEW met2 ( 1291920 1339770 ) via2_FR
NEW met2 ( 1841040 1340510 ) via2_FR
NEW met2 ( 1841040 1355310 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[102\] ( mprj la_data_in[102] ) ( mgmt_buffers la_data_in_core[102] )
+ ROUTED met2 ( 2779440 1356790 ) ( 2779440 1389350 0 )
NEW met2 ( 1292880 1325710 0 ) ( 1292880 1342730 )
NEW met2 ( 1853040 1342730 ) ( 1853040 1356790 )
NEW met3 ( 1292880 1342730 ) ( 1853040 1342730 )
NEW met3 ( 1853040 1356790 ) ( 2779440 1356790 )
NEW met2 ( 2779440 1356790 ) via2_FR
NEW met2 ( 1292880 1342730 ) via2_FR
NEW met2 ( 1853040 1342730 ) via2_FR
NEW met2 ( 1853040 1356790 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[103\] ( mprj la_data_in[103] ) ( mgmt_buffers la_data_in_core[103] )
+ ROUTED met2 ( 1784880 1340510 ) ( 1784880 1354570 )
NEW met2 ( 2797200 1357530 ) ( 2797200 1389350 0 )
NEW met3 ( 1294800 1340510 ) ( 1784880 1340510 )
NEW met2 ( 1857360 1354570 ) ( 1857360 1357530 )
NEW met3 ( 1784880 1354570 ) ( 1857360 1354570 )
NEW met3 ( 1857360 1357530 ) ( 2797200 1357530 )
NEW met2 ( 1294800 1325710 0 ) ( 1294800 1340510 )
NEW met2 ( 1784880 1340510 ) via2_FR
NEW met2 ( 1784880 1354570 ) via2_FR
NEW met2 ( 2797200 1357530 ) via2_FR
NEW met2 ( 1294800 1340510 ) via2_FR
NEW met2 ( 1857360 1354570 ) via2_FR
NEW met2 ( 1857360 1357530 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[104\] ( mprj la_data_in[104] ) ( mgmt_buffers la_data_in_core[104] )
+ ROUTED met2 ( 1842480 1371405 ) ( 1842480 1373810 )
NEW met2 ( 2815440 1363450 ) ( 2815440 1389350 0 )
NEW met3 ( 1297200 1373810 ) ( 1842480 1373810 )
NEW met2 ( 1844400 1363450 ) ( 1844400 1371405 )
NEW met1 ( 1842480 1371405 ) ( 1844400 1371405 )
NEW met3 ( 1844400 1363450 ) ( 2815440 1363450 )
NEW met2 ( 1297200 1325710 0 ) ( 1297200 1373810 )
NEW met1 ( 1842480 1371405 ) M1M2_PR
NEW met2 ( 1842480 1373810 ) via2_FR
NEW met2 ( 2815440 1363450 ) via2_FR
NEW met2 ( 1297200 1373810 ) via2_FR
NEW met2 ( 1844400 1363450 ) via2_FR
NEW met1 ( 1844400 1371405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[105\] ( mprj la_data_in[105] ) ( mgmt_buffers la_data_in_core[105] )
+ ROUTED met2 ( 2832720 1364190 ) ( 2832720 1389350 0 )
NEW met3 ( 1300560 1364190 ) ( 2832720 1364190 )
NEW met2 ( 1299360 1325710 0 ) ( 1300560 1325710 )
NEW met2 ( 1300560 1325710 ) ( 1300560 1364190 )
NEW met2 ( 2832720 1364190 ) via2_FR
NEW met2 ( 1300560 1364190 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[106\] ( mprj la_data_in[106] ) ( mgmt_buffers la_data_in_core[106] )
+ ROUTED met2 ( 2850960 1347910 ) ( 2850960 1389350 0 )
NEW met2 ( 1301760 1325710 0 ) ( 1302960 1325710 )
NEW met2 ( 1302960 1325710 ) ( 1302960 1347910 )
NEW met2 ( 2419440 1347910 ) ( 2419440 1348095 )
NEW met2 ( 2419440 1348095 ) ( 2420880 1348095 )
NEW met2 ( 2420880 1347910 ) ( 2420880 1348095 )
NEW met3 ( 2420880 1347910 ) ( 2850960 1347910 )
NEW met3 ( 1302960 1347910 ) ( 2419440 1347910 )
NEW met2 ( 2850960 1347910 ) via2_FR
NEW met2 ( 1302960 1347910 ) via2_FR
NEW met2 ( 2419440 1347910 ) via2_FR
NEW met2 ( 2420880 1347910 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[107\] ( mprj la_data_in[107] ) ( mgmt_buffers la_data_in_core[107] )
+ ROUTED met2 ( 1842000 1341250 ) ( 1842000 1353830 )
NEW met3 ( 1303440 1341250 ) ( 1842000 1341250 )
NEW met2 ( 1843920 1348650 ) ( 1843920 1353830 )
NEW met3 ( 1843920 1348650 ) ( 1901040 1348650 )
NEW met2 ( 1901040 1348650 ) ( 1901040 1361230 )
NEW met3 ( 1842000 1353830 ) ( 1843920 1353830 )
NEW met2 ( 2868720 1361230 ) ( 2868720 1389350 0 )
NEW met3 ( 1901040 1361230 ) ( 2868720 1361230 )
NEW met2 ( 1303440 1325710 0 ) ( 1303440 1341250 )
NEW met2 ( 1842000 1341250 ) via2_FR
NEW met2 ( 1842000 1353830 ) via2_FR
NEW met2 ( 1303440 1341250 ) via2_FR
NEW met2 ( 1843920 1353830 ) via2_FR
NEW met2 ( 1843920 1348650 ) via2_FR
NEW met2 ( 1901040 1348650 ) via2_FR
NEW met2 ( 1901040 1361230 ) via2_FR
NEW met2 ( 2868720 1361230 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[108\] ( mprj la_data_in[108] ) ( mgmt_buffers la_data_in_core[108] )
+ ROUTED met2 ( 1627440 1347170 ) ( 1627440 1355310 )
NEW met3 ( 1305840 1355310 ) ( 1627440 1355310 )
NEW met2 ( 1644240 1343470 ) ( 1644240 1347170 )
NEW met3 ( 1627440 1347170 ) ( 1644240 1347170 )
NEW met2 ( 2886480 1343470 ) ( 2886480 1389350 0 )
NEW met3 ( 1644240 1343470 ) ( 2886480 1343470 )
NEW met2 ( 1305840 1325710 0 ) ( 1305840 1355310 )
NEW met2 ( 1627440 1355310 ) via2_FR
NEW met2 ( 1627440 1347170 ) via2_FR
NEW met2 ( 1305840 1355310 ) via2_FR
NEW met2 ( 1644240 1347170 ) via2_FR
NEW met2 ( 1644240 1343470 ) via2_FR
NEW met2 ( 2886480 1343470 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[109\] ( mprj la_data_in[109] ) ( mgmt_buffers la_data_in_core[109] )
+ ROUTED met2 ( 2904720 1346430 ) ( 2904720 1389350 0 )
NEW met3 ( 1308240 1346430 ) ( 2904720 1346430 )
NEW met2 ( 1308240 1325710 0 ) ( 1308240 1346430 )
NEW met2 ( 1308240 1346430 ) via2_FR
NEW met2 ( 2904720 1346430 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[10\] ( mprj la_data_in[10] ) ( mgmt_buffers la_data_in_core[10] )
+ ROUTED met2 ( 1138320 1354755 ) ( 1138320 1389350 0 )
NEW met1 ( 1093680 1354755 ) ( 1138320 1354755 )
NEW met2 ( 1092480 1325710 0 ) ( 1093680 1325710 )
NEW met2 ( 1093680 1325710 ) ( 1093680 1354755 )
NEW met1 ( 1138320 1354755 ) M1M2_PR
NEW met1 ( 1093680 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[110\] ( mprj la_data_in[110] ) ( mgmt_buffers la_data_in_core[110] )
+ ROUTED met2 ( 2922000 1366410 ) ( 2922000 1389350 0 )
NEW met2 ( 1309920 1325710 0 ) ( 1310160 1325710 )
NEW met2 ( 1310160 1325710 ) ( 1310160 1374550 )
NEW met2 ( 1850160 1367335 ) ( 1850160 1374550 )
NEW met1 ( 1850160 1367335 ) ( 1893360 1367335 )
NEW met3 ( 1310160 1374550 ) ( 1850160 1374550 )
NEW met3 ( 1895280 1366410 ) ( 2922000 1366410 )
NEW met1 ( 1893360 1368075 ) ( 1895280 1368075 )
NEW met2 ( 1893360 1367335 ) ( 1893360 1368075 )
NEW met2 ( 1895280 1366410 ) ( 1895280 1368075 )
NEW met2 ( 1310160 1374550 ) via2_FR
NEW met2 ( 2922000 1366410 ) via2_FR
NEW met2 ( 1850160 1374550 ) via2_FR
NEW met1 ( 1850160 1367335 ) M1M2_PR
NEW met1 ( 1893360 1367335 ) M1M2_PR
NEW met2 ( 1895280 1366410 ) via2_FR
NEW met1 ( 1893360 1368075 ) M1M2_PR
NEW met1 ( 1895280 1368075 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[111\] ( mprj la_data_in[111] ) ( mgmt_buffers la_data_in_core[111] )
+ ROUTED met3 ( 1344480 1380470 ) ( 1344480 1381950 )
NEW met2 ( 2145840 1368630 ) ( 2145840 1380470 )
NEW met3 ( 2145840 1368630 ) ( 2246160 1368630 )
NEW met2 ( 2246160 1368630 ) ( 2246160 1380470 )
NEW met2 ( 2347440 1368630 ) ( 2347440 1380470 )
NEW met3 ( 2347440 1368630 ) ( 2447760 1368630 )
NEW met2 ( 2447760 1368630 ) ( 2447760 1380470 )
NEW met2 ( 2549040 1372330 ) ( 2549040 1380470 )
NEW met3 ( 2549040 1372330 ) ( 2649360 1372330 )
NEW met2 ( 2649360 1372330 ) ( 2649360 1380470 )
NEW met2 ( 2850480 1372330 ) ( 2850480 1380470 )
NEW met3 ( 1313520 1381950 ) ( 1344480 1381950 )
NEW met3 ( 2246160 1380470 ) ( 2347440 1380470 )
NEW met3 ( 2447760 1380470 ) ( 2549040 1380470 )
NEW met2 ( 2939760 1380470 ) ( 2939760 1389350 0 )
NEW met3 ( 2850480 1380470 ) ( 2939760 1380470 )
NEW met2 ( 1312320 1325710 0 ) ( 1313520 1325710 )
NEW met2 ( 1313520 1325710 ) ( 1313520 1381950 )
NEW met2 ( 2728560 1372330 ) ( 2728560 1380470 )
NEW met3 ( 2649360 1380470 ) ( 2728560 1380470 )
NEW met3 ( 2728560 1372330 ) ( 2850480 1372330 )
NEW met3 ( 1344480 1380470 ) ( 2145840 1380470 )
NEW met2 ( 2145840 1380470 ) via2_FR
NEW met2 ( 2145840 1368630 ) via2_FR
NEW met2 ( 2246160 1368630 ) via2_FR
NEW met2 ( 2246160 1380470 ) via2_FR
NEW met2 ( 2347440 1380470 ) via2_FR
NEW met2 ( 2347440 1368630 ) via2_FR
NEW met2 ( 2447760 1368630 ) via2_FR
NEW met2 ( 2447760 1380470 ) via2_FR
NEW met2 ( 2549040 1380470 ) via2_FR
NEW met2 ( 2549040 1372330 ) via2_FR
NEW met2 ( 2649360 1372330 ) via2_FR
NEW met2 ( 2649360 1380470 ) via2_FR
NEW met2 ( 2850480 1372330 ) via2_FR
NEW met2 ( 2850480 1380470 ) via2_FR
NEW met2 ( 1313520 1381950 ) via2_FR
NEW met2 ( 2939760 1380470 ) via2_FR
NEW met2 ( 2728560 1380470 ) via2_FR
NEW met2 ( 2728560 1372330 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[112\] ( mprj la_data_in[112] ) ( mgmt_buffers la_data_in_core[112] )
+ ROUTED met3 ( 1343520 1379730 ) ( 1343520 1380470 )
NEW met2 ( 2958000 1379730 ) ( 2958000 1389350 0 )
NEW met3 ( 1314480 1380470 ) ( 1343520 1380470 )
NEW met2 ( 1314480 1325710 0 ) ( 1314480 1380470 )
NEW met3 ( 1343520 1379730 ) ( 2958000 1379730 )
NEW met2 ( 2958000 1379730 ) via2_FR
NEW met2 ( 1314480 1380470 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[113\] ( mprj la_data_in[113] ) ( mgmt_buffers la_data_in_core[113] )
+ ROUTED met3 ( 1342560 1378990 ) ( 1342560 1379730 )
NEW met2 ( 2975760 1378990 ) ( 2975760 1389350 0 )
NEW met3 ( 1318320 1379730 ) ( 1342560 1379730 )
NEW met2 ( 1316880 1325710 0 ) ( 1318320 1325710 )
NEW met2 ( 1318320 1325710 ) ( 1318320 1379730 )
NEW met3 ( 1342560 1378990 ) ( 2975760 1378990 )
NEW met2 ( 2975760 1378990 ) via2_FR
NEW met2 ( 1318320 1379730 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[114\] ( mprj la_data_in[114] ) ( mgmt_buffers la_data_in_core[114] )
+ ROUTED met3 ( 1341600 1378250 ) ( 1341600 1378990 )
NEW met2 ( 2994000 1378250 ) ( 2994000 1389350 0 )
NEW met3 ( 1318800 1378990 ) ( 1341600 1378990 )
NEW met2 ( 1318800 1325710 0 ) ( 1318800 1378990 )
NEW met3 ( 1341600 1378250 ) ( 2994000 1378250 )
NEW met2 ( 2994000 1378250 ) via2_FR
NEW met2 ( 1318800 1378990 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[115\] ( mprj la_data_in[115] ) ( mgmt_buffers la_data_in_core[115] )
+ ROUTED met2 ( 1340880 1377510 ) ( 1340880 1378250 )
NEW met2 ( 1340880 1377510 ) ( 1344240 1377510 )
NEW met2 ( 3011280 1377510 ) ( 3011280 1389350 0 )
NEW met3 ( 1322160 1378250 ) ( 1340880 1378250 )
NEW met2 ( 1320960 1325710 0 ) ( 1322160 1325710 )
NEW met2 ( 1322160 1325710 ) ( 1322160 1378250 )
NEW met3 ( 1344240 1377510 ) ( 3011280 1377510 )
NEW met2 ( 1340880 1378250 ) via2_FR
NEW met2 ( 1344240 1377510 ) via2_FR
NEW met2 ( 3011280 1377510 ) via2_FR
NEW met2 ( 1322160 1378250 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[116\] ( mprj la_data_in[116] ) ( mgmt_buffers la_data_in_core[116] )
+ ROUTED met2 ( 1756560 1354570 ) ( 1756560 1356235 )
NEW met2 ( 3029040 1367150 ) ( 3029040 1389350 0 )
NEW met3 ( 1324560 1354570 ) ( 1756560 1354570 )
NEW met1 ( 1850640 1355865 ) ( 1850640 1356235 )
NEW met1 ( 1850640 1355865 ) ( 1915920 1355865 )
NEW met2 ( 1915920 1355865 ) ( 1915920 1367150 )
NEW met1 ( 1756560 1356235 ) ( 1850640 1356235 )
NEW met3 ( 1915920 1367150 ) ( 3029040 1367150 )
NEW met2 ( 1323360 1325710 0 ) ( 1324560 1325710 )
NEW met2 ( 1324560 1325710 ) ( 1324560 1354570 )
NEW met2 ( 1756560 1354570 ) via2_FR
NEW met1 ( 1756560 1356235 ) M1M2_PR
NEW met2 ( 3029040 1367150 ) via2_FR
NEW met2 ( 1324560 1354570 ) via2_FR
NEW met1 ( 1915920 1355865 ) M1M2_PR
NEW met2 ( 1915920 1367150 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[117\] ( mprj la_data_in[117] ) ( mgmt_buffers la_data_in_core[117] )
+ ROUTED met4 ( 1946400 1340510 ) ( 1946400 1341990 )
NEW met3 ( 1946400 1340510 ) ( 1968720 1340510 )
NEW met2 ( 1968720 1340510 ) ( 1968720 1343470 )
NEW met2 ( 1968720 1343470 ) ( 1970160 1343470 )
NEW met2 ( 1970160 1342730 ) ( 1970160 1343470 )
NEW met2 ( 1970160 1342730 ) ( 1970640 1342730 )
NEW met3 ( 1970640 1342730 ) ( 1993440 1342730 )
NEW met4 ( 1993440 1342730 ) ( 1993440 1346985 )
NEW met4 ( 1993440 1346985 ) ( 1995360 1346985 )
NEW met4 ( 1995360 1346985 ) ( 1995360 1348650 )
NEW met3 ( 1995360 1348650 ) ( 2030640 1348650 )
NEW met2 ( 2030640 1348650 ) ( 2030640 1360675 )
NEW met2 ( 3047280 1360675 ) ( 3047280 1389350 0 )
NEW met3 ( 1325520 1341990 ) ( 1946400 1341990 )
NEW met1 ( 2030640 1360675 ) ( 3047280 1360675 )
NEW met2 ( 1325520 1325710 0 ) ( 1325520 1341990 )
NEW met3 ( 1946400 1341990 ) M3M4_PR_M
NEW met3 ( 1946400 1340510 ) M3M4_PR_M
NEW met2 ( 1968720 1340510 ) via2_FR
NEW met2 ( 1970640 1342730 ) via2_FR
NEW met3 ( 1993440 1342730 ) M3M4_PR_M
NEW met3 ( 1995360 1348650 ) M3M4_PR_M
NEW met2 ( 2030640 1348650 ) via2_FR
NEW met1 ( 2030640 1360675 ) M1M2_PR
NEW met1 ( 3047280 1360675 ) M1M2_PR
NEW met2 ( 1325520 1341990 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[118\] ( mprj la_data_in[118] ) ( mgmt_buffers la_data_in_core[118] )
+ ROUTED met3 ( 1343520 1376770 ) ( 1343520 1377510 )
NEW met3 ( 1327440 1377510 ) ( 1343520 1377510 )
NEW met2 ( 3065040 1376770 ) ( 3065040 1389350 0 )
NEW met2 ( 1327440 1325710 0 ) ( 1327440 1377510 )
NEW met3 ( 1343520 1376770 ) ( 3065040 1376770 )
NEW met2 ( 1327440 1377510 ) via2_FR
NEW met2 ( 3065040 1376770 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[119\] ( mprj la_data_in[119] ) ( mgmt_buffers la_data_in_core[119] )
+ ROUTED met2 ( 3082800 1376030 ) ( 3082800 1389350 0 )
NEW met2 ( 1329840 1325710 0 ) ( 1329840 1376030 )
NEW met3 ( 1329840 1376030 ) ( 3082800 1376030 )
NEW met2 ( 1329840 1376030 ) via2_FR
NEW met2 ( 3082800 1376030 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[11\] ( mprj la_data_in[11] ) ( mgmt_buffers la_data_in_core[11] )
+ ROUTED met2 ( 1156080 1355125 ) ( 1156080 1389350 0 )
NEW met1 ( 1094640 1355125 ) ( 1156080 1355125 )
NEW met2 ( 1094640 1325710 0 ) ( 1094640 1355125 )
NEW met1 ( 1156080 1355125 ) M1M2_PR
NEW met1 ( 1094640 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[120\] ( mprj la_data_in[120] ) ( mgmt_buffers la_data_in_core[120] )
+ ROUTED met2 ( 1777200 1339585 ) ( 1777200 1341435 )
NEW met2 ( 1994640 1342730 ) ( 1994640 1348650 )
NEW met1 ( 1333200 1339585 ) ( 1777200 1339585 )
NEW met2 ( 3100560 1342730 ) ( 3100560 1389350 0 )
NEW met3 ( 1994640 1342730 ) ( 3100560 1342730 )
NEW met2 ( 1332000 1325710 0 ) ( 1333200 1325710 )
NEW met2 ( 1333200 1325710 ) ( 1333200 1339585 )
NEW met2 ( 1800720 1340695 ) ( 1800720 1341435 )
NEW met2 ( 1800720 1340695 ) ( 1801680 1340695 )
NEW met2 ( 1801680 1340695 ) ( 1801680 1341435 )
NEW met2 ( 1801680 1341435 ) ( 1802160 1341435 )
NEW met1 ( 1777200 1341435 ) ( 1800720 1341435 )
NEW met2 ( 1902000 1341435 ) ( 1902000 1343655 )
NEW met2 ( 1902000 1343655 ) ( 1902960 1343655 )
NEW met2 ( 1902960 1343655 ) ( 1902960 1348650 )
NEW met1 ( 1802160 1341435 ) ( 1902000 1341435 )
NEW met3 ( 1902960 1348650 ) ( 1994640 1348650 )
NEW met1 ( 1777200 1339585 ) M1M2_PR
NEW met1 ( 1777200 1341435 ) M1M2_PR
NEW met2 ( 1994640 1348650 ) via2_FR
NEW met2 ( 1994640 1342730 ) via2_FR
NEW met1 ( 1333200 1339585 ) M1M2_PR
NEW met2 ( 3100560 1342730 ) via2_FR
NEW met1 ( 1800720 1341435 ) M1M2_PR
NEW met1 ( 1802160 1341435 ) M1M2_PR
NEW met1 ( 1902000 1341435 ) M1M2_PR
NEW met2 ( 1902960 1348650 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[121\] ( mprj la_data_in[121] ) ( mgmt_buffers la_data_in_core[121] )
+ ROUTED met2 ( 3118320 1375290 ) ( 3118320 1389350 0 )
NEW met2 ( 1334400 1325710 0 ) ( 1334400 1327190 )
NEW met2 ( 1334400 1327190 ) ( 1334640 1327190 )
NEW met2 ( 1334640 1327190 ) ( 1334640 1375290 )
NEW met3 ( 1334640 1375290 ) ( 3118320 1375290 )
NEW met2 ( 1334640 1375290 ) via2_FR
NEW met2 ( 3118320 1375290 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[122\] ( mprj la_data_in[122] ) ( mgmt_buffers la_data_in_core[122] )
+ ROUTED met2 ( 2146320 1373070 ) ( 2146320 1373255 )
NEW met1 ( 2146320 1373255 ) ( 2245680 1373255 )
NEW met2 ( 2245680 1373070 ) ( 2245680 1373255 )
NEW met2 ( 2347920 1371035 ) ( 2347920 1373070 )
NEW met1 ( 2347920 1371035 ) ( 2388240 1371035 )
NEW met2 ( 2388240 1369370 ) ( 2388240 1371035 )
NEW met3 ( 2388240 1369370 ) ( 2447280 1369370 )
NEW met2 ( 2447280 1369370 ) ( 2447280 1373070 )
NEW met2 ( 2144880 1368630 ) ( 2145360 1368630 )
NEW met2 ( 2145360 1368630 ) ( 2145360 1373070 )
NEW met3 ( 2145360 1373070 ) ( 2146320 1373070 )
NEW met3 ( 2245680 1373070 ) ( 2347920 1373070 )
NEW met2 ( 1336080 1325710 0 ) ( 1336080 1368445 )
NEW met2 ( 1862160 1368445 ) ( 1862160 1373070 )
NEW met1 ( 1336080 1368445 ) ( 1862160 1368445 )
NEW met2 ( 2046000 1368630 ) ( 2046000 1373070 )
NEW met3 ( 2046000 1368630 ) ( 2144880 1368630 )
NEW met2 ( 2522160 1370850 ) ( 2522160 1373070 )
NEW met3 ( 2447280 1373070 ) ( 2522160 1373070 )
NEW met3 ( 2728800 1370110 ) ( 2728800 1370850 )
NEW met3 ( 2728800 1370110 ) ( 2750640 1370110 )
NEW met3 ( 2750640 1370110 ) ( 2750640 1370850 )
NEW met3 ( 2522160 1370850 ) ( 2728800 1370850 )
NEW met2 ( 2922960 1371590 ) ( 2923920 1371590 )
NEW met2 ( 2923920 1371590 ) ( 2923920 1372330 )
NEW met3 ( 3082080 1371590 ) ( 3082080 1372330 )
NEW met3 ( 3082080 1371590 ) ( 3095760 1371590 )
NEW met2 ( 3095760 1371590 ) ( 3095760 1372885 )
NEW met1 ( 3095760 1372885 ) ( 3136560 1372885 )
NEW met2 ( 3136560 1372885 ) ( 3136560 1389350 0 )
NEW met2 ( 2765040 1370850 ) ( 2765040 1371035 )
NEW met1 ( 2765040 1371035 ) ( 2865360 1371035 )
NEW met2 ( 2865360 1371035 ) ( 2865360 1371590 )
NEW met3 ( 2750640 1370850 ) ( 2765040 1370850 )
NEW met3 ( 2865360 1371590 ) ( 2922960 1371590 )
NEW met2 ( 2966640 1372145 ) ( 2966640 1372330 )
NEW met1 ( 2966640 1372145 ) ( 3066960 1372145 )
NEW met2 ( 3066960 1372145 ) ( 3066960 1372330 )
NEW met3 ( 2923920 1372330 ) ( 2966640 1372330 )
NEW met3 ( 3066960 1372330 ) ( 3082080 1372330 )
NEW met3 ( 1862160 1373070 ) ( 2046000 1373070 )
NEW met2 ( 2146320 1373070 ) via2_FR
NEW met1 ( 2146320 1373255 ) M1M2_PR
NEW met1 ( 2245680 1373255 ) M1M2_PR
NEW met2 ( 2245680 1373070 ) via2_FR
NEW met2 ( 2347920 1373070 ) via2_FR
NEW met1 ( 2347920 1371035 ) M1M2_PR
NEW met1 ( 2388240 1371035 ) M1M2_PR
NEW met2 ( 2388240 1369370 ) via2_FR
NEW met2 ( 2447280 1369370 ) via2_FR
NEW met2 ( 2447280 1373070 ) via2_FR
NEW met1 ( 1336080 1368445 ) M1M2_PR
NEW met2 ( 2144880 1368630 ) via2_FR
NEW met2 ( 2145360 1373070 ) via2_FR
NEW met1 ( 1862160 1368445 ) M1M2_PR
NEW met2 ( 1862160 1373070 ) via2_FR
NEW met2 ( 2046000 1373070 ) via2_FR
NEW met2 ( 2046000 1368630 ) via2_FR
NEW met2 ( 2522160 1373070 ) via2_FR
NEW met2 ( 2522160 1370850 ) via2_FR
NEW met2 ( 2922960 1371590 ) via2_FR
NEW met2 ( 2923920 1372330 ) via2_FR
NEW met2 ( 3095760 1371590 ) via2_FR
NEW met1 ( 3095760 1372885 ) M1M2_PR
NEW met1 ( 3136560 1372885 ) M1M2_PR
NEW met2 ( 2765040 1370850 ) via2_FR
NEW met1 ( 2765040 1371035 ) M1M2_PR
NEW met1 ( 2865360 1371035 ) M1M2_PR
NEW met2 ( 2865360 1371590 ) via2_FR
NEW met2 ( 2966640 1372330 ) via2_FR
NEW met1 ( 2966640 1372145 ) M1M2_PR
NEW met1 ( 3066960 1372145 ) M1M2_PR
NEW met2 ( 3066960 1372330 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[123\] ( mprj la_data_in[123] ) ( mgmt_buffers la_data_in_core[123] )
+ ROUTED met2 ( 3154320 1341250 ) ( 3154320 1389350 0 )
NEW met2 ( 1846320 1340695 ) ( 1846320 1341250 )
NEW met3 ( 1846320 1341250 ) ( 3154320 1341250 )
NEW met2 ( 1338480 1325710 0 ) ( 1338480 1339215 )
NEW met2 ( 1786800 1339215 ) ( 1787280 1339215 )
NEW met2 ( 1787280 1339215 ) ( 1787280 1340695 )
NEW met1 ( 1338480 1339215 ) ( 1786800 1339215 )
NEW met1 ( 1787280 1340695 ) ( 1846320 1340695 )
NEW met2 ( 3154320 1341250 ) via2_FR
NEW met1 ( 1338480 1339215 ) M1M2_PR
NEW met1 ( 1846320 1340695 ) M1M2_PR
NEW met2 ( 1846320 1341250 ) via2_FR
NEW met1 ( 1786800 1339215 ) M1M2_PR
NEW met1 ( 1787280 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[124\] ( mprj la_data_in[124] ) ( mgmt_buffers la_data_in_core[124] )
+ ROUTED met2 ( 3171600 1361045 ) ( 3171600 1389350 0 )
NEW met2 ( 1634160 1341065 ) ( 1634160 1347725 )
NEW met1 ( 1590960 1347725 ) ( 1634160 1347725 )
NEW met2 ( 1590960 1347170 ) ( 1590960 1347725 )
NEW met2 ( 1778160 1341065 ) ( 1778160 1350315 )
NEW met2 ( 1944240 1342915 ) ( 1944240 1352350 )
NEW met1 ( 1944240 1342915 ) ( 1947120 1342915 )
NEW met2 ( 1947120 1341990 ) ( 1947120 1342915 )
NEW met3 ( 1947120 1341990 ) ( 2002800 1341990 )
NEW met2 ( 2002800 1339770 ) ( 2002800 1341990 )
NEW met2 ( 2405040 1339770 ) ( 2405040 1361045 )
NEW met2 ( 1340880 1325710 0 ) ( 1340880 1347170 )
NEW met3 ( 1340880 1347170 ) ( 1590960 1347170 )
NEW met1 ( 1634160 1341065 ) ( 1778160 1341065 )
NEW met2 ( 1850160 1350315 ) ( 1850160 1352350 )
NEW met3 ( 1850160 1352350 ) ( 1943760 1352350 )
NEW met1 ( 1778160 1350315 ) ( 1850160 1350315 )
NEW met2 ( 1943760 1352350 ) ( 1944240 1352350 )
NEW met3 ( 2002800 1339770 ) ( 2405040 1339770 )
NEW met1 ( 2405040 1361045 ) ( 3171600 1361045 )
NEW met1 ( 3171600 1361045 ) M1M2_PR
NEW met2 ( 1340880 1347170 ) via2_FR
NEW met1 ( 1634160 1341065 ) M1M2_PR
NEW met1 ( 1634160 1347725 ) M1M2_PR
NEW met1 ( 1590960 1347725 ) M1M2_PR
NEW met2 ( 1590960 1347170 ) via2_FR
NEW met1 ( 1778160 1341065 ) M1M2_PR
NEW met1 ( 1778160 1350315 ) M1M2_PR
NEW met1 ( 1944240 1342915 ) M1M2_PR
NEW met1 ( 1947120 1342915 ) M1M2_PR
NEW met2 ( 1947120 1341990 ) via2_FR
NEW met2 ( 2002800 1341990 ) via2_FR
NEW met2 ( 2002800 1339770 ) via2_FR
NEW met2 ( 2405040 1339770 ) via2_FR
NEW met1 ( 2405040 1361045 ) M1M2_PR
NEW met1 ( 1850160 1350315 ) M1M2_PR
NEW met2 ( 1850160 1352350 ) via2_FR
NEW met2 ( 1943760 1352350 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[125\] ( mprj la_data_in[125] ) ( mgmt_buffers la_data_in_core[125] )
+ ROUTED met2 ( 3189840 1376030 ) ( 3189840 1389350 0 )
NEW met1 ( 1343760 1354755 ) ( 1352880 1354755 )
NEW met2 ( 1352880 1354755 ) ( 1352880 1368630 )
NEW met2 ( 1352880 1368630 ) ( 1354320 1368630 )
NEW met2 ( 1354320 1368075 ) ( 1354320 1368630 )
NEW met1 ( 1354320 1368075 ) ( 1370640 1368075 )
NEW met2 ( 1370640 1368075 ) ( 1370640 1369185 )
NEW met1 ( 1370640 1369185 ) ( 1430640 1369185 )
NEW met1 ( 1430640 1368815 ) ( 1430640 1369185 )
NEW met2 ( 1342560 1325710 0 ) ( 1343760 1325710 )
NEW met2 ( 1343760 1325710 ) ( 1343760 1354755 )
NEW met2 ( 1852080 1368815 ) ( 1852080 1373810 )
NEW met1 ( 1430640 1368815 ) ( 1852080 1368815 )
NEW met2 ( 3102960 1373810 ) ( 3102960 1376030 )
NEW met3 ( 3102960 1376030 ) ( 3189840 1376030 )
NEW met3 ( 1852080 1373810 ) ( 3102960 1373810 )
NEW met2 ( 3189840 1376030 ) via2_FR
NEW met1 ( 1343760 1354755 ) M1M2_PR
NEW met1 ( 1352880 1354755 ) M1M2_PR
NEW met1 ( 1354320 1368075 ) M1M2_PR
NEW met1 ( 1370640 1368075 ) M1M2_PR
NEW met1 ( 1370640 1369185 ) M1M2_PR
NEW met1 ( 1852080 1368815 ) M1M2_PR
NEW met2 ( 1852080 1373810 ) via2_FR
NEW met2 ( 3102960 1373810 ) via2_FR
NEW met2 ( 3102960 1376030 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[126\] ( mprj la_data_in[126] ) ( mgmt_buffers la_data_in_core[126] )
+ ROUTED met2 ( 3207600 1361415 ) ( 3207600 1389350 0 )
NEW met2 ( 1969680 1340510 ) ( 1969680 1342730 )
NEW met2 ( 2433840 1340510 ) ( 2433840 1361415 )
NEW met2 ( 1344960 1325710 0 ) ( 1346160 1325710 )
NEW met2 ( 1346160 1325710 ) ( 1346160 1343470 )
NEW met2 ( 1857360 1340325 ) ( 1857360 1342730 )
NEW met3 ( 1857360 1342730 ) ( 1969680 1342730 )
NEW met3 ( 1969680 1340510 ) ( 2433840 1340510 )
NEW met1 ( 2433840 1361415 ) ( 3207600 1361415 )
NEW met1 ( 1675440 1340325 ) ( 1675440 1340695 )
NEW met1 ( 1633680 1340325 ) ( 1675440 1340325 )
NEW met2 ( 1633680 1340325 ) ( 1633680 1341065 )
NEW met1 ( 1614000 1341065 ) ( 1633680 1341065 )
NEW met2 ( 1614000 1341065 ) ( 1614000 1343470 )
NEW met3 ( 1346160 1343470 ) ( 1614000 1343470 )
NEW met1 ( 1780080 1340325 ) ( 1780080 1340695 )
NEW met1 ( 1675440 1340695 ) ( 1780080 1340695 )
NEW met1 ( 1780080 1340325 ) ( 1857360 1340325 )
NEW met1 ( 3207600 1361415 ) M1M2_PR
NEW met2 ( 1346160 1343470 ) via2_FR
NEW met2 ( 1969680 1342730 ) via2_FR
NEW met2 ( 1969680 1340510 ) via2_FR
NEW met2 ( 2433840 1340510 ) via2_FR
NEW met1 ( 2433840 1361415 ) M1M2_PR
NEW met1 ( 1857360 1340325 ) M1M2_PR
NEW met2 ( 1857360 1342730 ) via2_FR
NEW met1 ( 1633680 1340325 ) M1M2_PR
NEW met1 ( 1633680 1341065 ) M1M2_PR
NEW met1 ( 1614000 1341065 ) M1M2_PR
NEW met2 ( 1614000 1343470 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[127\] ( mprj la_data_in[127] ) ( mgmt_buffers la_data_in_core[127] )
+ ROUTED met2 ( 3225840 1374550 ) ( 3225840 1389350 0 )
NEW met1 ( 1347120 1368815 ) ( 1430160 1368815 )
NEW met2 ( 1430160 1368075 ) ( 1430160 1368815 )
NEW met2 ( 1347120 1325710 0 ) ( 1347120 1368815 )
NEW met1 ( 1892880 1368075 ) ( 1892880 1368445 )
NEW met1 ( 1892880 1368445 ) ( 1894320 1368445 )
NEW met2 ( 1894320 1368445 ) ( 1894320 1374550 )
NEW met1 ( 1430160 1368075 ) ( 1892880 1368075 )
NEW met3 ( 1894320 1374550 ) ( 3225840 1374550 )
NEW met2 ( 3225840 1374550 ) via2_FR
NEW met1 ( 1347120 1368815 ) M1M2_PR
NEW met1 ( 1430160 1368815 ) M1M2_PR
NEW met1 ( 1430160 1368075 ) M1M2_PR
NEW met1 ( 1894320 1368445 ) M1M2_PR
NEW met2 ( 1894320 1374550 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[12\] ( mprj la_data_in[12] ) ( mgmt_buffers la_data_in_core[12] )
+ ROUTED met2 ( 1173360 1355495 ) ( 1173360 1389350 0 )
NEW met1 ( 1096560 1355495 ) ( 1173360 1355495 )
NEW met2 ( 1096560 1325710 0 ) ( 1096560 1355495 )
NEW met1 ( 1173360 1355495 ) M1M2_PR
NEW met1 ( 1096560 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[13\] ( mprj la_data_in[13] ) ( mgmt_buffers la_data_in_core[13] )
+ ROUTED met2 ( 1191600 1355865 ) ( 1191600 1389350 0 )
NEW met1 ( 1098960 1355865 ) ( 1191600 1355865 )
NEW met2 ( 1098960 1325710 0 ) ( 1098960 1355865 )
NEW met1 ( 1191600 1355865 ) M1M2_PR
NEW met1 ( 1098960 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[14\] ( mprj la_data_in[14] ) ( mgmt_buffers la_data_in_core[14] )
+ ROUTED met2 ( 1209360 1356235 ) ( 1209360 1389350 0 )
NEW met1 ( 1101840 1356235 ) ( 1209360 1356235 )
NEW met2 ( 1101120 1325710 0 ) ( 1101840 1325710 )
NEW met2 ( 1101840 1325710 ) ( 1101840 1356235 )
NEW met1 ( 1209360 1356235 ) M1M2_PR
NEW met1 ( 1101840 1356235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[15\] ( mprj la_data_in[15] ) ( mgmt_buffers la_data_in_core[15] )
+ ROUTED met2 ( 1227600 1358825 ) ( 1227600 1389350 0 )
NEW met1 ( 1104240 1358825 ) ( 1227600 1358825 )
NEW met2 ( 1103040 1325710 0 ) ( 1104240 1325710 )
NEW met2 ( 1104240 1325710 ) ( 1104240 1358825 )
NEW met1 ( 1227600 1358825 ) M1M2_PR
NEW met1 ( 1104240 1358825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[16\] ( mprj la_data_in[16] ) ( mgmt_buffers la_data_in_core[16] )
+ ROUTED met2 ( 1245360 1354385 ) ( 1245360 1389350 0 )
NEW met1 ( 1105200 1354385 ) ( 1245360 1354385 )
NEW met2 ( 1105200 1325710 0 ) ( 1105200 1354385 )
NEW met1 ( 1105200 1354385 ) M1M2_PR
NEW met1 ( 1245360 1354385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[17\] ( mprj la_data_in[17] ) ( mgmt_buffers la_data_in_core[17] )
+ ROUTED met2 ( 1262640 1346245 ) ( 1262640 1389350 0 )
NEW met1 ( 1107600 1346245 ) ( 1262640 1346245 )
NEW met2 ( 1107600 1325710 0 ) ( 1107600 1346245 )
NEW met1 ( 1107600 1346245 ) M1M2_PR
NEW met1 ( 1262640 1346245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[18\] ( mprj la_data_in[18] ) ( mgmt_buffers la_data_in_core[18] )
+ ROUTED met2 ( 1280880 1339215 ) ( 1280880 1389350 0 )
NEW met1 ( 1110000 1339215 ) ( 1280880 1339215 )
NEW met2 ( 1110000 1325710 0 ) ( 1110000 1339215 )
NEW met1 ( 1110000 1339215 ) M1M2_PR
NEW met1 ( 1280880 1339215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[19\] ( mprj la_data_in[19] ) ( mgmt_buffers la_data_in_core[19] )
+ ROUTED met2 ( 1111680 1325710 0 ) ( 1112880 1325710 )
NEW met2 ( 1112880 1325710 ) ( 1112880 1339955 )
NEW met2 ( 1267440 1339955 ) ( 1267440 1354385 )
NEW met1 ( 1267440 1354385 ) ( 1298640 1354385 )
NEW met1 ( 1112880 1339955 ) ( 1267440 1339955 )
NEW met2 ( 1298640 1354385 ) ( 1298640 1389350 0 )
NEW met1 ( 1112880 1339955 ) M1M2_PR
NEW met1 ( 1267440 1339955 ) M1M2_PR
NEW met1 ( 1267440 1354385 ) M1M2_PR
NEW met1 ( 1298640 1354385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[1\] ( mprj la_data_in[1] ) ( mgmt_buffers la_data_in_core[1] )
+ ROUTED met2 ( 977520 1354755 ) ( 977520 1389350 0 )
NEW met1 ( 977520 1354755 ) ( 1072560 1354755 )
NEW met2 ( 1072560 1325710 0 ) ( 1072560 1354755 )
NEW met1 ( 977520 1354755 ) M1M2_PR
NEW met1 ( 1072560 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[20\] ( mprj la_data_in[20] ) ( mgmt_buffers la_data_in_core[20] )
+ ROUTED met1 ( 1260240 1368445 ) ( 1260240 1368815 )
NEW met1 ( 1260240 1368445 ) ( 1316880 1368445 )
NEW met2 ( 1316880 1368445 ) ( 1316880 1389350 0 )
NEW met1 ( 1115280 1368815 ) ( 1260240 1368815 )
NEW met2 ( 1114080 1325710 0 ) ( 1115280 1325710 )
NEW met2 ( 1115280 1325710 ) ( 1115280 1368815 )
NEW met1 ( 1115280 1368815 ) M1M2_PR
NEW met1 ( 1316880 1368445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[21\] ( mprj la_data_in[21] ) ( mgmt_buffers la_data_in_core[21] )
+ ROUTED met2 ( 1332720 1389350 ) ( 1334160 1389350 0 )
NEW met2 ( 1116240 1325710 0 ) ( 1116240 1340695 )
NEW met1 ( 1116240 1340695 ) ( 1332720 1340695 )
NEW met2 ( 1332720 1340695 ) ( 1332720 1389350 )
NEW met1 ( 1116240 1340695 ) M1M2_PR
NEW met1 ( 1332720 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[22\] ( mprj la_data_in[22] ) ( mgmt_buffers la_data_in_core[22] )
+ ROUTED met2 ( 1351920 1341065 ) ( 1351920 1389350 0 )
NEW met1 ( 1118640 1341065 ) ( 1351920 1341065 )
NEW met2 ( 1118640 1325710 0 ) ( 1118640 1341065 )
NEW met1 ( 1351920 1341065 ) M1M2_PR
NEW met1 ( 1118640 1341065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[23\] ( mprj la_data_in[23] ) ( mgmt_buffers la_data_in_core[23] )
+ ROUTED met2 ( 1370160 1369185 ) ( 1370160 1389350 0 )
NEW met1 ( 1120560 1369185 ) ( 1370160 1369185 )
NEW met2 ( 1120560 1325710 0 ) ( 1120560 1369185 )
NEW met1 ( 1370160 1369185 ) M1M2_PR
NEW met1 ( 1120560 1369185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[24\] ( mprj la_data_in[24] ) ( mgmt_buffers la_data_in_core[24] )
+ ROUTED met2 ( 1387920 1367335 ) ( 1387920 1389350 0 )
NEW met1 ( 1122960 1367335 ) ( 1387920 1367335 )
NEW met2 ( 1122720 1325710 0 ) ( 1122960 1325710 )
NEW met2 ( 1122960 1325710 ) ( 1122960 1367335 )
NEW met1 ( 1387920 1367335 ) M1M2_PR
NEW met1 ( 1122960 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[25\] ( mprj la_data_in[25] ) ( mgmt_buffers la_data_in_core[25] )
+ ROUTED met2 ( 1405680 1369555 ) ( 1405680 1389350 0 )
NEW met1 ( 1126320 1369555 ) ( 1405680 1369555 )
NEW met2 ( 1125120 1325710 0 ) ( 1126320 1325710 )
NEW met2 ( 1126320 1325710 ) ( 1126320 1369555 )
NEW met1 ( 1405680 1369555 ) M1M2_PR
NEW met1 ( 1126320 1369555 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[26\] ( mprj la_data_in[26] ) ( mgmt_buffers la_data_in_core[26] )
+ ROUTED met2 ( 1423440 1369925 ) ( 1423440 1389350 0 )
NEW met1 ( 1127280 1369925 ) ( 1423440 1369925 )
NEW met2 ( 1127280 1325710 0 ) ( 1127280 1369925 )
NEW met1 ( 1423440 1369925 ) M1M2_PR
NEW met1 ( 1127280 1369925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[27\] ( mprj la_data_in[27] ) ( mgmt_buffers la_data_in_core[27] )
+ ROUTED met2 ( 1441200 1342175 ) ( 1441200 1389350 0 )
NEW met1 ( 1129200 1342175 ) ( 1441200 1342175 )
NEW met2 ( 1129200 1325710 0 ) ( 1129200 1342175 )
NEW met1 ( 1129200 1342175 ) M1M2_PR
NEW met1 ( 1441200 1342175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[28\] ( mprj la_data_in[28] ) ( mgmt_buffers la_data_in_core[28] )
+ ROUTED met2 ( 1459440 1377695 ) ( 1459440 1389350 0 )
NEW met1 ( 1131600 1377695 ) ( 1459440 1377695 )
NEW met2 ( 1131600 1325710 0 ) ( 1131600 1377695 )
NEW met1 ( 1131600 1377695 ) M1M2_PR
NEW met1 ( 1459440 1377695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[29\] ( mprj la_data_in[29] ) ( mgmt_buffers la_data_in_core[29] )
+ ROUTED met2 ( 1477200 1349205 ) ( 1477200 1389350 0 )
NEW met1 ( 1134960 1349205 ) ( 1477200 1349205 )
NEW met2 ( 1133760 1325710 0 ) ( 1134960 1325710 )
NEW met2 ( 1134960 1325710 ) ( 1134960 1349205 )
NEW met1 ( 1134960 1349205 ) M1M2_PR
NEW met1 ( 1477200 1349205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[2\] ( mprj la_data_in[2] ) ( mgmt_buffers la_data_in_core[2] )
+ ROUTED met2 ( 995760 1354385 ) ( 995760 1389350 0 )
NEW met1 ( 995760 1354385 ) ( 1074960 1354385 )
NEW met2 ( 1074960 1325710 0 ) ( 1074960 1354385 )
NEW met1 ( 995760 1354385 ) M1M2_PR
NEW met1 ( 1074960 1354385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[30\] ( mprj la_data_in[30] ) ( mgmt_buffers la_data_in_core[30] )
+ ROUTED met2 ( 1494960 1377325 ) ( 1494960 1389350 0 )
NEW met1 ( 1137360 1377325 ) ( 1494960 1377325 )
NEW met2 ( 1136160 1325710 0 ) ( 1137360 1325710 )
NEW met2 ( 1137360 1325710 ) ( 1137360 1377325 )
NEW met1 ( 1137360 1377325 ) M1M2_PR
NEW met1 ( 1494960 1377325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[31\] ( mprj la_data_in[31] ) ( mgmt_buffers la_data_in_core[31] )
+ ROUTED met2 ( 1137840 1325710 0 ) ( 1137840 1347725 )
NEW met2 ( 1477680 1347725 ) ( 1477680 1349205 )
NEW met1 ( 1137840 1347725 ) ( 1477680 1347725 )
NEW met2 ( 1512720 1349205 ) ( 1512720 1389350 0 )
NEW met1 ( 1477680 1349205 ) ( 1512720 1349205 )
NEW met1 ( 1137840 1347725 ) M1M2_PR
NEW met1 ( 1477680 1347725 ) M1M2_PR
NEW met1 ( 1477680 1349205 ) M1M2_PR
NEW met1 ( 1512720 1349205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[32\] ( mprj la_data_in[32] ) ( mgmt_buffers la_data_in_core[32] )
+ ROUTED met2 ( 1140240 1325710 0 ) ( 1140240 1375845 )
NEW met2 ( 1530480 1375845 ) ( 1530480 1389350 0 )
NEW met2 ( 1287600 1375845 ) ( 1287600 1376770 )
NEW met2 ( 1287600 1376770 ) ( 1289520 1376770 )
NEW met2 ( 1289520 1375845 ) ( 1289520 1376770 )
NEW met1 ( 1140240 1375845 ) ( 1287600 1375845 )
NEW met1 ( 1289520 1375845 ) ( 1530480 1375845 )
NEW met1 ( 1140240 1375845 ) M1M2_PR
NEW met1 ( 1530480 1375845 ) M1M2_PR
NEW met1 ( 1287600 1375845 ) M1M2_PR
NEW met1 ( 1289520 1375845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[33\] ( mprj la_data_in[33] ) ( mgmt_buffers la_data_in_core[33] )
+ ROUTED met2 ( 1142640 1325710 0 ) ( 1142640 1346985 )
NEW met2 ( 1548720 1346615 ) ( 1548720 1389350 0 )
NEW met1 ( 1490160 1346615 ) ( 1490160 1346985 )
NEW met1 ( 1142640 1346985 ) ( 1490160 1346985 )
NEW met1 ( 1490160 1346615 ) ( 1548720 1346615 )
NEW met1 ( 1142640 1346985 ) M1M2_PR
NEW met1 ( 1548720 1346615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[34\] ( mprj la_data_in[34] ) ( mgmt_buffers la_data_in_core[34] )
+ ROUTED met2 ( 1144320 1325710 0 ) ( 1145520 1325710 )
NEW met2 ( 1145520 1325710 ) ( 1145520 1375105 )
NEW met2 ( 1566480 1375105 ) ( 1566480 1389350 0 )
NEW met1 ( 1145520 1375105 ) ( 1566480 1375105 )
NEW met1 ( 1145520 1375105 ) M1M2_PR
NEW met1 ( 1566480 1375105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[35\] ( mprj la_data_in[35] ) ( mgmt_buffers la_data_in_core[35] )
+ ROUTED met2 ( 1146720 1325710 0 ) ( 1147920 1325710 )
NEW met2 ( 1147920 1325710 ) ( 1147920 1346615 )
NEW met2 ( 1541040 1347725 ) ( 1541040 1349205 )
NEW met1 ( 1541040 1349205 ) ( 1559760 1349205 )
NEW met2 ( 1559760 1346615 ) ( 1559760 1349205 )
NEW met1 ( 1559760 1346615 ) ( 1580400 1346615 )
NEW met2 ( 1580400 1346615 ) ( 1580400 1389350 )
NEW met2 ( 1580400 1389350 ) ( 1583760 1389350 0 )
NEW met2 ( 1489680 1346615 ) ( 1489680 1347725 )
NEW met1 ( 1147920 1346615 ) ( 1489680 1346615 )
NEW met1 ( 1489680 1347725 ) ( 1541040 1347725 )
NEW met1 ( 1147920 1346615 ) M1M2_PR
NEW met1 ( 1541040 1347725 ) M1M2_PR
NEW met1 ( 1541040 1349205 ) M1M2_PR
NEW met1 ( 1559760 1349205 ) M1M2_PR
NEW met1 ( 1559760 1346615 ) M1M2_PR
NEW met1 ( 1580400 1346615 ) M1M2_PR
NEW met1 ( 1489680 1346615 ) M1M2_PR
NEW met1 ( 1489680 1347725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[36\] ( mprj la_data_in[36] ) ( mgmt_buffers la_data_in_core[36] )
+ ROUTED met2 ( 1148880 1325710 0 ) ( 1148880 1366225 )
NEW met2 ( 1602000 1366225 ) ( 1602000 1389350 0 )
NEW met1 ( 1148880 1366225 ) ( 1602000 1366225 )
NEW met1 ( 1148880 1366225 ) M1M2_PR
NEW met1 ( 1602000 1366225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[37\] ( mprj la_data_in[37] ) ( mgmt_buffers la_data_in_core[37] )
+ ROUTED met2 ( 1151280 1325710 0 ) ( 1151280 1371405 )
NEW met2 ( 1618320 1371405 ) ( 1618320 1389350 )
NEW met2 ( 1618320 1389350 ) ( 1619760 1389350 0 )
NEW met1 ( 1151280 1371405 ) ( 1618320 1371405 )
NEW met1 ( 1151280 1371405 ) M1M2_PR
NEW met1 ( 1618320 1371405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[38\] ( mprj la_data_in[38] ) ( mgmt_buffers la_data_in_core[38] )
+ ROUTED met2 ( 1153200 1325710 0 ) ( 1153200 1371035 )
NEW met2 ( 1637520 1371035 ) ( 1637520 1389350 0 )
NEW met1 ( 1153200 1371035 ) ( 1637520 1371035 )
NEW met1 ( 1153200 1371035 ) M1M2_PR
NEW met1 ( 1637520 1371035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[39\] ( mprj la_data_in[39] ) ( mgmt_buffers la_data_in_core[39] )
+ ROUTED met2 ( 1155360 1325710 0 ) ( 1156560 1325710 )
NEW met2 ( 1156560 1325710 ) ( 1156560 1353275 )
NEW met2 ( 1640880 1353275 ) ( 1640880 1356050 )
NEW met1 ( 1156560 1353275 ) ( 1640880 1353275 )
NEW met2 ( 1654320 1356050 ) ( 1654320 1389350 )
NEW met2 ( 1654320 1389350 ) ( 1655760 1389350 0 )
NEW met3 ( 1640880 1356050 ) ( 1654320 1356050 )
NEW met1 ( 1156560 1353275 ) M1M2_PR
NEW met1 ( 1640880 1353275 ) M1M2_PR
NEW met2 ( 1640880 1356050 ) via2_FR
NEW met2 ( 1654320 1356050 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[3\] ( mprj la_data_in[3] ) ( mgmt_buffers la_data_in_core[3] )
+ ROUTED met2 ( 1013040 1354015 ) ( 1013040 1389350 0 )
NEW met1 ( 1013040 1354015 ) ( 1077360 1354015 )
NEW met2 ( 1077360 1325710 0 ) ( 1077360 1354015 )
NEW met1 ( 1013040 1354015 ) M1M2_PR
NEW met1 ( 1077360 1354015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[40\] ( mprj la_data_in[40] ) ( mgmt_buffers la_data_in_core[40] )
+ ROUTED met2 ( 1157760 1325710 0 ) ( 1158960 1325710 )
NEW met2 ( 1158960 1325710 ) ( 1158960 1382135 )
NEW met2 ( 1673040 1382135 ) ( 1673040 1389350 0 )
NEW met1 ( 1158960 1382135 ) ( 1673040 1382135 )
NEW met1 ( 1158960 1382135 ) M1M2_PR
NEW met1 ( 1673040 1382135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[41\] ( mprj la_data_in[41] ) ( mgmt_buffers la_data_in_core[41] )
+ ROUTED met2 ( 1159920 1325710 0 ) ( 1159920 1352535 )
NEW met2 ( 1641840 1352535 ) ( 1641840 1355865 )
NEW met1 ( 1641840 1355865 ) ( 1656240 1355865 )
NEW met2 ( 1656240 1352535 ) ( 1656240 1355865 )
NEW met1 ( 1656240 1352535 ) ( 1691280 1352535 )
NEW met2 ( 1691280 1352535 ) ( 1691280 1389350 0 )
NEW met1 ( 1159920 1352535 ) ( 1641840 1352535 )
NEW met1 ( 1159920 1352535 ) M1M2_PR
NEW met1 ( 1641840 1352535 ) M1M2_PR
NEW met1 ( 1641840 1355865 ) M1M2_PR
NEW met1 ( 1656240 1355865 ) M1M2_PR
NEW met1 ( 1656240 1352535 ) M1M2_PR
NEW met1 ( 1691280 1352535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[42\] ( mprj la_data_in[42] ) ( mgmt_buffers la_data_in_core[42] )
+ ROUTED met2 ( 1161840 1325710 0 ) ( 1161840 1381765 )
NEW met2 ( 1709040 1381765 ) ( 1709040 1389350 0 )
NEW met1 ( 1161840 1381765 ) ( 1709040 1381765 )
NEW met1 ( 1161840 1381765 ) M1M2_PR
NEW met1 ( 1709040 1381765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[43\] ( mprj la_data_in[43] ) ( mgmt_buffers la_data_in_core[43] )
+ ROUTED met2 ( 1164240 1325710 0 ) ( 1164240 1351795 )
NEW met2 ( 1726800 1339955 ) ( 1726800 1389350 0 )
NEW met2 ( 1641840 1348650 ) ( 1641840 1351795 )
NEW met2 ( 1641840 1348650 ) ( 1642800 1348650 )
NEW met2 ( 1642800 1339955 ) ( 1642800 1348650 )
NEW met1 ( 1164240 1351795 ) ( 1641840 1351795 )
NEW met1 ( 1642800 1339955 ) ( 1726800 1339955 )
NEW met1 ( 1164240 1351795 ) M1M2_PR
NEW met1 ( 1726800 1339955 ) M1M2_PR
NEW met1 ( 1641840 1351795 ) M1M2_PR
NEW met1 ( 1642800 1339955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[44\] ( mprj la_data_in[44] ) ( mgmt_buffers la_data_in_core[44] )
+ ROUTED met2 ( 1166400 1325710 0 ) ( 1167120 1325710 )
NEW met2 ( 1167120 1325710 ) ( 1167120 1380655 )
NEW met2 ( 1745040 1380655 ) ( 1745040 1389350 0 )
NEW met1 ( 1167120 1380655 ) ( 1745040 1380655 )
NEW met1 ( 1167120 1380655 ) M1M2_PR
NEW met1 ( 1745040 1380655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[45\] ( mprj la_data_in[45] ) ( mgmt_buffers la_data_in_core[45] )
+ ROUTED met2 ( 1168800 1325710 0 ) ( 1170000 1325710 )
NEW met2 ( 1170000 1325710 ) ( 1170000 1351425 )
NEW met2 ( 1762320 1351795 ) ( 1762320 1389350 0 )
NEW met1 ( 1682640 1351425 ) ( 1682640 1351795 )
NEW met1 ( 1170000 1351425 ) ( 1682640 1351425 )
NEW met1 ( 1682640 1351795 ) ( 1762320 1351795 )
NEW met1 ( 1170000 1351425 ) M1M2_PR
NEW met1 ( 1762320 1351795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[46\] ( mprj la_data_in[46] ) ( mgmt_buffers la_data_in_core[46] )
+ ROUTED met2 ( 1170480 1325710 0 ) ( 1170480 1379915 )
NEW met2 ( 1780560 1379915 ) ( 1780560 1389350 0 )
NEW met1 ( 1170480 1379915 ) ( 1780560 1379915 )
NEW met1 ( 1170480 1379915 ) M1M2_PR
NEW met1 ( 1780560 1379915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[47\] ( mprj la_data_in[47] ) ( mgmt_buffers la_data_in_core[47] )
+ ROUTED met2 ( 1172880 1325710 0 ) ( 1172880 1350685 )
NEW met2 ( 1615920 1348650 ) ( 1615920 1350685 )
NEW met3 ( 1615920 1348650 ) ( 1683120 1348650 )
NEW met2 ( 1683120 1348650 ) ( 1683120 1351425 )
NEW met1 ( 1172880 1350685 ) ( 1615920 1350685 )
NEW met2 ( 1715760 1351425 ) ( 1715760 1369185 )
NEW met1 ( 1715760 1369185 ) ( 1798320 1369185 )
NEW met2 ( 1798320 1369185 ) ( 1798320 1389350 0 )
NEW met1 ( 1683120 1351425 ) ( 1715760 1351425 )
NEW met1 ( 1172880 1350685 ) M1M2_PR
NEW met1 ( 1615920 1350685 ) M1M2_PR
NEW met2 ( 1615920 1348650 ) via2_FR
NEW met2 ( 1683120 1348650 ) via2_FR
NEW met1 ( 1683120 1351425 ) M1M2_PR
NEW met1 ( 1715760 1351425 ) M1M2_PR
NEW met1 ( 1715760 1369185 ) M1M2_PR
NEW met1 ( 1798320 1369185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[48\] ( mprj la_data_in[48] ) ( mgmt_buffers la_data_in_core[48] )
+ ROUTED met2 ( 1175280 1325710 0 ) ( 1175280 1378435 )
NEW met2 ( 1816080 1378435 ) ( 1816080 1389350 0 )
NEW met1 ( 1175280 1378435 ) ( 1816080 1378435 )
NEW met1 ( 1175280 1378435 ) M1M2_PR
NEW met1 ( 1816080 1378435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[49\] ( mprj la_data_in[49] ) ( mgmt_buffers la_data_in_core[49] )
+ ROUTED met2 ( 1177440 1325710 0 ) ( 1178640 1325710 )
NEW met2 ( 1178640 1325710 ) ( 1178640 1350315 )
NEW met2 ( 1833840 1345505 ) ( 1833840 1389350 0 )
NEW met1 ( 1685040 1350315 ) ( 1685040 1350685 )
NEW met1 ( 1178640 1350315 ) ( 1685040 1350315 )
NEW met2 ( 1710960 1349205 ) ( 1710960 1350685 )
NEW met1 ( 1710960 1348835 ) ( 1710960 1349205 )
NEW met1 ( 1710960 1348835 ) ( 1712880 1348835 )
NEW met2 ( 1712880 1348835 ) ( 1713840 1348835 )
NEW met2 ( 1713840 1345505 ) ( 1713840 1348835 )
NEW met1 ( 1685040 1350685 ) ( 1710960 1350685 )
NEW met1 ( 1713840 1345505 ) ( 1833840 1345505 )
NEW met1 ( 1178640 1350315 ) M1M2_PR
NEW met1 ( 1833840 1345505 ) M1M2_PR
NEW met1 ( 1710960 1350685 ) M1M2_PR
NEW met1 ( 1710960 1349205 ) M1M2_PR
NEW met1 ( 1712880 1348835 ) M1M2_PR
NEW met1 ( 1713840 1345505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[4\] ( mprj la_data_in[4] ) ( mgmt_buffers la_data_in_core[4] )
+ ROUTED met2 ( 1031280 1353645 ) ( 1031280 1389350 0 )
NEW met1 ( 1031280 1353645 ) ( 1077840 1353645 )
NEW met2 ( 1077840 1325710 ) ( 1079040 1325710 0 )
NEW met2 ( 1077840 1325710 ) ( 1077840 1353645 )
NEW met1 ( 1031280 1353645 ) M1M2_PR
NEW met1 ( 1077840 1353645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[50\] ( mprj la_data_in[50] ) ( mgmt_buffers la_data_in_core[50] )
+ ROUTED met2 ( 1179360 1325710 0 ) ( 1180080 1325710 )
NEW met2 ( 1180080 1325710 ) ( 1180080 1378065 )
NEW met2 ( 1851600 1378065 ) ( 1851600 1389350 0 )
NEW met1 ( 1180080 1378065 ) ( 1851600 1378065 )
NEW met1 ( 1180080 1378065 ) M1M2_PR
NEW met1 ( 1851600 1378065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[51\] ( mprj la_data_in[51] ) ( mgmt_buffers la_data_in_core[51] )
+ ROUTED met2 ( 1181520 1325710 0 ) ( 1181520 1347355 )
NEW met1 ( 1845840 1353275 ) ( 1845840 1353645 )
NEW met1 ( 1845840 1353645 ) ( 1867440 1353645 )
NEW met2 ( 1867440 1353645 ) ( 1867440 1389350 )
NEW met2 ( 1867440 1389350 ) ( 1869360 1389350 0 )
NEW met2 ( 1710000 1347355 ) ( 1710000 1353275 )
NEW met1 ( 1181520 1347355 ) ( 1710000 1347355 )
NEW met1 ( 1710000 1353275 ) ( 1845840 1353275 )
NEW met1 ( 1181520 1347355 ) M1M2_PR
NEW met1 ( 1867440 1353645 ) M1M2_PR
NEW met1 ( 1710000 1347355 ) M1M2_PR
NEW met1 ( 1710000 1353275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[52\] ( mprj la_data_in[52] ) ( mgmt_buffers la_data_in_core[52] )
+ ROUTED met2 ( 1183920 1325710 0 ) ( 1183920 1376585 )
NEW met2 ( 1887600 1376585 ) ( 1887600 1389350 0 )
NEW met1 ( 1183920 1376585 ) ( 1887600 1376585 )
NEW met1 ( 1183920 1376585 ) M1M2_PR
NEW met1 ( 1887600 1376585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[53\] ( mprj la_data_in[53] ) ( mgmt_buffers la_data_in_core[53] )
+ ROUTED met2 ( 1185840 1325710 0 ) ( 1185840 1344395 )
NEW met2 ( 1777200 1344395 ) ( 1777200 1350685 )
NEW met1 ( 1185840 1344395 ) ( 1777200 1344395 )
NEW met2 ( 1820880 1350685 ) ( 1820880 1353645 )
NEW met1 ( 1820880 1353645 ) ( 1845360 1353645 )
NEW met2 ( 1845360 1353275 ) ( 1845360 1353645 )
NEW met2 ( 1845360 1353275 ) ( 1846320 1353275 )
NEW met1 ( 1846320 1353275 ) ( 1902000 1353275 )
NEW met1 ( 1777200 1350685 ) ( 1820880 1350685 )
NEW met2 ( 1901520 1368630 ) ( 1902000 1368630 )
NEW met2 ( 1901520 1368630 ) ( 1901520 1378435 )
NEW met2 ( 1901520 1378435 ) ( 1902480 1378435 )
NEW met2 ( 1902480 1378435 ) ( 1902480 1389350 )
NEW met2 ( 1902480 1389350 ) ( 1905360 1389350 0 )
NEW met2 ( 1902000 1353275 ) ( 1902000 1368630 )
NEW met1 ( 1185840 1344395 ) M1M2_PR
NEW met1 ( 1777200 1344395 ) M1M2_PR
NEW met1 ( 1777200 1350685 ) M1M2_PR
NEW met1 ( 1820880 1350685 ) M1M2_PR
NEW met1 ( 1820880 1353645 ) M1M2_PR
NEW met1 ( 1845360 1353645 ) M1M2_PR
NEW met1 ( 1846320 1353275 ) M1M2_PR
NEW met1 ( 1902000 1353275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[54\] ( mprj la_data_in[54] ) ( mgmt_buffers la_data_in_core[54] )
+ ROUTED met2 ( 1188000 1325710 0 ) ( 1189200 1325710 )
NEW met2 ( 1189200 1325710 ) ( 1189200 1371775 )
NEW met2 ( 1881840 1371775 ) ( 1881840 1380655 )
NEW met1 ( 1881840 1380655 ) ( 1919760 1380655 )
NEW met2 ( 1919760 1380655 ) ( 1919760 1387685 )
NEW met1 ( 1919760 1387685 ) ( 1921680 1387685 )
NEW met2 ( 1921680 1387685 ) ( 1921680 1389350 )
NEW met2 ( 1921680 1389350 ) ( 1923120 1389350 0 )
NEW met1 ( 1189200 1371775 ) ( 1881840 1371775 )
NEW met1 ( 1189200 1371775 ) M1M2_PR
NEW met1 ( 1881840 1371775 ) M1M2_PR
NEW met1 ( 1881840 1380655 ) M1M2_PR
NEW met1 ( 1919760 1380655 ) M1M2_PR
NEW met1 ( 1919760 1387685 ) M1M2_PR
NEW met1 ( 1921680 1387685 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[55\] ( mprj la_data_in[55] ) ( mgmt_buffers la_data_in_core[55] )
+ ROUTED met2 ( 1190400 1325710 0 ) ( 1191600 1325710 )
NEW met2 ( 1191600 1325710 ) ( 1191600 1343285 )
NEW met2 ( 1899600 1340695 ) ( 1899600 1343285 )
NEW met2 ( 1899600 1340695 ) ( 1900560 1340695 )
NEW met2 ( 1900560 1338475 ) ( 1900560 1340695 )
NEW met1 ( 1900560 1338475 ) ( 1915440 1338475 )
NEW met2 ( 1915440 1338475 ) ( 1915440 1339585 )
NEW met1 ( 1915440 1339585 ) ( 1940880 1339585 )
NEW met1 ( 1191600 1343285 ) ( 1899600 1343285 )
NEW met2 ( 1940880 1339585 ) ( 1940880 1389350 0 )
NEW met1 ( 1191600 1343285 ) M1M2_PR
NEW met1 ( 1899600 1343285 ) M1M2_PR
NEW met1 ( 1900560 1338475 ) M1M2_PR
NEW met1 ( 1915440 1338475 ) M1M2_PR
NEW met1 ( 1915440 1339585 ) M1M2_PR
NEW met1 ( 1940880 1339585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[56\] ( mprj la_data_in[56] ) ( mgmt_buffers la_data_in_core[56] )
+ ROUTED met2 ( 1192560 1325710 0 ) ( 1192560 1372145 )
NEW met2 ( 1878000 1372145 ) ( 1878000 1381765 )
NEW met1 ( 1878000 1381765 ) ( 1958640 1381765 )
NEW met2 ( 1958640 1381765 ) ( 1958640 1389350 0 )
NEW met1 ( 1192560 1372145 ) ( 1878000 1372145 )
NEW met1 ( 1192560 1372145 ) M1M2_PR
NEW met1 ( 1878000 1372145 ) M1M2_PR
NEW met1 ( 1878000 1381765 ) M1M2_PR
NEW met1 ( 1958640 1381765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[57\] ( mprj la_data_in[57] ) ( mgmt_buffers la_data_in_core[57] )
+ ROUTED met2 ( 1194480 1325710 0 ) ( 1194480 1342915 )
NEW met2 ( 1976880 1366225 ) ( 1976880 1389350 0 )
NEW met2 ( 1843440 1342915 ) ( 1844880 1342915 )
NEW met2 ( 1844880 1339955 ) ( 1844880 1342915 )
NEW met1 ( 1844880 1339955 ) ( 1871280 1339955 )
NEW met2 ( 1871280 1339955 ) ( 1871280 1382135 )
NEW met1 ( 1194480 1342915 ) ( 1843440 1342915 )
NEW met1 ( 1943760 1366225 ) ( 1976880 1366225 )
NEW met2 ( 1877520 1382135 ) ( 1877520 1383430 )
NEW met3 ( 1877520 1383430 ) ( 1943760 1383430 )
NEW met1 ( 1871280 1382135 ) ( 1877520 1382135 )
NEW met2 ( 1943760 1366225 ) ( 1943760 1383430 )
NEW met1 ( 1194480 1342915 ) M1M2_PR
NEW met1 ( 1976880 1366225 ) M1M2_PR
NEW met1 ( 1843440 1342915 ) M1M2_PR
NEW met1 ( 1844880 1339955 ) M1M2_PR
NEW met1 ( 1871280 1339955 ) M1M2_PR
NEW met1 ( 1871280 1382135 ) M1M2_PR
NEW met1 ( 1943760 1366225 ) M1M2_PR
NEW met1 ( 1877520 1382135 ) M1M2_PR
NEW met2 ( 1877520 1383430 ) via2_FR
NEW met2 ( 1943760 1383430 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[58\] ( mprj la_data_in[58] ) ( mgmt_buffers la_data_in_core[58] )
+ ROUTED met2 ( 1196880 1325710 0 ) ( 1196880 1372515 )
NEW met2 ( 1993200 1372515 ) ( 1993200 1389350 )
NEW met2 ( 1993200 1389350 ) ( 1994640 1389350 0 )
NEW met1 ( 1196880 1372515 ) ( 1993200 1372515 )
NEW met1 ( 1196880 1372515 ) M1M2_PR
NEW met1 ( 1993200 1372515 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[59\] ( mprj la_data_in[59] ) ( mgmt_buffers la_data_in_core[59] )
+ ROUTED met2 ( 1199040 1325710 0 ) ( 1200240 1325710 )
NEW met2 ( 1200240 1325710 ) ( 1200240 1342545 )
NEW met2 ( 1952400 1354385 ) ( 1952400 1356235 )
NEW met1 ( 1952400 1356235 ) ( 1972080 1356235 )
NEW met2 ( 1972080 1354015 ) ( 1972080 1356235 )
NEW met1 ( 1972080 1354015 ) ( 2012400 1354015 )
NEW met2 ( 2012400 1354015 ) ( 2012400 1389350 0 )
NEW met1 ( 1843920 1342545 ) ( 1843920 1342915 )
NEW met1 ( 1843920 1342915 ) ( 1851120 1342915 )
NEW met2 ( 1851120 1342915 ) ( 1851120 1350315 )
NEW met1 ( 1851120 1350315 ) ( 1875120 1350315 )
NEW met2 ( 1875120 1350315 ) ( 1875120 1353645 )
NEW met1 ( 1875120 1353645 ) ( 1905840 1353645 )
NEW met1 ( 1905840 1353275 ) ( 1905840 1353645 )
NEW met1 ( 1905840 1353275 ) ( 1929840 1353275 )
NEW met2 ( 1929840 1353275 ) ( 1929840 1354385 )
NEW met1 ( 1200240 1342545 ) ( 1843920 1342545 )
NEW met1 ( 1929840 1354385 ) ( 1952400 1354385 )
NEW met1 ( 1200240 1342545 ) M1M2_PR
NEW met1 ( 1952400 1354385 ) M1M2_PR
NEW met1 ( 1952400 1356235 ) M1M2_PR
NEW met1 ( 1972080 1356235 ) M1M2_PR
NEW met1 ( 1972080 1354015 ) M1M2_PR
NEW met1 ( 2012400 1354015 ) M1M2_PR
NEW met1 ( 1851120 1342915 ) M1M2_PR
NEW met1 ( 1851120 1350315 ) M1M2_PR
NEW met1 ( 1875120 1350315 ) M1M2_PR
NEW met1 ( 1875120 1353645 ) M1M2_PR
NEW met1 ( 1929840 1353275 ) M1M2_PR
NEW met1 ( 1929840 1354385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[5\] ( mprj la_data_in[5] ) ( mgmt_buffers la_data_in_core[5] )
+ ROUTED met1 ( 1049040 1367335 ) ( 1080720 1367335 )
NEW met2 ( 1049040 1367335 ) ( 1049040 1389350 0 )
NEW met2 ( 1080720 1325710 ) ( 1081440 1325710 0 )
NEW met2 ( 1080720 1325710 ) ( 1080720 1367335 )
NEW met1 ( 1080720 1367335 ) M1M2_PR
NEW met1 ( 1049040 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[60\] ( mprj la_data_in[60] ) ( mgmt_buffers la_data_in_core[60] )
+ ROUTED met2 ( 1201440 1325710 0 ) ( 1202640 1325710 )
NEW met2 ( 1202640 1325710 ) ( 1202640 1372885 )
NEW met2 ( 2030160 1372885 ) ( 2030160 1389350 0 )
NEW met1 ( 1202640 1372885 ) ( 2030160 1372885 )
NEW met1 ( 1202640 1372885 ) M1M2_PR
NEW met1 ( 2030160 1372885 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[61\] ( mprj la_data_in[61] ) ( mgmt_buffers la_data_in_core[61] )
+ ROUTED met2 ( 1203360 1325710 0 ) ( 1204560 1325710 )
NEW met2 ( 1204560 1325710 ) ( 1204560 1343655 )
NEW met2 ( 2047920 1355495 ) ( 2047920 1389350 0 )
NEW met1 ( 1902960 1343655 ) ( 1902960 1344025 )
NEW met1 ( 1902960 1344025 ) ( 1904400 1344025 )
NEW met2 ( 1904400 1343285 ) ( 1904400 1344025 )
NEW met1 ( 1904400 1343285 ) ( 1905840 1343285 )
NEW met1 ( 1905840 1343285 ) ( 1905840 1343655 )
NEW met1 ( 1905840 1343655 ) ( 1953360 1343655 )
NEW met2 ( 1953360 1343655 ) ( 1953360 1355495 )
NEW met1 ( 1204560 1343655 ) ( 1902960 1343655 )
NEW met1 ( 1953360 1355495 ) ( 2047920 1355495 )
NEW met1 ( 1204560 1343655 ) M1M2_PR
NEW met1 ( 2047920 1355495 ) M1M2_PR
NEW met1 ( 1904400 1344025 ) M1M2_PR
NEW met1 ( 1904400 1343285 ) M1M2_PR
NEW met1 ( 1953360 1343655 ) M1M2_PR
NEW met1 ( 1953360 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[62\] ( mprj la_data_in[62] ) ( mgmt_buffers la_data_in_core[62] )
+ ROUTED met2 ( 1205520 1325710 0 ) ( 1205520 1373255 )
NEW met2 ( 2066160 1373255 ) ( 2066160 1389350 0 )
NEW met1 ( 1205520 1373255 ) ( 2066160 1373255 )
NEW met1 ( 1205520 1373255 ) M1M2_PR
NEW met1 ( 2066160 1373255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[63\] ( mprj la_data_in[63] ) ( mgmt_buffers la_data_in_core[63] )
+ ROUTED met2 ( 1207920 1325710 0 ) ( 1207920 1344025 )
NEW met1 ( 1994160 1343655 ) ( 1994160 1344025 )
NEW met1 ( 1994160 1343655 ) ( 1995120 1343655 )
NEW met1 ( 1995120 1343655 ) ( 1995120 1344025 )
NEW met2 ( 2052240 1344025 ) ( 2052240 1355495 )
NEW met1 ( 2052240 1355495 ) ( 2083440 1355495 )
NEW met2 ( 2083440 1355495 ) ( 2083440 1389350 0 )
NEW met1 ( 1995120 1344025 ) ( 2052240 1344025 )
NEW met2 ( 1901040 1343285 ) ( 1901040 1344025 )
NEW met1 ( 1901040 1343285 ) ( 1903440 1343285 )
NEW met1 ( 1903440 1343285 ) ( 1903440 1343655 )
NEW met1 ( 1903440 1343655 ) ( 1905360 1343655 )
NEW met1 ( 1905360 1343655 ) ( 1905360 1344025 )
NEW met1 ( 1207920 1344025 ) ( 1901040 1344025 )
NEW met1 ( 1905360 1344025 ) ( 1994160 1344025 )
NEW met1 ( 1207920 1344025 ) M1M2_PR
NEW met1 ( 2052240 1344025 ) M1M2_PR
NEW met1 ( 2052240 1355495 ) M1M2_PR
NEW met1 ( 2083440 1355495 ) M1M2_PR
NEW met1 ( 1901040 1344025 ) M1M2_PR
NEW met1 ( 1901040 1343285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[64\] ( mprj la_data_in[64] ) ( mgmt_buffers la_data_in_core[64] )
+ ROUTED met2 ( 1210080 1325710 0 ) ( 1211280 1325710 )
NEW met2 ( 1211280 1325710 ) ( 1211280 1373625 )
NEW met2 ( 2101200 1373625 ) ( 2101200 1389350 0 )
NEW met1 ( 1211280 1373625 ) ( 2101200 1373625 )
NEW met1 ( 1211280 1373625 ) M1M2_PR
NEW met1 ( 2101200 1373625 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[65\] ( mprj la_data_in[65] ) ( mgmt_buffers la_data_in_core[65] )
+ ROUTED met2 ( 1212000 1325710 0 ) ( 1213200 1325710 )
NEW met2 ( 1213200 1325710 ) ( 1213200 1344765 )
NEW met2 ( 2119440 1344765 ) ( 2119440 1389350 0 )
NEW met1 ( 1902960 1344765 ) ( 1902960 1345135 )
NEW met1 ( 1902960 1345135 ) ( 1905840 1345135 )
NEW met1 ( 1905840 1344765 ) ( 1905840 1345135 )
NEW met1 ( 1213200 1344765 ) ( 1902960 1344765 )
NEW met1 ( 1905840 1344765 ) ( 2119440 1344765 )
NEW met1 ( 1213200 1344765 ) M1M2_PR
NEW met1 ( 2119440 1344765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[66\] ( mprj la_data_in[66] ) ( mgmt_buffers la_data_in_core[66] )
+ ROUTED met2 ( 1214400 1325710 0 ) ( 1215120 1325710 )
NEW met2 ( 1215120 1325710 ) ( 1215120 1374365 )
NEW met2 ( 2137200 1374365 ) ( 2137200 1389350 0 )
NEW met1 ( 1215120 1374365 ) ( 2137200 1374365 )
NEW met1 ( 1215120 1374365 ) M1M2_PR
NEW met1 ( 2137200 1374365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[67\] ( mprj la_data_in[67] ) ( mgmt_buffers la_data_in_core[67] )
+ ROUTED met2 ( 1216560 1325710 0 ) ( 1216560 1345135 )
NEW met2 ( 2155440 1345135 ) ( 2155440 1389350 0 )
NEW met1 ( 1902480 1345135 ) ( 1902480 1345505 )
NEW met1 ( 1902480 1345505 ) ( 1906320 1345505 )
NEW met1 ( 1906320 1345135 ) ( 1906320 1345505 )
NEW met1 ( 1216560 1345135 ) ( 1902480 1345135 )
NEW met1 ( 1906320 1345135 ) ( 2155440 1345135 )
NEW met1 ( 1216560 1345135 ) M1M2_PR
NEW met1 ( 2155440 1345135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[68\] ( mprj la_data_in[68] ) ( mgmt_buffers la_data_in_core[68] )
+ ROUTED met2 ( 1218960 1325710 0 ) ( 1218960 1374735 )
NEW met2 ( 2172720 1374735 ) ( 2172720 1389350 0 )
NEW met1 ( 1218960 1374735 ) ( 2172720 1374735 )
NEW met1 ( 1218960 1374735 ) M1M2_PR
NEW met1 ( 2172720 1374735 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[69\] ( mprj la_data_in[69] ) ( mgmt_buffers la_data_in_core[69] )
+ ROUTED met2 ( 1220880 1325710 0 ) ( 1220880 1345875 )
NEW met2 ( 1710000 1345690 ) ( 1710000 1345875 )
NEW met2 ( 1710000 1345690 ) ( 1712880 1345690 )
NEW met2 ( 1712880 1345690 ) ( 1712880 1345875 )
NEW met1 ( 1220880 1345875 ) ( 1710000 1345875 )
NEW met2 ( 2191920 1345875 ) ( 2191920 1349390 )
NEW met2 ( 2190480 1349390 ) ( 2191920 1349390 )
NEW met2 ( 2190480 1349390 ) ( 2190480 1389350 0 )
NEW met1 ( 1901520 1345875 ) ( 1901520 1346245 )
NEW met1 ( 1901520 1346245 ) ( 1907280 1346245 )
NEW met1 ( 1907280 1345875 ) ( 1907280 1346245 )
NEW met1 ( 1712880 1345875 ) ( 1901520 1345875 )
NEW met1 ( 1907280 1345875 ) ( 2191920 1345875 )
NEW met1 ( 1220880 1345875 ) M1M2_PR
NEW met1 ( 1710000 1345875 ) M1M2_PR
NEW met1 ( 1712880 1345875 ) M1M2_PR
NEW met1 ( 2191920 1345875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[6\] ( mprj la_data_in[6] ) ( mgmt_buffers la_data_in_core[6] )
+ ROUTED met1 ( 1066800 1366225 ) ( 1083600 1366225 )
NEW met2 ( 1066800 1366225 ) ( 1066800 1389350 0 )
NEW met2 ( 1083600 1325710 0 ) ( 1083600 1366225 )
NEW met1 ( 1083600 1366225 ) M1M2_PR
NEW met1 ( 1066800 1366225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[70\] ( mprj la_data_in[70] ) ( mgmt_buffers la_data_in_core[70] )
+ ROUTED met2 ( 1223040 1325710 0 ) ( 1223760 1325710 )
NEW met2 ( 1223760 1325710 ) ( 1223760 1381395 )
NEW met2 ( 2208720 1381395 ) ( 2208720 1389350 0 )
NEW met1 ( 1223760 1381395 ) ( 2208720 1381395 )
NEW met1 ( 1223760 1381395 ) M1M2_PR
NEW met1 ( 2208720 1381395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[71\] ( mprj la_data_in[71] ) ( mgmt_buffers la_data_in_core[71] )
+ ROUTED met2 ( 1225440 1325710 0 ) ( 1226640 1325710 )
NEW met2 ( 1226640 1325710 ) ( 1226640 1352905 )
NEW met2 ( 2226480 1352905 ) ( 2226480 1389350 0 )
NEW met1 ( 1226640 1352905 ) ( 2226480 1352905 )
NEW met1 ( 1226640 1352905 ) M1M2_PR
NEW met1 ( 2226480 1352905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[72\] ( mprj la_data_in[72] ) ( mgmt_buffers la_data_in_core[72] )
+ ROUTED met2 ( 1227120 1325710 0 ) ( 1227120 1381025 )
NEW met2 ( 2244240 1381025 ) ( 2244240 1389350 0 )
NEW met1 ( 1227120 1381025 ) ( 2244240 1381025 )
NEW met1 ( 1227120 1381025 ) M1M2_PR
NEW met1 ( 2244240 1381025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[73\] ( mprj la_data_in[73] ) ( mgmt_buffers la_data_in_core[73] )
+ ROUTED met2 ( 1229520 1325710 0 ) ( 1229520 1352165 )
NEW met2 ( 2262000 1352165 ) ( 2262000 1389350 0 )
NEW met2 ( 1842960 1352165 ) ( 1842960 1354385 )
NEW met2 ( 1842960 1354385 ) ( 1844400 1354385 )
NEW met2 ( 1844400 1352165 ) ( 1844400 1354385 )
NEW met1 ( 1229520 1352165 ) ( 1842960 1352165 )
NEW met1 ( 1844400 1352165 ) ( 2262000 1352165 )
NEW met1 ( 1229520 1352165 ) M1M2_PR
NEW met1 ( 2262000 1352165 ) M1M2_PR
NEW met1 ( 1842960 1352165 ) M1M2_PR
NEW met1 ( 1844400 1352165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[74\] ( mprj la_data_in[74] ) ( mgmt_buffers la_data_in_core[74] )
+ ROUTED met2 ( 1231920 1325710 0 ) ( 1231920 1380285 )
NEW met2 ( 2279760 1380285 ) ( 2279760 1389350 0 )
NEW met1 ( 1231920 1380285 ) ( 2279760 1380285 )
NEW met1 ( 1231920 1380285 ) M1M2_PR
NEW met1 ( 2279760 1380285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[75\] ( mprj la_data_in[75] ) ( mgmt_buffers la_data_in_core[75] )
+ ROUTED met2 ( 1234080 1325710 0 ) ( 1235280 1325710 )
NEW met2 ( 1235280 1325710 ) ( 1235280 1351055 )
NEW met2 ( 2298000 1351055 ) ( 2298000 1389350 0 )
NEW met2 ( 1741680 1350315 ) ( 1741680 1351055 )
NEW met1 ( 1741680 1350315 ) ( 1749840 1350315 )
NEW met1 ( 1749840 1350315 ) ( 1749840 1351055 )
NEW met1 ( 1235280 1351055 ) ( 1741680 1351055 )
NEW met1 ( 1749840 1351055 ) ( 2298000 1351055 )
NEW met1 ( 1235280 1351055 ) M1M2_PR
NEW met1 ( 2298000 1351055 ) M1M2_PR
NEW met1 ( 1741680 1351055 ) M1M2_PR
NEW met1 ( 1741680 1350315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[76\] ( mprj la_data_in[76] ) ( mgmt_buffers la_data_in_core[76] )
+ ROUTED met2 ( 1236000 1325710 0 ) ( 1237200 1325710 )
NEW met2 ( 1237200 1325710 ) ( 1237200 1379545 )
NEW met2 ( 2315760 1379545 ) ( 2315760 1389350 0 )
NEW met1 ( 1237200 1379545 ) ( 2315760 1379545 )
NEW met1 ( 1237200 1379545 ) M1M2_PR
NEW met1 ( 2315760 1379545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[77\] ( mprj la_data_in[77] ) ( mgmt_buffers la_data_in_core[77] )
+ ROUTED met2 ( 1238160 1325710 0 ) ( 1238160 1349945 )
NEW met2 ( 2333040 1349945 ) ( 2333040 1389350 0 )
NEW met1 ( 2186640 1349945 ) ( 2186640 1350315 )
NEW met1 ( 2186640 1350315 ) ( 2188080 1350315 )
NEW met1 ( 2188080 1349945 ) ( 2188080 1350315 )
NEW met1 ( 1238160 1349945 ) ( 2186640 1349945 )
NEW met1 ( 2188080 1349945 ) ( 2333040 1349945 )
NEW met1 ( 1238160 1349945 ) M1M2_PR
NEW met1 ( 2333040 1349945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[78\] ( mprj la_data_in[78] ) ( mgmt_buffers la_data_in_core[78] )
+ ROUTED met2 ( 2351280 1378805 ) ( 2351280 1389350 0 )
NEW met2 ( 1240560 1325710 0 ) ( 1240560 1378805 )
NEW met1 ( 1240560 1378805 ) ( 2351280 1378805 )
NEW met1 ( 2351280 1378805 ) M1M2_PR
NEW met1 ( 1240560 1378805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[79\] ( mprj la_data_in[79] ) ( mgmt_buffers la_data_in_core[79] )
+ ROUTED met2 ( 2369040 1349575 ) ( 2369040 1389350 0 )
NEW met2 ( 1242960 1325710 0 ) ( 1242960 1349575 )
NEW met1 ( 1711440 1349205 ) ( 1711440 1349575 )
NEW met1 ( 1711440 1349205 ) ( 1712880 1349205 )
NEW met1 ( 1712880 1349205 ) ( 1712880 1349575 )
NEW met1 ( 1242960 1349575 ) ( 1711440 1349575 )
NEW met2 ( 2138160 1349390 ) ( 2138160 1349575 )
NEW met3 ( 2138160 1349390 ) ( 2188080 1349390 )
NEW met2 ( 2188080 1349390 ) ( 2188080 1349575 )
NEW met1 ( 1712880 1349575 ) ( 2138160 1349575 )
NEW met1 ( 2188080 1349575 ) ( 2369040 1349575 )
NEW met1 ( 2369040 1349575 ) M1M2_PR
NEW met1 ( 1242960 1349575 ) M1M2_PR
NEW met1 ( 2138160 1349575 ) M1M2_PR
NEW met2 ( 2138160 1349390 ) via2_FR
NEW met2 ( 2188080 1349390 ) via2_FR
NEW met1 ( 2188080 1349575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[7\] ( mprj la_data_in[7] ) ( mgmt_buffers la_data_in_core[7] )
+ ROUTED met2 ( 1084560 1325710 ) ( 1086000 1325710 0 )
NEW met2 ( 1084560 1325710 ) ( 1084560 1389350 0 )
+ USE SIGNAL ;
- la_data_in_user\[80\] ( mprj la_data_in[80] ) ( mgmt_buffers la_data_in_core[80] )
+ ROUTED met2 ( 2387280 1369370 ) ( 2387280 1389350 0 )
NEW met2 ( 1244640 1325710 0 ) ( 1245840 1325710 )
NEW met2 ( 1245840 1325710 ) ( 1245840 1369370 )
NEW met3 ( 1245840 1369370 ) ( 2387280 1369370 )
NEW met2 ( 2387280 1369370 ) via2_FR
NEW met2 ( 1245840 1369370 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[81\] ( mprj la_data_in[81] ) ( mgmt_buffers la_data_in_core[81] )
+ ROUTED met2 ( 2405520 1348835 ) ( 2405520 1361970 )
NEW met2 ( 2405040 1361970 ) ( 2405520 1361970 )
NEW met2 ( 2405040 1361970 ) ( 2405040 1389350 0 )
NEW met2 ( 1247040 1325710 0 ) ( 1248240 1325710 )
NEW met2 ( 1248240 1325710 ) ( 1248240 1348835 )
NEW met1 ( 1710480 1348465 ) ( 1710480 1348835 )
NEW met1 ( 1710480 1348465 ) ( 1713360 1348465 )
NEW met1 ( 1713360 1348465 ) ( 1713360 1348835 )
NEW met1 ( 1248240 1348835 ) ( 1710480 1348835 )
NEW met1 ( 1713360 1348835 ) ( 2405520 1348835 )
NEW met1 ( 2405520 1348835 ) M1M2_PR
NEW met1 ( 1248240 1348835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[82\] ( mprj la_data_in[82] ) ( mgmt_buffers la_data_in_core[82] )
+ ROUTED met2 ( 2422320 1370110 ) ( 2422320 1389350 0 )
NEW met2 ( 1249200 1325710 0 ) ( 1249200 1370110 )
NEW met3 ( 1249200 1370110 ) ( 2422320 1370110 )
NEW met2 ( 2422320 1370110 ) via2_FR
NEW met2 ( 1249200 1370110 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[83\] ( mprj la_data_in[83] ) ( mgmt_buffers la_data_in_core[83] )
+ ROUTED met2 ( 2440560 1348465 ) ( 2440560 1389350 0 )
NEW met2 ( 1251600 1325710 0 ) ( 1251600 1348465 )
NEW met2 ( 1826160 1348465 ) ( 1826160 1352535 )
NEW met1 ( 1826160 1352535 ) ( 1842480 1352535 )
NEW met2 ( 1842480 1352535 ) ( 1842480 1354755 )
NEW met2 ( 1842480 1354755 ) ( 1844880 1354755 )
NEW met2 ( 1844880 1353830 ) ( 1844880 1354755 )
NEW met3 ( 1844880 1353830 ) ( 1866000 1353830 )
NEW met2 ( 1866000 1353830 ) ( 1866000 1362155 )
NEW met1 ( 1866000 1362155 ) ( 1891920 1362155 )
NEW met2 ( 1891920 1359750 ) ( 1891920 1362155 )
NEW met2 ( 1891920 1359750 ) ( 1895280 1359750 )
NEW met2 ( 1895280 1354755 ) ( 1895280 1359750 )
NEW met2 ( 1895280 1354755 ) ( 1897680 1354755 )
NEW met2 ( 1897680 1354755 ) ( 1897680 1355125 )
NEW met1 ( 1897680 1355125 ) ( 1899120 1355125 )
NEW met2 ( 1899120 1348465 ) ( 1899120 1355125 )
NEW met2 ( 1709520 1348465 ) ( 1709520 1350315 )
NEW met1 ( 1709520 1350315 ) ( 1740720 1350315 )
NEW met2 ( 1740720 1348465 ) ( 1740720 1350315 )
NEW met1 ( 1251600 1348465 ) ( 1709520 1348465 )
NEW met1 ( 1740720 1348465 ) ( 1826160 1348465 )
NEW met2 ( 2190000 1348465 ) ( 2190000 1348650 )
NEW met2 ( 2190000 1348650 ) ( 2190960 1348650 )
NEW met2 ( 2190960 1348465 ) ( 2190960 1348650 )
NEW met1 ( 2190960 1348465 ) ( 2440560 1348465 )
NEW met1 ( 1899120 1348465 ) ( 2190000 1348465 )
NEW met1 ( 2440560 1348465 ) M1M2_PR
NEW met1 ( 1251600 1348465 ) M1M2_PR
NEW met1 ( 1826160 1348465 ) M1M2_PR
NEW met1 ( 1826160 1352535 ) M1M2_PR
NEW met1 ( 1842480 1352535 ) M1M2_PR
NEW met2 ( 1844880 1353830 ) via2_FR
NEW met2 ( 1866000 1353830 ) via2_FR
NEW met1 ( 1866000 1362155 ) M1M2_PR
NEW met1 ( 1891920 1362155 ) M1M2_PR
NEW met1 ( 1897680 1355125 ) M1M2_PR
NEW met1 ( 1899120 1355125 ) M1M2_PR
NEW met1 ( 1899120 1348465 ) M1M2_PR
NEW met1 ( 1709520 1348465 ) M1M2_PR
NEW met1 ( 1709520 1350315 ) M1M2_PR
NEW met1 ( 1740720 1350315 ) M1M2_PR
NEW met1 ( 1740720 1348465 ) M1M2_PR
NEW met1 ( 2190000 1348465 ) M1M2_PR
NEW met1 ( 2190960 1348465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[84\] ( mprj la_data_in[84] ) ( mgmt_buffers la_data_in_core[84] )
+ ROUTED met2 ( 2458320 1370850 ) ( 2458320 1389350 0 )
NEW met2 ( 1253520 1325710 0 ) ( 1253520 1370850 )
NEW met3 ( 1253520 1370850 ) ( 2458320 1370850 )
NEW met2 ( 1253520 1370850 ) via2_FR
NEW met2 ( 2458320 1370850 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[85\] ( mprj la_data_in[85] ) ( mgmt_buffers la_data_in_core[85] )
+ ROUTED met2 ( 2476080 1348095 ) ( 2476080 1389350 0 )
NEW met2 ( 1255680 1325710 0 ) ( 1256880 1325710 )
NEW met2 ( 1256880 1325710 ) ( 1256880 1348095 )
NEW met2 ( 1681200 1345505 ) ( 1681200 1348095 )
NEW met1 ( 1256880 1348095 ) ( 1681200 1348095 )
NEW met2 ( 1713360 1344950 ) ( 1713360 1345505 )
NEW met2 ( 1713360 1344950 ) ( 1714320 1344950 )
NEW met2 ( 1714320 1344950 ) ( 1714320 1348095 )
NEW met1 ( 1681200 1345505 ) ( 1713360 1345505 )
NEW met2 ( 2189040 1347910 ) ( 2189040 1348095 )
NEW met2 ( 2189040 1347910 ) ( 2189520 1347910 )
NEW met2 ( 2189520 1347910 ) ( 2189520 1348095 )
NEW met2 ( 2187120 1348095 ) ( 2188080 1348095 )
NEW met1 ( 1714320 1348095 ) ( 2187120 1348095 )
NEW met1 ( 2188080 1348095 ) ( 2189040 1348095 )
NEW met1 ( 2189520 1348095 ) ( 2476080 1348095 )
NEW met1 ( 1256880 1348095 ) M1M2_PR
NEW met1 ( 2476080 1348095 ) M1M2_PR
NEW met1 ( 1681200 1348095 ) M1M2_PR
NEW met1 ( 1681200 1345505 ) M1M2_PR
NEW met1 ( 1713360 1345505 ) M1M2_PR
NEW met1 ( 1714320 1348095 ) M1M2_PR
NEW met1 ( 2189040 1348095 ) M1M2_PR
NEW met1 ( 2189520 1348095 ) M1M2_PR
NEW met1 ( 2187120 1348095 ) M1M2_PR
NEW met1 ( 2188080 1348095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[86\] ( mprj la_data_in[86] ) ( mgmt_buffers la_data_in_core[86] )
+ ROUTED met3 ( 2461920 1370850 ) ( 2461920 1371590 )
NEW met3 ( 2461920 1370850 ) ( 2494320 1370850 )
NEW met2 ( 2494320 1370850 ) ( 2494320 1389350 0 )
NEW met2 ( 1258080 1325710 0 ) ( 1259280 1325710 )
NEW met2 ( 1259280 1325710 ) ( 1259280 1371590 )
NEW met3 ( 1259280 1371590 ) ( 2461920 1371590 )
NEW met2 ( 1259280 1371590 ) via2_FR
NEW met2 ( 2494320 1370850 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[87\] ( mprj la_data_in[87] ) ( mgmt_buffers la_data_in_core[87] )
+ ROUTED met2 ( 2511600 1344210 ) ( 2511600 1389350 0 )
NEW met3 ( 1260240 1344210 ) ( 2511600 1344210 )
NEW met2 ( 1260240 1325710 0 ) ( 1260240 1344210 )
NEW met2 ( 1260240 1344210 ) via2_FR
NEW met2 ( 2511600 1344210 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[88\] ( mprj la_data_in[88] ) ( mgmt_buffers la_data_in_core[88] )
+ ROUTED met2 ( 2529840 1372330 ) ( 2529840 1389350 0 )
NEW met2 ( 1262160 1325710 0 ) ( 1262160 1372330 )
NEW met3 ( 1262160 1372330 ) ( 2529840 1372330 )
NEW met2 ( 1262160 1372330 ) via2_FR
NEW met2 ( 2529840 1372330 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[89\] ( mprj la_data_in[89] ) ( mgmt_buffers la_data_in_core[89] )
+ ROUTED met2 ( 2547600 1344950 ) ( 2547600 1389350 0 )
NEW met3 ( 1264560 1344950 ) ( 2547600 1344950 )
NEW met2 ( 1264560 1325710 0 ) ( 1264560 1344950 )
NEW met2 ( 1264560 1344950 ) via2_FR
NEW met2 ( 2547600 1344950 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[8\] ( mprj la_data_in[8] ) ( mgmt_buffers la_data_in_core[8] )
+ ROUTED met1 ( 1087920 1366225 ) ( 1102320 1366225 )
NEW met2 ( 1102320 1366225 ) ( 1102320 1389350 0 )
NEW met2 ( 1087920 1325710 0 ) ( 1087920 1366225 )
NEW met1 ( 1087920 1366225 ) M1M2_PR
NEW met1 ( 1102320 1366225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[90\] ( mprj la_data_in[90] ) ( mgmt_buffers la_data_in_core[90] )
+ ROUTED met2 ( 2565360 1345690 ) ( 2565360 1389350 0 )
NEW met3 ( 1266960 1345690 ) ( 2565360 1345690 )
NEW met2 ( 1266720 1325710 0 ) ( 1266960 1325710 )
NEW met2 ( 1266960 1325710 ) ( 1266960 1345690 )
NEW met2 ( 2565360 1345690 ) via2_FR
NEW met2 ( 1266960 1345690 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[91\] ( mprj la_data_in[91] ) ( mgmt_buffers la_data_in_core[91] )
+ ROUTED met2 ( 1994160 1355125 ) ( 1994160 1362155 )
NEW met2 ( 2583120 1355125 ) ( 2583120 1389350 0 )
NEW met1 ( 1994160 1355125 ) ( 2583120 1355125 )
NEW met2 ( 1268640 1325710 0 ) ( 1269840 1325710 )
NEW met2 ( 1269840 1325710 ) ( 1269840 1373070 )
NEW met2 ( 1899120 1361230 ) ( 1899120 1362155 )
NEW met3 ( 1897440 1361230 ) ( 1899120 1361230 )
NEW met4 ( 1897440 1361230 ) ( 1897440 1363450 )
NEW met4 ( 1892640 1363450 ) ( 1897440 1363450 )
NEW met3 ( 1851360 1373070 ) ( 1851360 1374550 )
NEW met3 ( 1269840 1373070 ) ( 1851360 1373070 )
NEW met1 ( 1899120 1362155 ) ( 1994160 1362155 )
NEW met3 ( 1851360 1374550 ) ( 1892640 1374550 )
NEW met4 ( 1892640 1363450 ) ( 1892640 1374550 )
NEW met1 ( 1994160 1362155 ) M1M2_PR
NEW met1 ( 1994160 1355125 ) M1M2_PR
NEW met1 ( 2583120 1355125 ) M1M2_PR
NEW met2 ( 1269840 1373070 ) via2_FR
NEW met1 ( 1899120 1362155 ) M1M2_PR
NEW met2 ( 1899120 1361230 ) via2_FR
NEW met3 ( 1897440 1361230 ) M3M4_PR_M
NEW met3 ( 1892640 1374550 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[92\] ( mprj la_data_in[92] ) ( mgmt_buffers la_data_in_core[92] )
+ ROUTED met2 ( 2600880 1346245 ) ( 2600880 1389350 0 )
NEW met2 ( 1270800 1325710 0 ) ( 1270800 1346245 )
NEW met2 ( 1710480 1346245 ) ( 1710480 1346430 )
NEW met2 ( 1710480 1346430 ) ( 1712400 1346430 )
NEW met2 ( 1712400 1346245 ) ( 1712400 1346430 )
NEW met1 ( 1270800 1346245 ) ( 1710480 1346245 )
NEW met1 ( 2289840 1345875 ) ( 2289840 1346245 )
NEW met2 ( 2289840 1344950 ) ( 2289840 1345875 )
NEW met2 ( 2289840 1344950 ) ( 2290320 1344950 )
NEW met2 ( 2290320 1344950 ) ( 2290320 1345135 )
NEW met1 ( 2290320 1345135 ) ( 2339760 1345135 )
NEW met2 ( 2339760 1345135 ) ( 2339760 1346245 )
NEW met1 ( 2339760 1346245 ) ( 2600880 1346245 )
NEW met2 ( 1901040 1346245 ) ( 1902000 1346245 )
NEW met2 ( 1902000 1346245 ) ( 1902000 1350685 )
NEW met1 ( 1902000 1350685 ) ( 1905360 1350685 )
NEW met2 ( 1905360 1350685 ) ( 1905360 1352165 )
NEW met2 ( 1905360 1352165 ) ( 1906800 1352165 )
NEW met2 ( 1906800 1352165 ) ( 1906800 1355495 )
NEW met2 ( 1906800 1355495 ) ( 1908240 1355495 )
NEW met2 ( 1908240 1346245 ) ( 1908240 1355495 )
NEW met1 ( 1712400 1346245 ) ( 1901040 1346245 )
NEW met1 ( 1908240 1346245 ) ( 2289840 1346245 )
NEW met1 ( 2600880 1346245 ) M1M2_PR
NEW met1 ( 1270800 1346245 ) M1M2_PR
NEW met1 ( 1710480 1346245 ) M1M2_PR
NEW met1 ( 1712400 1346245 ) M1M2_PR
NEW met1 ( 2289840 1345875 ) M1M2_PR
NEW met1 ( 2290320 1345135 ) M1M2_PR
NEW met1 ( 2339760 1345135 ) M1M2_PR
NEW met1 ( 2339760 1346245 ) M1M2_PR
NEW met1 ( 1901040 1346245 ) M1M2_PR
NEW met1 ( 1902000 1350685 ) M1M2_PR
NEW met1 ( 1905360 1350685 ) M1M2_PR
NEW met1 ( 1908240 1346245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[93\] ( mprj la_data_in[93] ) ( mgmt_buffers la_data_in_core[93] )
+ ROUTED met2 ( 2619120 1351610 ) ( 2619120 1389350 0 )
NEW met3 ( 1273200 1351610 ) ( 2619120 1351610 )
NEW met2 ( 1273200 1325710 0 ) ( 1273200 1351610 )
NEW met2 ( 2619120 1351610 ) via2_FR
NEW met2 ( 1273200 1351610 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[94\] ( mprj la_data_in[94] ) ( mgmt_buffers la_data_in_core[94] )
+ ROUTED met1 ( 1951920 1354755 ) ( 1951920 1355495 )
NEW met1 ( 1951920 1355495 ) ( 1952880 1355495 )
NEW met1 ( 1952880 1354755 ) ( 1952880 1355495 )
NEW met2 ( 2636880 1354755 ) ( 2636880 1389350 0 )
NEW met1 ( 1952880 1354755 ) ( 2636880 1354755 )
NEW met2 ( 1275600 1325710 0 ) ( 1275600 1368630 )
NEW met3 ( 1891920 1367890 ) ( 1897440 1367890 )
NEW met1 ( 1906320 1354755 ) ( 1951920 1354755 )
NEW met3 ( 1897440 1368630 ) ( 1906320 1368630 )
NEW met3 ( 1275600 1368630 ) ( 1891920 1368630 )
NEW met3 ( 1891920 1367890 ) ( 1891920 1368630 )
NEW met3 ( 1897440 1367890 ) ( 1897440 1368630 )
NEW met2 ( 1906320 1354755 ) ( 1906320 1368630 )
NEW met1 ( 2636880 1354755 ) M1M2_PR
NEW met2 ( 1275600 1368630 ) via2_FR
NEW met1 ( 1906320 1354755 ) M1M2_PR
NEW met2 ( 1906320 1368630 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[95\] ( mprj la_data_in[95] ) ( mgmt_buffers la_data_in_core[95] )
+ ROUTED met2 ( 1277280 1325710 0 ) ( 1278480 1325710 )
NEW met2 ( 1278480 1325710 ) ( 1278480 1338475 )
NEW met1 ( 1278480 1338475 ) ( 1338960 1338475 )
NEW met2 ( 1338960 1338290 ) ( 1338960 1338475 )
NEW met2 ( 2654640 1338290 ) ( 2654640 1389350 0 )
NEW met3 ( 1338960 1338290 ) ( 2654640 1338290 )
NEW met1 ( 1278480 1338475 ) M1M2_PR
NEW met1 ( 1338960 1338475 ) M1M2_PR
NEW met2 ( 1338960 1338290 ) via2_FR
NEW met2 ( 2654640 1338290 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[96\] ( mprj la_data_in[96] ) ( mgmt_buffers la_data_in_core[96] )
+ ROUTED met2 ( 1983120 1336810 ) ( 1983120 1338105 )
NEW met1 ( 1983120 1338105 ) ( 1986000 1338105 )
NEW met2 ( 1986000 1336810 ) ( 1986000 1338105 )
NEW met2 ( 1279680 1325710 0 ) ( 1280880 1325710 )
NEW met2 ( 1280880 1325710 ) ( 1280880 1338290 )
NEW met3 ( 1280880 1338290 ) ( 1337760 1338290 )
NEW met4 ( 1337760 1336995 ) ( 1337760 1338290 )
NEW met4 ( 1337760 1336995 ) ( 1338720 1336995 )
NEW met4 ( 1338720 1336810 ) ( 1338720 1336995 )
NEW met3 ( 1338720 1336810 ) ( 1983120 1336810 )
NEW met3 ( 1986000 1336810 ) ( 2672400 1336810 )
NEW met2 ( 2672400 1336810 ) ( 2672400 1389350 0 )
NEW met2 ( 1983120 1336810 ) via2_FR
NEW met1 ( 1983120 1338105 ) M1M2_PR
NEW met1 ( 1986000 1338105 ) M1M2_PR
NEW met2 ( 1986000 1336810 ) via2_FR
NEW met2 ( 1280880 1338290 ) via2_FR
NEW met3 ( 1337760 1338290 ) M3M4_PR_M
NEW met3 ( 1338720 1336810 ) M3M4_PR_M
NEW met2 ( 2672400 1336810 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[97\] ( mprj la_data_in[97] ) ( mgmt_buffers la_data_in_core[97] )
+ ROUTED met2 ( 1340400 1336255 ) ( 1340400 1337550 )
NEW met4 ( 1946400 1333110 ) ( 1946400 1339030 )
NEW met4 ( 1946400 1333110 ) ( 1947360 1333110 )
NEW met3 ( 1947360 1333110 ) ( 1996560 1333110 )
NEW met2 ( 1996560 1333110 ) ( 1996560 1335145 )
NEW met1 ( 1996560 1335145 ) ( 2044560 1335145 )
NEW met2 ( 2044560 1335145 ) ( 2044560 1337550 )
NEW met2 ( 1281840 1325710 0 ) ( 1281840 1336810 )
NEW met3 ( 1281840 1336810 ) ( 1338000 1336810 )
NEW met2 ( 1338000 1336255 ) ( 1338000 1336810 )
NEW met1 ( 1338000 1336255 ) ( 1340400 1336255 )
NEW met3 ( 2044560 1337550 ) ( 2690160 1337550 )
NEW met2 ( 2690160 1337550 ) ( 2690160 1389350 0 )
NEW met2 ( 1884240 1337550 ) ( 1884240 1339030 )
NEW met3 ( 1340400 1337550 ) ( 1884240 1337550 )
NEW met3 ( 1884240 1339030 ) ( 1946400 1339030 )
NEW met1 ( 1340400 1336255 ) M1M2_PR
NEW met2 ( 1340400 1337550 ) via2_FR
NEW met3 ( 1946400 1339030 ) M3M4_PR_M
NEW met3 ( 1947360 1333110 ) M3M4_PR_M
NEW met2 ( 1996560 1333110 ) via2_FR
NEW met1 ( 1996560 1335145 ) M1M2_PR
NEW met1 ( 2044560 1335145 ) M1M2_PR
NEW met2 ( 2044560 1337550 ) via2_FR
NEW met2 ( 1281840 1336810 ) via2_FR
NEW met2 ( 1338000 1336810 ) via2_FR
NEW met1 ( 1338000 1336255 ) M1M2_PR
NEW met2 ( 2690160 1337550 ) via2_FR
NEW met2 ( 1884240 1337550 ) via2_FR
NEW met2 ( 1884240 1339030 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[98\] ( mprj la_data_in[98] ) ( mgmt_buffers la_data_in_core[98] )
+ ROUTED met2 ( 1284240 1325710 0 ) ( 1284240 1336070 )
NEW met2 ( 1870800 1336070 ) ( 1870800 1336625 )
NEW met2 ( 1870800 1336625 ) ( 1872720 1336625 )
NEW met2 ( 1872720 1336070 ) ( 1872720 1336625 )
NEW met2 ( 1872720 1336070 ) ( 1873200 1336070 )
NEW met3 ( 1284240 1336070 ) ( 1870800 1336070 )
NEW met2 ( 2707920 1336070 ) ( 2707920 1389350 0 )
NEW met3 ( 1873200 1336070 ) ( 2707920 1336070 )
NEW met2 ( 1284240 1336070 ) via2_FR
NEW met2 ( 1870800 1336070 ) via2_FR
NEW met2 ( 1873200 1336070 ) via2_FR
NEW met2 ( 2707920 1336070 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[99\] ( mprj la_data_in[99] ) ( mgmt_buffers la_data_in_core[99] )
+ ROUTED met2 ( 1286160 1325710 0 ) ( 1286160 1335330 )
NEW met4 ( 1941600 1335330 ) ( 1941600 1336995 )
NEW met3 ( 1941600 1335330 ) ( 2726160 1335330 )
NEW met2 ( 2726160 1335330 ) ( 2726160 1389350 0 )
NEW met2 ( 1640400 1335330 ) ( 1640400 1336625 )
NEW met2 ( 1640400 1336625 ) ( 1641360 1336625 )
NEW met3 ( 1286160 1335330 ) ( 1640400 1335330 )
NEW met4 ( 1864800 1336995 ) ( 1864800 1339770 )
NEW met5 ( 1864800 1336995 ) ( 1941600 1336995 )
NEW met2 ( 1739280 1336625 ) ( 1739280 1337735 )
NEW met1 ( 1739280 1337735 ) ( 1745040 1337735 )
NEW met2 ( 1745040 1337735 ) ( 1745040 1339030 )
NEW met3 ( 1745040 1339030 ) ( 1786800 1339030 )
NEW met3 ( 1786800 1339030 ) ( 1786800 1339770 )
NEW met1 ( 1641360 1336625 ) ( 1739280 1336625 )
NEW met3 ( 1786800 1339770 ) ( 1864800 1339770 )
NEW met2 ( 1286160 1335330 ) via2_FR
NEW met4 ( 1941600 1336995 ) via4_FR
NEW met3 ( 1941600 1335330 ) M3M4_PR_M
NEW met2 ( 2726160 1335330 ) via2_FR
NEW met2 ( 1640400 1335330 ) via2_FR
NEW met1 ( 1641360 1336625 ) M1M2_PR
NEW met4 ( 1864800 1336995 ) via4_FR
NEW met3 ( 1864800 1339770 ) M3M4_PR_M
NEW met1 ( 1739280 1336625 ) M1M2_PR
NEW met1 ( 1739280 1337735 ) M1M2_PR
NEW met1 ( 1745040 1337735 ) M1M2_PR
NEW met2 ( 1745040 1339030 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[9\] ( mprj la_data_in[9] ) ( mgmt_buffers la_data_in_core[9] )
+ ROUTED met1 ( 1091280 1367335 ) ( 1120080 1367335 )
NEW met2 ( 1120080 1367335 ) ( 1120080 1389350 0 )
NEW met2 ( 1090080 1325710 0 ) ( 1091280 1325710 )
NEW met2 ( 1091280 1325710 ) ( 1091280 1367335 )
NEW met1 ( 1091280 1367335 ) M1M2_PR
NEW met1 ( 1120080 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[0\] ( soc la_output[0] ) ( mgmt_buffers la_data_out_mprj[0] )
+ ROUTED met2 ( 1062000 1218410 ) ( 1062000 1232470 0 )
NEW met2 ( 1675920 1167350 ) ( 1675920 1218410 )
NEW met2 ( 1675920 1167350 ) ( 1676160 1167350 0 )
NEW met3 ( 1062000 1218410 ) ( 1675920 1218410 )
NEW met2 ( 1062000 1218410 ) via2_FR
NEW met2 ( 1675920 1218410 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[100\] ( soc la_output[100] ) ( mgmt_buffers la_data_out_mprj[100] )
+ ROUTED met2 ( 1201440 1167350 0 ) ( 1202640 1167350 )
NEW met2 ( 1202640 1167350 ) ( 1202640 1196025 )
NEW met2 ( 1278480 1196025 ) ( 1278480 1232470 )
NEW met2 ( 1278480 1232470 ) ( 1279680 1232470 0 )
NEW met1 ( 1202640 1196025 ) ( 1278480 1196025 )
NEW met1 ( 1202640 1196025 ) M1M2_PR
NEW met1 ( 1278480 1196025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[101\] ( soc la_output[101] ) ( mgmt_buffers la_data_out_mprj[101] )
+ ROUTED met2 ( 1281840 1178265 ) ( 1281840 1232470 0 )
NEW met2 ( 1677840 1167350 0 ) ( 1677840 1178265 )
NEW met1 ( 1281840 1178265 ) ( 1677840 1178265 )
NEW met1 ( 1281840 1178265 ) M1M2_PR
NEW met1 ( 1677840 1178265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[102\] ( soc la_output[102] ) ( mgmt_buffers la_data_out_mprj[102] )
+ ROUTED met2 ( 1199520 1167350 0 ) ( 1200720 1167350 )
NEW met2 ( 1200720 1167350 ) ( 1200720 1194545 )
NEW met2 ( 1284240 1194545 ) ( 1284240 1232470 0 )
NEW met1 ( 1200720 1194545 ) ( 1284240 1194545 )
NEW met1 ( 1200720 1194545 ) M1M2_PR
NEW met1 ( 1284240 1194545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[103\] ( soc la_output[103] ) ( mgmt_buffers la_data_out_mprj[103] )
+ ROUTED met2 ( 1286160 1221185 ) ( 1286160 1232470 0 )
NEW met2 ( 1679760 1167350 0 ) ( 1679760 1221185 )
NEW met1 ( 1286160 1221185 ) ( 1679760 1221185 )
NEW met1 ( 1286160 1221185 ) M1M2_PR
NEW met1 ( 1679760 1221185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[104\] ( soc la_output[104] ) ( mgmt_buffers la_data_out_mprj[104] )
+ ROUTED met2 ( 1197840 1167350 0 ) ( 1197840 1186775 )
NEW met2 ( 1287120 1186775 ) ( 1287120 1232470 )
NEW met2 ( 1287120 1232470 ) ( 1288320 1232470 0 )
NEW met1 ( 1197840 1186775 ) ( 1287120 1186775 )
NEW met1 ( 1197840 1186775 ) M1M2_PR
NEW met1 ( 1287120 1186775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[105\] ( soc la_output[105] ) ( mgmt_buffers la_data_out_mprj[105] )
+ ROUTED met2 ( 1291920 1179005 ) ( 1291920 1232470 )
NEW met2 ( 1290720 1232470 0 ) ( 1291920 1232470 )
NEW met2 ( 1681680 1167350 0 ) ( 1681680 1179005 )
NEW met1 ( 1291920 1179005 ) ( 1681680 1179005 )
NEW met1 ( 1291920 1179005 ) M1M2_PR
NEW met1 ( 1681680 1179005 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[106\] ( soc la_output[106] ) ( mgmt_buffers la_data_out_mprj[106] )
+ ROUTED met2 ( 1195920 1167350 0 ) ( 1195920 1194175 )
NEW met2 ( 1292880 1194175 ) ( 1292880 1232470 0 )
NEW met1 ( 1195920 1194175 ) ( 1292880 1194175 )
NEW met1 ( 1195920 1194175 ) M1M2_PR
NEW met1 ( 1292880 1194175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[107\] ( soc la_output[107] ) ( mgmt_buffers la_data_out_mprj[107] )
+ ROUTED met2 ( 1294800 1221555 ) ( 1294800 1232470 0 )
NEW met2 ( 1683600 1167350 0 ) ( 1683600 1221555 )
NEW met1 ( 1294800 1221555 ) ( 1683600 1221555 )
NEW met1 ( 1294800 1221555 ) M1M2_PR
NEW met1 ( 1683600 1221555 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[108\] ( soc la_output[108] ) ( mgmt_buffers la_data_out_mprj[108] )
+ ROUTED met2 ( 1194000 1167350 0 ) ( 1194000 1201205 )
NEW met2 ( 1297200 1201205 ) ( 1297200 1232470 0 )
NEW met1 ( 1194000 1201205 ) ( 1297200 1201205 )
NEW met1 ( 1194000 1201205 ) M1M2_PR
NEW met1 ( 1297200 1201205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[109\] ( soc la_output[109] ) ( mgmt_buffers la_data_out_mprj[109] )
+ ROUTED met2 ( 1300560 1177895 ) ( 1300560 1232470 )
NEW met2 ( 1299360 1232470 0 ) ( 1300560 1232470 )
NEW met2 ( 1685280 1167350 0 ) ( 1685280 1168830 )
NEW met2 ( 1685040 1168830 ) ( 1685280 1168830 )
NEW met2 ( 1685040 1168830 ) ( 1685040 1177895 )
NEW met1 ( 1300560 1177895 ) ( 1685040 1177895 )
NEW met1 ( 1300560 1177895 ) M1M2_PR
NEW met1 ( 1685040 1177895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[10\] ( soc la_output[10] ) ( mgmt_buffers la_data_out_mprj[10] )
+ ROUTED met2 ( 1192080 1167350 0 ) ( 1192080 1195285 )
NEW met1 ( 1181040 1195285 ) ( 1192080 1195285 )
NEW met2 ( 1181040 1195285 ) ( 1181040 1204905 )
NEW met2 ( 1083600 1204905 ) ( 1083600 1232470 0 )
NEW met1 ( 1083600 1204905 ) ( 1181040 1204905 )
NEW met1 ( 1192080 1195285 ) M1M2_PR
NEW met1 ( 1181040 1195285 ) M1M2_PR
NEW met1 ( 1181040 1204905 ) M1M2_PR
NEW met1 ( 1083600 1204905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[110\] ( soc la_output[110] ) ( mgmt_buffers la_data_out_mprj[110] )
+ ROUTED met2 ( 1543920 1216005 ) ( 1543920 1221925 )
NEW met2 ( 1302960 1221925 ) ( 1302960 1232470 )
NEW met2 ( 1301760 1232470 0 ) ( 1302960 1232470 )
NEW met1 ( 1302960 1221925 ) ( 1543920 1221925 )
NEW met2 ( 1686480 1167350 ) ( 1687200 1167350 0 )
NEW met2 ( 1686480 1167350 ) ( 1686480 1216005 )
NEW met1 ( 1543920 1216005 ) ( 1686480 1216005 )
NEW met1 ( 1543920 1221925 ) M1M2_PR
NEW met1 ( 1543920 1216005 ) M1M2_PR
NEW met1 ( 1302960 1221925 ) M1M2_PR
NEW met1 ( 1686480 1216005 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[111\] ( soc la_output[111] ) ( mgmt_buffers la_data_out_mprj[111] )
+ ROUTED met2 ( 1190400 1167350 0 ) ( 1191600 1167350 )
NEW met2 ( 1191600 1167350 ) ( 1191600 1199725 )
NEW met2 ( 1303440 1199725 ) ( 1303440 1232470 0 )
NEW met1 ( 1191600 1199725 ) ( 1303440 1199725 )
NEW met1 ( 1191600 1199725 ) M1M2_PR
NEW met1 ( 1303440 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[112\] ( soc la_output[112] ) ( mgmt_buffers la_data_out_mprj[112] )
+ ROUTED met2 ( 1305840 1178635 ) ( 1305840 1232470 0 )
NEW met2 ( 1688880 1167350 0 ) ( 1688880 1178635 )
NEW met1 ( 1305840 1178635 ) ( 1688880 1178635 )
NEW met1 ( 1305840 1178635 ) M1M2_PR
NEW met1 ( 1688880 1178635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[113\] ( soc la_output[113] ) ( mgmt_buffers la_data_out_mprj[113] )
+ ROUTED met2 ( 1308240 1207865 ) ( 1308240 1232470 0 )
NEW met2 ( 1188480 1167350 0 ) ( 1188480 1168830 )
NEW met2 ( 1188480 1168830 ) ( 1188720 1168830 )
NEW met2 ( 1188720 1168830 ) ( 1188720 1207865 )
NEW met1 ( 1188720 1207865 ) ( 1308240 1207865 )
NEW met1 ( 1308240 1207865 ) M1M2_PR
NEW met1 ( 1188720 1207865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[114\] ( soc la_output[114] ) ( mgmt_buffers la_data_out_mprj[114] )
+ ROUTED met2 ( 1310160 1222665 ) ( 1310160 1232470 )
NEW met2 ( 1309920 1232470 0 ) ( 1310160 1232470 )
NEW met2 ( 1690800 1167350 0 ) ( 1690800 1222665 )
NEW met1 ( 1310160 1222665 ) ( 1690800 1222665 )
NEW met1 ( 1310160 1222665 ) M1M2_PR
NEW met1 ( 1690800 1222665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[115\] ( soc la_output[115] ) ( mgmt_buffers la_data_out_mprj[115] )
+ ROUTED met2 ( 1186800 1167350 0 ) ( 1186800 1201575 )
NEW met2 ( 1311120 1201575 ) ( 1311120 1232470 )
NEW met2 ( 1311120 1232470 ) ( 1312320 1232470 0 )
NEW met1 ( 1186800 1201575 ) ( 1311120 1201575 )
NEW met1 ( 1186800 1201575 ) M1M2_PR
NEW met1 ( 1311120 1201575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[116\] ( soc la_output[116] ) ( mgmt_buffers la_data_out_mprj[116] )
+ ROUTED met2 ( 1314480 1179375 ) ( 1314480 1232470 0 )
NEW met2 ( 1692720 1167350 0 ) ( 1692720 1179375 )
NEW met1 ( 1314480 1179375 ) ( 1692720 1179375 )
NEW met1 ( 1314480 1179375 ) M1M2_PR
NEW met1 ( 1692720 1179375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[117\] ( soc la_output[117] ) ( mgmt_buffers la_data_out_mprj[117] )
+ ROUTED met2 ( 1184880 1167350 0 ) ( 1184880 1207495 )
NEW met2 ( 1316880 1207495 ) ( 1316880 1232470 0 )
NEW met1 ( 1184880 1207495 ) ( 1316880 1207495 )
NEW met1 ( 1184880 1207495 ) M1M2_PR
NEW met1 ( 1316880 1207495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[118\] ( soc la_output[118] ) ( mgmt_buffers la_data_out_mprj[118] )
+ ROUTED met2 ( 1389840 1214155 ) ( 1389840 1222295 )
NEW met2 ( 1640400 1222295 ) ( 1640400 1223775 )
NEW met2 ( 1318800 1214155 ) ( 1318800 1232470 0 )
NEW met1 ( 1318800 1214155 ) ( 1389840 1214155 )
NEW met1 ( 1389840 1222295 ) ( 1640400 1222295 )
NEW met2 ( 1693200 1167350 ) ( 1694640 1167350 0 )
NEW met2 ( 1693200 1167350 ) ( 1693200 1223775 )
NEW met1 ( 1640400 1223775 ) ( 1693200 1223775 )
NEW met1 ( 1389840 1214155 ) M1M2_PR
NEW met1 ( 1389840 1222295 ) M1M2_PR
NEW met1 ( 1640400 1222295 ) M1M2_PR
NEW met1 ( 1640400 1223775 ) M1M2_PR
NEW met1 ( 1318800 1214155 ) M1M2_PR
NEW met1 ( 1693200 1223775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[119\] ( soc la_output[119] ) ( mgmt_buffers la_data_out_mprj[119] )
+ ROUTED met2 ( 1182960 1167350 0 ) ( 1182960 1181225 )
NEW met1 ( 1182960 1181225 ) ( 1194960 1181225 )
NEW met2 ( 1194960 1181225 ) ( 1194960 1201945 )
NEW met2 ( 1319760 1201945 ) ( 1319760 1232470 )
NEW met2 ( 1319760 1232470 ) ( 1320960 1232470 0 )
NEW met1 ( 1194960 1201945 ) ( 1319760 1201945 )
NEW met1 ( 1182960 1181225 ) M1M2_PR
NEW met1 ( 1194960 1181225 ) M1M2_PR
NEW met1 ( 1194960 1201945 ) M1M2_PR
NEW met1 ( 1319760 1201945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[11\] ( soc la_output[11] ) ( mgmt_buffers la_data_out_mprj[11] )
+ ROUTED met2 ( 1086000 1179190 ) ( 1086000 1232470 0 )
NEW met2 ( 1695120 1167350 ) ( 1695120 1179190 )
NEW met2 ( 1695120 1167350 ) ( 1696320 1167350 0 )
NEW met3 ( 1086000 1179190 ) ( 1695120 1179190 )
NEW met2 ( 1086000 1179190 ) via2_FR
NEW met2 ( 1695120 1179190 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[120\] ( soc la_output[120] ) ( mgmt_buffers la_data_out_mprj[120] )
+ ROUTED met2 ( 1181040 1167350 0 ) ( 1182480 1167350 )
NEW met2 ( 1182480 1167350 ) ( 1182480 1200465 )
NEW met2 ( 1322160 1200465 ) ( 1322160 1232470 )
NEW met2 ( 1322160 1232470 ) ( 1323360 1232470 0 )
NEW met1 ( 1182480 1200465 ) ( 1322160 1200465 )
NEW met1 ( 1182480 1200465 ) M1M2_PR
NEW met1 ( 1322160 1200465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[121\] ( soc la_output[121] ) ( mgmt_buffers la_data_out_mprj[121] )
+ ROUTED met2 ( 1388880 1214525 ) ( 1388880 1223405 )
NEW met2 ( 1590960 1215635 ) ( 1590960 1223405 )
NEW met2 ( 1325520 1214525 ) ( 1325520 1232470 0 )
NEW met1 ( 1325520 1214525 ) ( 1388880 1214525 )
NEW met1 ( 1388880 1223405 ) ( 1590960 1223405 )
NEW met2 ( 1698000 1167350 ) ( 1698240 1167350 0 )
NEW met2 ( 1698000 1167350 ) ( 1698000 1215635 )
NEW met1 ( 1590960 1215635 ) ( 1698000 1215635 )
NEW met1 ( 1388880 1214525 ) M1M2_PR
NEW met1 ( 1388880 1223405 ) M1M2_PR
NEW met1 ( 1590960 1223405 ) M1M2_PR
NEW met1 ( 1590960 1215635 ) M1M2_PR
NEW met1 ( 1325520 1214525 ) M1M2_PR
NEW met1 ( 1698000 1215635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[122\] ( soc la_output[122] ) ( mgmt_buffers la_data_out_mprj[122] )
+ ROUTED met2 ( 1179360 1167350 0 ) ( 1180560 1167350 )
NEW met2 ( 1180560 1167350 ) ( 1180560 1177155 )
NEW met2 ( 1327440 1177155 ) ( 1327440 1232470 0 )
NEW met1 ( 1180560 1177155 ) ( 1327440 1177155 )
NEW met1 ( 1180560 1177155 ) M1M2_PR
NEW met1 ( 1327440 1177155 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[123\] ( soc la_output[123] ) ( mgmt_buffers la_data_out_mprj[123] )
+ ROUTED met2 ( 1329840 1180485 ) ( 1329840 1232470 0 )
NEW met2 ( 1699920 1167350 0 ) ( 1699920 1180485 )
NEW met1 ( 1329840 1180485 ) ( 1699920 1180485 )
NEW met1 ( 1329840 1180485 ) M1M2_PR
NEW met1 ( 1699920 1180485 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[124\] ( soc la_output[124] ) ( mgmt_buffers la_data_out_mprj[124] )
+ ROUTED met2 ( 1177440 1167350 0 ) ( 1178160 1167350 )
NEW met2 ( 1178160 1167350 ) ( 1178160 1216375 )
NEW met2 ( 1330800 1216375 ) ( 1330800 1232470 )
NEW met2 ( 1330800 1232470 ) ( 1332000 1232470 0 )
NEW met1 ( 1178160 1216375 ) ( 1330800 1216375 )
NEW met1 ( 1178160 1216375 ) M1M2_PR
NEW met1 ( 1330800 1216375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[125\] ( soc la_output[125] ) ( mgmt_buffers la_data_out_mprj[125] )
+ ROUTED met2 ( 1389360 1215265 ) ( 1389360 1223035 )
NEW met2 ( 1591440 1215265 ) ( 1591440 1223035 )
NEW met2 ( 1335600 1215265 ) ( 1335600 1232470 )
NEW met2 ( 1334400 1232470 0 ) ( 1335600 1232470 )
NEW met1 ( 1335600 1215265 ) ( 1389360 1215265 )
NEW met1 ( 1389360 1223035 ) ( 1591440 1223035 )
NEW met2 ( 1701840 1167350 0 ) ( 1701840 1215265 )
NEW met1 ( 1591440 1215265 ) ( 1701840 1215265 )
NEW met1 ( 1389360 1215265 ) M1M2_PR
NEW met1 ( 1389360 1223035 ) M1M2_PR
NEW met1 ( 1591440 1223035 ) M1M2_PR
NEW met1 ( 1591440 1215265 ) M1M2_PR
NEW met1 ( 1335600 1215265 ) M1M2_PR
NEW met1 ( 1701840 1215265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[126\] ( soc la_output[126] ) ( mgmt_buffers la_data_out_mprj[126] )
+ ROUTED met2 ( 1175760 1167350 0 ) ( 1175760 1223035 )
NEW met2 ( 1336080 1223035 ) ( 1336080 1232470 0 )
NEW met1 ( 1175760 1223035 ) ( 1336080 1223035 )
NEW met1 ( 1175760 1223035 ) M1M2_PR
NEW met1 ( 1336080 1223035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[127\] ( soc la_output[127] ) ( mgmt_buffers la_data_out_mprj[127] )
+ ROUTED met2 ( 1703760 1167350 0 ) ( 1703760 1179745 )
NEW met1 ( 1338480 1179745 ) ( 1703760 1179745 )
NEW met2 ( 1338480 1179745 ) ( 1338480 1232470 0 )
NEW met1 ( 1338480 1179745 ) M1M2_PR
NEW met1 ( 1703760 1179745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[12\] ( soc la_output[12] ) ( mgmt_buffers la_data_out_mprj[12] )
+ ROUTED met2 ( 1173840 1167350 0 ) ( 1173840 1208975 )
NEW met2 ( 1087920 1208975 ) ( 1087920 1232470 0 )
NEW met1 ( 1087920 1208975 ) ( 1173840 1208975 )
NEW met1 ( 1173840 1208975 ) M1M2_PR
NEW met1 ( 1087920 1208975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[13\] ( soc la_output[13] ) ( mgmt_buffers la_data_out_mprj[13] )
+ ROUTED met2 ( 1091280 1219150 ) ( 1091280 1232470 )
NEW met2 ( 1090080 1232470 0 ) ( 1091280 1232470 )
NEW met2 ( 1705680 1167350 0 ) ( 1705680 1219150 )
NEW met3 ( 1091280 1219150 ) ( 1705680 1219150 )
NEW met2 ( 1091280 1219150 ) via2_FR
NEW met2 ( 1705680 1219150 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[14\] ( soc la_output[14] ) ( mgmt_buffers la_data_out_mprj[14] )
+ ROUTED met2 ( 1171920 1167350 0 ) ( 1171920 1198985 )
NEW met2 ( 1093680 1198985 ) ( 1093680 1232470 )
NEW met2 ( 1092480 1232470 0 ) ( 1093680 1232470 )
NEW met1 ( 1093680 1198985 ) ( 1171920 1198985 )
NEW met1 ( 1171920 1198985 ) M1M2_PR
NEW met1 ( 1093680 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[15\] ( soc la_output[15] ) ( mgmt_buffers la_data_out_mprj[15] )
+ ROUTED met2 ( 1096080 1176230 ) ( 1096080 1232470 )
NEW met2 ( 1094640 1232470 0 ) ( 1096080 1232470 )
NEW met2 ( 1706160 1167350 ) ( 1706160 1176230 )
NEW met2 ( 1706160 1167350 ) ( 1707360 1167350 0 )
NEW met3 ( 1096080 1176230 ) ( 1706160 1176230 )
NEW met2 ( 1096080 1176230 ) via2_FR
NEW met2 ( 1706160 1176230 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[16\] ( soc la_output[16] ) ( mgmt_buffers la_data_out_mprj[16] )
+ ROUTED met2 ( 1170000 1167350 0 ) ( 1170000 1208605 )
NEW met2 ( 1096560 1208605 ) ( 1096560 1232470 0 )
NEW met1 ( 1096560 1208605 ) ( 1170000 1208605 )
NEW met1 ( 1170000 1208605 ) M1M2_PR
NEW met1 ( 1096560 1208605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[17\] ( soc la_output[17] ) ( mgmt_buffers la_data_out_mprj[17] )
+ ROUTED met2 ( 1098960 1219890 ) ( 1098960 1232470 0 )
NEW met2 ( 1708080 1167350 ) ( 1708080 1219890 )
NEW met2 ( 1708080 1167350 ) ( 1709280 1167350 0 )
NEW met3 ( 1098960 1219890 ) ( 1708080 1219890 )
NEW met2 ( 1098960 1219890 ) via2_FR
NEW met2 ( 1708080 1219890 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[18\] ( soc la_output[18] ) ( mgmt_buffers la_data_out_mprj[18] )
+ ROUTED met2 ( 1167120 1167350 ) ( 1168320 1167350 0 )
NEW met2 ( 1167120 1167350 ) ( 1167120 1207865 )
NEW met2 ( 1102320 1207865 ) ( 1102320 1232470 )
NEW met2 ( 1101120 1232470 0 ) ( 1102320 1232470 )
NEW met1 ( 1102320 1207865 ) ( 1167120 1207865 )
NEW met1 ( 1167120 1207865 ) M1M2_PR
NEW met1 ( 1102320 1207865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[19\] ( soc la_output[19] ) ( mgmt_buffers la_data_out_mprj[19] )
+ ROUTED met2 ( 1104240 1177710 ) ( 1104240 1232470 )
NEW met2 ( 1103040 1232470 0 ) ( 1104240 1232470 )
NEW met2 ( 1710960 1167350 0 ) ( 1710960 1177710 )
NEW met3 ( 1104240 1177710 ) ( 1710960 1177710 )
NEW met2 ( 1104240 1177710 ) via2_FR
NEW met2 ( 1710960 1177710 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[1\] ( soc la_output[1] ) ( mgmt_buffers la_data_out_mprj[1] )
+ ROUTED met2 ( 1063920 1204165 ) ( 1063920 1232470 0 )
NEW met2 ( 1166400 1167350 0 ) ( 1166400 1168830 )
NEW met2 ( 1166160 1168830 ) ( 1166400 1168830 )
NEW met2 ( 1166160 1168830 ) ( 1166160 1204165 )
NEW met1 ( 1063920 1204165 ) ( 1166160 1204165 )
NEW met1 ( 1063920 1204165 ) M1M2_PR
NEW met1 ( 1166160 1204165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[20\] ( soc la_output[20] ) ( mgmt_buffers la_data_out_mprj[20] )
+ ROUTED met2 ( 1541040 1215450 ) ( 1541040 1222110 )
NEW met2 ( 1105200 1222110 ) ( 1105200 1232470 0 )
NEW met3 ( 1105200 1222110 ) ( 1540560 1222110 )
NEW met2 ( 1540560 1222110 ) ( 1541040 1222110 )
NEW met2 ( 1712880 1167350 0 ) ( 1712880 1215450 )
NEW met3 ( 1541040 1215450 ) ( 1712880 1215450 )
NEW met2 ( 1541040 1215450 ) via2_FR
NEW met2 ( 1105200 1222110 ) via2_FR
NEW met2 ( 1540560 1222110 ) via2_FR
NEW met2 ( 1712880 1215450 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[21\] ( soc la_output[21] ) ( mgmt_buffers la_data_out_mprj[21] )
+ ROUTED met2 ( 1164720 1167350 0 ) ( 1164720 1199725 )
NEW met1 ( 1107600 1199725 ) ( 1164720 1199725 )
NEW met2 ( 1107600 1199725 ) ( 1107600 1232470 0 )
NEW met1 ( 1164720 1199725 ) M1M2_PR
NEW met1 ( 1107600 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[22\] ( soc la_output[22] ) ( mgmt_buffers la_data_out_mprj[22] )
+ ROUTED met2 ( 1110960 1176970 ) ( 1110960 1232470 )
NEW met2 ( 1110000 1232470 0 ) ( 1110960 1232470 )
NEW met2 ( 1714800 1167350 0 ) ( 1714800 1176970 )
NEW met3 ( 1110960 1176970 ) ( 1714800 1176970 )
NEW met2 ( 1110960 1176970 ) via2_FR
NEW met2 ( 1714800 1176970 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[23\] ( soc la_output[23] ) ( mgmt_buffers la_data_out_mprj[23] )
+ ROUTED met2 ( 1162800 1167350 0 ) ( 1162800 1205275 )
NEW met2 ( 1112880 1205275 ) ( 1112880 1232470 )
NEW met2 ( 1111680 1232470 0 ) ( 1112880 1232470 )
NEW met1 ( 1112880 1205275 ) ( 1162800 1205275 )
NEW met1 ( 1162800 1205275 ) M1M2_PR
NEW met1 ( 1112880 1205275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[24\] ( soc la_output[24] ) ( mgmt_buffers la_data_out_mprj[24] )
+ ROUTED met2 ( 1115280 1214710 ) ( 1115280 1232470 )
NEW met2 ( 1114080 1232470 0 ) ( 1115280 1232470 )
NEW met2 ( 1289520 1214710 ) ( 1289520 1220630 )
NEW met3 ( 1115280 1214710 ) ( 1289520 1214710 )
NEW met2 ( 1716720 1167350 0 ) ( 1716720 1220630 )
NEW met3 ( 1289520 1220630 ) ( 1716720 1220630 )
NEW met2 ( 1115280 1214710 ) via2_FR
NEW met2 ( 1289520 1214710 ) via2_FR
NEW met2 ( 1289520 1220630 ) via2_FR
NEW met2 ( 1716720 1220630 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[25\] ( soc la_output[25] ) ( mgmt_buffers la_data_out_mprj[25] )
+ ROUTED met2 ( 1160880 1167350 0 ) ( 1160880 1207495 )
NEW met2 ( 1116240 1207495 ) ( 1116240 1232470 0 )
NEW met1 ( 1116240 1207495 ) ( 1160880 1207495 )
NEW met1 ( 1160880 1207495 ) M1M2_PR
NEW met1 ( 1116240 1207495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[26\] ( soc la_output[26] ) ( mgmt_buffers la_data_out_mprj[26] )
+ ROUTED met2 ( 1120080 1178450 ) ( 1120080 1232470 )
NEW met2 ( 1118640 1232470 0 ) ( 1120080 1232470 )
NEW met2 ( 1717200 1167350 ) ( 1717200 1178450 )
NEW met2 ( 1717200 1167350 ) ( 1718400 1167350 0 )
NEW met3 ( 1120080 1178450 ) ( 1717200 1178450 )
NEW met2 ( 1120080 1178450 ) via2_FR
NEW met2 ( 1717200 1178450 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[27\] ( soc la_output[27] ) ( mgmt_buffers la_data_out_mprj[27] )
+ ROUTED met2 ( 1158960 1167350 0 ) ( 1158960 1206015 )
NEW met2 ( 1120560 1206015 ) ( 1120560 1232470 0 )
NEW met1 ( 1120560 1206015 ) ( 1158960 1206015 )
NEW met1 ( 1158960 1206015 ) M1M2_PR
NEW met1 ( 1120560 1206015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[28\] ( soc la_output[28] ) ( mgmt_buffers la_data_out_mprj[28] )
+ ROUTED met2 ( 1122960 1215450 ) ( 1122960 1232470 )
NEW met2 ( 1122720 1232470 0 ) ( 1122960 1232470 )
NEW met2 ( 1288080 1215450 ) ( 1288080 1221370 )
NEW met3 ( 1122960 1215450 ) ( 1288080 1215450 )
NEW met2 ( 1719120 1167350 ) ( 1719120 1221370 )
NEW met2 ( 1719120 1167350 ) ( 1720320 1167350 0 )
NEW met3 ( 1288080 1221370 ) ( 1719120 1221370 )
NEW met2 ( 1122960 1215450 ) via2_FR
NEW met2 ( 1288080 1215450 ) via2_FR
NEW met2 ( 1288080 1221370 ) via2_FR
NEW met2 ( 1719120 1221370 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[29\] ( soc la_output[29] ) ( mgmt_buffers la_data_out_mprj[29] )
+ ROUTED met2 ( 1156080 1167350 ) ( 1157280 1167350 0 )
NEW met2 ( 1156080 1167350 ) ( 1156080 1205645 )
NEW met2 ( 1126320 1205645 ) ( 1126320 1232470 )
NEW met2 ( 1125120 1232470 0 ) ( 1126320 1232470 )
NEW met1 ( 1126320 1205645 ) ( 1156080 1205645 )
NEW met1 ( 1156080 1205645 ) M1M2_PR
NEW met1 ( 1126320 1205645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[2\] ( soc la_output[2] ) ( mgmt_buffers la_data_out_mprj[2] )
+ ROUTED met2 ( 1066320 1175490 ) ( 1066320 1232470 0 )
NEW met2 ( 1722000 1167350 0 ) ( 1722000 1175490 )
NEW met3 ( 1066320 1175490 ) ( 1722000 1175490 )
NEW met2 ( 1066320 1175490 ) via2_FR
NEW met2 ( 1722000 1175490 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[30\] ( soc la_output[30] ) ( mgmt_buffers la_data_out_mprj[30] )
+ ROUTED met2 ( 1154640 1167350 ) ( 1155360 1167350 0 )
NEW met2 ( 1154640 1167350 ) ( 1154640 1201205 )
NEW met2 ( 1127280 1201205 ) ( 1127280 1232470 0 )
NEW met1 ( 1127280 1201205 ) ( 1154640 1201205 )
NEW met1 ( 1154640 1201205 ) M1M2_PR
NEW met1 ( 1127280 1201205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[31\] ( soc la_output[31] ) ( mgmt_buffers la_data_out_mprj[31] )
+ ROUTED met2 ( 1129200 1220630 ) ( 1129200 1232470 0 )
NEW met2 ( 1288560 1220630 ) ( 1288560 1223590 )
NEW met3 ( 1129200 1220630 ) ( 1288560 1220630 )
NEW met2 ( 1722480 1167350 ) ( 1722480 1223590 )
NEW met2 ( 1722480 1167350 ) ( 1723920 1167350 0 )
NEW met3 ( 1288560 1223590 ) ( 1722480 1223590 )
NEW met2 ( 1129200 1220630 ) via2_FR
NEW met2 ( 1288560 1220630 ) via2_FR
NEW met2 ( 1288560 1223590 ) via2_FR
NEW met2 ( 1722480 1223590 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[32\] ( soc la_output[32] ) ( mgmt_buffers la_data_out_mprj[32] )
+ ROUTED met2 ( 1153680 1167350 0 ) ( 1153680 1200465 )
NEW met2 ( 1131600 1200465 ) ( 1131600 1232470 0 )
NEW met1 ( 1131600 1200465 ) ( 1153680 1200465 )
NEW met1 ( 1153680 1200465 ) M1M2_PR
NEW met1 ( 1131600 1200465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[33\] ( soc la_output[33] ) ( mgmt_buffers la_data_out_mprj[33] )
+ ROUTED met2 ( 1134960 1173455 ) ( 1134960 1232470 )
NEW met2 ( 1133760 1232470 0 ) ( 1134960 1232470 )
NEW met2 ( 1725840 1167350 0 ) ( 1725840 1173455 )
NEW met1 ( 1134960 1173455 ) ( 1725840 1173455 )
NEW met1 ( 1134960 1173455 ) M1M2_PR
NEW met1 ( 1725840 1173455 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[34\] ( soc la_output[34] ) ( mgmt_buffers la_data_out_mprj[34] )
+ ROUTED met2 ( 1151760 1167350 0 ) ( 1151760 1204535 )
NEW met2 ( 1136880 1204535 ) ( 1136880 1232470 )
NEW met2 ( 1136160 1232470 0 ) ( 1136880 1232470 )
NEW met1 ( 1136880 1204535 ) ( 1151760 1204535 )
NEW met1 ( 1151760 1204535 ) M1M2_PR
NEW met1 ( 1136880 1204535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[35\] ( soc la_output[35] ) ( mgmt_buffers la_data_out_mprj[35] )
+ ROUTED met2 ( 1139280 1216745 ) ( 1139280 1232470 )
NEW met2 ( 1137840 1232470 0 ) ( 1139280 1232470 )
NEW met2 ( 1727760 1167350 0 ) ( 1727760 1216745 )
NEW met2 ( 1483440 1216745 ) ( 1485840 1216745 )
NEW met1 ( 1139280 1216745 ) ( 1483440 1216745 )
NEW met1 ( 1485840 1216745 ) ( 1727760 1216745 )
NEW met1 ( 1139280 1216745 ) M1M2_PR
NEW met1 ( 1727760 1216745 ) M1M2_PR
NEW met1 ( 1483440 1216745 ) M1M2_PR
NEW met1 ( 1485840 1216745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[36\] ( soc la_output[36] ) ( mgmt_buffers la_data_out_mprj[36] )
+ ROUTED met2 ( 1149840 1167350 0 ) ( 1149840 1198615 )
NEW met1 ( 1140240 1198615 ) ( 1149840 1198615 )
NEW met2 ( 1140240 1198615 ) ( 1140240 1232470 0 )
NEW met1 ( 1149840 1198615 ) M1M2_PR
NEW met1 ( 1140240 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[37\] ( soc la_output[37] ) ( mgmt_buffers la_data_out_mprj[37] )
+ ROUTED met2 ( 1143120 1180670 ) ( 1143120 1232470 )
NEW met2 ( 1142640 1232470 0 ) ( 1143120 1232470 )
NEW met2 ( 1728240 1167350 ) ( 1728240 1180670 )
NEW met2 ( 1728240 1167350 ) ( 1729440 1167350 0 )
NEW met3 ( 1143120 1180670 ) ( 1728240 1180670 )
NEW met2 ( 1143120 1180670 ) via2_FR
NEW met2 ( 1728240 1180670 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[38\] ( soc la_output[38] ) ( mgmt_buffers la_data_out_mprj[38] )
+ ROUTED met2 ( 1146960 1167350 ) ( 1147920 1167350 0 )
NEW met2 ( 1146960 1167350 ) ( 1146960 1208050 )
NEW met2 ( 1146000 1208050 ) ( 1146960 1208050 )
NEW met2 ( 1146000 1208050 ) ( 1146000 1232470 )
NEW met2 ( 1144320 1232470 0 ) ( 1146000 1232470 )
+ USE SIGNAL ;
- la_data_out_mprj\[39\] ( soc la_output[39] ) ( mgmt_buffers la_data_out_mprj[39] )
+ ROUTED met2 ( 1147920 1222850 ) ( 1147920 1232470 )
NEW met2 ( 1146720 1232470 0 ) ( 1147920 1232470 )
NEW met4 ( 1642080 1220445 ) ( 1642080 1222850 )
NEW met4 ( 1642080 1220445 ) ( 1643040 1220445 )
NEW met4 ( 1643040 1217670 ) ( 1643040 1220445 )
NEW met3 ( 1643040 1217670 ) ( 1730160 1217670 )
NEW met2 ( 1730160 1167350 ) ( 1730160 1217670 )
NEW met2 ( 1730160 1167350 ) ( 1731360 1167350 0 )
NEW met3 ( 1147920 1222850 ) ( 1642080 1222850 )
NEW met2 ( 1147920 1222850 ) via2_FR
NEW met3 ( 1642080 1222850 ) M3M4_PR_M
NEW met3 ( 1643040 1217670 ) M3M4_PR_M
NEW met2 ( 1730160 1217670 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[3\] ( soc la_output[3] ) ( mgmt_buffers la_data_out_mprj[3] )
+ ROUTED met2 ( 1146000 1167350 ) ( 1146240 1167350 0 )
NEW met2 ( 1146000 1167350 ) ( 1146000 1207125 )
NEW met2 ( 1069680 1207125 ) ( 1069680 1232470 )
NEW met2 ( 1068480 1232470 0 ) ( 1069680 1232470 )
NEW met1 ( 1069680 1207125 ) ( 1146000 1207125 )
NEW met1 ( 1146000 1207125 ) M1M2_PR
NEW met1 ( 1069680 1207125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[40\] ( soc la_output[40] ) ( mgmt_buffers la_data_out_mprj[40] )
+ ROUTED met2 ( 1148880 1179930 ) ( 1148880 1232470 0 )
NEW met2 ( 1733040 1167350 0 ) ( 1733040 1179930 )
NEW met3 ( 1148880 1179930 ) ( 1733040 1179930 )
NEW met2 ( 1148880 1179930 ) via2_FR
NEW met2 ( 1733040 1179930 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[41\] ( soc la_output[41] ) ( mgmt_buffers la_data_out_mprj[41] )
+ ROUTED met2 ( 1144320 1167350 0 ) ( 1145520 1167350 )
NEW met2 ( 1145520 1167350 ) ( 1145520 1198245 )
NEW met1 ( 1145520 1198245 ) ( 1151280 1198245 )
NEW met2 ( 1151280 1198245 ) ( 1151280 1232470 0 )
NEW met1 ( 1145520 1198245 ) M1M2_PR
NEW met1 ( 1151280 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[42\] ( soc la_output[42] ) ( mgmt_buffers la_data_out_mprj[42] )
+ ROUTED met2 ( 1153200 1217115 ) ( 1153200 1232470 0 )
NEW met2 ( 1733520 1167350 ) ( 1734960 1167350 0 )
NEW met2 ( 1733520 1167350 ) ( 1733520 1217115 )
NEW met1 ( 1153200 1217115 ) ( 1733520 1217115 )
NEW met1 ( 1153200 1217115 ) M1M2_PR
NEW met1 ( 1733520 1217115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[43\] ( soc la_output[43] ) ( mgmt_buffers la_data_out_mprj[43] )
+ ROUTED met2 ( 1142640 1167350 0 ) ( 1142640 1189365 )
NEW met1 ( 1142640 1189365 ) ( 1154160 1189365 )
NEW met2 ( 1154160 1189365 ) ( 1154160 1232470 )
NEW met2 ( 1154160 1232470 ) ( 1155360 1232470 0 )
NEW met1 ( 1142640 1189365 ) M1M2_PR
NEW met1 ( 1154160 1189365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[44\] ( soc la_output[44] ) ( mgmt_buffers la_data_out_mprj[44] )
+ ROUTED met2 ( 1158480 1173825 ) ( 1158480 1232470 )
NEW met2 ( 1157760 1232470 0 ) ( 1158480 1232470 )
NEW met2 ( 1736880 1167350 0 ) ( 1736880 1173825 )
NEW met1 ( 1158480 1173825 ) ( 1736880 1173825 )
NEW met1 ( 1158480 1173825 ) M1M2_PR
NEW met1 ( 1736880 1173825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[45\] ( soc la_output[45] ) ( mgmt_buffers la_data_out_mprj[45] )
+ ROUTED met2 ( 1140720 1167350 0 ) ( 1140720 1194915 )
NEW met1 ( 1140720 1194915 ) ( 1159920 1194915 )
NEW met2 ( 1159920 1194915 ) ( 1159920 1232470 0 )
NEW met1 ( 1140720 1194915 ) M1M2_PR
NEW met1 ( 1159920 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[46\] ( soc la_output[46] ) ( mgmt_buffers la_data_out_mprj[46] )
+ ROUTED met2 ( 1161840 1216190 ) ( 1161840 1232470 0 )
NEW met2 ( 1738800 1167350 0 ) ( 1738800 1216190 )
NEW met3 ( 1161840 1216190 ) ( 1738800 1216190 )
NEW met2 ( 1161840 1216190 ) via2_FR
NEW met2 ( 1738800 1216190 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[47\] ( soc la_output[47] ) ( mgmt_buffers la_data_out_mprj[47] )
+ ROUTED met2 ( 1138800 1167350 0 ) ( 1138800 1181225 )
NEW met1 ( 1138800 1181225 ) ( 1164240 1181225 )
NEW met2 ( 1164240 1181225 ) ( 1164240 1232470 0 )
NEW met1 ( 1138800 1181225 ) M1M2_PR
NEW met1 ( 1164240 1181225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[48\] ( soc la_output[48] ) ( mgmt_buffers la_data_out_mprj[48] )
+ ROUTED met2 ( 1193520 1175305 ) ( 1193520 1206015 )
NEW met1 ( 1166640 1206015 ) ( 1193520 1206015 )
NEW met2 ( 1166640 1206015 ) ( 1166640 1232470 )
NEW met2 ( 1166400 1232470 0 ) ( 1166640 1232470 )
NEW met2 ( 1739280 1167350 ) ( 1740480 1167350 0 )
NEW met2 ( 1739280 1167350 ) ( 1739280 1175305 )
NEW met1 ( 1193520 1175305 ) ( 1739280 1175305 )
NEW met1 ( 1193520 1175305 ) M1M2_PR
NEW met1 ( 1193520 1206015 ) M1M2_PR
NEW met1 ( 1166640 1206015 ) M1M2_PR
NEW met1 ( 1739280 1175305 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[49\] ( soc la_output[49] ) ( mgmt_buffers la_data_out_mprj[49] )
+ ROUTED met2 ( 1167600 1186035 ) ( 1167600 1232470 )
NEW met2 ( 1167600 1232470 ) ( 1168800 1232470 0 )
NEW met2 ( 1136880 1167350 0 ) ( 1136880 1186035 )
NEW met1 ( 1136880 1186035 ) ( 1167600 1186035 )
NEW met1 ( 1167600 1186035 ) M1M2_PR
NEW met1 ( 1136880 1186035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[4\] ( soc la_output[4] ) ( mgmt_buffers la_data_out_mprj[4] )
+ ROUTED met2 ( 1071600 1217670 ) ( 1071600 1232470 )
NEW met2 ( 1070400 1232470 0 ) ( 1071600 1232470 )
NEW met2 ( 1642320 1214710 ) ( 1642320 1217670 )
NEW met3 ( 1642320 1214710 ) ( 1742160 1214710 )
NEW met2 ( 1742160 1167350 ) ( 1742160 1214710 )
NEW met3 ( 1071600 1217670 ) ( 1642320 1217670 )
NEW met2 ( 1742160 1167350 ) ( 1742400 1167350 0 )
NEW met2 ( 1071600 1217670 ) via2_FR
NEW met2 ( 1642320 1217670 ) via2_FR
NEW met2 ( 1642320 1214710 ) via2_FR
NEW met2 ( 1742160 1214710 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[50\] ( soc la_output[50] ) ( mgmt_buffers la_data_out_mprj[50] )
+ ROUTED met2 ( 1170480 1184925 ) ( 1170480 1232470 0 )
NEW met2 ( 1135200 1167350 0 ) ( 1136400 1167350 )
NEW met2 ( 1136400 1167350 ) ( 1136400 1184925 )
NEW met1 ( 1136400 1184925 ) ( 1170480 1184925 )
NEW met1 ( 1170480 1184925 ) M1M2_PR
NEW met1 ( 1136400 1184925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[51\] ( soc la_output[51] ) ( mgmt_buffers la_data_out_mprj[51] )
+ ROUTED met2 ( 1193040 1174195 ) ( 1193040 1199355 )
NEW met1 ( 1172880 1199355 ) ( 1193040 1199355 )
NEW met2 ( 1172880 1199355 ) ( 1172880 1232470 0 )
NEW met2 ( 1744080 1167350 0 ) ( 1744080 1174195 )
NEW met1 ( 1193040 1174195 ) ( 1744080 1174195 )
NEW met1 ( 1193040 1174195 ) M1M2_PR
NEW met1 ( 1193040 1199355 ) M1M2_PR
NEW met1 ( 1172880 1199355 ) M1M2_PR
NEW met1 ( 1744080 1174195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[52\] ( soc la_output[52] ) ( mgmt_buffers la_data_out_mprj[52] )
+ ROUTED met2 ( 1175280 1194175 ) ( 1175280 1232470 0 )
NEW met2 ( 1133280 1167350 0 ) ( 1134480 1167350 )
NEW met2 ( 1134480 1167350 ) ( 1134480 1194175 )
NEW met1 ( 1134480 1194175 ) ( 1175280 1194175 )
NEW met1 ( 1175280 1194175 ) M1M2_PR
NEW met1 ( 1134480 1194175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[53\] ( soc la_output[53] ) ( mgmt_buffers la_data_out_mprj[53] )
+ ROUTED met2 ( 1178640 1210270 ) ( 1178640 1232470 )
NEW met2 ( 1177440 1232470 0 ) ( 1178640 1232470 )
NEW met2 ( 1744560 1167350 ) ( 1744560 1210270 )
NEW met2 ( 1744560 1167350 ) ( 1746000 1167350 0 )
NEW met3 ( 1178640 1210270 ) ( 1744560 1210270 )
NEW met2 ( 1178640 1210270 ) via2_FR
NEW met2 ( 1744560 1210270 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[54\] ( soc la_output[54] ) ( mgmt_buffers la_data_out_mprj[54] )
+ ROUTED met2 ( 1131600 1167350 0 ) ( 1131600 1197875 )
NEW met2 ( 1179120 1197875 ) ( 1179120 1230990 )
NEW met2 ( 1179120 1230990 ) ( 1179360 1230990 )
NEW met2 ( 1179360 1230990 ) ( 1179360 1232470 0 )
NEW met1 ( 1131600 1197875 ) ( 1179120 1197875 )
NEW met1 ( 1131600 1197875 ) M1M2_PR
NEW met1 ( 1179120 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[55\] ( soc la_output[55] ) ( mgmt_buffers la_data_out_mprj[55] )
+ ROUTED met2 ( 1208400 1174935 ) ( 1208400 1200095 )
NEW met1 ( 1181520 1200095 ) ( 1208400 1200095 )
NEW met2 ( 1181520 1200095 ) ( 1181520 1232470 0 )
NEW met2 ( 1747920 1167350 0 ) ( 1747920 1174935 )
NEW met1 ( 1208400 1174935 ) ( 1747920 1174935 )
NEW met1 ( 1208400 1174935 ) M1M2_PR
NEW met1 ( 1208400 1200095 ) M1M2_PR
NEW met1 ( 1181520 1200095 ) M1M2_PR
NEW met1 ( 1747920 1174935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[56\] ( soc la_output[56] ) ( mgmt_buffers la_data_out_mprj[56] )
+ ROUTED met2 ( 1183920 1180855 ) ( 1183920 1232470 0 )
NEW met2 ( 1129680 1167350 0 ) ( 1129680 1180855 )
NEW met1 ( 1129680 1180855 ) ( 1183920 1180855 )
NEW met1 ( 1183920 1180855 ) M1M2_PR
NEW met1 ( 1129680 1180855 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[57\] ( soc la_output[57] ) ( mgmt_buffers la_data_out_mprj[57] )
+ ROUTED met2 ( 1185840 1217855 ) ( 1185840 1232470 0 )
NEW met2 ( 1749840 1167350 0 ) ( 1749840 1217855 )
NEW met1 ( 1185840 1217855 ) ( 1749840 1217855 )
NEW met1 ( 1185840 1217855 ) M1M2_PR
NEW met1 ( 1749840 1217855 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[58\] ( soc la_output[58] ) ( mgmt_buffers la_data_out_mprj[58] )
+ ROUTED met2 ( 1187280 1186405 ) ( 1187280 1232470 )
NEW met2 ( 1187280 1232470 ) ( 1188000 1232470 0 )
NEW met2 ( 1127760 1167350 0 ) ( 1127760 1186405 )
NEW met1 ( 1127760 1186405 ) ( 1187280 1186405 )
NEW met1 ( 1187280 1186405 ) M1M2_PR
NEW met1 ( 1127760 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[59\] ( soc la_output[59] ) ( mgmt_buffers la_data_out_mprj[59] )
+ ROUTED met2 ( 1209360 1175675 ) ( 1209360 1198245 )
NEW met1 ( 1191120 1198245 ) ( 1209360 1198245 )
NEW met2 ( 1191120 1198245 ) ( 1191120 1232470 )
NEW met2 ( 1190400 1232470 0 ) ( 1191120 1232470 )
NEW met2 ( 1750320 1167350 ) ( 1751520 1167350 0 )
NEW met2 ( 1750320 1167350 ) ( 1750320 1175675 )
NEW met1 ( 1209360 1175675 ) ( 1750320 1175675 )
NEW met1 ( 1209360 1175675 ) M1M2_PR
NEW met1 ( 1209360 1198245 ) M1M2_PR
NEW met1 ( 1191120 1198245 ) M1M2_PR
NEW met1 ( 1750320 1175675 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[5\] ( soc la_output[5] ) ( mgmt_buffers la_data_out_mprj[5] )
+ ROUTED met2 ( 1125840 1167350 0 ) ( 1125840 1198245 )
NEW met1 ( 1072560 1198245 ) ( 1125840 1198245 )
NEW met2 ( 1072560 1198245 ) ( 1072560 1232470 0 )
NEW met1 ( 1125840 1198245 ) M1M2_PR
NEW met1 ( 1072560 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[60\] ( soc la_output[60] ) ( mgmt_buffers la_data_out_mprj[60] )
+ ROUTED met1 ( 1209360 1209345 ) ( 1209360 1209715 )
NEW met1 ( 1192560 1209345 ) ( 1209360 1209345 )
NEW met2 ( 1192560 1209345 ) ( 1192560 1232470 0 )
NEW met2 ( 1752720 1167350 ) ( 1753440 1167350 0 )
NEW met2 ( 1445040 1209715 ) ( 1445040 1211195 )
NEW met1 ( 1445040 1211195 ) ( 1461840 1211195 )
NEW met2 ( 1461840 1209715 ) ( 1461840 1211195 )
NEW met1 ( 1209360 1209715 ) ( 1445040 1209715 )
NEW met1 ( 1461840 1209715 ) ( 1752720 1209715 )
NEW met2 ( 1752720 1167350 ) ( 1752720 1209715 )
NEW met1 ( 1192560 1209345 ) M1M2_PR
NEW met1 ( 1445040 1209715 ) M1M2_PR
NEW met1 ( 1445040 1211195 ) M1M2_PR
NEW met1 ( 1461840 1211195 ) M1M2_PR
NEW met1 ( 1461840 1209715 ) M1M2_PR
NEW met1 ( 1752720 1209715 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[61\] ( soc la_output[61] ) ( mgmt_buffers la_data_out_mprj[61] )
+ ROUTED met2 ( 1194480 1182335 ) ( 1194480 1232470 0 )
NEW met2 ( 1124160 1167350 0 ) ( 1125360 1167350 )
NEW met2 ( 1125360 1167350 ) ( 1125360 1182335 )
NEW met1 ( 1125360 1182335 ) ( 1194480 1182335 )
NEW met1 ( 1194480 1182335 ) M1M2_PR
NEW met1 ( 1125360 1182335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[62\] ( soc la_output[62] ) ( mgmt_buffers la_data_out_mprj[62] )
+ ROUTED met2 ( 1196880 1176415 ) ( 1196880 1232470 0 )
NEW met2 ( 1755120 1167350 0 ) ( 1755120 1176415 )
NEW met1 ( 1196880 1176415 ) ( 1755120 1176415 )
NEW met1 ( 1196880 1176415 ) M1M2_PR
NEW met1 ( 1755120 1176415 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[63\] ( soc la_output[63] ) ( mgmt_buffers la_data_out_mprj[63] )
+ ROUTED met2 ( 1197840 1193805 ) ( 1197840 1232470 )
NEW met2 ( 1197840 1232470 ) ( 1199040 1232470 0 )
NEW met2 ( 1122240 1167350 0 ) ( 1122960 1167350 )
NEW met2 ( 1122960 1167350 ) ( 1122960 1193805 )
NEW met1 ( 1122960 1193805 ) ( 1197840 1193805 )
NEW met1 ( 1197840 1193805 ) M1M2_PR
NEW met1 ( 1122960 1193805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[64\] ( soc la_output[64] ) ( mgmt_buffers la_data_out_mprj[64] )
+ ROUTED met2 ( 1202640 1217485 ) ( 1202640 1232470 )
NEW met2 ( 1201440 1232470 0 ) ( 1202640 1232470 )
NEW met2 ( 1757040 1167350 0 ) ( 1757520 1167350 )
NEW met2 ( 1757520 1167350 ) ( 1757520 1217485 )
NEW met2 ( 1483440 1217485 ) ( 1483440 1217670 )
NEW met2 ( 1483440 1217670 ) ( 1485840 1217670 )
NEW met2 ( 1485840 1217485 ) ( 1485840 1217670 )
NEW met1 ( 1202640 1217485 ) ( 1483440 1217485 )
NEW met1 ( 1485840 1217485 ) ( 1757520 1217485 )
NEW met1 ( 1202640 1217485 ) M1M2_PR
NEW met1 ( 1757520 1217485 ) M1M2_PR
NEW met1 ( 1483440 1217485 ) M1M2_PR
NEW met1 ( 1485840 1217485 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[65\] ( soc la_output[65] ) ( mgmt_buffers la_data_out_mprj[65] )
+ ROUTED met2 ( 1120560 1167350 0 ) ( 1120560 1188255 )
NEW met2 ( 1203600 1188255 ) ( 1203600 1230990 )
NEW met2 ( 1203360 1230990 ) ( 1203600 1230990 )
NEW met2 ( 1203360 1230990 ) ( 1203360 1232470 0 )
NEW met1 ( 1120560 1188255 ) ( 1203600 1188255 )
NEW met1 ( 1120560 1188255 ) M1M2_PR
NEW met1 ( 1203600 1188255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[66\] ( soc la_output[66] ) ( mgmt_buffers la_data_out_mprj[66] )
+ ROUTED met2 ( 1223280 1174565 ) ( 1223280 1198615 )
NEW met1 ( 1205520 1198615 ) ( 1223280 1198615 )
NEW met2 ( 1205520 1198615 ) ( 1205520 1232470 0 )
NEW met2 ( 1758960 1167350 0 ) ( 1758960 1174565 )
NEW met1 ( 1223280 1174565 ) ( 1758960 1174565 )
NEW met1 ( 1223280 1174565 ) M1M2_PR
NEW met1 ( 1223280 1198615 ) M1M2_PR
NEW met1 ( 1205520 1198615 ) M1M2_PR
NEW met1 ( 1758960 1174565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[67\] ( soc la_output[67] ) ( mgmt_buffers la_data_out_mprj[67] )
+ ROUTED met2 ( 1207920 1187885 ) ( 1207920 1232470 0 )
NEW met2 ( 1118640 1167350 0 ) ( 1118640 1187885 )
NEW met1 ( 1118640 1187885 ) ( 1207920 1187885 )
NEW met1 ( 1207920 1187885 ) M1M2_PR
NEW met1 ( 1118640 1187885 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[68\] ( soc la_output[68] ) ( mgmt_buffers la_data_out_mprj[68] )
+ ROUTED met2 ( 1211280 1222665 ) ( 1211280 1232470 )
NEW met2 ( 1210080 1232470 0 ) ( 1211280 1232470 )
NEW met2 ( 1760880 1167350 0 ) ( 1760880 1219705 )
NEW met2 ( 1289040 1219705 ) ( 1289040 1222665 )
NEW met1 ( 1211280 1222665 ) ( 1289040 1222665 )
NEW met1 ( 1289040 1219705 ) ( 1760880 1219705 )
NEW met1 ( 1211280 1222665 ) M1M2_PR
NEW met1 ( 1760880 1219705 ) M1M2_PR
NEW met1 ( 1289040 1222665 ) M1M2_PR
NEW met1 ( 1289040 1219705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[69\] ( soc la_output[69] ) ( mgmt_buffers la_data_out_mprj[69] )
+ ROUTED met2 ( 1116720 1167350 0 ) ( 1116720 1189735 )
NEW met2 ( 1212240 1189735 ) ( 1212240 1230990 )
NEW met2 ( 1212000 1230990 ) ( 1212240 1230990 )
NEW met2 ( 1212000 1230990 ) ( 1212000 1232470 0 )
NEW met1 ( 1116720 1189735 ) ( 1212240 1189735 )
NEW met1 ( 1116720 1189735 ) M1M2_PR
NEW met1 ( 1212240 1189735 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[6\] ( soc la_output[6] ) ( mgmt_buffers la_data_out_mprj[6] )
+ ROUTED met2 ( 1761360 1167350 ) ( 1761360 1174750 )
NEW met2 ( 1761360 1167350 ) ( 1762560 1167350 0 )
NEW met2 ( 1074960 1174750 ) ( 1074960 1232470 0 )
NEW met3 ( 1074960 1174750 ) ( 1761360 1174750 )
NEW met2 ( 1761360 1174750 ) via2_FR
NEW met2 ( 1074960 1174750 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[70\] ( soc la_output[70] ) ( mgmt_buffers la_data_out_mprj[70] )
+ ROUTED met1 ( 1142160 1188995 ) ( 1142160 1189365 )
NEW met1 ( 1142160 1188995 ) ( 1213200 1188995 )
NEW met2 ( 1213200 1188995 ) ( 1213200 1232470 )
NEW met2 ( 1213200 1232470 ) ( 1214400 1232470 0 )
NEW met2 ( 1114800 1167350 0 ) ( 1114800 1189365 )
NEW met1 ( 1114800 1189365 ) ( 1142160 1189365 )
NEW met1 ( 1213200 1188995 ) M1M2_PR
NEW met1 ( 1114800 1189365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[71\] ( soc la_output[71] ) ( mgmt_buffers la_data_out_mprj[71] )
+ ROUTED met2 ( 1216560 1219335 ) ( 1216560 1232470 0 )
NEW met2 ( 1763280 1167350 ) ( 1764480 1167350 0 )
NEW met2 ( 1763280 1167350 ) ( 1763280 1219335 )
NEW met1 ( 1216560 1219335 ) ( 1763280 1219335 )
NEW met1 ( 1216560 1219335 ) M1M2_PR
NEW met1 ( 1763280 1219335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[72\] ( soc la_output[72] ) ( mgmt_buffers la_data_out_mprj[72] )
+ ROUTED met2 ( 1218960 1190105 ) ( 1218960 1232470 0 )
NEW met2 ( 1113120 1167350 0 ) ( 1114320 1167350 )
NEW met2 ( 1114320 1167350 ) ( 1114320 1190105 )
NEW met1 ( 1114320 1190105 ) ( 1218960 1190105 )
NEW met1 ( 1218960 1190105 ) M1M2_PR
NEW met1 ( 1114320 1190105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[73\] ( soc la_output[73] ) ( mgmt_buffers la_data_out_mprj[73] )
+ ROUTED met2 ( 1231920 1176045 ) ( 1231920 1200835 )
NEW met1 ( 1220880 1200835 ) ( 1231920 1200835 )
NEW met2 ( 1220880 1200835 ) ( 1220880 1232470 0 )
NEW met2 ( 1766160 1167350 0 ) ( 1766160 1176045 )
NEW met1 ( 1231920 1176045 ) ( 1766160 1176045 )
NEW met1 ( 1231920 1176045 ) M1M2_PR
NEW met1 ( 1231920 1200835 ) M1M2_PR
NEW met1 ( 1220880 1200835 ) M1M2_PR
NEW met1 ( 1766160 1176045 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[74\] ( soc la_output[74] ) ( mgmt_buffers la_data_out_mprj[74] )
+ ROUTED met2 ( 1223760 1218965 ) ( 1223760 1232470 )
NEW met2 ( 1223040 1232470 0 ) ( 1223760 1232470 )
NEW met2 ( 1768080 1167350 0 ) ( 1768080 1218965 )
NEW met1 ( 1223760 1218965 ) ( 1768080 1218965 )
NEW met1 ( 1223760 1218965 ) M1M2_PR
NEW met1 ( 1768080 1218965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[75\] ( soc la_output[75] ) ( mgmt_buffers la_data_out_mprj[75] )
+ ROUTED met1 ( 1141680 1188625 ) ( 1141680 1188995 )
NEW met1 ( 1141680 1188625 ) ( 1224240 1188625 )
NEW met2 ( 1224240 1188625 ) ( 1224240 1232470 )
NEW met2 ( 1224240 1232470 ) ( 1225440 1232470 0 )
NEW met2 ( 1109520 1167350 0 ) ( 1109520 1188995 )
NEW met1 ( 1109520 1188995 ) ( 1141680 1188995 )
NEW met1 ( 1224240 1188625 ) M1M2_PR
NEW met1 ( 1109520 1188995 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[76\] ( soc la_output[76] ) ( mgmt_buffers la_data_out_mprj[76] )
+ ROUTED met2 ( 1228560 1176785 ) ( 1228560 1232470 )
NEW met2 ( 1227120 1232470 0 ) ( 1228560 1232470 )
NEW met2 ( 1770000 1167350 0 ) ( 1770000 1176785 )
NEW met1 ( 1228560 1176785 ) ( 1770000 1176785 )
NEW met1 ( 1228560 1176785 ) M1M2_PR
NEW met1 ( 1770000 1176785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[77\] ( soc la_output[77] ) ( mgmt_buffers la_data_out_mprj[77] )
+ ROUTED met2 ( 1141200 1188625 ) ( 1141200 1190475 )
NEW met1 ( 1141200 1190475 ) ( 1229520 1190475 )
NEW met2 ( 1229520 1190475 ) ( 1229520 1232470 0 )
NEW met2 ( 1107600 1167350 0 ) ( 1107600 1188625 )
NEW met1 ( 1107600 1188625 ) ( 1141200 1188625 )
NEW met1 ( 1141200 1188625 ) M1M2_PR
NEW met1 ( 1141200 1190475 ) M1M2_PR
NEW met1 ( 1229520 1190475 ) M1M2_PR
NEW met1 ( 1107600 1188625 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[78\] ( soc la_output[78] ) ( mgmt_buffers la_data_out_mprj[78] )
+ ROUTED met2 ( 1231920 1218595 ) ( 1231920 1232470 0 )
NEW met2 ( 1771920 1167350 0 ) ( 1771920 1218595 )
NEW met2 ( 1497600 1218595 ) ( 1497600 1219150 )
NEW met2 ( 1497600 1219150 ) ( 1497840 1219150 )
NEW met2 ( 1497840 1219150 ) ( 1497840 1224515 )
NEW met1 ( 1497840 1224515 ) ( 1538640 1224515 )
NEW met2 ( 1538640 1218595 ) ( 1538640 1224515 )
NEW met1 ( 1231920 1218595 ) ( 1497600 1218595 )
NEW met1 ( 1538640 1218595 ) ( 1771920 1218595 )
NEW met1 ( 1231920 1218595 ) M1M2_PR
NEW met1 ( 1771920 1218595 ) M1M2_PR
NEW met1 ( 1497600 1218595 ) M1M2_PR
NEW met1 ( 1497840 1224515 ) M1M2_PR
NEW met1 ( 1538640 1224515 ) M1M2_PR
NEW met1 ( 1538640 1218595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[79\] ( soc la_output[79] ) ( mgmt_buffers la_data_out_mprj[79] )
+ ROUTED met2 ( 1232880 1185295 ) ( 1232880 1232470 )
NEW met2 ( 1232880 1232470 ) ( 1234080 1232470 0 )
NEW met2 ( 1105680 1167350 0 ) ( 1105680 1185295 )
NEW met1 ( 1105680 1185295 ) ( 1232880 1185295 )
NEW met1 ( 1232880 1185295 ) M1M2_PR
NEW met1 ( 1105680 1185295 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[7\] ( soc la_output[7] ) ( mgmt_buffers la_data_out_mprj[7] )
+ ROUTED met2 ( 1364880 1172530 ) ( 1364880 1208235 )
NEW met2 ( 1772400 1167350 ) ( 1772400 1172530 )
NEW met2 ( 1772400 1167350 ) ( 1773600 1167350 0 )
NEW met2 ( 1077360 1208235 ) ( 1077360 1232470 0 )
NEW met1 ( 1077360 1208235 ) ( 1364880 1208235 )
NEW met3 ( 1364880 1172530 ) ( 1772400 1172530 )
NEW met2 ( 1364880 1172530 ) via2_FR
NEW met1 ( 1364880 1208235 ) M1M2_PR
NEW met2 ( 1772400 1172530 ) via2_FR
NEW met1 ( 1077360 1208235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[80\] ( soc la_output[80] ) ( mgmt_buffers la_data_out_mprj[80] )
+ ROUTED met2 ( 1234800 1184555 ) ( 1234800 1232470 )
NEW met2 ( 1234800 1232470 ) ( 1236000 1232470 0 )
NEW met2 ( 1103760 1167350 0 ) ( 1103760 1184555 )
NEW met1 ( 1103760 1184555 ) ( 1234800 1184555 )
NEW met1 ( 1234800 1184555 ) M1M2_PR
NEW met1 ( 1103760 1184555 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[81\] ( soc la_output[81] ) ( mgmt_buffers la_data_out_mprj[81] )
+ ROUTED met2 ( 1236720 1218225 ) ( 1236720 1232470 )
NEW met2 ( 1236720 1232470 ) ( 1238160 1232470 0 )
NEW met2 ( 1774320 1167350 ) ( 1775520 1167350 0 )
NEW met2 ( 1774320 1167350 ) ( 1774320 1218225 )
NEW met1 ( 1498320 1218225 ) ( 1498320 1218595 )
NEW met1 ( 1498320 1218595 ) ( 1498800 1218595 )
NEW met1 ( 1498800 1218225 ) ( 1498800 1218595 )
NEW met1 ( 1236720 1218225 ) ( 1498320 1218225 )
NEW met1 ( 1498800 1218225 ) ( 1774320 1218225 )
NEW met1 ( 1236720 1218225 ) M1M2_PR
NEW met1 ( 1774320 1218225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[82\] ( soc la_output[82] ) ( mgmt_buffers la_data_out_mprj[82] )
+ ROUTED met2 ( 1102080 1167350 0 ) ( 1103280 1167350 )
NEW met2 ( 1103280 1167350 ) ( 1103280 1202685 )
NEW met2 ( 1239600 1202685 ) ( 1239600 1232470 )
NEW met2 ( 1239600 1232470 ) ( 1240560 1232470 0 )
NEW met1 ( 1103280 1202685 ) ( 1239600 1202685 )
NEW met1 ( 1103280 1202685 ) M1M2_PR
NEW met1 ( 1239600 1202685 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[83\] ( soc la_output[83] ) ( mgmt_buffers la_data_out_mprj[83] )
+ ROUTED met2 ( 1369680 1177525 ) ( 1369680 1208975 )
NEW met2 ( 1777200 1167350 0 ) ( 1777200 1177525 )
NEW met2 ( 1242960 1208975 ) ( 1242960 1232470 0 )
NEW met1 ( 1242960 1208975 ) ( 1369680 1208975 )
NEW met1 ( 1369680 1177525 ) ( 1777200 1177525 )
NEW met1 ( 1369680 1208975 ) M1M2_PR
NEW met1 ( 1369680 1177525 ) M1M2_PR
NEW met1 ( 1777200 1177525 ) M1M2_PR
NEW met1 ( 1242960 1208975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[84\] ( soc la_output[84] ) ( mgmt_buffers la_data_out_mprj[84] )
+ ROUTED met2 ( 1100160 1167350 0 ) ( 1101360 1167350 )
NEW met2 ( 1101360 1167350 ) ( 1101360 1202315 )
NEW met2 ( 1243440 1202315 ) ( 1243440 1232470 )
NEW met2 ( 1243440 1232470 ) ( 1244640 1232470 0 )
NEW met1 ( 1101360 1202315 ) ( 1243440 1202315 )
NEW met1 ( 1101360 1202315 ) M1M2_PR
NEW met1 ( 1243440 1202315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[85\] ( soc la_output[85] ) ( mgmt_buffers la_data_out_mprj[85] )
+ ROUTED met2 ( 1779120 1167350 0 ) ( 1779120 1220075 )
NEW met2 ( 1248240 1220075 ) ( 1248240 1232470 )
NEW met2 ( 1247040 1232470 0 ) ( 1248240 1232470 )
NEW met1 ( 1248240 1220075 ) ( 1779120 1220075 )
NEW met1 ( 1779120 1220075 ) M1M2_PR
NEW met1 ( 1248240 1220075 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[86\] ( soc la_output[86] ) ( mgmt_buffers la_data_out_mprj[86] )
+ ROUTED met1 ( 1188480 1203055 ) ( 1188480 1205275 )
NEW met2 ( 1098480 1167350 0 ) ( 1098480 1203055 )
NEW met1 ( 1098480 1203055 ) ( 1188480 1203055 )
NEW met2 ( 1249200 1205275 ) ( 1249200 1232470 0 )
NEW met1 ( 1188480 1205275 ) ( 1249200 1205275 )
NEW met1 ( 1098480 1203055 ) M1M2_PR
NEW met1 ( 1249200 1205275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[87\] ( soc la_output[87] ) ( mgmt_buffers la_data_out_mprj[87] )
+ ROUTED met2 ( 1365360 1208235 ) ( 1365360 1209345 )
NEW met1 ( 1365360 1208235 ) ( 1369200 1208235 )
NEW met2 ( 1369200 1177155 ) ( 1369200 1208235 )
NEW met2 ( 1781040 1167350 0 ) ( 1781040 1177155 )
NEW met2 ( 1251600 1209345 ) ( 1251600 1232470 0 )
NEW met1 ( 1251600 1209345 ) ( 1365360 1209345 )
NEW met1 ( 1369200 1177155 ) ( 1781040 1177155 )
NEW met1 ( 1365360 1209345 ) M1M2_PR
NEW met1 ( 1365360 1208235 ) M1M2_PR
NEW met1 ( 1369200 1208235 ) M1M2_PR
NEW met1 ( 1369200 1177155 ) M1M2_PR
NEW met1 ( 1781040 1177155 ) M1M2_PR
NEW met1 ( 1251600 1209345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[88\] ( soc la_output[88] ) ( mgmt_buffers la_data_out_mprj[88] )
+ ROUTED met1 ( 1188000 1203795 ) ( 1188000 1205645 )
NEW met2 ( 1096560 1167350 0 ) ( 1096560 1203795 )
NEW met1 ( 1096560 1203795 ) ( 1188000 1203795 )
NEW met2 ( 1253520 1205645 ) ( 1253520 1232470 0 )
NEW met1 ( 1188000 1205645 ) ( 1253520 1205645 )
NEW met1 ( 1096560 1203795 ) M1M2_PR
NEW met1 ( 1253520 1205645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[89\] ( soc la_output[89] ) ( mgmt_buffers la_data_out_mprj[89] )
+ ROUTED met2 ( 1781520 1167350 ) ( 1782960 1167350 0 )
NEW met2 ( 1781520 1167350 ) ( 1781520 1220445 )
NEW met2 ( 1256880 1220445 ) ( 1256880 1232470 )
NEW met2 ( 1255680 1232470 0 ) ( 1256880 1232470 )
NEW met1 ( 1256880 1220445 ) ( 1781520 1220445 )
NEW met1 ( 1781520 1220445 ) M1M2_PR
NEW met1 ( 1256880 1220445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[8\] ( soc la_output[8] ) ( mgmt_buffers la_data_out_mprj[8] )
+ ROUTED met2 ( 1094640 1167350 0 ) ( 1094640 1196395 )
NEW met1 ( 1079760 1196395 ) ( 1094640 1196395 )
NEW met2 ( 1079760 1196395 ) ( 1079760 1232470 )
NEW met2 ( 1079040 1232470 0 ) ( 1079760 1232470 )
NEW met1 ( 1094640 1196395 ) M1M2_PR
NEW met1 ( 1079760 1196395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[90\] ( soc la_output[90] ) ( mgmt_buffers la_data_out_mprj[90] )
+ ROUTED met2 ( 1783440 1167350 ) ( 1784640 1167350 0 )
NEW met2 ( 1783440 1167350 ) ( 1783440 1228955 )
NEW met2 ( 1259280 1228955 ) ( 1259280 1232470 )
NEW met2 ( 1258080 1232470 0 ) ( 1259280 1232470 )
NEW met1 ( 1259280 1228955 ) ( 1783440 1228955 )
NEW met1 ( 1783440 1228955 ) M1M2_PR
NEW met1 ( 1259280 1228955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[91\] ( soc la_output[91] ) ( mgmt_buffers la_data_out_mprj[91] )
+ ROUTED met2 ( 1189200 1201945 ) ( 1189200 1204165 )
NEW met2 ( 1092720 1167350 0 ) ( 1092720 1201945 )
NEW met1 ( 1092720 1201945 ) ( 1189200 1201945 )
NEW met2 ( 1260240 1204165 ) ( 1260240 1232470 0 )
NEW met1 ( 1189200 1204165 ) ( 1260240 1204165 )
NEW met1 ( 1189200 1201945 ) M1M2_PR
NEW met1 ( 1189200 1204165 ) M1M2_PR
NEW met1 ( 1092720 1201945 ) M1M2_PR
NEW met1 ( 1260240 1204165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[92\] ( soc la_output[92] ) ( mgmt_buffers la_data_out_mprj[92] )
+ ROUTED met2 ( 1785840 1167350 ) ( 1786560 1167350 0 )
NEW met2 ( 1785840 1167350 ) ( 1785840 1227105 )
NEW met2 ( 1262160 1227105 ) ( 1262160 1232470 0 )
NEW met1 ( 1262160 1227105 ) ( 1785840 1227105 )
NEW met1 ( 1785840 1227105 ) M1M2_PR
NEW met1 ( 1262160 1227105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[93\] ( soc la_output[93] ) ( mgmt_buffers la_data_out_mprj[93] )
+ ROUTED met2 ( 1189680 1200835 ) ( 1189680 1204535 )
NEW met2 ( 1091040 1167350 0 ) ( 1092240 1167350 )
NEW met2 ( 1092240 1167350 ) ( 1092240 1200835 )
NEW met1 ( 1092240 1200835 ) ( 1189680 1200835 )
NEW met2 ( 1264560 1204535 ) ( 1264560 1232470 0 )
NEW met1 ( 1189680 1204535 ) ( 1264560 1204535 )
NEW met1 ( 1189680 1200835 ) M1M2_PR
NEW met1 ( 1189680 1204535 ) M1M2_PR
NEW met1 ( 1092240 1200835 ) M1M2_PR
NEW met1 ( 1264560 1204535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[94\] ( soc la_output[94] ) ( mgmt_buffers la_data_out_mprj[94] )
+ ROUTED met2 ( 1788240 1167350 0 ) ( 1788240 1227475 )
NEW met2 ( 1266960 1227475 ) ( 1266960 1232470 )
NEW met2 ( 1266720 1232470 0 ) ( 1266960 1232470 )
NEW met1 ( 1266960 1227475 ) ( 1788240 1227475 )
NEW met1 ( 1788240 1227475 ) M1M2_PR
NEW met1 ( 1266960 1227475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[95\] ( soc la_output[95] ) ( mgmt_buffers la_data_out_mprj[95] )
+ ROUTED met2 ( 1089120 1167350 0 ) ( 1090320 1167350 )
NEW met2 ( 1090320 1167350 ) ( 1090320 1192325 )
NEW met2 ( 1267440 1192325 ) ( 1267440 1232470 )
NEW met2 ( 1267440 1232470 ) ( 1268640 1232470 0 )
NEW met1 ( 1090320 1192325 ) ( 1267440 1192325 )
NEW met1 ( 1090320 1192325 ) M1M2_PR
NEW met1 ( 1267440 1192325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[96\] ( soc la_output[96] ) ( mgmt_buffers la_data_out_mprj[96] )
+ ROUTED met2 ( 1790160 1167350 0 ) ( 1790160 1228585 )
NEW met2 ( 1270800 1228585 ) ( 1270800 1232470 0 )
NEW met1 ( 1270800 1228585 ) ( 1790160 1228585 )
NEW met1 ( 1790160 1228585 ) M1M2_PR
NEW met1 ( 1270800 1228585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[97\] ( soc la_output[97] ) ( mgmt_buffers la_data_out_mprj[97] )
+ ROUTED met2 ( 1087440 1167350 0 ) ( 1087440 1184185 )
NEW met2 ( 1271760 1184185 ) ( 1271760 1232470 )
NEW met2 ( 1271760 1232470 ) ( 1273200 1232470 0 )
NEW met1 ( 1087440 1184185 ) ( 1271760 1184185 )
NEW met1 ( 1087440 1184185 ) M1M2_PR
NEW met1 ( 1271760 1184185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[98\] ( soc la_output[98] ) ( mgmt_buffers la_data_out_mprj[98] )
+ ROUTED met1 ( 1370160 1208235 ) ( 1370160 1208605 )
NEW met2 ( 1792080 1167350 0 ) ( 1792080 1186405 )
NEW met2 ( 1275600 1208605 ) ( 1275600 1232470 0 )
NEW met1 ( 1275600 1208605 ) ( 1370160 1208605 )
NEW met2 ( 1446000 1208235 ) ( 1446000 1209345 )
NEW met1 ( 1446000 1209345 ) ( 1498800 1209345 )
NEW met2 ( 1498800 1186405 ) ( 1498800 1209345 )
NEW met1 ( 1370160 1208235 ) ( 1446000 1208235 )
NEW met2 ( 1611600 1186405 ) ( 1611600 1186590 )
NEW met3 ( 1611600 1186590 ) ( 1614000 1186590 )
NEW met2 ( 1614000 1186405 ) ( 1614000 1186590 )
NEW met1 ( 1498800 1186405 ) ( 1611600 1186405 )
NEW met1 ( 1614000 1186405 ) ( 1792080 1186405 )
NEW met1 ( 1792080 1186405 ) M1M2_PR
NEW met1 ( 1275600 1208605 ) M1M2_PR
NEW met1 ( 1446000 1208235 ) M1M2_PR
NEW met1 ( 1446000 1209345 ) M1M2_PR
NEW met1 ( 1498800 1209345 ) M1M2_PR
NEW met1 ( 1498800 1186405 ) M1M2_PR
NEW met1 ( 1611600 1186405 ) M1M2_PR
NEW met2 ( 1611600 1186590 ) via2_FR
NEW met2 ( 1614000 1186590 ) via2_FR
NEW met1 ( 1614000 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[99\] ( soc la_output[99] ) ( mgmt_buffers la_data_out_mprj[99] )
+ ROUTED met2 ( 1085520 1167350 0 ) ( 1085520 1193065 )
NEW met2 ( 1276080 1193065 ) ( 1276080 1232470 )
NEW met2 ( 1276080 1232470 ) ( 1277280 1232470 0 )
NEW met1 ( 1085520 1193065 ) ( 1276080 1193065 )
NEW met1 ( 1085520 1193065 ) M1M2_PR
NEW met1 ( 1276080 1193065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[9\] ( soc la_output[9] ) ( mgmt_buffers la_data_out_mprj[9] )
+ ROUTED met2 ( 1792560 1167350 ) ( 1794000 1167350 0 )
NEW met2 ( 1792560 1167350 ) ( 1792560 1224885 )
NEW met2 ( 1082640 1224885 ) ( 1082640 1232470 )
NEW met2 ( 1081440 1232470 0 ) ( 1082640 1232470 )
NEW met1 ( 1082640 1224885 ) ( 1792560 1224885 )
NEW met1 ( 1792560 1224885 ) M1M2_PR
NEW met1 ( 1082640 1224885 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[0\] ( mprj la_data_out[0] ) ( mgmt_buffers la_data_out_core[0] )
+ ROUTED met2 ( 965520 1375475 ) ( 965520 1389350 0 )
NEW met2 ( 1349520 1325710 0 ) ( 1349520 1327745 )
NEW met1 ( 1288080 1327745 ) ( 1349520 1327745 )
NEW met2 ( 1288080 1327745 ) ( 1288080 1375475 )
NEW met1 ( 965520 1375475 ) ( 1288080 1375475 )
NEW met1 ( 965520 1375475 ) M1M2_PR
NEW met1 ( 1349520 1327745 ) M1M2_PR
NEW met1 ( 1288080 1327745 ) M1M2_PR
NEW met1 ( 1288080 1375475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[100\] ( mprj la_data_out[100] ) ( mgmt_buffers la_data_out_core[100] )
+ ROUTED met1 ( 1568400 1358825 ) ( 1608720 1358825 )
NEW met1 ( 1608720 1358825 ) ( 1608720 1359195 )
NEW met2 ( 1567200 1325710 0 ) ( 1568400 1325710 )
NEW met2 ( 1568400 1325710 ) ( 1568400 1358825 )
NEW met2 ( 2749680 1359195 ) ( 2749680 1389350 0 )
NEW met1 ( 1608720 1359195 ) ( 2749680 1359195 )
NEW met1 ( 1568400 1358825 ) M1M2_PR
NEW met1 ( 2749680 1359195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[101\] ( mprj la_data_out[101] ) ( mgmt_buffers la_data_out_core[101] )
+ ROUTED met1 ( 1569360 1354755 ) ( 1602480 1354755 )
NEW met2 ( 1602480 1354755 ) ( 1602480 1366225 )
NEW met1 ( 1602480 1366225 ) ( 1618320 1366225 )
NEW met2 ( 1618320 1365670 ) ( 1618320 1366225 )
NEW met2 ( 1618320 1365670 ) ( 1619280 1365670 )
NEW met2 ( 1619280 1365670 ) ( 1619280 1367705 )
NEW met2 ( 2767440 1367705 ) ( 2767440 1389350 0 )
NEW met2 ( 1569360 1325710 0 ) ( 1569360 1354755 )
NEW met1 ( 1619280 1367705 ) ( 2767440 1367705 )
NEW met1 ( 1569360 1354755 ) M1M2_PR
NEW met1 ( 1602480 1354755 ) M1M2_PR
NEW met1 ( 1602480 1366225 ) M1M2_PR
NEW met1 ( 1618320 1366225 ) M1M2_PR
NEW met1 ( 1619280 1367705 ) M1M2_PR
NEW met1 ( 2767440 1367705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[102\] ( mprj la_data_out[102] ) ( mgmt_buffers la_data_out_core[102] )
+ ROUTED met1 ( 1571760 1375845 ) ( 1588560 1375845 )
NEW met2 ( 1588560 1375845 ) ( 1588560 1379175 )
NEW met1 ( 1588560 1379175 ) ( 1620240 1379175 )
NEW met2 ( 1620240 1371405 ) ( 1620240 1379175 )
NEW met1 ( 1620240 1371405 ) ( 1635600 1371405 )
NEW met2 ( 1635600 1371405 ) ( 1635600 1377695 )
NEW met2 ( 2785200 1377695 ) ( 2785200 1389350 0 )
NEW met2 ( 1571760 1325710 0 ) ( 1571760 1375845 )
NEW met1 ( 1635600 1377695 ) ( 2785200 1377695 )
NEW met1 ( 1571760 1375845 ) M1M2_PR
NEW met1 ( 1588560 1375845 ) M1M2_PR
NEW met1 ( 1588560 1379175 ) M1M2_PR
NEW met1 ( 1620240 1379175 ) M1M2_PR
NEW met1 ( 1620240 1371405 ) M1M2_PR
NEW met1 ( 1635600 1371405 ) M1M2_PR
NEW met1 ( 1635600 1377695 ) M1M2_PR
NEW met1 ( 2785200 1377695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[103\] ( mprj la_data_out[103] ) ( mgmt_buffers la_data_out_core[103] )
+ ROUTED met1 ( 1574160 1341065 ) ( 1612560 1341065 )
NEW met2 ( 1612560 1341065 ) ( 1612560 1353090 )
NEW met2 ( 2803440 1347725 ) ( 2803440 1389350 0 )
NEW met2 ( 1574160 1325710 0 ) ( 1574160 1341065 )
NEW met2 ( 1640400 1347725 ) ( 1640400 1353090 )
NEW met3 ( 1612560 1353090 ) ( 1640400 1353090 )
NEW met1 ( 1710480 1347355 ) ( 1710480 1347725 )
NEW met1 ( 1710480 1347355 ) ( 1713840 1347355 )
NEW met1 ( 1713840 1347355 ) ( 1713840 1347725 )
NEW met1 ( 1640400 1347725 ) ( 1710480 1347725 )
NEW met2 ( 2088720 1344395 ) ( 2088720 1347725 )
NEW met1 ( 2088720 1344395 ) ( 2188560 1344395 )
NEW met2 ( 2188560 1344395 ) ( 2188560 1347725 )
NEW met1 ( 1713840 1347725 ) ( 2088720 1347725 )
NEW met2 ( 2291280 1347170 ) ( 2291280 1347725 )
NEW met2 ( 2291280 1347170 ) ( 2292720 1347170 )
NEW met2 ( 2292720 1344765 ) ( 2292720 1347170 )
NEW met1 ( 2292720 1344765 ) ( 2390160 1344765 )
NEW met2 ( 2390160 1344765 ) ( 2390160 1347725 )
NEW met1 ( 2188560 1347725 ) ( 2291280 1347725 )
NEW met1 ( 2778960 1347725 ) ( 2778960 1348095 )
NEW met1 ( 2778960 1347725 ) ( 2803440 1347725 )
NEW met2 ( 2505360 1347725 ) ( 2505360 1347910 )
NEW met2 ( 2505360 1347910 ) ( 2505840 1347910 )
NEW met2 ( 2505840 1347725 ) ( 2505840 1347910 )
NEW met1 ( 2505840 1347725 ) ( 2512080 1347725 )
NEW met1 ( 2512080 1347725 ) ( 2512080 1348095 )
NEW met1 ( 2390160 1347725 ) ( 2505360 1347725 )
NEW met1 ( 2664240 1347725 ) ( 2664240 1348095 )
NEW met1 ( 2664240 1348095 ) ( 2778960 1348095 )
NEW met2 ( 2549520 1345875 ) ( 2549520 1348095 )
NEW met1 ( 2549520 1345875 ) ( 2649360 1345875 )
NEW met2 ( 2649360 1345875 ) ( 2649360 1347725 )
NEW met1 ( 2512080 1348095 ) ( 2549520 1348095 )
NEW met1 ( 2649360 1347725 ) ( 2664240 1347725 )
NEW met1 ( 1574160 1341065 ) M1M2_PR
NEW met1 ( 1612560 1341065 ) M1M2_PR
NEW met2 ( 1612560 1353090 ) via2_FR
NEW met1 ( 2803440 1347725 ) M1M2_PR
NEW met1 ( 1640400 1347725 ) M1M2_PR
NEW met2 ( 1640400 1353090 ) via2_FR
NEW met1 ( 2088720 1347725 ) M1M2_PR
NEW met1 ( 2088720 1344395 ) M1M2_PR
NEW met1 ( 2188560 1344395 ) M1M2_PR
NEW met1 ( 2188560 1347725 ) M1M2_PR
NEW met1 ( 2291280 1347725 ) M1M2_PR
NEW met1 ( 2292720 1344765 ) M1M2_PR
NEW met1 ( 2390160 1344765 ) M1M2_PR
NEW met1 ( 2390160 1347725 ) M1M2_PR
NEW met1 ( 2505360 1347725 ) M1M2_PR
NEW met1 ( 2505840 1347725 ) M1M2_PR
NEW met1 ( 2549520 1348095 ) M1M2_PR
NEW met1 ( 2549520 1345875 ) M1M2_PR
NEW met1 ( 2649360 1345875 ) M1M2_PR
NEW met1 ( 2649360 1347725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[104\] ( mprj la_data_out[104] ) ( mgmt_buffers la_data_out_core[104] )
+ ROUTED met2 ( 2821200 1377325 ) ( 2821200 1389350 0 )
NEW met2 ( 1575840 1325710 0 ) ( 1577040 1325710 )
NEW met2 ( 1577040 1325710 ) ( 1577040 1377325 )
NEW met1 ( 1577040 1377325 ) ( 2821200 1377325 )
NEW met1 ( 1577040 1377325 ) M1M2_PR
NEW met1 ( 2821200 1377325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[105\] ( mprj la_data_out[105] ) ( mgmt_buffers la_data_out_core[105] )
+ ROUTED met2 ( 2838960 1346985 ) ( 2838960 1389350 0 )
NEW met2 ( 1642320 1339955 ) ( 1642320 1346985 )
NEW met2 ( 1578240 1325710 0 ) ( 1579440 1325710 )
NEW met2 ( 1579440 1325710 ) ( 1579440 1340695 )
NEW met1 ( 1579440 1340695 ) ( 1589520 1340695 )
NEW met2 ( 1589520 1339955 ) ( 1589520 1340695 )
NEW met1 ( 1589520 1339955 ) ( 1642320 1339955 )
NEW met2 ( 2189040 1346985 ) ( 2189040 1347170 )
NEW met2 ( 2189040 1347170 ) ( 2189520 1347170 )
NEW met2 ( 2189520 1346430 ) ( 2189520 1347170 )
NEW met2 ( 2189520 1346430 ) ( 2191440 1346430 )
NEW met2 ( 2191440 1346430 ) ( 2191440 1346985 )
NEW met2 ( 2186640 1346985 ) ( 2187120 1346985 )
NEW met1 ( 1642320 1346985 ) ( 2186640 1346985 )
NEW met1 ( 2187120 1346985 ) ( 2189040 1346985 )
NEW met1 ( 2191440 1346985 ) ( 2838960 1346985 )
NEW met1 ( 2838960 1346985 ) M1M2_PR
NEW met1 ( 1642320 1339955 ) M1M2_PR
NEW met1 ( 1642320 1346985 ) M1M2_PR
NEW met1 ( 1579440 1340695 ) M1M2_PR
NEW met1 ( 1589520 1340695 ) M1M2_PR
NEW met1 ( 1589520 1339955 ) M1M2_PR
NEW met1 ( 2189040 1346985 ) M1M2_PR
NEW met1 ( 2191440 1346985 ) M1M2_PR
NEW met1 ( 2186640 1346985 ) M1M2_PR
NEW met1 ( 2187120 1346985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[106\] ( mprj la_data_out[106] ) ( mgmt_buffers la_data_out_core[106] )
+ ROUTED met2 ( 1579920 1345690 ) ( 1580400 1345690 )
NEW met2 ( 1579920 1345690 ) ( 1579920 1376955 )
NEW met2 ( 1580400 1325710 0 ) ( 1580400 1345690 )
NEW met2 ( 2856720 1376955 ) ( 2856720 1389350 0 )
NEW met1 ( 1579920 1376955 ) ( 2856720 1376955 )
NEW met1 ( 1579920 1376955 ) M1M2_PR
NEW met1 ( 2856720 1376955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[107\] ( mprj la_data_out[107] ) ( mgmt_buffers la_data_out_core[107] )
+ ROUTED met2 ( 1582320 1325710 0 ) ( 1582320 1349205 )
NEW met2 ( 2874480 1346615 ) ( 2874480 1389350 0 )
NEW met2 ( 1639440 1346615 ) ( 1639440 1349205 )
NEW met1 ( 1582320 1349205 ) ( 1639440 1349205 )
NEW met1 ( 2190000 1346615 ) ( 2190000 1346985 )
NEW met1 ( 2190000 1346985 ) ( 2190960 1346985 )
NEW met1 ( 2190960 1346615 ) ( 2190960 1346985 )
NEW met1 ( 1639440 1346615 ) ( 2190000 1346615 )
NEW met1 ( 2290800 1346245 ) ( 2290800 1346615 )
NEW met1 ( 2290800 1346245 ) ( 2292720 1346245 )
NEW met1 ( 2292720 1346245 ) ( 2292720 1346615 )
NEW met1 ( 2190960 1346615 ) ( 2290800 1346615 )
NEW met1 ( 2292720 1346615 ) ( 2874480 1346615 )
NEW met1 ( 1582320 1349205 ) M1M2_PR
NEW met1 ( 2874480 1346615 ) M1M2_PR
NEW met1 ( 1639440 1349205 ) M1M2_PR
NEW met1 ( 1639440 1346615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[108\] ( mprj la_data_out[108] ) ( mgmt_buffers la_data_out_core[108] )
+ ROUTED met2 ( 1584720 1325710 0 ) ( 1586160 1325710 )
NEW met2 ( 1586160 1325710 ) ( 1586160 1331445 )
NEW met1 ( 1586160 1331445 ) ( 1588080 1331445 )
NEW met2 ( 1588080 1331445 ) ( 1588080 1376215 )
NEW met2 ( 2892720 1376215 ) ( 2892720 1389350 0 )
NEW met1 ( 1588080 1376215 ) ( 2892720 1376215 )
NEW met1 ( 1588080 1376215 ) M1M2_PR
NEW met1 ( 1586160 1331445 ) M1M2_PR
NEW met1 ( 1588080 1331445 ) M1M2_PR
NEW met1 ( 2892720 1376215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[109\] ( mprj la_data_out[109] ) ( mgmt_buffers la_data_out_core[109] )
+ ROUTED met3 ( 1587600 1349390 ) ( 1623600 1349390 )
NEW met2 ( 1623600 1349390 ) ( 1623600 1355495 )
NEW met1 ( 1623600 1355495 ) ( 1628400 1355495 )
NEW met2 ( 1628400 1355310 ) ( 1628400 1355495 )
NEW met2 ( 1586880 1325710 0 ) ( 1587600 1325710 )
NEW met2 ( 1587600 1325710 ) ( 1587600 1349390 )
NEW met2 ( 1643280 1353090 ) ( 1643280 1355310 )
NEW met3 ( 1628400 1355310 ) ( 1643280 1355310 )
NEW met2 ( 2910480 1353090 ) ( 2910480 1389350 0 )
NEW met3 ( 1643280 1353090 ) ( 2910480 1353090 )
NEW met2 ( 1587600 1349390 ) via2_FR
NEW met2 ( 1623600 1349390 ) via2_FR
NEW met1 ( 1623600 1355495 ) M1M2_PR
NEW met1 ( 1628400 1355495 ) M1M2_PR
NEW met2 ( 1628400 1355310 ) via2_FR
NEW met2 ( 1643280 1355310 ) via2_FR
NEW met2 ( 1643280 1353090 ) via2_FR
NEW met2 ( 2910480 1353090 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[10\] ( mprj la_data_out[10] ) ( mgmt_buffers la_data_out_core[10] )
+ ROUTED met2 ( 1144080 1367705 ) ( 1144080 1389350 0 )
NEW met2 ( 1371120 1325710 0 ) ( 1371120 1367705 )
NEW met1 ( 1144080 1367705 ) ( 1371120 1367705 )
NEW met1 ( 1144080 1367705 ) M1M2_PR
NEW met1 ( 1371120 1367705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[110\] ( mprj la_data_out[110] ) ( mgmt_buffers la_data_out_core[110] )
+ ROUTED met1 ( 1638000 1370665 ) ( 1638000 1371405 )
NEW met2 ( 1692240 1371405 ) ( 1692240 1375845 )
NEW met1 ( 1638000 1371405 ) ( 1692240 1371405 )
NEW met2 ( 2928240 1375845 ) ( 2928240 1389350 0 )
NEW met2 ( 1589280 1325710 0 ) ( 1590000 1325710 )
NEW met2 ( 1590000 1325710 ) ( 1590000 1331445 )
NEW met1 ( 1590000 1331445 ) ( 1591440 1331445 )
NEW met2 ( 1591440 1331445 ) ( 1591440 1339030 )
NEW met2 ( 1591440 1339030 ) ( 1592400 1339030 )
NEW met2 ( 1592400 1339030 ) ( 1592400 1370665 )
NEW met1 ( 1592400 1370665 ) ( 1638000 1370665 )
NEW met1 ( 1692240 1375845 ) ( 2928240 1375845 )
NEW met1 ( 1692240 1371405 ) M1M2_PR
NEW met1 ( 1692240 1375845 ) M1M2_PR
NEW met1 ( 2928240 1375845 ) M1M2_PR
NEW met1 ( 1590000 1331445 ) M1M2_PR
NEW met1 ( 1591440 1331445 ) M1M2_PR
NEW met1 ( 1592400 1370665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[111\] ( mprj la_data_out[111] ) ( mgmt_buffers la_data_out_core[111] )
+ ROUTED met2 ( 1616400 1350130 ) ( 1616400 1356790 )
NEW met2 ( 1685040 1350870 ) ( 1685040 1356790 )
NEW met3 ( 1616400 1356790 ) ( 1685040 1356790 )
NEW met2 ( 1590960 1324230 0 ) ( 1592400 1324230 )
NEW met2 ( 1592400 1324230 ) ( 1592400 1324785 )
NEW met1 ( 1592400 1324785 ) ( 1593840 1324785 )
NEW met2 ( 1593840 1324785 ) ( 1593840 1350130 )
NEW met3 ( 1593840 1350130 ) ( 1616400 1350130 )
NEW met2 ( 2865840 1349945 ) ( 2865840 1350870 )
NEW met1 ( 2865840 1349945 ) ( 2946000 1349945 )
NEW met3 ( 1685040 1350870 ) ( 2865840 1350870 )
NEW met2 ( 2946000 1349945 ) ( 2946000 1389350 0 )
NEW met2 ( 1616400 1350130 ) via2_FR
NEW met2 ( 1616400 1356790 ) via2_FR
NEW met2 ( 1685040 1356790 ) via2_FR
NEW met2 ( 1685040 1350870 ) via2_FR
NEW met1 ( 1592400 1324785 ) M1M2_PR
NEW met1 ( 1593840 1324785 ) M1M2_PR
NEW met2 ( 1593840 1350130 ) via2_FR
NEW met2 ( 2865840 1350870 ) via2_FR
NEW met1 ( 2865840 1349945 ) M1M2_PR
NEW met1 ( 2946000 1349945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[112\] ( mprj la_data_out[112] ) ( mgmt_buffers la_data_out_core[112] )
+ ROUTED met2 ( 2963760 1375475 ) ( 2963760 1389350 0 )
NEW met2 ( 1593360 1325710 0 ) ( 1593360 1375845 )
NEW met1 ( 1691760 1375475 ) ( 1691760 1375845 )
NEW met1 ( 1593360 1375845 ) ( 1691760 1375845 )
NEW met1 ( 1691760 1375475 ) ( 2963760 1375475 )
NEW met1 ( 1593360 1375845 ) M1M2_PR
NEW met1 ( 2963760 1375475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[113\] ( mprj la_data_out[113] ) ( mgmt_buffers la_data_out_core[113] )
+ ROUTED met2 ( 2982000 1350130 ) ( 2982000 1389350 0 )
NEW met2 ( 1595760 1325710 0 ) ( 1595760 1350870 )
NEW met3 ( 1654560 1350130 ) ( 1654560 1350870 )
NEW met3 ( 1595760 1350870 ) ( 1654560 1350870 )
NEW met3 ( 1654560 1350130 ) ( 2982000 1350130 )
NEW met2 ( 1595760 1350870 ) via2_FR
NEW met2 ( 2982000 1350130 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[114\] ( mprj la_data_out[114] ) ( mgmt_buffers la_data_out_core[114] )
+ ROUTED met2 ( 2999280 1375105 ) ( 2999280 1389350 0 )
NEW met1 ( 1691280 1375105 ) ( 1691280 1375475 )
NEW met2 ( 1597920 1325710 0 ) ( 1599120 1325710 )
NEW met2 ( 1599120 1325710 ) ( 1599120 1375475 )
NEW met1 ( 1599120 1375475 ) ( 1691280 1375475 )
NEW met1 ( 1691280 1375105 ) ( 2999280 1375105 )
NEW met1 ( 2999280 1375105 ) M1M2_PR
NEW met1 ( 1599120 1375475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[115\] ( mprj la_data_out[115] ) ( mgmt_buffers la_data_out_core[115] )
+ ROUTED met2 ( 3017040 1381950 ) ( 3017040 1389350 0 )
NEW met2 ( 1599840 1325710 0 ) ( 1601040 1325710 )
NEW met2 ( 1601040 1325710 ) ( 1601040 1381950 )
NEW met3 ( 1601040 1381950 ) ( 3017040 1381950 )
NEW met2 ( 1601040 1381950 ) via2_FR
NEW met2 ( 3017040 1381950 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[116\] ( mprj la_data_out[116] ) ( mgmt_buffers la_data_out_core[116] )
+ ROUTED met1 ( 1602000 1355125 ) ( 1626960 1355125 )
NEW met2 ( 1626960 1355125 ) ( 1626960 1357530 )
NEW met2 ( 1602000 1325710 0 ) ( 1602000 1355125 )
NEW met5 ( 1785120 1333665 ) ( 1842720 1333665 )
NEW met4 ( 1842720 1333110 ) ( 1842720 1333665 )
NEW met4 ( 1785120 1333665 ) ( 1785120 1357530 )
NEW met2 ( 3035280 1325710 ) ( 3035280 1389350 0 )
NEW met3 ( 1626960 1357530 ) ( 1785120 1357530 )
NEW met2 ( 2066160 1324785 ) ( 2066160 1326450 )
NEW met2 ( 2419440 1324785 ) ( 2419440 1325710 )
NEW met2 ( 1943280 1324785 ) ( 1943280 1333110 )
NEW met3 ( 1842720 1333110 ) ( 1943280 1333110 )
NEW met1 ( 1943280 1324785 ) ( 2066160 1324785 )
NEW met3 ( 2973600 1324970 ) ( 2973600 1325710 )
NEW met3 ( 2973600 1325710 ) ( 3035280 1325710 )
NEW met3 ( 2617440 1324970 ) ( 2617440 1325710 )
NEW met3 ( 2816160 1324970 ) ( 2816160 1325710 )
NEW met3 ( 2816160 1324970 ) ( 2973600 1324970 )
NEW met2 ( 2555760 1324785 ) ( 2555760 1325710 )
NEW met1 ( 2419440 1324785 ) ( 2555760 1324785 )
NEW met3 ( 2555760 1325710 ) ( 2617440 1325710 )
NEW met2 ( 2275440 1326450 ) ( 2275440 1327190 )
NEW met2 ( 2275440 1327190 ) ( 2275920 1327190 )
NEW met2 ( 2275920 1324785 ) ( 2275920 1327190 )
NEW met1 ( 2275920 1324785 ) ( 2375760 1324785 )
NEW met2 ( 2375760 1324785 ) ( 2375760 1325710 )
NEW met3 ( 2066160 1326450 ) ( 2275440 1326450 )
NEW met3 ( 2375760 1325710 ) ( 2419440 1325710 )
NEW met3 ( 2687520 1324970 ) ( 2687520 1325710 )
NEW met3 ( 2617440 1324970 ) ( 2687520 1324970 )
NEW met3 ( 2687520 1325710 ) ( 2816160 1325710 )
NEW met1 ( 1602000 1355125 ) M1M2_PR
NEW met1 ( 1626960 1355125 ) M1M2_PR
NEW met2 ( 1626960 1357530 ) via2_FR
NEW met3 ( 1785120 1357530 ) M3M4_PR_M
NEW met4 ( 1785120 1333665 ) via4_FR
NEW met4 ( 1842720 1333665 ) via4_FR
NEW met3 ( 1842720 1333110 ) M3M4_PR_M
NEW met2 ( 3035280 1325710 ) via2_FR
NEW met1 ( 2066160 1324785 ) M1M2_PR
NEW met2 ( 2066160 1326450 ) via2_FR
NEW met2 ( 2419440 1325710 ) via2_FR
NEW met1 ( 2419440 1324785 ) M1M2_PR
NEW met1 ( 1943280 1324785 ) M1M2_PR
NEW met2 ( 1943280 1333110 ) via2_FR
NEW met1 ( 2555760 1324785 ) M1M2_PR
NEW met2 ( 2555760 1325710 ) via2_FR
NEW met2 ( 2275440 1326450 ) via2_FR
NEW met1 ( 2275920 1324785 ) M1M2_PR
NEW met1 ( 2375760 1324785 ) M1M2_PR
NEW met2 ( 2375760 1325710 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[117\] ( mprj la_data_out[117] ) ( mgmt_buffers la_data_out_core[117] )
+ ROUTED met2 ( 1604400 1325710 0 ) ( 1604400 1347170 )
NEW met2 ( 1622160 1347170 ) ( 1622160 1358270 )
NEW met3 ( 1622160 1358270 ) ( 1644240 1358270 )
NEW met2 ( 1644240 1355310 ) ( 1644240 1358270 )
NEW met3 ( 1644240 1355310 ) ( 1712400 1355310 )
NEW met2 ( 1712400 1349390 ) ( 1712400 1355310 )
NEW met2 ( 1712400 1349390 ) ( 1712880 1349390 )
NEW met3 ( 1604400 1347170 ) ( 1622160 1347170 )
NEW met2 ( 2419440 1348650 ) ( 2419920 1348650 )
NEW met2 ( 2419920 1348650 ) ( 2419920 1348835 )
NEW met2 ( 1915920 1349390 ) ( 1915920 1354385 )
NEW met1 ( 1915920 1354385 ) ( 1918320 1354385 )
NEW met2 ( 1918320 1353645 ) ( 1918320 1354385 )
NEW met1 ( 1918320 1353645 ) ( 1938960 1353645 )
NEW met2 ( 1938960 1353645 ) ( 1938960 1353830 )
NEW met3 ( 1938960 1353830 ) ( 1973040 1353830 )
NEW met2 ( 1973040 1352535 ) ( 1973040 1353830 )
NEW met1 ( 1973040 1352535 ) ( 2015760 1352535 )
NEW met2 ( 2015760 1349390 ) ( 2015760 1352535 )
NEW met3 ( 1712880 1349390 ) ( 1915920 1349390 )
NEW met2 ( 2649360 1348650 ) ( 2649840 1348650 )
NEW met2 ( 2649840 1348650 ) ( 2649840 1349390 )
NEW met2 ( 2591760 1348650 ) ( 2591760 1348835 )
NEW met1 ( 2419920 1348835 ) ( 2591760 1348835 )
NEW met3 ( 2591760 1348650 ) ( 2649360 1348650 )
NEW met3 ( 2189280 1348650 ) ( 2189280 1349390 )
NEW met2 ( 2346960 1349390 ) ( 2347440 1349390 )
NEW met2 ( 2347440 1348650 ) ( 2347440 1349390 )
NEW met3 ( 2189280 1349390 ) ( 2346960 1349390 )
NEW met3 ( 2347440 1348650 ) ( 2419440 1348650 )
NEW met2 ( 3053040 1348650 ) ( 3053040 1389350 0 )
NEW met2 ( 2073840 1348650 ) ( 2073840 1349390 )
NEW met2 ( 2073840 1348650 ) ( 2074320 1348650 )
NEW met2 ( 2074320 1348650 ) ( 2074320 1353645 )
NEW met1 ( 2074320 1353645 ) ( 2174160 1353645 )
NEW met2 ( 2174160 1348650 ) ( 2174160 1353645 )
NEW met3 ( 2015760 1349390 ) ( 2073840 1349390 )
NEW met3 ( 2174160 1348650 ) ( 2189280 1348650 )
NEW met2 ( 2765040 1348650 ) ( 2765040 1349390 )
NEW met2 ( 2765040 1348650 ) ( 2765520 1348650 )
NEW met3 ( 2765520 1348650 ) ( 2851680 1348650 )
NEW met3 ( 2851680 1347910 ) ( 2851680 1348650 )
NEW met3 ( 2649840 1349390 ) ( 2765040 1349390 )
NEW met2 ( 2865840 1347725 ) ( 2865840 1347910 )
NEW met1 ( 2865840 1347725 ) ( 2966160 1347725 )
NEW met2 ( 2966160 1347725 ) ( 2966160 1348650 )
NEW met3 ( 2851680 1347910 ) ( 2865840 1347910 )
NEW met3 ( 2966160 1348650 ) ( 3053040 1348650 )
NEW met2 ( 1604400 1347170 ) via2_FR
NEW met2 ( 1622160 1347170 ) via2_FR
NEW met2 ( 1622160 1358270 ) via2_FR
NEW met2 ( 1644240 1358270 ) via2_FR
NEW met2 ( 1644240 1355310 ) via2_FR
NEW met2 ( 1712400 1355310 ) via2_FR
NEW met2 ( 1712880 1349390 ) via2_FR
NEW met2 ( 2419440 1348650 ) via2_FR
NEW met1 ( 2419920 1348835 ) M1M2_PR
NEW met2 ( 1915920 1349390 ) via2_FR
NEW met1 ( 1915920 1354385 ) M1M2_PR
NEW met1 ( 1918320 1354385 ) M1M2_PR
NEW met1 ( 1918320 1353645 ) M1M2_PR
NEW met1 ( 1938960 1353645 ) M1M2_PR
NEW met2 ( 1938960 1353830 ) via2_FR
NEW met2 ( 1973040 1353830 ) via2_FR
NEW met1 ( 1973040 1352535 ) M1M2_PR
NEW met1 ( 2015760 1352535 ) M1M2_PR
NEW met2 ( 2015760 1349390 ) via2_FR
NEW met2 ( 2649360 1348650 ) via2_FR
NEW met2 ( 2649840 1349390 ) via2_FR
NEW met2 ( 2591760 1348650 ) via2_FR
NEW met1 ( 2591760 1348835 ) M1M2_PR
NEW met2 ( 2346960 1349390 ) via2_FR
NEW met2 ( 2347440 1348650 ) via2_FR
NEW met2 ( 3053040 1348650 ) via2_FR
NEW met2 ( 2073840 1349390 ) via2_FR
NEW met1 ( 2074320 1353645 ) M1M2_PR
NEW met1 ( 2174160 1353645 ) M1M2_PR
NEW met2 ( 2174160 1348650 ) via2_FR
NEW met2 ( 2765040 1349390 ) via2_FR
NEW met2 ( 2765520 1348650 ) via2_FR
NEW met2 ( 2865840 1347910 ) via2_FR
NEW met1 ( 2865840 1347725 ) M1M2_PR
NEW met1 ( 2966160 1347725 ) M1M2_PR
NEW met2 ( 2966160 1348650 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[118\] ( mprj la_data_out[118] ) ( mgmt_buffers la_data_out_core[118] )
+ ROUTED met2 ( 1606800 1325710 0 ) ( 1607760 1325710 )
NEW met2 ( 1607760 1325710 ) ( 1607760 1336625 )
NEW met2 ( 1842000 1333110 ) ( 1842000 1336255 )
NEW met2 ( 2195760 1330150 ) ( 2195760 1333850 )
NEW met2 ( 2396880 1330150 ) ( 2396880 1333850 )
NEW met3 ( 2396880 1330150 ) ( 2399520 1330150 )
NEW met3 ( 2399520 1329410 ) ( 2399520 1330150 )
NEW met2 ( 3071280 1362895 ) ( 3071280 1389350 0 )
NEW met1 ( 2488560 1362895 ) ( 3071280 1362895 )
NEW met2 ( 1843920 1335330 ) ( 1843920 1336255 )
NEW met1 ( 1842000 1336255 ) ( 1843920 1336255 )
NEW met2 ( 2296560 1330150 ) ( 2296560 1333850 )
NEW met3 ( 2195760 1330150 ) ( 2296560 1330150 )
NEW met3 ( 2296560 1333850 ) ( 2396880 1333850 )
NEW met3 ( 2399520 1329410 ) ( 2488560 1329410 )
NEW met2 ( 2488560 1329410 ) ( 2488560 1362895 )
NEW met1 ( 1640400 1336625 ) ( 1640400 1337365 )
NEW met1 ( 1640400 1337365 ) ( 1687440 1337365 )
NEW met2 ( 1687440 1334775 ) ( 1687440 1337365 )
NEW met1 ( 1607760 1336625 ) ( 1640400 1336625 )
NEW met4 ( 1940640 1335330 ) ( 1940880 1335330 )
NEW met4 ( 1940880 1334590 ) ( 1940880 1335330 )
NEW met4 ( 1940880 1334590 ) ( 1942560 1334590 )
NEW met4 ( 1942560 1332370 ) ( 1942560 1334590 )
NEW met4 ( 1942560 1332370 ) ( 1948320 1332370 )
NEW met4 ( 1948320 1332370 ) ( 1948320 1333850 )
NEW met3 ( 1843920 1335330 ) ( 1940640 1335330 )
NEW met3 ( 1948320 1333850 ) ( 2195760 1333850 )
NEW met2 ( 1749360 1331445 ) ( 1749360 1333110 )
NEW met1 ( 1740240 1331445 ) ( 1749360 1331445 )
NEW met2 ( 1740240 1331445 ) ( 1740240 1334775 )
NEW met1 ( 1687440 1334775 ) ( 1740240 1334775 )
NEW met3 ( 1749360 1333110 ) ( 1842000 1333110 )
NEW met1 ( 1607760 1336625 ) M1M2_PR
NEW met2 ( 1842000 1333110 ) via2_FR
NEW met1 ( 1842000 1336255 ) M1M2_PR
NEW met2 ( 2195760 1333850 ) via2_FR
NEW met2 ( 2195760 1330150 ) via2_FR
NEW met2 ( 2396880 1333850 ) via2_FR
NEW met2 ( 2396880 1330150 ) via2_FR
NEW met1 ( 2488560 1362895 ) M1M2_PR
NEW met1 ( 3071280 1362895 ) M1M2_PR
NEW met1 ( 1843920 1336255 ) M1M2_PR
NEW met2 ( 1843920 1335330 ) via2_FR
NEW met2 ( 2296560 1330150 ) via2_FR
NEW met2 ( 2296560 1333850 ) via2_FR
NEW met2 ( 2488560 1329410 ) via2_FR
NEW met1 ( 1687440 1337365 ) M1M2_PR
NEW met1 ( 1687440 1334775 ) M1M2_PR
NEW met3 ( 1940640 1335330 ) M3M4_PR_M
NEW met3 ( 1948320 1333850 ) M3M4_PR_M
NEW met2 ( 1749360 1333110 ) via2_FR
NEW met1 ( 1749360 1331445 ) M1M2_PR
NEW met1 ( 1740240 1331445 ) M1M2_PR
NEW met1 ( 1740240 1334775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[119\] ( mprj la_data_out[119] ) ( mgmt_buffers la_data_out_core[119] )
+ ROUTED met2 ( 1608480 1325710 0 ) ( 1609680 1325710 )
NEW met2 ( 1609680 1325710 ) ( 1609680 1342175 )
NEW met2 ( 2036880 1333110 ) ( 2036880 1333665 )
NEW met3 ( 2036880 1333110 ) ( 2044080 1333110 )
NEW met2 ( 2044080 1333110 ) ( 2044080 1334590 )
NEW met2 ( 2196240 1329410 ) ( 2196240 1333110 )
NEW met2 ( 2397360 1330890 ) ( 2397360 1333110 )
NEW met2 ( 3088560 1365485 ) ( 3088560 1389350 0 )
NEW met1 ( 2506320 1365485 ) ( 3088560 1365485 )
NEW met2 ( 2095920 1333110 ) ( 2095920 1334590 )
NEW met3 ( 2044080 1334590 ) ( 2095920 1334590 )
NEW met3 ( 2095920 1333110 ) ( 2196240 1333110 )
NEW met2 ( 2297040 1329410 ) ( 2297040 1333110 )
NEW met3 ( 2196240 1329410 ) ( 2297040 1329410 )
NEW met3 ( 2297040 1333110 ) ( 2397360 1333110 )
NEW met3 ( 2397360 1330890 ) ( 2506320 1330890 )
NEW met2 ( 2506320 1330890 ) ( 2506320 1365485 )
NEW met2 ( 1623120 1342175 ) ( 1623120 1343470 )
NEW met3 ( 1623120 1343470 ) ( 1643280 1343470 )
NEW met2 ( 1643280 1342730 ) ( 1643280 1343470 )
NEW met2 ( 1643280 1342730 ) ( 1645680 1342730 )
NEW met2 ( 1645680 1338475 ) ( 1645680 1342730 )
NEW met2 ( 1645680 1338475 ) ( 1647120 1338475 )
NEW met2 ( 1647120 1338475 ) ( 1647120 1338845 )
NEW met1 ( 1647120 1338845 ) ( 1662960 1338845 )
NEW met2 ( 1662960 1338105 ) ( 1662960 1338845 )
NEW met1 ( 1662960 1338105 ) ( 1688400 1338105 )
NEW met2 ( 1688400 1333295 ) ( 1688400 1338105 )
NEW met1 ( 1609680 1342175 ) ( 1623120 1342175 )
NEW met2 ( 1837200 1333295 ) ( 1837200 1336995 )
NEW met1 ( 1837200 1336995 ) ( 1887600 1336995 )
NEW met1 ( 1887600 1336625 ) ( 1887600 1336995 )
NEW met1 ( 1887600 1336625 ) ( 1892880 1336625 )
NEW met2 ( 1892880 1332925 ) ( 1892880 1336625 )
NEW met1 ( 1688400 1333295 ) ( 1837200 1333295 )
NEW met2 ( 1965360 1332925 ) ( 1965360 1333665 )
NEW met1 ( 1892880 1332925 ) ( 1965360 1332925 )
NEW met1 ( 1965360 1333665 ) ( 2036880 1333665 )
NEW met1 ( 1609680 1342175 ) M1M2_PR
NEW met1 ( 2036880 1333665 ) M1M2_PR
NEW met2 ( 2036880 1333110 ) via2_FR
NEW met2 ( 2044080 1333110 ) via2_FR
NEW met2 ( 2044080 1334590 ) via2_FR
NEW met2 ( 2196240 1333110 ) via2_FR
NEW met2 ( 2196240 1329410 ) via2_FR
NEW met2 ( 2397360 1333110 ) via2_FR
NEW met2 ( 2397360 1330890 ) via2_FR
NEW met1 ( 2506320 1365485 ) M1M2_PR
NEW met1 ( 3088560 1365485 ) M1M2_PR
NEW met2 ( 2095920 1334590 ) via2_FR
NEW met2 ( 2095920 1333110 ) via2_FR
NEW met2 ( 2297040 1329410 ) via2_FR
NEW met2 ( 2297040 1333110 ) via2_FR
NEW met2 ( 2506320 1330890 ) via2_FR
NEW met1 ( 1623120 1342175 ) M1M2_PR
NEW met2 ( 1623120 1343470 ) via2_FR
NEW met2 ( 1643280 1343470 ) via2_FR
NEW met1 ( 1647120 1338845 ) M1M2_PR
NEW met1 ( 1662960 1338845 ) M1M2_PR
NEW met1 ( 1662960 1338105 ) M1M2_PR
NEW met1 ( 1688400 1338105 ) M1M2_PR
NEW met1 ( 1688400 1333295 ) M1M2_PR
NEW met1 ( 1837200 1333295 ) M1M2_PR
NEW met1 ( 1837200 1336995 ) M1M2_PR
NEW met1 ( 1892880 1336625 ) M1M2_PR
NEW met1 ( 1892880 1332925 ) M1M2_PR
NEW met1 ( 1965360 1332925 ) M1M2_PR
NEW met1 ( 1965360 1333665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[11\] ( mprj la_data_out[11] ) ( mgmt_buffers la_data_out_core[11] )
+ ROUTED met2 ( 1162320 1359195 ) ( 1162320 1389350 0 )
NEW met2 ( 1356240 1356235 ) ( 1356240 1359195 )
NEW met1 ( 1356240 1356235 ) ( 1373520 1356235 )
NEW met2 ( 1373520 1325710 0 ) ( 1373520 1356235 )
NEW met1 ( 1162320 1359195 ) ( 1356240 1359195 )
NEW met1 ( 1162320 1359195 ) M1M2_PR
NEW met1 ( 1356240 1359195 ) M1M2_PR
NEW met1 ( 1356240 1356235 ) M1M2_PR
NEW met1 ( 1373520 1356235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[120\] ( mprj la_data_out[120] ) ( mgmt_buffers la_data_out_core[120] )
+ ROUTED met2 ( 1610880 1325710 0 ) ( 1612560 1325710 )
NEW met2 ( 1612560 1325710 ) ( 1612560 1331445 )
NEW met2 ( 1743120 1332555 ) ( 1743120 1333850 )
NEW met2 ( 1743120 1333850 ) ( 1743600 1333850 )
NEW met2 ( 2043600 1333665 ) ( 2043600 1337550 )
NEW met3 ( 1945440 1337550 ) ( 2043600 1337550 )
NEW met4 ( 1945440 1333850 ) ( 1945440 1337550 )
NEW met2 ( 3106320 1363265 ) ( 3106320 1389350 0 )
NEW met1 ( 2526480 1363265 ) ( 3106320 1363265 )
NEW met2 ( 1643280 1331445 ) ( 1643280 1334590 )
NEW met3 ( 1643280 1334590 ) ( 1739280 1334590 )
NEW met2 ( 1739280 1332555 ) ( 1739280 1334590 )
NEW met1 ( 1612560 1331445 ) ( 1643280 1331445 )
NEW met1 ( 1739280 1332555 ) ( 1743120 1332555 )
NEW met3 ( 1743600 1333850 ) ( 1945440 1333850 )
NEW met3 ( 2095200 1332370 ) ( 2095200 1333110 )
NEW met3 ( 2048400 1333110 ) ( 2095200 1333110 )
NEW met2 ( 2048400 1333110 ) ( 2048400 1333665 )
NEW met1 ( 2043600 1333665 ) ( 2048400 1333665 )
NEW met3 ( 2095200 1332370 ) ( 2526480 1332370 )
NEW met2 ( 2526480 1332370 ) ( 2526480 1363265 )
NEW met1 ( 1612560 1331445 ) M1M2_PR
NEW met1 ( 1743120 1332555 ) M1M2_PR
NEW met2 ( 1743600 1333850 ) via2_FR
NEW met1 ( 2043600 1333665 ) M1M2_PR
NEW met2 ( 2043600 1337550 ) via2_FR
NEW met3 ( 1945440 1337550 ) M3M4_PR_M
NEW met3 ( 1945440 1333850 ) M3M4_PR_M
NEW met1 ( 2526480 1363265 ) M1M2_PR
NEW met1 ( 3106320 1363265 ) M1M2_PR
NEW met1 ( 1643280 1331445 ) M1M2_PR
NEW met2 ( 1643280 1334590 ) via2_FR
NEW met2 ( 1739280 1334590 ) via2_FR
NEW met1 ( 1739280 1332555 ) M1M2_PR
NEW met2 ( 2048400 1333110 ) via2_FR
NEW met1 ( 2048400 1333665 ) M1M2_PR
NEW met2 ( 2526480 1332370 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[121\] ( mprj la_data_out[121] ) ( mgmt_buffers la_data_out_core[121] )
+ ROUTED met2 ( 1613040 1325710 0 ) ( 1613520 1325710 )
NEW met2 ( 1613520 1325710 ) ( 1613520 1381210 )
NEW met2 ( 3124560 1381210 ) ( 3124560 1389350 0 )
NEW met3 ( 1613520 1381210 ) ( 3124560 1381210 )
NEW met2 ( 1613520 1381210 ) via2_FR
NEW met2 ( 3124560 1381210 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[122\] ( mprj la_data_out[122] ) ( mgmt_buffers la_data_out_core[122] )
+ ROUTED met2 ( 3142320 1338845 ) ( 3142320 1389350 0 )
NEW met2 ( 1615440 1325710 0 ) ( 1616880 1325710 )
NEW met2 ( 1616880 1325710 ) ( 1616880 1350685 )
NEW met1 ( 1616880 1350685 ) ( 1684560 1350685 )
NEW met2 ( 1684560 1338845 ) ( 1684560 1350685 )
NEW met1 ( 1684560 1338845 ) ( 3142320 1338845 )
NEW met1 ( 3142320 1338845 ) M1M2_PR
NEW met1 ( 1616880 1350685 ) M1M2_PR
NEW met1 ( 1684560 1350685 ) M1M2_PR
NEW met1 ( 1684560 1338845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[123\] ( mprj la_data_out[123] ) ( mgmt_buffers la_data_out_core[123] )
+ ROUTED met2 ( 3160560 1347170 ) ( 3160560 1389350 0 )
NEW met2 ( 1617360 1325710 0 ) ( 1617360 1350130 )
NEW met2 ( 1645200 1347170 ) ( 1645200 1350130 )
NEW met3 ( 1617360 1350130 ) ( 1645200 1350130 )
NEW met3 ( 1645200 1347170 ) ( 3160560 1347170 )
NEW met2 ( 3160560 1347170 ) via2_FR
NEW met2 ( 1617360 1350130 ) via2_FR
NEW met2 ( 1645200 1350130 ) via2_FR
NEW met2 ( 1645200 1347170 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[124\] ( mprj la_data_out[124] ) ( mgmt_buffers la_data_out_core[124] )
+ ROUTED met2 ( 3177840 1328670 ) ( 3177840 1389350 0 )
NEW met1 ( 1842480 1324785 ) ( 1842480 1325155 )
NEW met1 ( 1842480 1325155 ) ( 1842960 1325155 )
NEW met2 ( 1842960 1325155 ) ( 1842960 1334590 )
NEW met2 ( 1738800 1324785 ) ( 1738800 1333110 )
NEW met1 ( 1738800 1324785 ) ( 1842480 1324785 )
NEW met2 ( 1842960 1334590 ) ( 1843440 1334590 )
NEW met2 ( 2066160 1328670 ) ( 2066160 1331815 )
NEW met3 ( 2066160 1328670 ) ( 3177840 1328670 )
NEW met2 ( 1619520 1324970 0 ) ( 1620720 1324970 )
NEW met2 ( 1620720 1324785 ) ( 1620720 1324970 )
NEW met1 ( 1620720 1324785 ) ( 1646640 1324785 )
NEW met2 ( 1646640 1324785 ) ( 1646640 1333110 )
NEW met3 ( 1646640 1333110 ) ( 1738800 1333110 )
NEW met2 ( 1998000 1331815 ) ( 1998000 1336995 )
NEW met1 ( 1983600 1336995 ) ( 1998000 1336995 )
NEW met1 ( 1983600 1336625 ) ( 1983600 1336995 )
NEW met1 ( 1944240 1336625 ) ( 1983600 1336625 )
NEW met2 ( 1944240 1334590 ) ( 1944240 1336625 )
NEW met3 ( 1843440 1334590 ) ( 1944240 1334590 )
NEW met1 ( 1998000 1331815 ) ( 2066160 1331815 )
NEW met2 ( 3177840 1328670 ) via2_FR
NEW met1 ( 1842960 1325155 ) M1M2_PR
NEW met2 ( 1738800 1333110 ) via2_FR
NEW met1 ( 1738800 1324785 ) M1M2_PR
NEW met2 ( 1843440 1334590 ) via2_FR
NEW met2 ( 2066160 1328670 ) via2_FR
NEW met1 ( 2066160 1331815 ) M1M2_PR
NEW met1 ( 1620720 1324785 ) M1M2_PR
NEW met1 ( 1646640 1324785 ) M1M2_PR
NEW met2 ( 1646640 1333110 ) via2_FR
NEW met1 ( 1998000 1331815 ) M1M2_PR
NEW met1 ( 1998000 1336995 ) M1M2_PR
NEW met1 ( 1944240 1336625 ) M1M2_PR
NEW met2 ( 1944240 1334590 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[125\] ( mprj la_data_out[125] ) ( mgmt_buffers la_data_out_core[125] )
+ ROUTED met2 ( 3195600 1382505 ) ( 3195600 1389350 0 )
NEW met2 ( 1621920 1325710 0 ) ( 1622640 1325710 )
NEW met2 ( 1622640 1325710 ) ( 1622640 1379175 )
NEW met2 ( 1871760 1379175 ) ( 1871760 1382505 )
NEW met1 ( 1622640 1379175 ) ( 1871760 1379175 )
NEW met1 ( 1871760 1382505 ) ( 3195600 1382505 )
NEW met1 ( 3195600 1382505 ) M1M2_PR
NEW met1 ( 1622640 1379175 ) M1M2_PR
NEW met1 ( 1871760 1379175 ) M1M2_PR
NEW met1 ( 1871760 1382505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[126\] ( mprj la_data_out[126] ) ( mgmt_buffers la_data_out_core[126] )
+ ROUTED met2 ( 3213840 1333850 ) ( 3213840 1389350 0 )
NEW met2 ( 1831440 1334590 ) ( 1831440 1335145 )
NEW met2 ( 1831440 1334590 ) ( 1832400 1334590 )
NEW met2 ( 1832400 1334590 ) ( 1832400 1336070 )
NEW met2 ( 1832400 1336070 ) ( 1834320 1336070 )
NEW met2 ( 1834320 1336070 ) ( 1834320 1338475 )
NEW met2 ( 2043120 1334035 ) ( 2043120 1339030 )
NEW met2 ( 2195280 1327930 ) ( 2195280 1331630 )
NEW met2 ( 2397840 1331630 ) ( 2397840 1333850 )
NEW met2 ( 1846800 1334035 ) ( 1846800 1338475 )
NEW met1 ( 1846800 1334035 ) ( 1894800 1334035 )
NEW met1 ( 1894800 1333665 ) ( 1894800 1334035 )
NEW met1 ( 1834320 1338475 ) ( 1846800 1338475 )
NEW met3 ( 2098080 1330890 ) ( 2098080 1331630 )
NEW met3 ( 2068560 1330890 ) ( 2098080 1330890 )
NEW met2 ( 2068560 1330890 ) ( 2068560 1334035 )
NEW met1 ( 2043120 1334035 ) ( 2068560 1334035 )
NEW met3 ( 2098080 1331630 ) ( 2195280 1331630 )
NEW met2 ( 2290800 1327930 ) ( 2290800 1331630 )
NEW met3 ( 2195280 1327930 ) ( 2290800 1327930 )
NEW met3 ( 2290800 1331630 ) ( 2397840 1331630 )
NEW met3 ( 2397840 1333850 ) ( 3213840 1333850 )
NEW met2 ( 1623600 1325710 0 ) ( 1623600 1342175 )
NEW met1 ( 1623600 1342175 ) ( 1690320 1342175 )
NEW met2 ( 1690320 1335885 ) ( 1690320 1342175 )
NEW met1 ( 1945680 1333665 ) ( 1945680 1334405 )
NEW met1 ( 1945680 1334405 ) ( 1955280 1334405 )
NEW met2 ( 1955280 1334405 ) ( 1955280 1339030 )
NEW met1 ( 1894800 1333665 ) ( 1945680 1333665 )
NEW met3 ( 1955280 1339030 ) ( 2043120 1339030 )
NEW met2 ( 1741200 1335885 ) ( 1741200 1336995 )
NEW met1 ( 1741200 1336995 ) ( 1743120 1336995 )
NEW met1 ( 1743120 1336995 ) ( 1743120 1337365 )
NEW met1 ( 1743120 1337365 ) ( 1749360 1337365 )
NEW met2 ( 1749360 1335145 ) ( 1749360 1337365 )
NEW met1 ( 1690320 1335885 ) ( 1741200 1335885 )
NEW met1 ( 1749360 1335145 ) ( 1831440 1335145 )
NEW met2 ( 3213840 1333850 ) via2_FR
NEW met1 ( 1831440 1335145 ) M1M2_PR
NEW met1 ( 1834320 1338475 ) M1M2_PR
NEW met2 ( 2043120 1339030 ) via2_FR
NEW met1 ( 2043120 1334035 ) M1M2_PR
NEW met2 ( 2195280 1331630 ) via2_FR
NEW met2 ( 2195280 1327930 ) via2_FR
NEW met2 ( 2397840 1331630 ) via2_FR
NEW met2 ( 2397840 1333850 ) via2_FR
NEW met1 ( 1846800 1338475 ) M1M2_PR
NEW met1 ( 1846800 1334035 ) M1M2_PR
NEW met2 ( 2068560 1330890 ) via2_FR
NEW met1 ( 2068560 1334035 ) M1M2_PR
NEW met2 ( 2290800 1327930 ) via2_FR
NEW met2 ( 2290800 1331630 ) via2_FR
NEW met1 ( 1623600 1342175 ) M1M2_PR
NEW met1 ( 1690320 1342175 ) M1M2_PR
NEW met1 ( 1690320 1335885 ) M1M2_PR
NEW met1 ( 1955280 1334405 ) M1M2_PR
NEW met2 ( 1955280 1339030 ) via2_FR
NEW met1 ( 1741200 1335885 ) M1M2_PR
NEW met1 ( 1741200 1336995 ) M1M2_PR
NEW met1 ( 1749360 1337365 ) M1M2_PR
NEW met1 ( 1749360 1335145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[127\] ( mprj la_data_out[127] ) ( mgmt_buffers la_data_out_core[127] )
+ ROUTED met2 ( 3231600 1333110 ) ( 3231600 1389350 0 )
NEW met2 ( 1745520 1332555 ) ( 1745520 1333110 )
NEW met1 ( 1745520 1332555 ) ( 1787760 1332555 )
NEW met2 ( 1787760 1332555 ) ( 1787760 1333850 )
NEW met2 ( 1787760 1333850 ) ( 1788240 1333850 )
NEW met2 ( 1788240 1333850 ) ( 1788240 1341805 )
NEW met2 ( 2042640 1334405 ) ( 2042640 1334590 )
NEW met2 ( 2196720 1327190 ) ( 2196720 1330890 )
NEW met2 ( 2398320 1329410 ) ( 2398320 1333110 )
NEW met3 ( 1740000 1333110 ) ( 1740000 1333850 )
NEW met3 ( 1740000 1333110 ) ( 1745520 1333110 )
NEW met3 ( 2099040 1329410 ) ( 2099040 1330890 )
NEW met3 ( 2067120 1329410 ) ( 2099040 1329410 )
NEW met2 ( 2067120 1329410 ) ( 2067120 1334775 )
NEW met1 ( 2045520 1334775 ) ( 2067120 1334775 )
NEW met1 ( 2045520 1334405 ) ( 2045520 1334775 )
NEW met1 ( 2042640 1334405 ) ( 2045520 1334405 )
NEW met3 ( 2099040 1330890 ) ( 2196720 1330890 )
NEW met2 ( 2298000 1327190 ) ( 2298000 1329410 )
NEW met3 ( 2196720 1327190 ) ( 2298000 1327190 )
NEW met3 ( 2298000 1329410 ) ( 2398320 1329410 )
NEW met3 ( 2398320 1333110 ) ( 3231600 1333110 )
NEW met2 ( 1626000 1324970 0 ) ( 1627440 1324970 )
NEW met2 ( 1627440 1324785 ) ( 1627440 1324970 )
NEW met3 ( 1627440 1324785 ) ( 1627680 1324785 )
NEW met4 ( 1627680 1324785 ) ( 1627680 1327005 )
NEW met5 ( 1627680 1327005 ) ( 1644000 1327005 )
NEW met4 ( 1644000 1327005 ) ( 1644000 1333850 )
NEW met3 ( 1644000 1333850 ) ( 1740000 1333850 )
NEW met1 ( 1801680 1341065 ) ( 1801680 1341805 )
NEW met1 ( 1788240 1341805 ) ( 1801680 1341805 )
NEW met4 ( 1947360 1334590 ) ( 1947360 1343655 )
NEW met5 ( 1885920 1343655 ) ( 1947360 1343655 )
NEW met4 ( 1885920 1339770 ) ( 1885920 1343655 )
NEW met3 ( 1885680 1339770 ) ( 1885920 1339770 )
NEW met2 ( 1885680 1339770 ) ( 1885680 1341065 )
NEW met1 ( 1801680 1341065 ) ( 1885680 1341065 )
NEW met3 ( 1947360 1334590 ) ( 2042640 1334590 )
NEW met2 ( 3231600 1333110 ) via2_FR
NEW met1 ( 1788240 1341805 ) M1M2_PR
NEW met2 ( 1745520 1333110 ) via2_FR
NEW met1 ( 1745520 1332555 ) M1M2_PR
NEW met1 ( 1787760 1332555 ) M1M2_PR
NEW met2 ( 2042640 1334590 ) via2_FR
NEW met1 ( 2042640 1334405 ) M1M2_PR
NEW met2 ( 2196720 1330890 ) via2_FR
NEW met2 ( 2196720 1327190 ) via2_FR
NEW met2 ( 2398320 1329410 ) via2_FR
NEW met2 ( 2398320 1333110 ) via2_FR
NEW met2 ( 2067120 1329410 ) via2_FR
NEW met1 ( 2067120 1334775 ) M1M2_PR
NEW met2 ( 2298000 1327190 ) via2_FR
NEW met2 ( 2298000 1329410 ) via2_FR
NEW met2 ( 1627440 1324785 ) via2_FR
NEW met3 ( 1627680 1324785 ) M3M4_PR_M
NEW met4 ( 1627680 1327005 ) via4_FR
NEW met4 ( 1644000 1327005 ) via4_FR
NEW met3 ( 1644000 1333850 ) M3M4_PR_M
NEW met3 ( 1947360 1334590 ) M3M4_PR_M
NEW met4 ( 1947360 1343655 ) via4_FR
NEW met4 ( 1885920 1343655 ) via4_FR
NEW met3 ( 1885920 1339770 ) M3M4_PR_M
NEW met2 ( 1885680 1339770 ) via2_FR
NEW met1 ( 1885680 1341065 ) M1M2_PR
NEW met3 ( 1627440 1324785 ) RECT ( -380 -150 0 150 )
NEW met3 ( 1885920 1339770 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_data_out_user\[12\] ( mprj la_data_out[12] ) ( mgmt_buffers la_data_out_core[12] )
+ ROUTED met2 ( 1179600 1340325 ) ( 1179600 1389350 0 )
NEW met2 ( 1374480 1325710 ) ( 1375680 1325710 0 )
NEW met2 ( 1374480 1325710 ) ( 1374480 1340325 )
NEW met1 ( 1267920 1339955 ) ( 1267920 1340325 )
NEW met1 ( 1267920 1339955 ) ( 1268880 1339955 )
NEW met1 ( 1268880 1339955 ) ( 1268880 1340325 )
NEW met1 ( 1179600 1340325 ) ( 1267920 1340325 )
NEW met1 ( 1268880 1340325 ) ( 1374480 1340325 )
NEW met1 ( 1179600 1340325 ) M1M2_PR
NEW met1 ( 1374480 1340325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[13\] ( mprj la_data_out[13] ) ( mgmt_buffers la_data_out_core[13] )
+ ROUTED met2 ( 1376400 1325710 ) ( 1377600 1325710 0 )
NEW met2 ( 1376400 1325710 ) ( 1376400 1370295 )
NEW met2 ( 1261680 1370295 ) ( 1261680 1383245 )
NEW met1 ( 1197360 1383245 ) ( 1261680 1383245 )
NEW met2 ( 1197360 1383245 ) ( 1197360 1389350 0 )
NEW met1 ( 1261680 1370295 ) ( 1376400 1370295 )
NEW met1 ( 1376400 1370295 ) M1M2_PR
NEW met1 ( 1261680 1370295 ) M1M2_PR
NEW met1 ( 1261680 1383245 ) M1M2_PR
NEW met1 ( 1197360 1383245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[14\] ( mprj la_data_out[14] ) ( mgmt_buffers la_data_out_core[14] )
+ ROUTED met2 ( 1215600 1339585 ) ( 1215600 1389350 0 )
NEW met2 ( 1378800 1325710 ) ( 1380000 1325710 0 )
NEW met2 ( 1378800 1325710 ) ( 1378800 1339955 )
NEW met1 ( 1279440 1339585 ) ( 1279440 1339955 )
NEW met1 ( 1215600 1339585 ) ( 1279440 1339585 )
NEW met1 ( 1279440 1339955 ) ( 1378800 1339955 )
NEW met1 ( 1215600 1339585 ) M1M2_PR
NEW met1 ( 1378800 1339955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[15\] ( mprj la_data_out[15] ) ( mgmt_buffers la_data_out_core[15] )
+ ROUTED met2 ( 1233360 1357345 ) ( 1233360 1389350 0 )
NEW met2 ( 1380720 1325710 ) ( 1382160 1325710 0 )
NEW met2 ( 1380720 1325710 ) ( 1380720 1357345 )
NEW met1 ( 1233360 1357345 ) ( 1380720 1357345 )
NEW met1 ( 1233360 1357345 ) M1M2_PR
NEW met1 ( 1380720 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[16\] ( mprj la_data_out[16] ) ( mgmt_buffers la_data_out_core[16] )
+ ROUTED met2 ( 1339920 1355865 ) ( 1339920 1358825 )
NEW met1 ( 1339920 1355865 ) ( 1384080 1355865 )
NEW met2 ( 1384080 1325710 0 ) ( 1384080 1355865 )
NEW met2 ( 1251120 1358825 ) ( 1251120 1389350 0 )
NEW met1 ( 1251120 1358825 ) ( 1339920 1358825 )
NEW met1 ( 1339920 1358825 ) M1M2_PR
NEW met1 ( 1339920 1355865 ) M1M2_PR
NEW met1 ( 1384080 1355865 ) M1M2_PR
NEW met1 ( 1251120 1358825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[17\] ( mprj la_data_out[17] ) ( mgmt_buffers la_data_out_core[17] )
+ ROUTED met2 ( 1386480 1325710 0 ) ( 1386480 1355125 )
NEW met2 ( 1268880 1355125 ) ( 1268880 1389350 0 )
NEW met1 ( 1268880 1355125 ) ( 1386480 1355125 )
NEW met1 ( 1386480 1355125 ) M1M2_PR
NEW met1 ( 1268880 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[18\] ( mprj la_data_out[18] ) ( mgmt_buffers la_data_out_core[18] )
+ ROUTED met2 ( 1387440 1325710 ) ( 1388640 1325710 0 )
NEW met2 ( 1387440 1325710 ) ( 1387440 1354015 )
NEW met2 ( 1286640 1354015 ) ( 1286640 1389350 0 )
NEW met1 ( 1286640 1354015 ) ( 1387440 1354015 )
NEW met1 ( 1387440 1354015 ) M1M2_PR
NEW met1 ( 1286640 1354015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[19\] ( mprj la_data_out[19] ) ( mgmt_buffers la_data_out_core[19] )
+ ROUTED met2 ( 1389840 1325710 ) ( 1391040 1325710 0 )
NEW met2 ( 1389840 1325710 ) ( 1389840 1354385 )
NEW met2 ( 1304880 1354385 ) ( 1304880 1389350 0 )
NEW met1 ( 1304880 1354385 ) ( 1389840 1354385 )
NEW met1 ( 1389840 1354385 ) M1M2_PR
NEW met1 ( 1304880 1354385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[1\] ( mprj la_data_out[1] ) ( mgmt_buffers la_data_out_core[1] )
+ ROUTED met2 ( 983760 1376215 ) ( 983760 1389350 0 )
NEW met2 ( 1351440 1325710 0 ) ( 1351440 1376955 )
NEW met2 ( 1288080 1376030 ) ( 1288080 1376215 )
NEW met2 ( 1288080 1376030 ) ( 1289040 1376030 )
NEW met2 ( 1289040 1375475 ) ( 1289040 1376030 )
NEW met1 ( 1289040 1375475 ) ( 1338480 1375475 )
NEW met2 ( 1338480 1375475 ) ( 1338480 1376030 )
NEW met2 ( 1338480 1376030 ) ( 1338960 1376030 )
NEW met2 ( 1338960 1376030 ) ( 1338960 1376955 )
NEW met1 ( 983760 1376215 ) ( 1288080 1376215 )
NEW met1 ( 1338960 1376955 ) ( 1351440 1376955 )
NEW met1 ( 983760 1376215 ) M1M2_PR
NEW met1 ( 1351440 1376955 ) M1M2_PR
NEW met1 ( 1288080 1376215 ) M1M2_PR
NEW met1 ( 1289040 1375475 ) M1M2_PR
NEW met1 ( 1338480 1375475 ) M1M2_PR
NEW met1 ( 1338960 1376955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[20\] ( mprj la_data_out[20] ) ( mgmt_buffers la_data_out_core[20] )
+ ROUTED met2 ( 1392720 1325710 0 ) ( 1392720 1355495 )
NEW met2 ( 1322640 1355495 ) ( 1322640 1389350 0 )
NEW met1 ( 1322640 1355495 ) ( 1392720 1355495 )
NEW met1 ( 1392720 1355495 ) M1M2_PR
NEW met1 ( 1322640 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[21\] ( mprj la_data_out[21] ) ( mgmt_buffers la_data_out_core[21] )
+ ROUTED met1 ( 1340400 1358825 ) ( 1395120 1358825 )
NEW met2 ( 1340400 1358825 ) ( 1340400 1389350 0 )
NEW met2 ( 1395120 1325710 0 ) ( 1395120 1358825 )
NEW met1 ( 1395120 1358825 ) M1M2_PR
NEW met1 ( 1340400 1358825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[22\] ( mprj la_data_out[22] ) ( mgmt_buffers la_data_out_core[22] )
+ ROUTED met1 ( 1358160 1359195 ) ( 1397520 1359195 )
NEW met2 ( 1358160 1359195 ) ( 1358160 1389350 0 )
NEW met2 ( 1397520 1325710 0 ) ( 1397520 1359195 )
NEW met1 ( 1397520 1359195 ) M1M2_PR
NEW met1 ( 1358160 1359195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[23\] ( mprj la_data_out[23] ) ( mgmt_buffers la_data_out_core[23] )
+ ROUTED met1 ( 1375920 1367705 ) ( 1398480 1367705 )
NEW met2 ( 1375920 1367705 ) ( 1375920 1389350 0 )
NEW met2 ( 1398480 1325710 ) ( 1399680 1325710 0 )
NEW met2 ( 1398480 1325710 ) ( 1398480 1367705 )
NEW met1 ( 1398480 1367705 ) M1M2_PR
NEW met1 ( 1375920 1367705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[24\] ( mprj la_data_out[24] ) ( mgmt_buffers la_data_out_core[24] )
+ ROUTED met1 ( 1394160 1367335 ) ( 1400400 1367335 )
NEW met2 ( 1394160 1367335 ) ( 1394160 1389350 0 )
NEW met2 ( 1400400 1325710 ) ( 1401600 1325710 0 )
NEW met2 ( 1400400 1325710 ) ( 1400400 1367335 )
NEW met1 ( 1400400 1367335 ) M1M2_PR
NEW met1 ( 1394160 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[25\] ( mprj la_data_out[25] ) ( mgmt_buffers la_data_out_core[25] )
+ ROUTED met1 ( 1403760 1367335 ) ( 1411920 1367335 )
NEW met2 ( 1411920 1367335 ) ( 1411920 1389350 0 )
NEW met2 ( 1403760 1325710 0 ) ( 1403760 1367335 )
NEW met1 ( 1403760 1367335 ) M1M2_PR
NEW met1 ( 1411920 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[26\] ( mprj la_data_out[26] ) ( mgmt_buffers la_data_out_core[26] )
+ ROUTED met1 ( 1406160 1355865 ) ( 1429200 1355865 )
NEW met2 ( 1429200 1355865 ) ( 1429200 1389350 0 )
NEW met2 ( 1406160 1325710 0 ) ( 1406160 1355865 )
NEW met1 ( 1406160 1355865 ) M1M2_PR
NEW met1 ( 1429200 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[27\] ( mprj la_data_out[27] ) ( mgmt_buffers la_data_out_core[27] )
+ ROUTED met2 ( 1408560 1325710 0 ) ( 1408560 1354755 )
NEW met2 ( 1447440 1354755 ) ( 1447440 1389350 0 )
NEW met1 ( 1408560 1354755 ) ( 1447440 1354755 )
NEW met1 ( 1408560 1354755 ) M1M2_PR
NEW met1 ( 1447440 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[28\] ( mprj la_data_out[28] ) ( mgmt_buffers la_data_out_core[28] )
+ ROUTED met2 ( 1410240 1325710 0 ) ( 1410960 1325710 )
NEW met2 ( 1410960 1325710 ) ( 1410960 1355125 )
NEW met2 ( 1465200 1355125 ) ( 1465200 1389350 0 )
NEW met1 ( 1410960 1355125 ) ( 1465200 1355125 )
NEW met1 ( 1410960 1355125 ) M1M2_PR
NEW met1 ( 1465200 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[29\] ( mprj la_data_out[29] ) ( mgmt_buffers la_data_out_core[29] )
+ ROUTED met2 ( 1412640 1325710 0 ) ( 1413840 1325710 )
NEW met2 ( 1413840 1325710 ) ( 1413840 1357345 )
NEW met2 ( 1483440 1357345 ) ( 1483440 1389350 0 )
NEW met1 ( 1413840 1357345 ) ( 1483440 1357345 )
NEW met1 ( 1413840 1357345 ) M1M2_PR
NEW met1 ( 1483440 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[2\] ( mprj la_data_out[2] ) ( mgmt_buffers la_data_out_core[2] )
+ ROUTED met2 ( 1001040 1376955 ) ( 1001040 1389350 0 )
NEW met2 ( 1339440 1368075 ) ( 1339440 1376770 )
NEW met1 ( 1339440 1368075 ) ( 1353840 1368075 )
NEW met2 ( 1353600 1325710 0 ) ( 1353840 1325710 )
NEW met2 ( 1353840 1325710 ) ( 1353840 1368075 )
NEW met2 ( 1338480 1376770 ) ( 1338480 1376955 )
NEW met1 ( 1001040 1376955 ) ( 1338480 1376955 )
NEW met3 ( 1338480 1376770 ) ( 1339440 1376770 )
NEW met1 ( 1001040 1376955 ) M1M2_PR
NEW met2 ( 1339440 1376770 ) via2_FR
NEW met1 ( 1339440 1368075 ) M1M2_PR
NEW met1 ( 1353840 1368075 ) M1M2_PR
NEW met2 ( 1338480 1376770 ) via2_FR
NEW met1 ( 1338480 1376955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[30\] ( mprj la_data_out[30] ) ( mgmt_buffers la_data_out_core[30] )
+ ROUTED met2 ( 1414800 1325710 0 ) ( 1414800 1356235 )
NEW met2 ( 1500720 1356235 ) ( 1500720 1389350 0 )
NEW met1 ( 1414800 1356235 ) ( 1500720 1356235 )
NEW met1 ( 1414800 1356235 ) M1M2_PR
NEW met1 ( 1500720 1356235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[31\] ( mprj la_data_out[31] ) ( mgmt_buffers la_data_out_core[31] )
+ ROUTED met2 ( 1417200 1325710 0 ) ( 1417200 1358825 )
NEW met2 ( 1518480 1358825 ) ( 1518480 1389350 0 )
NEW met1 ( 1417200 1358825 ) ( 1518480 1358825 )
NEW met1 ( 1417200 1358825 ) M1M2_PR
NEW met1 ( 1518480 1358825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[32\] ( mprj la_data_out[32] ) ( mgmt_buffers la_data_out_core[32] )
+ ROUTED met2 ( 1419120 1325710 0 ) ( 1419120 1359195 )
NEW met2 ( 1536720 1359195 ) ( 1536720 1389350 0 )
NEW met1 ( 1419120 1359195 ) ( 1536720 1359195 )
NEW met1 ( 1419120 1359195 ) M1M2_PR
NEW met1 ( 1536720 1359195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[33\] ( mprj la_data_out[33] ) ( mgmt_buffers la_data_out_core[33] )
+ ROUTED met1 ( 1422480 1367335 ) ( 1427280 1367335 )
NEW met1 ( 1427280 1367335 ) ( 1427280 1368075 )
NEW met1 ( 1427280 1368075 ) ( 1429680 1368075 )
NEW met1 ( 1429680 1367705 ) ( 1429680 1368075 )
NEW met2 ( 1554480 1367705 ) ( 1554480 1389350 0 )
NEW met2 ( 1421280 1325710 0 ) ( 1422480 1325710 )
NEW met2 ( 1422480 1325710 ) ( 1422480 1367335 )
NEW met1 ( 1429680 1367705 ) ( 1554480 1367705 )
NEW met1 ( 1422480 1367335 ) M1M2_PR
NEW met1 ( 1554480 1367705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[34\] ( mprj la_data_out[34] ) ( mgmt_buffers la_data_out_core[34] )
+ ROUTED met2 ( 1572240 1375475 ) ( 1572240 1389350 0 )
NEW met2 ( 1423680 1325710 0 ) ( 1424880 1325710 )
NEW met2 ( 1424880 1325710 ) ( 1424880 1375475 )
NEW met1 ( 1424880 1375475 ) ( 1572240 1375475 )
NEW met1 ( 1424880 1375475 ) M1M2_PR
NEW met1 ( 1572240 1375475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[35\] ( mprj la_data_out[35] ) ( mgmt_buffers la_data_out_core[35] )
+ ROUTED met2 ( 1564560 1341065 ) ( 1564560 1350130 )
NEW met3 ( 1564560 1350130 ) ( 1587600 1350130 )
NEW met2 ( 1587600 1350130 ) ( 1587600 1389350 )
NEW met2 ( 1587600 1389350 ) ( 1590000 1389350 0 )
NEW met2 ( 1425360 1325710 0 ) ( 1425360 1341065 )
NEW met1 ( 1425360 1341065 ) ( 1564560 1341065 )
NEW met1 ( 1425360 1341065 ) M1M2_PR
NEW met1 ( 1564560 1341065 ) M1M2_PR
NEW met2 ( 1564560 1350130 ) via2_FR
NEW met2 ( 1587600 1350130 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[36\] ( mprj la_data_out[36] ) ( mgmt_buffers la_data_out_core[36] )
+ ROUTED met2 ( 1607760 1367335 ) ( 1607760 1389350 0 )
NEW met2 ( 1427760 1325710 0 ) ( 1427760 1367335 )
NEW met1 ( 1427760 1367335 ) ( 1607760 1367335 )
NEW met1 ( 1427760 1367335 ) M1M2_PR
NEW met1 ( 1607760 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[37\] ( mprj la_data_out[37] ) ( mgmt_buffers la_data_out_core[37] )
+ ROUTED met2 ( 1578960 1340695 ) ( 1578960 1357530 )
NEW met2 ( 1430160 1325710 0 ) ( 1430160 1340695 )
NEW met1 ( 1430160 1340695 ) ( 1578960 1340695 )
NEW met2 ( 1625040 1357530 ) ( 1625040 1389350 )
NEW met2 ( 1625040 1389350 ) ( 1626000 1389350 0 )
NEW met3 ( 1578960 1357530 ) ( 1625040 1357530 )
NEW met1 ( 1430160 1340695 ) M1M2_PR
NEW met1 ( 1578960 1340695 ) M1M2_PR
NEW met2 ( 1578960 1357530 ) via2_FR
NEW met2 ( 1625040 1357530 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[38\] ( mprj la_data_out[38] ) ( mgmt_buffers la_data_out_core[38] )
+ ROUTED met2 ( 1432320 1325710 0 ) ( 1433520 1325710 )
NEW met2 ( 1433520 1325710 ) ( 1433520 1369185 )
NEW met2 ( 1643760 1369185 ) ( 1643760 1389350 0 )
NEW met1 ( 1433520 1369185 ) ( 1643760 1369185 )
NEW met1 ( 1433520 1369185 ) M1M2_PR
NEW met1 ( 1643760 1369185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[39\] ( mprj la_data_out[39] ) ( mgmt_buffers la_data_out_core[39] )
+ ROUTED met2 ( 1560240 1341435 ) ( 1560240 1349205 )
NEW met1 ( 1560240 1349205 ) ( 1581840 1349205 )
NEW met2 ( 1581840 1349205 ) ( 1581840 1356235 )
NEW met2 ( 1434240 1325710 0 ) ( 1435440 1325710 )
NEW met2 ( 1435440 1325710 ) ( 1435440 1341435 )
NEW met1 ( 1435440 1341435 ) ( 1560240 1341435 )
NEW met2 ( 1661520 1356235 ) ( 1661520 1389350 0 )
NEW met1 ( 1581840 1356235 ) ( 1661520 1356235 )
NEW met1 ( 1435440 1341435 ) M1M2_PR
NEW met1 ( 1560240 1341435 ) M1M2_PR
NEW met1 ( 1560240 1349205 ) M1M2_PR
NEW met1 ( 1581840 1349205 ) M1M2_PR
NEW met1 ( 1581840 1356235 ) M1M2_PR
NEW met1 ( 1661520 1356235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[3\] ( mprj la_data_out[3] ) ( mgmt_buffers la_data_out_core[3] )
+ ROUTED met2 ( 1019280 1345505 ) ( 1019280 1389350 0 )
NEW met2 ( 1354800 1325710 ) ( 1356000 1325710 0 )
NEW met2 ( 1354800 1325710 ) ( 1354800 1345505 )
NEW met1 ( 1019280 1345505 ) ( 1354800 1345505 )
NEW met1 ( 1019280 1345505 ) M1M2_PR
NEW met1 ( 1354800 1345505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[40\] ( mprj la_data_out[40] ) ( mgmt_buffers la_data_out_core[40] )
+ ROUTED met2 ( 1436400 1325710 0 ) ( 1436400 1328855 )
NEW met2 ( 1585200 1338475 ) ( 1585200 1369925 )
NEW met2 ( 1677360 1369925 ) ( 1677360 1389350 )
NEW met2 ( 1677360 1389350 ) ( 1679280 1389350 0 )
NEW met1 ( 1585200 1369925 ) ( 1677360 1369925 )
NEW met2 ( 1490640 1328855 ) ( 1490640 1338475 )
NEW met1 ( 1436400 1328855 ) ( 1490640 1328855 )
NEW met1 ( 1490640 1338475 ) ( 1585200 1338475 )
NEW met1 ( 1585200 1369925 ) M1M2_PR
NEW met1 ( 1436400 1328855 ) M1M2_PR
NEW met1 ( 1585200 1338475 ) M1M2_PR
NEW met1 ( 1677360 1369925 ) M1M2_PR
NEW met1 ( 1490640 1328855 ) M1M2_PR
NEW met1 ( 1490640 1338475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[41\] ( mprj la_data_out[41] ) ( mgmt_buffers la_data_out_core[41] )
+ ROUTED met2 ( 1438800 1325710 0 ) ( 1438800 1389535 )
NEW met2 ( 1695600 1389350 ) ( 1695600 1389535 )
NEW met2 ( 1695600 1389350 ) ( 1697040 1389350 0 )
NEW met1 ( 1438800 1389535 ) ( 1695600 1389535 )
NEW met1 ( 1438800 1389535 ) M1M2_PR
NEW met1 ( 1695600 1389535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[42\] ( mprj la_data_out[42] ) ( mgmt_buffers la_data_out_core[42] )
+ ROUTED met2 ( 1713840 1388795 ) ( 1713840 1389350 )
NEW met2 ( 1713840 1389350 ) ( 1714800 1389350 0 )
NEW met1 ( 1442160 1388795 ) ( 1713840 1388795 )
NEW met2 ( 1441200 1325710 0 ) ( 1442160 1325710 )
NEW met2 ( 1442160 1325710 ) ( 1442160 1388795 )
NEW met1 ( 1442160 1388795 ) M1M2_PR
NEW met1 ( 1713840 1388795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[43\] ( mprj la_data_out[43] ) ( mgmt_buffers la_data_out_core[43] )
+ ROUTED met2 ( 1442880 1325710 0 ) ( 1444080 1325710 )
NEW met2 ( 1444080 1325710 ) ( 1444080 1329225 )
NEW met1 ( 1444080 1329225 ) ( 1502160 1329225 )
NEW met2 ( 1502160 1329225 ) ( 1502160 1338105 )
NEW met2 ( 1733040 1331445 ) ( 1733040 1389350 0 )
NEW met2 ( 1643760 1338105 ) ( 1644240 1338105 )
NEW met2 ( 1644240 1331445 ) ( 1644240 1338105 )
NEW met1 ( 1502160 1338105 ) ( 1643760 1338105 )
NEW met1 ( 1644240 1331445 ) ( 1733040 1331445 )
NEW met1 ( 1444080 1329225 ) M1M2_PR
NEW met1 ( 1502160 1329225 ) M1M2_PR
NEW met1 ( 1502160 1338105 ) M1M2_PR
NEW met1 ( 1733040 1331445 ) M1M2_PR
NEW met1 ( 1643760 1338105 ) M1M2_PR
NEW met1 ( 1644240 1331445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[44\] ( mprj la_data_out[44] ) ( mgmt_buffers la_data_out_core[44] )
+ ROUTED met2 ( 1750320 1388425 ) ( 1750320 1389350 0 )
NEW met1 ( 1446480 1388425 ) ( 1750320 1388425 )
NEW met2 ( 1445280 1325710 0 ) ( 1446480 1325710 )
NEW met2 ( 1446480 1325710 ) ( 1446480 1388425 )
NEW met1 ( 1750320 1388425 ) M1M2_PR
NEW met1 ( 1446480 1388425 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[45\] ( mprj la_data_out[45] ) ( mgmt_buffers la_data_out_core[45] )
+ ROUTED met2 ( 1768560 1338105 ) ( 1768560 1389350 0 )
NEW met2 ( 1447440 1325710 0 ) ( 1447440 1338105 )
NEW met1 ( 1447440 1338105 ) ( 1500720 1338105 )
NEW met1 ( 1500720 1337735 ) ( 1500720 1338105 )
NEW met1 ( 1691760 1337735 ) ( 1691760 1338105 )
NEW met1 ( 1500720 1337735 ) ( 1691760 1337735 )
NEW met1 ( 1691760 1338105 ) ( 1768560 1338105 )
NEW met1 ( 1768560 1338105 ) M1M2_PR
NEW met1 ( 1447440 1338105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[46\] ( mprj la_data_out[46] ) ( mgmt_buffers la_data_out_core[46] )
+ ROUTED met2 ( 1786320 1387685 ) ( 1786320 1389350 0 )
NEW met1 ( 1449840 1387685 ) ( 1786320 1387685 )
NEW met2 ( 1449840 1325710 0 ) ( 1449840 1387685 )
NEW met1 ( 1786320 1387685 ) M1M2_PR
NEW met1 ( 1449840 1387685 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[47\] ( mprj la_data_out[47] ) ( mgmt_buffers la_data_out_core[47] )
+ ROUTED met2 ( 1801200 1341065 ) ( 1801200 1389350 )
NEW met2 ( 1801200 1389350 ) ( 1804080 1389350 0 )
NEW met2 ( 1451760 1325710 0 ) ( 1451760 1337735 )
NEW met1 ( 1451760 1337735 ) ( 1500240 1337735 )
NEW met2 ( 1500240 1336995 ) ( 1500240 1337735 )
NEW met2 ( 1639920 1336995 ) ( 1641840 1336995 )
NEW met1 ( 1641840 1336995 ) ( 1687920 1336995 )
NEW met1 ( 1687920 1336995 ) ( 1687920 1337365 )
NEW met1 ( 1687920 1337365 ) ( 1692240 1337365 )
NEW met1 ( 1692240 1337365 ) ( 1692240 1337735 )
NEW met1 ( 1500240 1336995 ) ( 1639920 1336995 )
NEW met2 ( 1735920 1337735 ) ( 1735920 1340325 )
NEW met1 ( 1735920 1340325 ) ( 1779600 1340325 )
NEW met2 ( 1779600 1340325 ) ( 1779600 1341065 )
NEW met1 ( 1692240 1337735 ) ( 1735920 1337735 )
NEW met1 ( 1779600 1341065 ) ( 1801200 1341065 )
NEW met1 ( 1801200 1341065 ) M1M2_PR
NEW met1 ( 1451760 1337735 ) M1M2_PR
NEW met1 ( 1500240 1337735 ) M1M2_PR
NEW met1 ( 1500240 1336995 ) M1M2_PR
NEW met1 ( 1639920 1336995 ) M1M2_PR
NEW met1 ( 1641840 1336995 ) M1M2_PR
NEW met1 ( 1735920 1337735 ) M1M2_PR
NEW met1 ( 1735920 1340325 ) M1M2_PR
NEW met1 ( 1779600 1340325 ) M1M2_PR
NEW met1 ( 1779600 1341065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[48\] ( mprj la_data_out[48] ) ( mgmt_buffers la_data_out_core[48] )
+ ROUTED met2 ( 1822320 1383245 ) ( 1822320 1389350 0 )
NEW met1 ( 1454160 1383245 ) ( 1822320 1383245 )
NEW met2 ( 1453920 1325710 0 ) ( 1454160 1325710 )
NEW met2 ( 1454160 1325710 ) ( 1454160 1383245 )
NEW met1 ( 1822320 1383245 ) M1M2_PR
NEW met1 ( 1454160 1383245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[49\] ( mprj la_data_out[49] ) ( mgmt_buffers la_data_out_core[49] )
+ ROUTED met2 ( 1832400 1344950 ) ( 1834320 1344950 )
NEW met2 ( 1834320 1344950 ) ( 1834320 1389350 )
NEW met2 ( 1834320 1389350 ) ( 1839600 1389350 0 )
NEW met2 ( 1832400 1336995 ) ( 1832400 1344950 )
NEW met2 ( 1456320 1325710 0 ) ( 1457520 1325710 )
NEW met2 ( 1457520 1325710 ) ( 1457520 1336995 )
NEW met1 ( 1457520 1336995 ) ( 1499760 1336995 )
NEW met2 ( 1499760 1336255 ) ( 1499760 1336995 )
NEW met2 ( 1743600 1336255 ) ( 1743600 1336995 )
NEW met1 ( 1499760 1336255 ) ( 1743600 1336255 )
NEW met1 ( 1743600 1336995 ) ( 1832400 1336995 )
NEW met1 ( 1832400 1336995 ) M1M2_PR
NEW met1 ( 1457520 1336995 ) M1M2_PR
NEW met1 ( 1499760 1336995 ) M1M2_PR
NEW met1 ( 1499760 1336255 ) M1M2_PR
NEW met1 ( 1743600 1336255 ) M1M2_PR
NEW met1 ( 1743600 1336995 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[4\] ( mprj la_data_out[4] ) ( mgmt_buffers la_data_out_core[4] )
+ ROUTED met2 ( 1356720 1325710 ) ( 1358160 1325710 0 )
NEW met2 ( 1356720 1325710 ) ( 1356720 1370665 )
NEW met2 ( 1037040 1370665 ) ( 1037040 1389350 0 )
NEW met1 ( 1037040 1370665 ) ( 1356720 1370665 )
NEW met1 ( 1356720 1370665 ) M1M2_PR
NEW met1 ( 1037040 1370665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[50\] ( mprj la_data_out[50] ) ( mgmt_buffers la_data_out_core[50] )
+ ROUTED met2 ( 1856880 1389905 ) ( 1856880 1390090 )
NEW met2 ( 1856880 1390090 ) ( 1857840 1390090 0 )
NEW met1 ( 1458480 1389905 ) ( 1856880 1389905 )
NEW met2 ( 1458480 1325710 0 ) ( 1458480 1389905 )
NEW met1 ( 1458480 1389905 ) M1M2_PR
NEW met1 ( 1856880 1389905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[51\] ( mprj la_data_out[51] ) ( mgmt_buffers la_data_out_core[51] )
+ ROUTED met2 ( 1460400 1325710 0 ) ( 1460400 1391755 )
NEW met2 ( 1874160 1391570 ) ( 1874160 1391755 )
NEW met2 ( 1874160 1391570 ) ( 1875600 1391570 0 )
NEW met1 ( 1460400 1391755 ) ( 1874160 1391755 )
NEW met1 ( 1460400 1391755 ) M1M2_PR
NEW met1 ( 1874160 1391755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[52\] ( mprj la_data_out[52] ) ( mgmt_buffers la_data_out_core[52] )
+ ROUTED met2 ( 1462800 1325710 0 ) ( 1462800 1336255 )
NEW met1 ( 1462800 1336255 ) ( 1499280 1336255 )
NEW met2 ( 1499280 1335515 ) ( 1499280 1336255 )
NEW met1 ( 1643520 1335145 ) ( 1643520 1335515 )
NEW met1 ( 1643520 1335145 ) ( 1660080 1335145 )
NEW met1 ( 1660080 1335145 ) ( 1660080 1335515 )
NEW met1 ( 1589520 1335515 ) ( 1589520 1335885 )
NEW met1 ( 1589520 1335885 ) ( 1590480 1335885 )
NEW met1 ( 1590480 1335515 ) ( 1590480 1335885 )
NEW met1 ( 1499280 1335515 ) ( 1589520 1335515 )
NEW met1 ( 1590480 1335515 ) ( 1643520 1335515 )
NEW met2 ( 1831920 1335515 ) ( 1831920 1392125 )
NEW met1 ( 1741200 1335145 ) ( 1741200 1335515 )
NEW met1 ( 1741200 1335145 ) ( 1742160 1335145 )
NEW met1 ( 1742160 1335145 ) ( 1742160 1335515 )
NEW met1 ( 1660080 1335515 ) ( 1741200 1335515 )
NEW met1 ( 1742160 1335515 ) ( 1831920 1335515 )
NEW met2 ( 1891920 1392125 ) ( 1891920 1392310 )
NEW met2 ( 1891920 1392310 ) ( 1893360 1392310 0 )
NEW met1 ( 1831920 1392125 ) ( 1891920 1392125 )
NEW met1 ( 1462800 1336255 ) M1M2_PR
NEW met1 ( 1499280 1336255 ) M1M2_PR
NEW met1 ( 1499280 1335515 ) M1M2_PR
NEW met1 ( 1831920 1335515 ) M1M2_PR
NEW met1 ( 1831920 1392125 ) M1M2_PR
NEW met1 ( 1891920 1392125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[53\] ( mprj la_data_out[53] ) ( mgmt_buffers la_data_out_core[53] )
+ ROUTED met2 ( 1464960 1325710 0 ) ( 1466160 1325710 )
NEW met2 ( 1466160 1325710 ) ( 1466160 1391015 )
NEW met2 ( 1910160 1390830 ) ( 1910160 1391015 )
NEW met2 ( 1910160 1390830 ) ( 1911600 1390830 0 )
NEW met1 ( 1466160 1391015 ) ( 1910160 1391015 )
NEW met1 ( 1466160 1391015 ) M1M2_PR
NEW met1 ( 1910160 1391015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[54\] ( mprj la_data_out[54] ) ( mgmt_buffers la_data_out_core[54] )
+ ROUTED met2 ( 1466880 1325710 0 ) ( 1468080 1325710 )
NEW met2 ( 1468080 1325710 ) ( 1468080 1335515 )
NEW met1 ( 1468080 1335515 ) ( 1498800 1335515 )
NEW met2 ( 1498800 1334405 ) ( 1498800 1335515 )
NEW met2 ( 1889520 1332925 ) ( 1889520 1334405 )
NEW met1 ( 1889520 1332925 ) ( 1892400 1332925 )
NEW met2 ( 1892400 1332555 ) ( 1892400 1332925 )
NEW met2 ( 1892400 1332555 ) ( 1894320 1332555 )
NEW met2 ( 1894320 1332555 ) ( 1894320 1334590 )
NEW met2 ( 1894320 1334590 ) ( 1896240 1334590 )
NEW met2 ( 1896240 1334590 ) ( 1896240 1339955 )
NEW met1 ( 1498800 1334405 ) ( 1889520 1334405 )
NEW met1 ( 1896240 1339955 ) ( 1926960 1339955 )
NEW met2 ( 1926960 1389350 ) ( 1928880 1389350 0 )
NEW met2 ( 1926960 1339955 ) ( 1926960 1389350 )
NEW met1 ( 1926960 1339955 ) M1M2_PR
NEW met1 ( 1468080 1335515 ) M1M2_PR
NEW met1 ( 1498800 1335515 ) M1M2_PR
NEW met1 ( 1498800 1334405 ) M1M2_PR
NEW met1 ( 1889520 1334405 ) M1M2_PR
NEW met1 ( 1889520 1332925 ) M1M2_PR
NEW met1 ( 1892400 1332925 ) M1M2_PR
NEW met1 ( 1896240 1339955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[55\] ( mprj la_data_out[55] ) ( mgmt_buffers la_data_out_core[55] )
+ ROUTED met2 ( 1469040 1325710 0 ) ( 1469040 1334405 )
NEW met1 ( 1469040 1334405 ) ( 1498320 1334405 )
NEW met1 ( 1498320 1334035 ) ( 1498320 1334405 )
NEW met2 ( 1845840 1325155 ) ( 1845840 1334035 )
NEW met1 ( 1845840 1325155 ) ( 1893360 1325155 )
NEW met2 ( 1893360 1325155 ) ( 1893360 1327930 )
NEW met2 ( 1893360 1327930 ) ( 1895280 1327930 )
NEW met2 ( 1895280 1327930 ) ( 1895280 1334035 )
NEW met1 ( 1498320 1334035 ) ( 1845840 1334035 )
NEW met2 ( 1927440 1334035 ) ( 1927440 1355865 )
NEW met1 ( 1927440 1355865 ) ( 1946640 1355865 )
NEW met1 ( 1895280 1334035 ) ( 1927440 1334035 )
NEW met2 ( 1946640 1355865 ) ( 1946640 1389350 0 )
NEW met1 ( 1469040 1334405 ) M1M2_PR
NEW met1 ( 1845840 1334035 ) M1M2_PR
NEW met1 ( 1845840 1325155 ) M1M2_PR
NEW met1 ( 1893360 1325155 ) M1M2_PR
NEW met1 ( 1895280 1334035 ) M1M2_PR
NEW met1 ( 1927440 1334035 ) M1M2_PR
NEW met1 ( 1927440 1355865 ) M1M2_PR
NEW met1 ( 1946640 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[56\] ( mprj la_data_out[56] ) ( mgmt_buffers la_data_out_core[56] )
+ ROUTED met2 ( 1471440 1325710 0 ) ( 1471440 1334035 )
NEW met1 ( 1471440 1334035 ) ( 1497600 1334035 )
NEW met1 ( 1497600 1333665 ) ( 1497600 1334035 )
NEW met2 ( 1893840 1333665 ) ( 1893840 1335330 )
NEW met2 ( 1893840 1335330 ) ( 1894800 1335330 )
NEW met2 ( 1894800 1335330 ) ( 1894800 1336995 )
NEW met1 ( 1497600 1333665 ) ( 1893840 1333665 )
NEW met1 ( 1894800 1336995 ) ( 1964880 1336995 )
NEW met2 ( 1964880 1336995 ) ( 1964880 1389350 0 )
NEW met1 ( 1471440 1334035 ) M1M2_PR
NEW met1 ( 1893840 1333665 ) M1M2_PR
NEW met1 ( 1894800 1336995 ) M1M2_PR
NEW met1 ( 1964880 1336995 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[57\] ( mprj la_data_out[57] ) ( mgmt_buffers la_data_out_core[57] )
+ ROUTED met2 ( 1473840 1325710 0 ) ( 1473840 1388055 )
NEW met2 ( 1982640 1388055 ) ( 1982640 1389350 0 )
NEW met1 ( 1473840 1388055 ) ( 1982640 1388055 )
NEW met1 ( 1473840 1388055 ) M1M2_PR
NEW met1 ( 1982640 1388055 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[58\] ( mprj la_data_out[58] ) ( mgmt_buffers la_data_out_core[58] )
+ ROUTED met2 ( 1475520 1325710 0 ) ( 1476720 1325710 )
NEW met2 ( 1476720 1325710 ) ( 1476720 1332925 )
NEW met2 ( 1888560 1332925 ) ( 1888560 1335515 )
NEW met2 ( 1641360 1330890 ) ( 1641360 1332925 )
NEW met2 ( 1641360 1330890 ) ( 1644720 1330890 )
NEW met2 ( 1644720 1330890 ) ( 1644720 1332925 )
NEW met1 ( 1476720 1332925 ) ( 1641360 1332925 )
NEW met1 ( 1644720 1332925 ) ( 1888560 1332925 )
NEW met2 ( 2000400 1383615 ) ( 2000400 1389350 0 )
NEW met1 ( 1888560 1335515 ) ( 1931280 1335515 )
NEW met2 ( 1931280 1335515 ) ( 1931280 1383615 )
NEW met1 ( 1931280 1383615 ) ( 2000400 1383615 )
NEW met1 ( 1476720 1332925 ) M1M2_PR
NEW met1 ( 1888560 1332925 ) M1M2_PR
NEW met1 ( 1888560 1335515 ) M1M2_PR
NEW met1 ( 1641360 1332925 ) M1M2_PR
NEW met1 ( 1644720 1332925 ) M1M2_PR
NEW met1 ( 1931280 1335515 ) M1M2_PR
NEW met1 ( 2000400 1383615 ) M1M2_PR
NEW met1 ( 1931280 1383615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[59\] ( mprj la_data_out[59] ) ( mgmt_buffers la_data_out_core[59] )
+ ROUTED met2 ( 2018160 1387315 ) ( 2018160 1389350 0 )
NEW met2 ( 1477920 1325710 0 ) ( 1479120 1325710 )
NEW met2 ( 1479120 1325710 ) ( 1479120 1387315 )
NEW met1 ( 1479120 1387315 ) ( 2018160 1387315 )
NEW met1 ( 2018160 1387315 ) M1M2_PR
NEW met1 ( 1479120 1387315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[5\] ( mprj la_data_out[5] ) ( mgmt_buffers la_data_out_core[5] )
+ ROUTED met2 ( 1360080 1325710 0 ) ( 1360080 1341805 )
NEW met2 ( 1054800 1341805 ) ( 1054800 1389350 0 )
NEW met1 ( 1054800 1341805 ) ( 1360080 1341805 )
NEW met1 ( 1360080 1341805 ) M1M2_PR
NEW met1 ( 1054800 1341805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[60\] ( mprj la_data_out[60] ) ( mgmt_buffers la_data_out_core[60] )
+ ROUTED met2 ( 2030640 1332185 ) ( 2030640 1334405 )
NEW met1 ( 2030640 1334405 ) ( 2035920 1334405 )
NEW met2 ( 2035920 1334405 ) ( 2035920 1389350 0 )
NEW met2 ( 1480080 1325710 0 ) ( 1480080 1332185 )
NEW met2 ( 1947120 1332185 ) ( 1947120 1332925 )
NEW met2 ( 1947120 1332925 ) ( 1947600 1332925 )
NEW met2 ( 1947600 1332925 ) ( 1947600 1342915 )
NEW met1 ( 1947600 1342915 ) ( 2003760 1342915 )
NEW met2 ( 2003760 1332185 ) ( 2003760 1342915 )
NEW met1 ( 1480080 1332185 ) ( 1947120 1332185 )
NEW met1 ( 2003760 1332185 ) ( 2030640 1332185 )
NEW met1 ( 2030640 1332185 ) M1M2_PR
NEW met1 ( 2030640 1334405 ) M1M2_PR
NEW met1 ( 2035920 1334405 ) M1M2_PR
NEW met1 ( 1480080 1332185 ) M1M2_PR
NEW met1 ( 1947120 1332185 ) M1M2_PR
NEW met1 ( 1947600 1342915 ) M1M2_PR
NEW met1 ( 2003760 1342915 ) M1M2_PR
NEW met1 ( 2003760 1332185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[61\] ( mprj la_data_out[61] ) ( mgmt_buffers la_data_out_core[61] )
+ ROUTED met2 ( 2054160 1386945 ) ( 2054160 1389350 0 )
NEW met2 ( 1482480 1325710 0 ) ( 1482480 1386945 )
NEW met1 ( 1482480 1386945 ) ( 2054160 1386945 )
NEW met1 ( 2054160 1386945 ) M1M2_PR
NEW met1 ( 1482480 1386945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[62\] ( mprj la_data_out[62] ) ( mgmt_buffers la_data_out_core[62] )
+ ROUTED met2 ( 1484400 1325710 0 ) ( 1484400 1331815 )
NEW met2 ( 2071920 1331075 ) ( 2071920 1389350 0 )
NEW met1 ( 1996080 1331075 ) ( 1996080 1331815 )
NEW met1 ( 1484400 1331815 ) ( 1996080 1331815 )
NEW met1 ( 1996080 1331075 ) ( 2071920 1331075 )
NEW met1 ( 1484400 1331815 ) M1M2_PR
NEW met1 ( 2071920 1331075 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[63\] ( mprj la_data_out[63] ) ( mgmt_buffers la_data_out_core[63] )
+ ROUTED met2 ( 2089680 1386205 ) ( 2089680 1389350 0 )
NEW met2 ( 1486560 1325710 0 ) ( 1487760 1325710 )
NEW met2 ( 1487760 1325710 ) ( 1487760 1386205 )
NEW met1 ( 1487760 1386205 ) ( 2089680 1386205 )
NEW met1 ( 1487760 1386205 ) M1M2_PR
NEW met1 ( 2089680 1386205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[64\] ( mprj la_data_out[64] ) ( mgmt_buffers la_data_out_core[64] )
+ ROUTED met2 ( 1991760 1331075 ) ( 1991760 1335515 )
NEW met2 ( 1488960 1325710 0 ) ( 1490160 1325710 )
NEW met2 ( 1490160 1325710 ) ( 1490160 1331075 )
NEW met1 ( 1991760 1335515 ) ( 2107440 1335515 )
NEW met2 ( 2107440 1335515 ) ( 2107440 1389350 0 )
NEW met2 ( 1590960 1330890 ) ( 1590960 1331075 )
NEW met2 ( 1590960 1330890 ) ( 1592400 1330890 )
NEW met2 ( 1592400 1330890 ) ( 1592400 1331075 )
NEW met1 ( 1490160 1331075 ) ( 1590960 1331075 )
NEW met1 ( 1592400 1331075 ) ( 1991760 1331075 )
NEW met1 ( 1991760 1331075 ) M1M2_PR
NEW met1 ( 1991760 1335515 ) M1M2_PR
NEW met1 ( 1490160 1331075 ) M1M2_PR
NEW met1 ( 2107440 1335515 ) M1M2_PR
NEW met1 ( 1590960 1331075 ) M1M2_PR
NEW met1 ( 1592400 1331075 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[65\] ( mprj la_data_out[65] ) ( mgmt_buffers la_data_out_core[65] )
+ ROUTED met2 ( 2125200 1385465 ) ( 2125200 1389350 0 )
NEW met2 ( 1491120 1325710 0 ) ( 1491120 1385465 )
NEW met1 ( 1491120 1385465 ) ( 2125200 1385465 )
NEW met1 ( 1491120 1385465 ) M1M2_PR
NEW met1 ( 2125200 1385465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[66\] ( mprj la_data_out[66] ) ( mgmt_buffers la_data_out_core[66] )
+ ROUTED met2 ( 1493040 1325710 0 ) ( 1493040 1330335 )
NEW met1 ( 1493040 1330335 ) ( 2143440 1330335 )
NEW met2 ( 2143440 1330335 ) ( 2143440 1389350 0 )
NEW met1 ( 1493040 1330335 ) M1M2_PR
NEW met1 ( 2143440 1330335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[67\] ( mprj la_data_out[67] ) ( mgmt_buffers la_data_out_core[67] )
+ ROUTED met2 ( 1495440 1325710 0 ) ( 1496400 1325710 )
NEW met2 ( 1496400 1325710 ) ( 1496400 1392865 )
NEW met2 ( 1612560 1365115 ) ( 1612560 1381210 )
NEW met1 ( 1612560 1365115 ) ( 1698000 1365115 )
NEW met2 ( 1698000 1365115 ) ( 1698000 1393050 )
NEW met2 ( 1498320 1381210 ) ( 1498320 1392310 )
NEW met2 ( 1497600 1392310 ) ( 1498320 1392310 )
NEW met2 ( 1497600 1392310 ) ( 1497600 1392865 )
NEW met1 ( 1496400 1392865 ) ( 1497600 1392865 )
NEW met3 ( 1498320 1381210 ) ( 1612560 1381210 )
NEW met1 ( 1752240 1392125 ) ( 1752240 1392865 )
NEW met1 ( 1699440 1392125 ) ( 1752240 1392125 )
NEW met2 ( 1699440 1392125 ) ( 1699440 1393050 )
NEW met2 ( 1698000 1393050 ) ( 1699440 1393050 )
NEW met2 ( 2037840 1391015 ) ( 2037840 1392865 )
NEW met1 ( 2037840 1392865 ) ( 2037840 1393235 )
NEW met2 ( 2161200 1393050 0 ) ( 2162160 1393050 )
NEW met2 ( 2162160 1392865 ) ( 2162160 1393050 )
NEW met1 ( 2162160 1392865 ) ( 2162160 1393235 )
NEW met1 ( 2037840 1393235 ) ( 2162160 1393235 )
NEW met2 ( 1922160 1391015 ) ( 1922160 1392865 )
NEW met1 ( 1752240 1392865 ) ( 1922160 1392865 )
NEW met1 ( 1922160 1391015 ) ( 2037840 1391015 )
NEW met1 ( 1496400 1392865 ) M1M2_PR
NEW met2 ( 1612560 1381210 ) via2_FR
NEW met1 ( 1612560 1365115 ) M1M2_PR
NEW met1 ( 1698000 1365115 ) M1M2_PR
NEW met2 ( 1498320 1381210 ) via2_FR
NEW met1 ( 1497600 1392865 ) M1M2_PR
NEW met1 ( 1699440 1392125 ) M1M2_PR
NEW met1 ( 2037840 1391015 ) M1M2_PR
NEW met1 ( 2037840 1392865 ) M1M2_PR
NEW met1 ( 2162160 1392865 ) M1M2_PR
NEW met1 ( 1922160 1392865 ) M1M2_PR
NEW met1 ( 1922160 1391015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[68\] ( mprj la_data_out[68] ) ( mgmt_buffers la_data_out_core[68] )
+ ROUTED met2 ( 2178480 1329965 ) ( 2178480 1389350 0 )
NEW met2 ( 1497600 1325710 0 ) ( 1498800 1325710 )
NEW met2 ( 1498800 1325710 ) ( 1498800 1329965 )
NEW met1 ( 1498800 1329965 ) ( 2178480 1329965 )
NEW met1 ( 2178480 1329965 ) M1M2_PR
NEW met1 ( 1498800 1329965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[69\] ( mprj la_data_out[69] ) ( mgmt_buffers la_data_out_core[69] )
+ ROUTED met2 ( 1590000 1355125 ) ( 1590000 1385835 )
NEW met2 ( 2196720 1385835 ) ( 2196720 1389350 0 )
NEW met1 ( 1501200 1355125 ) ( 1590000 1355125 )
NEW met2 ( 1500000 1325710 0 ) ( 1501200 1325710 )
NEW met2 ( 1501200 1325710 ) ( 1501200 1355125 )
NEW met1 ( 1590000 1385835 ) ( 2196720 1385835 )
NEW met1 ( 1590000 1355125 ) M1M2_PR
NEW met1 ( 1590000 1385835 ) M1M2_PR
NEW met1 ( 2196720 1385835 ) M1M2_PR
NEW met1 ( 1501200 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[6\] ( mprj la_data_out[6] ) ( mgmt_buffers la_data_out_core[6] )
+ ROUTED met2 ( 1362480 1325710 0 ) ( 1362480 1375475 )
NEW met2 ( 1073040 1370295 ) ( 1073040 1389350 0 )
NEW met2 ( 1261200 1368815 ) ( 1261200 1370295 )
NEW met1 ( 1261200 1368815 ) ( 1338960 1368815 )
NEW met2 ( 1338960 1368815 ) ( 1338960 1375475 )
NEW met1 ( 1073040 1370295 ) ( 1261200 1370295 )
NEW met1 ( 1338960 1375475 ) ( 1362480 1375475 )
NEW met1 ( 1362480 1375475 ) M1M2_PR
NEW met1 ( 1073040 1370295 ) M1M2_PR
NEW met1 ( 1261200 1370295 ) M1M2_PR
NEW met1 ( 1261200 1368815 ) M1M2_PR
NEW met1 ( 1338960 1368815 ) M1M2_PR
NEW met1 ( 1338960 1375475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[70\] ( mprj la_data_out[70] ) ( mgmt_buffers la_data_out_core[70] )
+ ROUTED met2 ( 2214480 1329595 ) ( 2214480 1389350 0 )
NEW met2 ( 1501680 1325710 0 ) ( 1501680 1329595 )
NEW met1 ( 1501680 1329595 ) ( 2214480 1329595 )
NEW met1 ( 2214480 1329595 ) M1M2_PR
NEW met1 ( 1501680 1329595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[71\] ( mprj la_data_out[71] ) ( mgmt_buffers la_data_out_core[71] )
+ ROUTED met2 ( 2231760 1390645 ) ( 2231760 1390830 )
NEW met2 ( 2231760 1390830 ) ( 2232720 1390830 0 )
NEW met2 ( 1504080 1325710 0 ) ( 1504080 1390645 )
NEW met1 ( 1504080 1390645 ) ( 2231760 1390645 )
NEW met1 ( 2231760 1390645 ) M1M2_PR
NEW met1 ( 1504080 1390645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[72\] ( mprj la_data_out[72] ) ( mgmt_buffers la_data_out_core[72] )
+ ROUTED met2 ( 1506480 1325710 0 ) ( 1506480 1329225 )
NEW met1 ( 1506480 1329225 ) ( 2250000 1329225 )
NEW met2 ( 2250000 1329225 ) ( 2250000 1389350 0 )
NEW met1 ( 1506480 1329225 ) M1M2_PR
NEW met1 ( 2250000 1329225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[73\] ( mprj la_data_out[73] ) ( mgmt_buffers la_data_out_core[73] )
+ ROUTED met2 ( 2266320 1390090 ) ( 2266320 1390275 )
NEW met2 ( 2266320 1390090 ) ( 2267760 1390090 0 )
NEW met2 ( 1508160 1325710 0 ) ( 1509360 1325710 )
NEW met2 ( 1509360 1325710 ) ( 1509360 1390275 )
NEW met1 ( 1509360 1390275 ) ( 2266320 1390275 )
NEW met1 ( 1509360 1390275 ) M1M2_PR
NEW met1 ( 2266320 1390275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[74\] ( mprj la_data_out[74] ) ( mgmt_buffers la_data_out_core[74] )
+ ROUTED met2 ( 1510560 1325710 0 ) ( 1511760 1325710 )
NEW met2 ( 1511760 1325710 ) ( 1511760 1328855 )
NEW met1 ( 1511760 1328855 ) ( 2286000 1328855 )
NEW met2 ( 2286000 1328855 ) ( 2286000 1389350 0 )
NEW met1 ( 1511760 1328855 ) M1M2_PR
NEW met1 ( 2286000 1328855 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[75\] ( mprj la_data_out[75] ) ( mgmt_buffers la_data_out_core[75] )
+ ROUTED met2 ( 2302320 1391385 ) ( 2302320 1391570 )
NEW met2 ( 2302320 1391570 ) ( 2303760 1391570 0 )
NEW met2 ( 1512720 1325710 0 ) ( 1514160 1325710 )
NEW met2 ( 1514160 1325710 ) ( 1514160 1391385 )
NEW met1 ( 1514160 1391385 ) ( 2302320 1391385 )
NEW met1 ( 1514160 1391385 ) M1M2_PR
NEW met1 ( 2302320 1391385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[76\] ( mprj la_data_out[76] ) ( mgmt_buffers la_data_out_core[76] )
+ ROUTED met1 ( 1650480 1334775 ) ( 1686960 1334775 )
NEW met2 ( 1686960 1333850 ) ( 1686960 1334775 )
NEW met2 ( 1686960 1333850 ) ( 1687920 1333850 )
NEW met2 ( 1687920 1328485 ) ( 1687920 1333850 )
NEW met2 ( 1650480 1334775 ) ( 1650480 1341435 )
NEW met1 ( 1687920 1328485 ) ( 2321520 1328485 )
NEW met2 ( 2321520 1328485 ) ( 2321520 1389350 0 )
NEW met2 ( 1515120 1325710 0 ) ( 1515120 1328485 )
NEW met1 ( 1515120 1328485 ) ( 1561200 1328485 )
NEW met2 ( 1561200 1328485 ) ( 1561200 1341435 )
NEW met1 ( 1561200 1341435 ) ( 1650480 1341435 )
NEW met1 ( 1650480 1341435 ) M1M2_PR
NEW met1 ( 1650480 1334775 ) M1M2_PR
NEW met1 ( 1686960 1334775 ) M1M2_PR
NEW met1 ( 1687920 1328485 ) M1M2_PR
NEW met1 ( 2321520 1328485 ) M1M2_PR
NEW met1 ( 1515120 1328485 ) M1M2_PR
NEW met1 ( 1561200 1328485 ) M1M2_PR
NEW met1 ( 1561200 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[77\] ( mprj la_data_out[77] ) ( mgmt_buffers la_data_out_core[77] )
+ ROUTED met2 ( 2339280 1382690 ) ( 2339280 1389350 0 )
NEW met2 ( 1517040 1325710 0 ) ( 1517040 1382690 )
NEW met3 ( 1517040 1382690 ) ( 2339280 1382690 )
NEW met2 ( 1517040 1382690 ) via2_FR
NEW met2 ( 2339280 1382690 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[78\] ( mprj la_data_out[78] ) ( mgmt_buffers la_data_out_core[78] )
+ ROUTED met2 ( 2357040 1328115 ) ( 2357040 1389350 0 )
NEW met2 ( 1519200 1325710 0 ) ( 1520400 1325710 )
NEW met2 ( 1520400 1325710 ) ( 1520400 1328115 )
NEW met1 ( 1520400 1328115 ) ( 2357040 1328115 )
NEW met1 ( 2357040 1328115 ) M1M2_PR
NEW met1 ( 1520400 1328115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[79\] ( mprj la_data_out[79] ) ( mgmt_buffers la_data_out_core[79] )
+ ROUTED met2 ( 2373840 1392310 ) ( 2375280 1392310 0 )
NEW met2 ( 1521600 1325710 0 ) ( 1522800 1325710 )
NEW met2 ( 1522800 1325710 ) ( 1522800 1392310 )
NEW met3 ( 1522800 1392310 ) ( 2373840 1392310 )
NEW met2 ( 2373840 1392310 ) via2_FR
NEW met2 ( 1522800 1392310 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[7\] ( mprj la_data_out[7] ) ( mgmt_buffers la_data_out_core[7] )
+ ROUTED met2 ( 1363440 1325710 ) ( 1364640 1325710 0 )
NEW met2 ( 1363440 1325710 ) ( 1363440 1341435 )
NEW met2 ( 1090320 1341435 ) ( 1090320 1389350 0 )
NEW met1 ( 1090320 1341435 ) ( 1363440 1341435 )
NEW met1 ( 1363440 1341435 ) M1M2_PR
NEW met1 ( 1090320 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[80\] ( mprj la_data_out[80] ) ( mgmt_buffers la_data_out_core[80] )
+ ROUTED met2 ( 2393040 1327745 ) ( 2393040 1389350 0 )
NEW met2 ( 1523760 1325710 0 ) ( 1523760 1327745 )
NEW met1 ( 1523760 1327745 ) ( 2393040 1327745 )
NEW met1 ( 2393040 1327745 ) M1M2_PR
NEW met1 ( 1523760 1327745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[81\] ( mprj la_data_out[81] ) ( mgmt_buffers la_data_out_core[81] )
+ ROUTED met2 ( 2409360 1391570 ) ( 2410800 1391570 0 )
NEW met2 ( 1525680 1325710 0 ) ( 1526160 1325710 )
NEW met2 ( 1526160 1325710 ) ( 1526160 1391570 )
NEW met3 ( 1526160 1391570 ) ( 2409360 1391570 )
NEW met2 ( 2409360 1391570 ) via2_FR
NEW met2 ( 1526160 1391570 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[82\] ( mprj la_data_out[82] ) ( mgmt_buffers la_data_out_core[82] )
+ ROUTED met2 ( 2427120 1390830 ) ( 2428560 1390830 0 )
NEW met2 ( 1528080 1325710 0 ) ( 1528080 1390830 )
NEW met3 ( 1528080 1390830 ) ( 2427120 1390830 )
NEW met2 ( 2427120 1390830 ) via2_FR
NEW met2 ( 1528080 1390830 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[83\] ( mprj la_data_out[83] ) ( mgmt_buffers la_data_out_core[83] )
+ ROUTED met2 ( 2444880 1390090 ) ( 2446320 1390090 0 )
NEW met2 ( 1530240 1325710 0 ) ( 1531920 1325710 )
NEW met2 ( 1531920 1325710 ) ( 1531920 1390090 )
NEW met3 ( 1531920 1390090 ) ( 2444880 1390090 )
NEW met2 ( 2444880 1390090 ) via2_FR
NEW met2 ( 1531920 1390090 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[84\] ( mprj la_data_out[84] ) ( mgmt_buffers la_data_out_core[84] )
+ ROUTED met2 ( 1532640 1325710 0 ) ( 1533840 1325710 )
NEW met2 ( 1533840 1325710 ) ( 1533840 1327375 )
NEW met1 ( 1533840 1327375 ) ( 2464560 1327375 )
NEW met2 ( 2464560 1327375 ) ( 2464560 1389350 0 )
NEW met1 ( 1533840 1327375 ) M1M2_PR
NEW met1 ( 2464560 1327375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[85\] ( mprj la_data_out[85] ) ( mgmt_buffers la_data_out_core[85] )
+ ROUTED met2 ( 2480880 1389350 ) ( 2482320 1389350 0 )
NEW met2 ( 1534560 1325710 0 ) ( 1535280 1325710 )
NEW met2 ( 1535280 1325710 ) ( 1535280 1389350 )
NEW met3 ( 1535280 1389350 ) ( 2480880 1389350 )
NEW met2 ( 1535280 1389350 ) via2_FR
NEW met2 ( 2480880 1389350 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[86\] ( mprj la_data_out[86] ) ( mgmt_buffers la_data_out_core[86] )
+ ROUTED met2 ( 1536720 1325710 0 ) ( 1536720 1327005 )
NEW met1 ( 1536720 1327005 ) ( 2499600 1327005 )
NEW met2 ( 2499600 1327005 ) ( 2499600 1389350 0 )
NEW met1 ( 1536720 1327005 ) M1M2_PR
NEW met1 ( 2499600 1327005 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[87\] ( mprj la_data_out[87] ) ( mgmt_buffers la_data_out_core[87] )
+ ROUTED met2 ( 2516400 1388610 ) ( 2516400 1389350 )
NEW met2 ( 2516400 1389350 ) ( 2517840 1389350 0 )
NEW met2 ( 1539120 1325710 0 ) ( 1539120 1388610 )
NEW met3 ( 1539120 1388610 ) ( 2516400 1388610 )
NEW met2 ( 1539120 1388610 ) via2_FR
NEW met2 ( 2516400 1388610 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[88\] ( mprj la_data_out[88] ) ( mgmt_buffers la_data_out_core[88] )
+ ROUTED met2 ( 1541280 1325710 0 ) ( 1541280 1326635 )
NEW met1 ( 1541280 1326635 ) ( 2535600 1326635 )
NEW met2 ( 2535600 1326635 ) ( 2535600 1389350 0 )
NEW met1 ( 1541280 1326635 ) M1M2_PR
NEW met1 ( 2535600 1326635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[89\] ( mprj la_data_out[89] ) ( mgmt_buffers la_data_out_core[89] )
+ ROUTED met2 ( 1543200 1325710 0 ) ( 1544400 1325710 )
NEW met2 ( 1544400 1325710 ) ( 1544400 1326265 )
NEW met2 ( 2553360 1326265 ) ( 2553360 1389350 0 )
NEW met1 ( 1544400 1326265 ) ( 2553360 1326265 )
NEW met1 ( 1544400 1326265 ) M1M2_PR
NEW met1 ( 2553360 1326265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[8\] ( mprj la_data_out[8] ) ( mgmt_buffers la_data_out_core[8] )
+ ROUTED met2 ( 1365840 1325710 ) ( 1367040 1325710 0 )
NEW met2 ( 1365840 1325710 ) ( 1365840 1325895 )
NEW met2 ( 1108560 1325895 ) ( 1108560 1389350 0 )
NEW met1 ( 1108560 1325895 ) ( 1365840 1325895 )
NEW met1 ( 1365840 1325895 ) M1M2_PR
NEW met1 ( 1108560 1325895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[90\] ( mprj la_data_out[90] ) ( mgmt_buffers la_data_out_core[90] )
+ ROUTED met2 ( 1545600 1325710 0 ) ( 1546800 1325710 )
NEW met2 ( 1546800 1325710 ) ( 1546800 1325895 )
NEW met2 ( 2571600 1325895 ) ( 2571600 1389350 0 )
NEW met1 ( 1546800 1325895 ) ( 2571600 1325895 )
NEW met1 ( 1546800 1325895 ) M1M2_PR
NEW met1 ( 2571600 1325895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[91\] ( mprj la_data_out[91] ) ( mgmt_buffers la_data_out_core[91] )
+ ROUTED met2 ( 2588880 1387870 ) ( 2588880 1389350 0 )
NEW met2 ( 1547760 1325710 0 ) ( 1547760 1387870 )
NEW met3 ( 1547760 1387870 ) ( 2588880 1387870 )
NEW met2 ( 1547760 1387870 ) via2_FR
NEW met2 ( 2588880 1387870 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[92\] ( mprj la_data_out[92] ) ( mgmt_buffers la_data_out_core[92] )
+ ROUTED met2 ( 1549680 1325710 0 ) ( 1551120 1325710 )
NEW met2 ( 1551120 1325710 ) ( 1551120 1330705 )
NEW met1 ( 1551120 1330705 ) ( 1564560 1330705 )
NEW met2 ( 1564560 1328485 ) ( 1564560 1330705 )
NEW met2 ( 1746960 1334775 ) ( 1746960 1335330 )
NEW met2 ( 1945200 1335885 ) ( 1945200 1337550 )
NEW met2 ( 1944720 1337550 ) ( 1945200 1337550 )
NEW met2 ( 2607120 1324970 ) ( 2607120 1389350 0 )
NEW met2 ( 1686000 1328485 ) ( 1686000 1335145 )
NEW met1 ( 1686000 1335145 ) ( 1740720 1335145 )
NEW met1 ( 1740720 1334775 ) ( 1740720 1335145 )
NEW met1 ( 1564560 1328485 ) ( 1686000 1328485 )
NEW met1 ( 1740720 1334775 ) ( 1746960 1334775 )
NEW met2 ( 2062800 1324970 ) ( 2062800 1335885 )
NEW met1 ( 1945200 1335885 ) ( 2062800 1335885 )
NEW met3 ( 2062800 1324970 ) ( 2607120 1324970 )
NEW met4 ( 1842720 1335330 ) ( 1842720 1340325 )
NEW met5 ( 1842720 1340325 ) ( 1895520 1340325 )
NEW met4 ( 1895520 1337550 ) ( 1895520 1340325 )
NEW met3 ( 1746960 1335330 ) ( 1842720 1335330 )
NEW met3 ( 1895520 1337550 ) ( 1944720 1337550 )
NEW met1 ( 1551120 1330705 ) M1M2_PR
NEW met1 ( 1564560 1330705 ) M1M2_PR
NEW met1 ( 1564560 1328485 ) M1M2_PR
NEW met1 ( 1746960 1334775 ) M1M2_PR
NEW met2 ( 1746960 1335330 ) via2_FR
NEW met1 ( 1945200 1335885 ) M1M2_PR
NEW met2 ( 1944720 1337550 ) via2_FR
NEW met2 ( 2607120 1324970 ) via2_FR
NEW met1 ( 1686000 1328485 ) M1M2_PR
NEW met1 ( 1686000 1335145 ) M1M2_PR
NEW met2 ( 2062800 1324970 ) via2_FR
NEW met1 ( 2062800 1335885 ) M1M2_PR
NEW met3 ( 1842720 1335330 ) M3M4_PR_M
NEW met4 ( 1842720 1340325 ) via4_FR
NEW met4 ( 1895520 1340325 ) via4_FR
NEW met3 ( 1895520 1337550 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_out_user\[93\] ( mprj la_data_out[93] ) ( mgmt_buffers la_data_out_core[93] )
+ ROUTED met2 ( 2624880 1387130 ) ( 2624880 1389350 0 )
NEW met2 ( 1552080 1325710 0 ) ( 1552080 1387130 )
NEW met3 ( 1552080 1387130 ) ( 2624880 1387130 )
NEW met2 ( 1552080 1387130 ) via2_FR
NEW met2 ( 2624880 1387130 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[94\] ( mprj la_data_out[94] ) ( mgmt_buffers la_data_out_core[94] )
+ ROUTED met1 ( 1554960 1340325 ) ( 1588560 1340325 )
NEW met2 ( 1945200 1339955 ) ( 1945200 1340510 )
NEW met1 ( 1945200 1339955 ) ( 1987920 1339955 )
NEW met2 ( 1554240 1325710 0 ) ( 1554960 1325710 )
NEW met2 ( 1554960 1325710 ) ( 1554960 1340325 )
NEW met2 ( 1588560 1337365 ) ( 1588560 1340325 )
NEW met2 ( 1987920 1336810 ) ( 1989840 1336810 )
NEW met2 ( 1989840 1336810 ) ( 1989840 1337735 )
NEW met1 ( 1989840 1337735 ) ( 2044080 1337735 )
NEW met2 ( 2044080 1337735 ) ( 2044080 1339030 )
NEW met2 ( 1987920 1336810 ) ( 1987920 1339955 )
NEW met2 ( 2642640 1339030 ) ( 2642640 1389350 0 )
NEW met2 ( 1843920 1339585 ) ( 1843920 1340510 )
NEW met3 ( 1843920 1340510 ) ( 1945200 1340510 )
NEW met3 ( 2044080 1339030 ) ( 2642640 1339030 )
NEW met2 ( 1638960 1337365 ) ( 1643280 1337365 )
NEW met2 ( 1643280 1337365 ) ( 1643280 1338475 )
NEW met2 ( 1643280 1338475 ) ( 1644720 1338475 )
NEW met1 ( 1588560 1337365 ) ( 1638960 1337365 )
NEW met2 ( 1749360 1338475 ) ( 1749360 1339955 )
NEW met1 ( 1749360 1339955 ) ( 1788240 1339955 )
NEW met1 ( 1788240 1339585 ) ( 1788240 1339955 )
NEW met1 ( 1644720 1338475 ) ( 1749360 1338475 )
NEW met1 ( 1788240 1339585 ) ( 1843920 1339585 )
NEW met1 ( 1554960 1340325 ) M1M2_PR
NEW met1 ( 1588560 1340325 ) M1M2_PR
NEW met2 ( 1945200 1340510 ) via2_FR
NEW met1 ( 1945200 1339955 ) M1M2_PR
NEW met1 ( 1987920 1339955 ) M1M2_PR
NEW met1 ( 1588560 1337365 ) M1M2_PR
NEW met1 ( 1989840 1337735 ) M1M2_PR
NEW met1 ( 2044080 1337735 ) M1M2_PR
NEW met2 ( 2044080 1339030 ) via2_FR
NEW met2 ( 2642640 1339030 ) via2_FR
NEW met1 ( 1843920 1339585 ) M1M2_PR
NEW met2 ( 1843920 1340510 ) via2_FR
NEW met1 ( 1638960 1337365 ) M1M2_PR
NEW met1 ( 1644720 1338475 ) M1M2_PR
NEW met1 ( 1749360 1338475 ) M1M2_PR
NEW met1 ( 1749360 1339955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[95\] ( mprj la_data_out[95] ) ( mgmt_buffers la_data_out_core[95] )
+ ROUTED met2 ( 1556640 1325710 0 ) ( 1557840 1325710 )
NEW met2 ( 1557840 1325710 ) ( 1557840 1386390 )
NEW met2 ( 2660880 1386390 ) ( 2660880 1389350 0 )
NEW met3 ( 1557840 1386390 ) ( 2660880 1386390 )
NEW met2 ( 1557840 1386390 ) via2_FR
NEW met2 ( 2660880 1386390 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[96\] ( mprj la_data_out[96] ) ( mgmt_buffers la_data_out_core[96] )
+ ROUTED met2 ( 1558320 1325710 0 ) ( 1558320 1385650 )
NEW met2 ( 2678160 1385650 ) ( 2678160 1389350 0 )
NEW met3 ( 1558320 1385650 ) ( 2678160 1385650 )
NEW met2 ( 1558320 1385650 ) via2_FR
NEW met2 ( 2678160 1385650 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[97\] ( mprj la_data_out[97] ) ( mgmt_buffers la_data_out_core[97] )
+ ROUTED met2 ( 1639920 1349205 ) ( 1639920 1354755 )
NEW met1 ( 1639920 1349205 ) ( 1691760 1349205 )
NEW met2 ( 1691760 1349205 ) ( 1691760 1352535 )
NEW met2 ( 1560720 1325710 0 ) ( 1560720 1353090 )
NEW met3 ( 1560720 1353090 ) ( 1602960 1353090 )
NEW met2 ( 1602960 1353090 ) ( 1602960 1354755 )
NEW met1 ( 1602960 1354755 ) ( 1639920 1354755 )
NEW met2 ( 2696400 1349205 ) ( 2696400 1389350 0 )
NEW met2 ( 1741200 1349205 ) ( 1741200 1352535 )
NEW met1 ( 1691760 1352535 ) ( 1741200 1352535 )
NEW met2 ( 2185680 1348650 ) ( 2185680 1349205 )
NEW met2 ( 2185680 1348650 ) ( 2187600 1348650 )
NEW met2 ( 2187600 1348650 ) ( 2187600 1349205 )
NEW met1 ( 1741200 1349205 ) ( 2185680 1349205 )
NEW met1 ( 2187600 1349205 ) ( 2696400 1349205 )
NEW met1 ( 1639920 1354755 ) M1M2_PR
NEW met1 ( 1639920 1349205 ) M1M2_PR
NEW met1 ( 1691760 1349205 ) M1M2_PR
NEW met1 ( 1691760 1352535 ) M1M2_PR
NEW met2 ( 1560720 1353090 ) via2_FR
NEW met2 ( 1602960 1353090 ) via2_FR
NEW met1 ( 1602960 1354755 ) M1M2_PR
NEW met1 ( 2696400 1349205 ) M1M2_PR
NEW met1 ( 1741200 1352535 ) M1M2_PR
NEW met1 ( 1741200 1349205 ) M1M2_PR
NEW met1 ( 2185680 1349205 ) M1M2_PR
NEW met1 ( 2187600 1349205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[98\] ( mprj la_data_out[98] ) ( mgmt_buffers la_data_out_core[98] )
+ ROUTED met2 ( 1842480 1334590 ) ( 1842480 1336625 )
NEW met3 ( 1983840 1336810 ) ( 1984080 1336810 )
NEW met2 ( 1984080 1336625 ) ( 1984080 1336810 )
NEW met4 ( 1983840 1336810 ) ( 1983840 1339770 )
NEW met3 ( 1887120 1339770 ) ( 1983840 1339770 )
NEW met1 ( 1842480 1336625 ) ( 1887120 1336625 )
NEW met2 ( 1887120 1336625 ) ( 1887120 1339770 )
NEW met2 ( 2096880 1331630 ) ( 2096880 1334590 )
NEW met3 ( 2069040 1331630 ) ( 2096880 1331630 )
NEW met2 ( 2069040 1331630 ) ( 2069040 1336625 )
NEW met1 ( 1984080 1336625 ) ( 2069040 1336625 )
NEW met3 ( 2096880 1334590 ) ( 2714160 1334590 )
NEW met2 ( 2714160 1334590 ) ( 2714160 1389350 0 )
NEW met2 ( 1631760 1335145 ) ( 1631760 1338845 )
NEW met1 ( 1631760 1335145 ) ( 1637520 1335145 )
NEW met1 ( 1637520 1334775 ) ( 1637520 1335145 )
NEW met1 ( 1637520 1334775 ) ( 1641840 1334775 )
NEW met2 ( 1641840 1334775 ) ( 1641840 1335330 )
NEW met3 ( 1641840 1335330 ) ( 1642080 1335330 )
NEW met4 ( 1642080 1335330 ) ( 1642080 1336995 )
NEW met4 ( 1642080 1336995 ) ( 1643040 1336995 )
NEW met4 ( 1643040 1336995 ) ( 1643040 1339030 )
NEW met2 ( 1563120 1325710 0 ) ( 1563120 1339955 )
NEW met1 ( 1563120 1339955 ) ( 1589040 1339955 )
NEW met1 ( 1589040 1339955 ) ( 1589040 1340325 )
NEW met1 ( 1589040 1340325 ) ( 1590000 1340325 )
NEW met1 ( 1590000 1340325 ) ( 1590000 1340695 )
NEW met1 ( 1590000 1340695 ) ( 1611120 1340695 )
NEW met2 ( 1611120 1340695 ) ( 1611120 1341065 )
NEW met2 ( 1611120 1341065 ) ( 1612080 1341065 )
NEW met2 ( 1612080 1338845 ) ( 1612080 1341065 )
NEW met1 ( 1612080 1338845 ) ( 1631760 1338845 )
NEW met2 ( 1744080 1334590 ) ( 1744080 1339030 )
NEW met3 ( 1643040 1339030 ) ( 1744080 1339030 )
NEW met3 ( 1744080 1334590 ) ( 1842480 1334590 )
NEW met3 ( 1983840 1339770 ) M3M4_PR_M
NEW met2 ( 1842480 1334590 ) via2_FR
NEW met1 ( 1842480 1336625 ) M1M2_PR
NEW met3 ( 1983840 1336810 ) M3M4_PR_M
NEW met2 ( 1984080 1336810 ) via2_FR
NEW met1 ( 1984080 1336625 ) M1M2_PR
NEW met2 ( 1887120 1339770 ) via2_FR
NEW met1 ( 1887120 1336625 ) M1M2_PR
NEW met2 ( 2096880 1334590 ) via2_FR
NEW met2 ( 2096880 1331630 ) via2_FR
NEW met2 ( 2069040 1331630 ) via2_FR
NEW met1 ( 2069040 1336625 ) M1M2_PR
NEW met2 ( 2714160 1334590 ) via2_FR
NEW met1 ( 1631760 1338845 ) M1M2_PR
NEW met1 ( 1631760 1335145 ) M1M2_PR
NEW met1 ( 1641840 1334775 ) M1M2_PR
NEW met2 ( 1641840 1335330 ) via2_FR
NEW met3 ( 1642080 1335330 ) M3M4_PR_M
NEW met3 ( 1643040 1339030 ) M3M4_PR_M
NEW met1 ( 1563120 1339955 ) M1M2_PR
NEW met1 ( 1611120 1340695 ) M1M2_PR
NEW met1 ( 1612080 1338845 ) M1M2_PR
NEW met2 ( 1744080 1339030 ) via2_FR
NEW met2 ( 1744080 1334590 ) via2_FR
NEW met3 ( 1984080 1336810 ) RECT ( 0 -150 380 150 )
NEW met3 ( 1641840 1335330 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_out_user\[99\] ( mprj la_data_out[99] ) ( mgmt_buffers la_data_out_core[99] )
+ ROUTED met2 ( 1565280 1325710 0 ) ( 1566000 1325710 )
NEW met2 ( 1566000 1325710 ) ( 1566000 1384910 )
NEW met2 ( 2731920 1384910 ) ( 2731920 1389350 0 )
NEW met3 ( 1566000 1384910 ) ( 2731920 1384910 )
NEW met2 ( 1566000 1384910 ) via2_FR
NEW met2 ( 2731920 1384910 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[9\] ( mprj la_data_out[9] ) ( mgmt_buffers la_data_out_core[9] )
+ ROUTED met2 ( 1368240 1325710 ) ( 1368960 1325710 0 )
NEW met2 ( 1368240 1325710 ) ( 1368240 1326265 )
NEW met2 ( 1126320 1389350 0 ) ( 1126800 1389350 )
NEW met2 ( 1126800 1326265 ) ( 1126800 1389350 )
NEW met1 ( 1126800 1326265 ) ( 1368240 1326265 )
NEW met1 ( 1368240 1326265 ) M1M2_PR
NEW met1 ( 1126800 1326265 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[0\] ( soc la_oen[0] ) ( mgmt_buffers la_oen_mprj[0] )
+ ROUTED met2 ( 1558320 1167350 0 ) ( 1558320 1198615 )
NEW met1 ( 1558320 1198615 ) ( 1618320 1198615 )
NEW met2 ( 1618320 1198615 ) ( 1618320 1232470 )
NEW met2 ( 1618320 1232470 ) ( 1619520 1232470 0 )
NEW met1 ( 1558320 1198615 ) M1M2_PR
NEW met1 ( 1618320 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[100\] ( soc la_oen[100] ) ( mgmt_buffers la_oen_mprj[100] )
+ ROUTED met1 ( 1389360 1229325 ) ( 1389360 1229695 )
NEW met2 ( 1837680 1229325 ) ( 1837680 1232470 0 )
NEW met2 ( 1319280 1167350 0 ) ( 1319280 1229695 )
NEW met1 ( 1319280 1229695 ) ( 1389360 1229695 )
NEW met1 ( 1389360 1229325 ) ( 1837680 1229325 )
NEW met1 ( 1837680 1229325 ) M1M2_PR
NEW met1 ( 1319280 1229695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[101\] ( soc la_oen[101] ) ( mgmt_buffers la_oen_mprj[101] )
+ ROUTED met2 ( 1560240 1167350 0 ) ( 1560240 1173085 )
NEW met2 ( 1838640 1173085 ) ( 1838640 1232470 )
NEW met2 ( 1838640 1232470 ) ( 1839360 1232470 0 )
NEW met1 ( 1560240 1173085 ) ( 1838640 1173085 )
NEW met1 ( 1560240 1173085 ) M1M2_PR
NEW met1 ( 1838640 1173085 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[102\] ( soc la_oen[102] ) ( mgmt_buffers la_oen_mprj[102] )
+ ROUTED met2 ( 1389840 1229695 ) ( 1389840 1230805 )
NEW met2 ( 1840560 1229695 ) ( 1840560 1232470 )
NEW met2 ( 1840560 1232470 ) ( 1841760 1232470 0 )
NEW met2 ( 1317360 1167350 0 ) ( 1317360 1230805 )
NEW met1 ( 1317360 1230805 ) ( 1389840 1230805 )
NEW met2 ( 1699440 1229695 ) ( 1699440 1230250 )
NEW met2 ( 1699440 1230250 ) ( 1699920 1230250 )
NEW met2 ( 1699920 1230250 ) ( 1699920 1231545 )
NEW met1 ( 1699920 1231545 ) ( 1736400 1231545 )
NEW met2 ( 1736400 1229695 ) ( 1736400 1231545 )
NEW met1 ( 1389840 1229695 ) ( 1699440 1229695 )
NEW met1 ( 1736400 1229695 ) ( 1840560 1229695 )
NEW met1 ( 1389840 1230805 ) M1M2_PR
NEW met1 ( 1389840 1229695 ) M1M2_PR
NEW met1 ( 1840560 1229695 ) M1M2_PR
NEW met1 ( 1317360 1230805 ) M1M2_PR
NEW met1 ( 1699440 1229695 ) M1M2_PR
NEW met1 ( 1699920 1231545 ) M1M2_PR
NEW met1 ( 1736400 1231545 ) M1M2_PR
NEW met1 ( 1736400 1229695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[103\] ( soc la_oen[103] ) ( mgmt_buffers la_oen_mprj[103] )
+ ROUTED met2 ( 1562160 1167350 0 ) ( 1562160 1220815 )
NEW met2 ( 1843920 1220815 ) ( 1843920 1232470 0 )
NEW met1 ( 1562160 1220815 ) ( 1843920 1220815 )
NEW met1 ( 1562160 1220815 ) M1M2_PR
NEW met1 ( 1843920 1220815 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[104\] ( soc la_oen[104] ) ( mgmt_buffers la_oen_mprj[104] )
+ ROUTED met2 ( 1388880 1228215 ) ( 1388880 1229325 )
NEW met2 ( 1315440 1167350 0 ) ( 1315440 1229325 )
NEW met1 ( 1315440 1229325 ) ( 1388880 1229325 )
NEW met2 ( 1846320 1228215 ) ( 1846320 1232470 0 )
NEW met1 ( 1388880 1228215 ) ( 1846320 1228215 )
NEW met1 ( 1388880 1229325 ) M1M2_PR
NEW met1 ( 1388880 1228215 ) M1M2_PR
NEW met1 ( 1315440 1229325 ) M1M2_PR
NEW met1 ( 1846320 1228215 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[105\] ( soc la_oen[105] ) ( mgmt_buffers la_oen_mprj[105] )
+ ROUTED met2 ( 1563840 1167350 0 ) ( 1565040 1167350 )
NEW met2 ( 1565040 1167350 ) ( 1565040 1180115 )
NEW met2 ( 1848240 1180115 ) ( 1848240 1232470 0 )
NEW met1 ( 1565040 1180115 ) ( 1848240 1180115 )
NEW met1 ( 1565040 1180115 ) M1M2_PR
NEW met1 ( 1848240 1180115 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[106\] ( soc la_oen[106] ) ( mgmt_buffers la_oen_mprj[106] )
+ ROUTED met2 ( 1313520 1167350 0 ) ( 1313520 1227845 )
NEW met2 ( 1849200 1227845 ) ( 1849200 1232470 )
NEW met2 ( 1849200 1232470 ) ( 1850400 1232470 0 )
NEW met1 ( 1313520 1227845 ) ( 1849200 1227845 )
NEW met1 ( 1313520 1227845 ) M1M2_PR
NEW met1 ( 1849200 1227845 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[107\] ( soc la_oen[107] ) ( mgmt_buffers la_oen_mprj[107] )
+ ROUTED met2 ( 1565760 1167350 0 ) ( 1566960 1167350 )
NEW met2 ( 1566960 1167350 ) ( 1566960 1201945 )
NEW met2 ( 1851600 1201945 ) ( 1851600 1232470 )
NEW met2 ( 1851600 1232470 ) ( 1852800 1232470 0 )
NEW met1 ( 1566960 1201945 ) ( 1851600 1201945 )
NEW met1 ( 1566960 1201945 ) M1M2_PR
NEW met1 ( 1851600 1201945 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[108\] ( soc la_oen[108] ) ( mgmt_buffers la_oen_mprj[108] )
+ ROUTED met2 ( 1311840 1167350 0 ) ( 1313040 1167350 )
NEW met2 ( 1313040 1167350 ) ( 1313040 1199910 )
NEW met2 ( 1854960 1199910 ) ( 1854960 1232470 0 )
NEW met3 ( 1313040 1199910 ) ( 1854960 1199910 )
NEW met2 ( 1313040 1199910 ) via2_FR
NEW met2 ( 1854960 1199910 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[109\] ( soc la_oen[109] ) ( mgmt_buffers la_oen_mprj[109] )
+ ROUTED met2 ( 1567440 1167350 0 ) ( 1567440 1200835 )
NEW met2 ( 1856880 1200835 ) ( 1856880 1232470 0 )
NEW met1 ( 1567440 1200835 ) ( 1856880 1200835 )
NEW met1 ( 1567440 1200835 ) M1M2_PR
NEW met1 ( 1856880 1200835 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[10\] ( soc la_oen[10] ) ( mgmt_buffers la_oen_mprj[10] )
+ ROUTED met1 ( 1571760 1207865 ) ( 1571760 1208605 )
NEW met2 ( 1309920 1167350 0 ) ( 1309920 1168830 )
NEW met2 ( 1309920 1168830 ) ( 1310160 1168830 )
NEW met2 ( 1310160 1168830 ) ( 1310160 1207865 )
NEW met1 ( 1310160 1207865 ) ( 1571760 1207865 )
NEW met2 ( 1640880 1208605 ) ( 1640880 1230990 )
NEW met2 ( 1640880 1230990 ) ( 1641120 1230990 )
NEW met2 ( 1641120 1230990 ) ( 1641120 1232470 0 )
NEW met1 ( 1571760 1208605 ) ( 1640880 1208605 )
NEW met1 ( 1310160 1207865 ) M1M2_PR
NEW met1 ( 1640880 1208605 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[110\] ( soc la_oen[110] ) ( mgmt_buffers la_oen_mprj[110] )
+ ROUTED met2 ( 1568880 1167350 ) ( 1569360 1167350 0 )
NEW met2 ( 1568880 1167350 ) ( 1568880 1199725 )
NEW met2 ( 1800720 1208605 ) ( 1800720 1209715 )
NEW met1 ( 1800720 1209715 ) ( 1859280 1209715 )
NEW met2 ( 1859280 1209715 ) ( 1859280 1232470 0 )
NEW met2 ( 1626480 1199725 ) ( 1626480 1207865 )
NEW met1 ( 1626480 1207865 ) ( 1641360 1207865 )
NEW met2 ( 1641360 1207865 ) ( 1641360 1208605 )
NEW met1 ( 1568880 1199725 ) ( 1626480 1199725 )
NEW met2 ( 1698960 1208605 ) ( 1698960 1208790 )
NEW met2 ( 1698960 1208790 ) ( 1699440 1208790 )
NEW met2 ( 1699440 1208605 ) ( 1699440 1208790 )
NEW met1 ( 1641360 1208605 ) ( 1698960 1208605 )
NEW met1 ( 1699440 1208605 ) ( 1800720 1208605 )
NEW met1 ( 1568880 1199725 ) M1M2_PR
NEW met1 ( 1800720 1208605 ) M1M2_PR
NEW met1 ( 1800720 1209715 ) M1M2_PR
NEW met1 ( 1859280 1209715 ) M1M2_PR
NEW met1 ( 1626480 1199725 ) M1M2_PR
NEW met1 ( 1626480 1207865 ) M1M2_PR
NEW met1 ( 1641360 1207865 ) M1M2_PR
NEW met1 ( 1641360 1208605 ) M1M2_PR
NEW met1 ( 1698960 1208605 ) M1M2_PR
NEW met1 ( 1699440 1208605 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[111\] ( soc la_oen[111] ) ( mgmt_buffers la_oen_mprj[111] )
+ ROUTED met2 ( 1308240 1167350 0 ) ( 1309200 1167350 )
NEW met2 ( 1309200 1167350 ) ( 1309200 1226735 )
NEW met2 ( 1860240 1226735 ) ( 1860240 1232470 )
NEW met2 ( 1860240 1232470 ) ( 1861440 1232470 0 )
NEW met1 ( 1309200 1226735 ) ( 1860240 1226735 )
NEW met1 ( 1309200 1226735 ) M1M2_PR
NEW met1 ( 1860240 1226735 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[112\] ( soc la_oen[112] ) ( mgmt_buffers la_oen_mprj[112] )
+ ROUTED met2 ( 1571280 1167350 0 ) ( 1571280 1201205 )
NEW met2 ( 1862160 1201205 ) ( 1862160 1232470 )
NEW met2 ( 1862160 1232470 ) ( 1863360 1232470 0 )
NEW met1 ( 1571280 1201205 ) ( 1862160 1201205 )
NEW met1 ( 1571280 1201205 ) M1M2_PR
NEW met1 ( 1862160 1201205 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[113\] ( soc la_oen[113] ) ( mgmt_buffers la_oen_mprj[113] )
+ ROUTED met2 ( 1306320 1167350 0 ) ( 1306320 1225995 )
NEW met2 ( 1864560 1225995 ) ( 1864560 1232470 )
NEW met2 ( 1864560 1232470 ) ( 1865760 1232470 0 )
NEW met1 ( 1306320 1225995 ) ( 1864560 1225995 )
NEW met1 ( 1306320 1225995 ) M1M2_PR
NEW met1 ( 1864560 1225995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[114\] ( soc la_oen[114] ) ( mgmt_buffers la_oen_mprj[114] )
+ ROUTED met2 ( 1573200 1167350 0 ) ( 1573200 1201575 )
NEW met2 ( 1866480 1201575 ) ( 1866480 1232470 )
NEW met2 ( 1866480 1232470 ) ( 1867920 1232470 0 )
NEW met1 ( 1573200 1201575 ) ( 1866480 1201575 )
NEW met1 ( 1573200 1201575 ) M1M2_PR
NEW met1 ( 1866480 1201575 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[115\] ( soc la_oen[115] ) ( mgmt_buffers la_oen_mprj[115] )
+ ROUTED met2 ( 1304400 1167350 0 ) ( 1304400 1226365 )
NEW met2 ( 1870320 1226365 ) ( 1870320 1232470 0 )
NEW met1 ( 1304400 1226365 ) ( 1870320 1226365 )
NEW met1 ( 1304400 1226365 ) M1M2_PR
NEW met1 ( 1870320 1226365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[116\] ( soc la_oen[116] ) ( mgmt_buffers la_oen_mprj[116] )
+ ROUTED met2 ( 1574880 1167350 0 ) ( 1576080 1167350 )
NEW met2 ( 1576080 1167350 ) ( 1576080 1208975 )
NEW met2 ( 1872240 1208975 ) ( 1872240 1232470 0 )
NEW met1 ( 1576080 1208975 ) ( 1872240 1208975 )
NEW met1 ( 1576080 1208975 ) M1M2_PR
NEW met1 ( 1872240 1208975 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[117\] ( soc la_oen[117] ) ( mgmt_buffers la_oen_mprj[117] )
+ ROUTED met2 ( 1302480 1167350 0 ) ( 1302480 1225255 )
NEW met2 ( 1873200 1225255 ) ( 1873200 1232470 )
NEW met2 ( 1873200 1232470 ) ( 1874400 1232470 0 )
NEW met1 ( 1302480 1225255 ) ( 1873200 1225255 )
NEW met1 ( 1302480 1225255 ) M1M2_PR
NEW met1 ( 1873200 1225255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[118\] ( soc la_oen[118] ) ( mgmt_buffers la_oen_mprj[118] )
+ ROUTED met2 ( 1576800 1167350 0 ) ( 1578000 1167350 )
NEW met2 ( 1578000 1167350 ) ( 1578000 1186035 )
NEW met1 ( 1578000 1186035 ) ( 1583280 1186035 )
NEW met2 ( 1583280 1186035 ) ( 1583280 1208235 )
NEW met2 ( 1875600 1208235 ) ( 1875600 1232470 )
NEW met2 ( 1875600 1232470 ) ( 1876800 1232470 0 )
NEW met1 ( 1583280 1208235 ) ( 1875600 1208235 )
NEW met1 ( 1578000 1186035 ) M1M2_PR
NEW met1 ( 1583280 1186035 ) M1M2_PR
NEW met1 ( 1583280 1208235 ) M1M2_PR
NEW met1 ( 1875600 1208235 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[119\] ( soc la_oen[119] ) ( mgmt_buffers la_oen_mprj[119] )
+ ROUTED met2 ( 1300800 1167350 0 ) ( 1302000 1167350 )
NEW met2 ( 1302000 1167350 ) ( 1302000 1225625 )
NEW met2 ( 1878960 1225625 ) ( 1878960 1232470 0 )
NEW met1 ( 1302000 1225625 ) ( 1878960 1225625 )
NEW met1 ( 1302000 1225625 ) M1M2_PR
NEW met1 ( 1878960 1225625 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[11\] ( soc la_oen[11] ) ( mgmt_buffers la_oen_mprj[11] )
+ ROUTED met2 ( 1578480 1167350 0 ) ( 1578480 1198245 )
NEW met1 ( 1578480 1198245 ) ( 1618800 1198245 )
NEW met1 ( 1618800 1198245 ) ( 1618800 1198615 )
NEW met2 ( 1644240 1198615 ) ( 1644240 1232470 )
NEW met2 ( 1643520 1232470 0 ) ( 1644240 1232470 )
NEW met1 ( 1618800 1198615 ) ( 1644240 1198615 )
NEW met1 ( 1578480 1198245 ) M1M2_PR
NEW met1 ( 1644240 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[120\] ( soc la_oen[120] ) ( mgmt_buffers la_oen_mprj[120] )
+ ROUTED met2 ( 1298880 1167350 0 ) ( 1300080 1167350 )
NEW met2 ( 1300080 1167350 ) ( 1300080 1208050 )
NEW met2 ( 1880880 1208050 ) ( 1880880 1232470 0 )
NEW met3 ( 1300080 1208050 ) ( 1880880 1208050 )
NEW met2 ( 1300080 1208050 ) via2_FR
NEW met2 ( 1880880 1208050 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[121\] ( soc la_oen[121] ) ( mgmt_buffers la_oen_mprj[121] )
+ ROUTED met2 ( 1580400 1167350 0 ) ( 1580400 1172715 )
NEW met1 ( 1580400 1172715 ) ( 1612080 1172715 )
NEW met2 ( 1612080 1172715 ) ( 1612080 1186035 )
NEW met2 ( 1612080 1186035 ) ( 1612560 1186035 )
NEW met2 ( 1612560 1186035 ) ( 1612560 1187145 )
NEW met1 ( 1843440 1186775 ) ( 1843440 1187145 )
NEW met1 ( 1843440 1186775 ) ( 1883280 1186775 )
NEW met1 ( 1612560 1187145 ) ( 1843440 1187145 )
NEW met2 ( 1883280 1186775 ) ( 1883280 1232470 0 )
NEW met1 ( 1580400 1172715 ) M1M2_PR
NEW met1 ( 1612080 1172715 ) M1M2_PR
NEW met1 ( 1612560 1187145 ) M1M2_PR
NEW met1 ( 1883280 1186775 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[122\] ( soc la_oen[122] ) ( mgmt_buffers la_oen_mprj[122] )
+ ROUTED met2 ( 1297200 1167350 0 ) ( 1298160 1167350 )
NEW met2 ( 1298160 1167350 ) ( 1298160 1207310 )
NEW met2 ( 1884240 1207310 ) ( 1884240 1232470 )
NEW met2 ( 1884240 1232470 ) ( 1885440 1232470 0 )
NEW met3 ( 1298160 1207310 ) ( 1884240 1207310 )
NEW met2 ( 1298160 1207310 ) via2_FR
NEW met2 ( 1884240 1207310 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[123\] ( soc la_oen[123] ) ( mgmt_buffers la_oen_mprj[123] )
+ ROUTED met2 ( 1582320 1167350 0 ) ( 1582320 1194915 )
NEW met2 ( 1886640 1194915 ) ( 1886640 1232470 )
NEW met2 ( 1886640 1232470 ) ( 1887840 1232470 0 )
NEW met1 ( 1582320 1194915 ) ( 1886640 1194915 )
NEW met1 ( 1582320 1194915 ) M1M2_PR
NEW met1 ( 1886640 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[124\] ( soc la_oen[124] ) ( mgmt_buffers la_oen_mprj[124] )
+ ROUTED met2 ( 1295280 1167350 0 ) ( 1295280 1192510 )
NEW met2 ( 1888560 1192510 ) ( 1888560 1232470 )
NEW met2 ( 1888560 1232470 ) ( 1889520 1232470 0 )
NEW met3 ( 1295280 1192510 ) ( 1888560 1192510 )
NEW met2 ( 1295280 1192510 ) via2_FR
NEW met2 ( 1888560 1192510 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[125\] ( soc la_oen[125] ) ( mgmt_buffers la_oen_mprj[125] )
+ ROUTED met2 ( 1635120 1200465 ) ( 1635120 1204905 )
NEW met2 ( 1691760 1204905 ) ( 1691760 1209345 )
NEW met1 ( 1635120 1204905 ) ( 1691760 1204905 )
NEW met2 ( 1584240 1167350 0 ) ( 1584240 1200465 )
NEW met1 ( 1584240 1200465 ) ( 1635120 1200465 )
NEW met2 ( 1891920 1209345 ) ( 1891920 1232470 0 )
NEW met1 ( 1691760 1209345 ) ( 1891920 1209345 )
NEW met1 ( 1635120 1200465 ) M1M2_PR
NEW met1 ( 1635120 1204905 ) M1M2_PR
NEW met1 ( 1691760 1204905 ) M1M2_PR
NEW met1 ( 1691760 1209345 ) M1M2_PR
NEW met1 ( 1584240 1200465 ) M1M2_PR
NEW met1 ( 1891920 1209345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[126\] ( soc la_oen[126] ) ( mgmt_buffers la_oen_mprj[126] )
+ ROUTED met2 ( 1293360 1167350 0 ) ( 1293360 1204350 )
NEW met2 ( 1894320 1204350 ) ( 1894320 1232470 0 )
NEW met3 ( 1293360 1204350 ) ( 1894320 1204350 )
NEW met2 ( 1293360 1204350 ) via2_FR
NEW met2 ( 1894320 1204350 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[127\] ( soc la_oen[127] ) ( mgmt_buffers la_oen_mprj[127] )
+ ROUTED met2 ( 1895280 1232470 ) ( 1896480 1232470 0 )
NEW met2 ( 1585920 1167350 0 ) ( 1587120 1167350 )
NEW met2 ( 1842960 1186775 ) ( 1842960 1193805 )
NEW met1 ( 1842960 1193805 ) ( 1895280 1193805 )
NEW met2 ( 1895280 1193805 ) ( 1895280 1232470 )
NEW met2 ( 1587120 1167350 ) ( 1587120 1186775 )
NEW met1 ( 1587120 1186775 ) ( 1842960 1186775 )
NEW met1 ( 1842960 1186775 ) M1M2_PR
NEW met1 ( 1842960 1193805 ) M1M2_PR
NEW met1 ( 1895280 1193805 ) M1M2_PR
NEW met1 ( 1587120 1186775 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[12\] ( soc la_oen[12] ) ( mgmt_buffers la_oen_mprj[12] )
+ ROUTED met1 ( 1545840 1194175 ) ( 1545840 1194545 )
NEW met2 ( 1291440 1167350 0 ) ( 1291440 1194545 )
NEW met1 ( 1291440 1194545 ) ( 1545840 1194545 )
NEW met2 ( 1645680 1194175 ) ( 1645680 1232470 0 )
NEW met1 ( 1545840 1194175 ) ( 1645680 1194175 )
NEW met1 ( 1291440 1194545 ) M1M2_PR
NEW met1 ( 1645680 1194175 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[13\] ( soc la_oen[13] ) ( mgmt_buffers la_oen_mprj[13] )
+ ROUTED met2 ( 1587840 1167350 0 ) ( 1589040 1167350 )
NEW met2 ( 1589040 1167350 ) ( 1589040 1206755 )
NEW met1 ( 1589040 1206755 ) ( 1592400 1206755 )
NEW met1 ( 1592400 1206755 ) ( 1592400 1207125 )
NEW met2 ( 1648080 1207125 ) ( 1648080 1232470 0 )
NEW met1 ( 1592400 1207125 ) ( 1648080 1207125 )
NEW met1 ( 1589040 1206755 ) M1M2_PR
NEW met1 ( 1648080 1207125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[14\] ( soc la_oen[14] ) ( mgmt_buffers la_oen_mprj[14] )
+ ROUTED met2 ( 1389840 1201575 ) ( 1389840 1207495 )
NEW met2 ( 1571280 1207495 ) ( 1571280 1209345 )
NEW met2 ( 1289760 1167350 0 ) ( 1290960 1167350 )
NEW met2 ( 1290960 1167350 ) ( 1290960 1183075 )
NEW met1 ( 1290960 1183075 ) ( 1311600 1183075 )
NEW met2 ( 1311600 1183075 ) ( 1311600 1201575 )
NEW met1 ( 1311600 1201575 ) ( 1389840 1201575 )
NEW met1 ( 1389840 1207495 ) ( 1571280 1207495 )
NEW met2 ( 1650000 1209345 ) ( 1650000 1232470 0 )
NEW met1 ( 1571280 1209345 ) ( 1650000 1209345 )
NEW met1 ( 1389840 1201575 ) M1M2_PR
NEW met1 ( 1389840 1207495 ) M1M2_PR
NEW met1 ( 1571280 1207495 ) M1M2_PR
NEW met1 ( 1571280 1209345 ) M1M2_PR
NEW met1 ( 1290960 1183075 ) M1M2_PR
NEW met1 ( 1311600 1183075 ) M1M2_PR
NEW met1 ( 1311600 1201575 ) M1M2_PR
NEW met1 ( 1650000 1209345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[15\] ( soc la_oen[15] ) ( mgmt_buffers la_oen_mprj[15] )
+ ROUTED met2 ( 1589520 1167350 0 ) ( 1589520 1206385 )
NEW met2 ( 1650960 1206385 ) ( 1650960 1232470 )
NEW met2 ( 1650960 1232470 ) ( 1652160 1232470 0 )
NEW met1 ( 1589520 1206385 ) ( 1650960 1206385 )
NEW met1 ( 1589520 1206385 ) M1M2_PR
NEW met1 ( 1650960 1206385 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[16\] ( soc la_oen[16] ) ( mgmt_buffers la_oen_mprj[16] )
+ ROUTED met2 ( 1287840 1167350 0 ) ( 1289040 1167350 )
NEW met2 ( 1289040 1167350 ) ( 1289040 1193805 )
NEW met1 ( 1289040 1193805 ) ( 1293360 1193805 )
NEW met1 ( 1293360 1193805 ) ( 1293360 1194175 )
NEW met2 ( 1653840 1191955 ) ( 1653840 1232470 )
NEW met2 ( 1653840 1232470 ) ( 1654560 1232470 0 )
NEW met1 ( 1545360 1193805 ) ( 1545360 1194175 )
NEW met1 ( 1545360 1193805 ) ( 1590960 1193805 )
NEW met2 ( 1590960 1192695 ) ( 1590960 1193805 )
NEW met1 ( 1590960 1192695 ) ( 1612080 1192695 )
NEW met2 ( 1612080 1191955 ) ( 1612080 1192695 )
NEW met2 ( 1612080 1191955 ) ( 1612560 1191955 )
NEW met1 ( 1293360 1194175 ) ( 1545360 1194175 )
NEW met1 ( 1612560 1191955 ) ( 1653840 1191955 )
NEW met1 ( 1289040 1193805 ) M1M2_PR
NEW met1 ( 1653840 1191955 ) M1M2_PR
NEW met1 ( 1590960 1193805 ) M1M2_PR
NEW met1 ( 1590960 1192695 ) M1M2_PR
NEW met1 ( 1612080 1192695 ) M1M2_PR
NEW met1 ( 1612560 1191955 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[17\] ( soc la_oen[17] ) ( mgmt_buffers la_oen_mprj[17] )
+ ROUTED met2 ( 1591440 1167350 0 ) ( 1591440 1196025 )
NEW met1 ( 1591440 1196025 ) ( 1624560 1196025 )
NEW met2 ( 1624560 1196025 ) ( 1624560 1197135 )
NEW met2 ( 1656720 1197135 ) ( 1656720 1232470 0 )
NEW met1 ( 1624560 1197135 ) ( 1656720 1197135 )
NEW met1 ( 1591440 1196025 ) M1M2_PR
NEW met1 ( 1624560 1196025 ) M1M2_PR
NEW met1 ( 1624560 1197135 ) M1M2_PR
NEW met1 ( 1656720 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[18\] ( soc la_oen[18] ) ( mgmt_buffers la_oen_mprj[18] )
+ ROUTED met2 ( 1389360 1200835 ) ( 1389360 1206385 )
NEW met2 ( 1286160 1167350 0 ) ( 1286160 1200835 )
NEW met1 ( 1286160 1200835 ) ( 1389360 1200835 )
NEW met2 ( 1658640 1206015 ) ( 1658640 1232470 0 )
NEW met1 ( 1587600 1206015 ) ( 1587600 1206385 )
NEW met1 ( 1389360 1206385 ) ( 1587600 1206385 )
NEW met1 ( 1587600 1206015 ) ( 1658640 1206015 )
NEW met1 ( 1389360 1200835 ) M1M2_PR
NEW met1 ( 1389360 1206385 ) M1M2_PR
NEW met1 ( 1286160 1200835 ) M1M2_PR
NEW met1 ( 1658640 1206015 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[19\] ( soc la_oen[19] ) ( mgmt_buffers la_oen_mprj[19] )
+ ROUTED met2 ( 1593360 1167350 0 ) ( 1593360 1193805 )
NEW met2 ( 1661040 1193805 ) ( 1661040 1232470 0 )
NEW met1 ( 1593360 1193805 ) ( 1661040 1193805 )
NEW met1 ( 1593360 1193805 ) M1M2_PR
NEW met1 ( 1661040 1193805 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[1\] ( soc la_oen[1] ) ( mgmt_buffers la_oen_mprj[1] )
+ ROUTED met2 ( 1620720 1185295 ) ( 1620720 1232470 )
NEW met2 ( 1620720 1232470 ) ( 1621920 1232470 0 )
NEW met2 ( 1284240 1167350 0 ) ( 1284240 1187515 )
NEW met2 ( 1550160 1185295 ) ( 1550160 1187515 )
NEW met1 ( 1284240 1187515 ) ( 1550160 1187515 )
NEW met1 ( 1550160 1185295 ) ( 1620720 1185295 )
NEW met1 ( 1620720 1185295 ) M1M2_PR
NEW met1 ( 1284240 1187515 ) M1M2_PR
NEW met1 ( 1550160 1187515 ) M1M2_PR
NEW met1 ( 1550160 1185295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[20\] ( soc la_oen[20] ) ( mgmt_buffers la_oen_mprj[20] )
+ ROUTED met2 ( 1662000 1187515 ) ( 1662000 1232470 )
NEW met2 ( 1662000 1232470 ) ( 1663200 1232470 0 )
NEW met2 ( 1595280 1167350 0 ) ( 1595280 1187515 )
NEW met1 ( 1595280 1187515 ) ( 1662000 1187515 )
NEW met1 ( 1662000 1187515 ) M1M2_PR
NEW met1 ( 1595280 1187515 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[21\] ( soc la_oen[21] ) ( mgmt_buffers la_oen_mprj[21] )
+ ROUTED met2 ( 1388880 1200095 ) ( 1388880 1206755 )
NEW met2 ( 1282320 1167350 0 ) ( 1282320 1200095 )
NEW met1 ( 1282320 1200095 ) ( 1388880 1200095 )
NEW met2 ( 1663920 1205645 ) ( 1663920 1232470 )
NEW met2 ( 1663920 1232470 ) ( 1665120 1232470 0 )
NEW met2 ( 1573200 1205645 ) ( 1573200 1206755 )
NEW met1 ( 1388880 1206755 ) ( 1573200 1206755 )
NEW met1 ( 1573200 1205645 ) ( 1663920 1205645 )
NEW met1 ( 1388880 1200095 ) M1M2_PR
NEW met1 ( 1388880 1206755 ) M1M2_PR
NEW met1 ( 1282320 1200095 ) M1M2_PR
NEW met1 ( 1663920 1205645 ) M1M2_PR
NEW met1 ( 1573200 1206755 ) M1M2_PR
NEW met1 ( 1573200 1205645 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[22\] ( soc la_oen[22] ) ( mgmt_buffers la_oen_mprj[22] )
+ ROUTED met2 ( 1596960 1167350 0 ) ( 1598160 1167350 )
NEW met1 ( 1598160 1210455 ) ( 1667280 1210455 )
NEW met2 ( 1667280 1210455 ) ( 1667280 1232470 0 )
NEW met2 ( 1598160 1167350 ) ( 1598160 1210455 )
NEW met1 ( 1598160 1210455 ) M1M2_PR
NEW met1 ( 1667280 1210455 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[23\] ( soc la_oen[23] ) ( mgmt_buffers la_oen_mprj[23] )
+ ROUTED met2 ( 1544880 1184185 ) ( 1544880 1193805 )
NEW met2 ( 1280400 1167350 0 ) ( 1280400 1193435 )
NEW met1 ( 1280400 1193435 ) ( 1293840 1193435 )
NEW met1 ( 1293840 1193435 ) ( 1293840 1193805 )
NEW met1 ( 1293840 1193805 ) ( 1544880 1193805 )
NEW met2 ( 1669680 1184185 ) ( 1669680 1232470 0 )
NEW met1 ( 1544880 1184185 ) ( 1669680 1184185 )
NEW met1 ( 1544880 1193805 ) M1M2_PR
NEW met1 ( 1544880 1184185 ) M1M2_PR
NEW met1 ( 1280400 1193435 ) M1M2_PR
NEW met1 ( 1669680 1184185 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[24\] ( soc la_oen[24] ) ( mgmt_buffers la_oen_mprj[24] )
+ ROUTED met2 ( 1598880 1167350 0 ) ( 1600080 1167350 )
NEW met2 ( 1600080 1167350 ) ( 1600080 1181225 )
NEW met2 ( 1671120 1181225 ) ( 1671120 1232470 )
NEW met2 ( 1671120 1232470 ) ( 1672080 1232470 0 )
NEW met1 ( 1600080 1181225 ) ( 1671120 1181225 )
NEW met1 ( 1600080 1181225 ) M1M2_PR
NEW met1 ( 1671120 1181225 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[25\] ( soc la_oen[25] ) ( mgmt_buffers la_oen_mprj[25] )
+ ROUTED met1 ( 1626960 1199725 ) ( 1626960 1200095 )
NEW met1 ( 1626960 1199725 ) ( 1636080 1199725 )
NEW met1 ( 1636080 1199725 ) ( 1636080 1200095 )
NEW met2 ( 1278720 1167350 0 ) ( 1279920 1167350 )
NEW met2 ( 1279920 1167350 ) ( 1279920 1206015 )
NEW met2 ( 1672080 1200095 ) ( 1672080 1200650 )
NEW met2 ( 1672080 1200650 ) ( 1672560 1200650 )
NEW met2 ( 1672560 1200650 ) ( 1672560 1232470 )
NEW met2 ( 1672560 1232470 ) ( 1673760 1232470 0 )
NEW met1 ( 1636080 1200095 ) ( 1672080 1200095 )
NEW met2 ( 1552560 1200095 ) ( 1552560 1206015 )
NEW met1 ( 1279920 1206015 ) ( 1552560 1206015 )
NEW met1 ( 1552560 1200095 ) ( 1626960 1200095 )
NEW met1 ( 1279920 1206015 ) M1M2_PR
NEW met1 ( 1672080 1200095 ) M1M2_PR
NEW met1 ( 1552560 1206015 ) M1M2_PR
NEW met1 ( 1552560 1200095 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[26\] ( soc la_oen[26] ) ( mgmt_buffers la_oen_mprj[26] )
+ ROUTED met1 ( 1658640 1192695 ) ( 1658640 1193065 )
NEW met1 ( 1658640 1192695 ) ( 1674960 1192695 )
NEW met2 ( 1674960 1192695 ) ( 1674960 1232470 )
NEW met2 ( 1674960 1232470 ) ( 1676160 1232470 0 )
NEW met2 ( 1600560 1167350 0 ) ( 1600560 1193065 )
NEW met1 ( 1600560 1193065 ) ( 1610640 1193065 )
NEW met2 ( 1610640 1193065 ) ( 1611600 1193065 )
NEW met1 ( 1611600 1193065 ) ( 1658640 1193065 )
NEW met1 ( 1674960 1192695 ) M1M2_PR
NEW met1 ( 1600560 1193065 ) M1M2_PR
NEW met1 ( 1610640 1193065 ) M1M2_PR
NEW met1 ( 1611600 1193065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[27\] ( soc la_oen[27] ) ( mgmt_buffers la_oen_mprj[27] )
+ ROUTED met2 ( 1276800 1167350 0 ) ( 1278000 1167350 )
NEW met2 ( 1278000 1167350 ) ( 1278000 1193065 )
NEW met2 ( 1590000 1193065 ) ( 1590000 1210825 )
NEW met1 ( 1590000 1210825 ) ( 1678320 1210825 )
NEW met2 ( 1678320 1210825 ) ( 1678320 1232470 0 )
NEW met1 ( 1278000 1193065 ) ( 1590000 1193065 )
NEW met1 ( 1278000 1193065 ) M1M2_PR
NEW met1 ( 1590000 1193065 ) M1M2_PR
NEW met1 ( 1590000 1210825 ) M1M2_PR
NEW met1 ( 1678320 1210825 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[28\] ( soc la_oen[28] ) ( mgmt_buffers la_oen_mprj[28] )
+ ROUTED met2 ( 1602480 1167350 0 ) ( 1602480 1180855 )
NEW met2 ( 1680720 1180855 ) ( 1680720 1232470 0 )
NEW met1 ( 1602480 1180855 ) ( 1680720 1180855 )
NEW met1 ( 1602480 1180855 ) M1M2_PR
NEW met1 ( 1680720 1180855 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[29\] ( soc la_oen[29] ) ( mgmt_buffers la_oen_mprj[29] )
+ ROUTED met2 ( 1572720 1205645 ) ( 1572720 1207125 )
NEW met1 ( 1572720 1207125 ) ( 1591920 1207125 )
NEW met1 ( 1591920 1207125 ) ( 1591920 1207495 )
NEW met2 ( 1275120 1167350 0 ) ( 1275120 1205645 )
NEW met1 ( 1275120 1205645 ) ( 1572720 1205645 )
NEW met2 ( 1682640 1207495 ) ( 1682640 1232470 0 )
NEW met1 ( 1591920 1207495 ) ( 1682640 1207495 )
NEW met1 ( 1572720 1205645 ) M1M2_PR
NEW met1 ( 1572720 1207125 ) M1M2_PR
NEW met1 ( 1275120 1205645 ) M1M2_PR
NEW met1 ( 1682640 1207495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[2\] ( soc la_oen[2] ) ( mgmt_buffers la_oen_mprj[2] )
+ ROUTED met2 ( 1604400 1167350 0 ) ( 1604400 1196765 )
NEW met1 ( 1604400 1196765 ) ( 1623600 1196765 )
NEW met2 ( 1623600 1196765 ) ( 1623600 1232470 0 )
NEW met1 ( 1604400 1196765 ) M1M2_PR
NEW met1 ( 1623600 1196765 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[30\] ( soc la_oen[30] ) ( mgmt_buffers la_oen_mprj[30] )
+ ROUTED met2 ( 1273200 1167350 0 ) ( 1273200 1192325 )
NEW met2 ( 1685040 1192325 ) ( 1685040 1232470 )
NEW met2 ( 1684800 1232470 0 ) ( 1685040 1232470 )
NEW met1 ( 1675440 1192325 ) ( 1675440 1192695 )
NEW met1 ( 1675440 1192695 ) ( 1676400 1192695 )
NEW met1 ( 1676400 1192325 ) ( 1676400 1192695 )
NEW met1 ( 1273200 1192325 ) ( 1675440 1192325 )
NEW met1 ( 1676400 1192325 ) ( 1685040 1192325 )
NEW met1 ( 1273200 1192325 ) M1M2_PR
NEW met1 ( 1685040 1192325 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[31\] ( soc la_oen[31] ) ( mgmt_buffers la_oen_mprj[31] )
+ ROUTED met2 ( 1606320 1167350 0 ) ( 1606320 1195285 )
NEW met2 ( 1686000 1195285 ) ( 1686000 1232470 )
NEW met2 ( 1686000 1232470 ) ( 1687200 1232470 0 )
NEW met1 ( 1606320 1195285 ) ( 1686000 1195285 )
NEW met1 ( 1606320 1195285 ) M1M2_PR
NEW met1 ( 1686000 1195285 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[32\] ( soc la_oen[32] ) ( mgmt_buffers la_oen_mprj[32] )
+ ROUTED met2 ( 1271280 1167350 0 ) ( 1271280 1205275 )
NEW met2 ( 1689360 1205275 ) ( 1689360 1232470 0 )
NEW met1 ( 1271280 1205275 ) ( 1689360 1205275 )
NEW met1 ( 1271280 1205275 ) M1M2_PR
NEW met1 ( 1689360 1205275 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[33\] ( soc la_oen[33] ) ( mgmt_buffers la_oen_mprj[33] )
+ ROUTED met2 ( 1608000 1167350 0 ) ( 1609200 1167350 )
NEW met2 ( 1609200 1167350 ) ( 1609200 1182335 )
NEW met1 ( 1659600 1182335 ) ( 1659600 1182705 )
NEW met1 ( 1659600 1182705 ) ( 1691280 1182705 )
NEW met2 ( 1691280 1182705 ) ( 1691280 1232470 0 )
NEW met1 ( 1609200 1182335 ) ( 1659600 1182335 )
NEW met1 ( 1609200 1182335 ) M1M2_PR
NEW met1 ( 1691280 1182705 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[34\] ( soc la_oen[34] ) ( mgmt_buffers la_oen_mprj[34] )
+ ROUTED met2 ( 1269360 1167350 0 ) ( 1269360 1192695 )
NEW met2 ( 1693680 1191585 ) ( 1693680 1232470 0 )
NEW met2 ( 1590480 1191955 ) ( 1590480 1192695 )
NEW met1 ( 1590480 1191955 ) ( 1612080 1191955 )
NEW met1 ( 1612080 1191585 ) ( 1612080 1191955 )
NEW met1 ( 1269360 1192695 ) ( 1590480 1192695 )
NEW met1 ( 1612080 1191585 ) ( 1693680 1191585 )
NEW met1 ( 1269360 1192695 ) M1M2_PR
NEW met1 ( 1693680 1191585 ) M1M2_PR
NEW met1 ( 1590480 1192695 ) M1M2_PR
NEW met1 ( 1590480 1191955 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[35\] ( soc la_oen[35] ) ( mgmt_buffers la_oen_mprj[35] )
+ ROUTED met2 ( 1694640 1197505 ) ( 1694640 1232470 )
NEW met2 ( 1694640 1232470 ) ( 1695840 1232470 0 )
NEW met2 ( 1609680 1167350 ) ( 1609920 1167350 0 )
NEW met2 ( 1609680 1167350 ) ( 1609680 1182705 )
NEW met2 ( 1608240 1182705 ) ( 1609680 1182705 )
NEW met2 ( 1608240 1182705 ) ( 1608240 1197505 )
NEW met1 ( 1608240 1197505 ) ( 1694640 1197505 )
NEW met1 ( 1694640 1197505 ) M1M2_PR
NEW met1 ( 1608240 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[36\] ( soc la_oen[36] ) ( mgmt_buffers la_oen_mprj[36] )
+ ROUTED met2 ( 1267680 1167350 0 ) ( 1268880 1167350 )
NEW met2 ( 1268880 1167350 ) ( 1268880 1204535 )
NEW met2 ( 1697040 1204535 ) ( 1697040 1232470 )
NEW met2 ( 1697040 1232470 ) ( 1698240 1232470 0 )
NEW met1 ( 1268880 1204535 ) ( 1697040 1204535 )
NEW met1 ( 1268880 1204535 ) M1M2_PR
NEW met1 ( 1697040 1204535 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[37\] ( soc la_oen[37] ) ( mgmt_buffers la_oen_mprj[37] )
+ ROUTED met2 ( 1611600 1167350 0 ) ( 1612560 1167350 )
NEW met2 ( 1612560 1167350 ) ( 1612560 1185665 )
NEW met1 ( 1612560 1185665 ) ( 1612560 1186035 )
NEW met1 ( 1612560 1186035 ) ( 1699440 1186035 )
NEW met1 ( 1699440 1195285 ) ( 1701360 1195285 )
NEW met2 ( 1701360 1195285 ) ( 1701360 1215635 )
NEW met2 ( 1701360 1215635 ) ( 1701840 1215635 )
NEW met2 ( 1701840 1215635 ) ( 1701840 1233950 )
NEW met2 ( 1700160 1233950 0 ) ( 1701840 1233950 )
NEW met2 ( 1699440 1186035 ) ( 1699440 1195285 )
NEW met1 ( 1699440 1186035 ) M1M2_PR
NEW met1 ( 1612560 1185665 ) M1M2_PR
NEW met1 ( 1699440 1195285 ) M1M2_PR
NEW met1 ( 1701360 1195285 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[38\] ( soc la_oen[38] ) ( mgmt_buffers la_oen_mprj[38] )
+ ROUTED met2 ( 1265760 1167350 0 ) ( 1266960 1167350 )
NEW met2 ( 1266960 1167350 ) ( 1266960 1191585 )
NEW met1 ( 1657680 1190845 ) ( 1657680 1191215 )
NEW met1 ( 1657680 1190845 ) ( 1702320 1190845 )
NEW met2 ( 1702320 1190845 ) ( 1702320 1232470 0 )
NEW met1 ( 1610640 1191215 ) ( 1610640 1191585 )
NEW met1 ( 1266960 1191585 ) ( 1610640 1191585 )
NEW met1 ( 1610640 1191215 ) ( 1657680 1191215 )
NEW met1 ( 1266960 1191585 ) M1M2_PR
NEW met1 ( 1702320 1190845 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[39\] ( soc la_oen[39] ) ( mgmt_buffers la_oen_mprj[39] )
+ ROUTED met2 ( 1613520 1167350 0 ) ( 1613520 1195655 )
NEW met2 ( 1704720 1195655 ) ( 1704720 1232470 0 )
NEW met1 ( 1613520 1195655 ) ( 1704720 1195655 )
NEW met1 ( 1613520 1195655 ) M1M2_PR
NEW met1 ( 1704720 1195655 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[3\] ( soc la_oen[3] ) ( mgmt_buffers la_oen_mprj[3] )
+ ROUTED met1 ( 1572240 1207125 ) ( 1572240 1207865 )
NEW met1 ( 1572240 1207865 ) ( 1626000 1207865 )
NEW met2 ( 1626000 1207865 ) ( 1626000 1232470 0 )
NEW met2 ( 1264080 1167350 0 ) ( 1264080 1207125 )
NEW met1 ( 1264080 1207125 ) ( 1572240 1207125 )
NEW met1 ( 1626000 1207865 ) M1M2_PR
NEW met1 ( 1264080 1207125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[40\] ( soc la_oen[40] ) ( mgmt_buffers la_oen_mprj[40] )
+ ROUTED met2 ( 1615440 1167350 0 ) ( 1615440 1197135 )
NEW met1 ( 1615440 1197135 ) ( 1624080 1197135 )
NEW met1 ( 1624080 1196765 ) ( 1624080 1197135 )
NEW met2 ( 1706640 1196765 ) ( 1706640 1232470 0 )
NEW met1 ( 1624080 1196765 ) ( 1706640 1196765 )
NEW met1 ( 1615440 1197135 ) M1M2_PR
NEW met1 ( 1706640 1196765 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[41\] ( soc la_oen[41] ) ( mgmt_buffers la_oen_mprj[41] )
+ ROUTED met2 ( 1262160 1167350 0 ) ( 1262160 1191215 )
NEW met2 ( 1658160 1191215 ) ( 1658160 1192695 )
NEW met1 ( 1658160 1191215 ) ( 1707600 1191215 )
NEW met2 ( 1707600 1191215 ) ( 1707600 1232470 )
NEW met2 ( 1707600 1232470 ) ( 1708800 1232470 0 )
NEW met2 ( 1609680 1191215 ) ( 1609680 1193805 )
NEW met2 ( 1609680 1193805 ) ( 1612560 1193805 )
NEW met2 ( 1612560 1192695 ) ( 1612560 1193805 )
NEW met1 ( 1262160 1191215 ) ( 1609680 1191215 )
NEW met1 ( 1612560 1192695 ) ( 1658160 1192695 )
NEW met1 ( 1262160 1191215 ) M1M2_PR
NEW met1 ( 1658160 1192695 ) M1M2_PR
NEW met1 ( 1658160 1191215 ) M1M2_PR
NEW met1 ( 1707600 1191215 ) M1M2_PR
NEW met1 ( 1609680 1191215 ) M1M2_PR
NEW met1 ( 1612560 1192695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[42\] ( soc la_oen[42] ) ( mgmt_buffers la_oen_mprj[42] )
+ ROUTED met2 ( 1617360 1167350 0 ) ( 1617360 1196395 )
NEW met2 ( 1710000 1196395 ) ( 1710000 1232470 )
NEW met2 ( 1710000 1232470 ) ( 1711200 1232470 0 )
NEW met1 ( 1617360 1196395 ) ( 1710000 1196395 )
NEW met1 ( 1617360 1196395 ) M1M2_PR
NEW met1 ( 1710000 1196395 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[43\] ( soc la_oen[43] ) ( mgmt_buffers la_oen_mprj[43] )
+ ROUTED met2 ( 1260240 1167350 0 ) ( 1261680 1167350 )
NEW met2 ( 1261680 1167350 ) ( 1261680 1204165 )
NEW met2 ( 1713360 1204165 ) ( 1713360 1232470 0 )
NEW met1 ( 1261680 1204165 ) ( 1713360 1204165 )
NEW met1 ( 1261680 1204165 ) M1M2_PR
NEW met1 ( 1713360 1204165 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[44\] ( soc la_oen[44] ) ( mgmt_buffers la_oen_mprj[44] )
+ ROUTED met2 ( 1619040 1167350 0 ) ( 1620240 1167350 )
NEW met2 ( 1620240 1167350 ) ( 1620240 1182705 )
NEW met1 ( 1659120 1182705 ) ( 1659120 1183075 )
NEW met1 ( 1659120 1183075 ) ( 1715280 1183075 )
NEW met2 ( 1715280 1183075 ) ( 1715280 1232470 0 )
NEW met1 ( 1620240 1182705 ) ( 1659120 1182705 )
NEW met1 ( 1620240 1182705 ) M1M2_PR
NEW met1 ( 1715280 1183075 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[45\] ( soc la_oen[45] ) ( mgmt_buffers la_oen_mprj[45] )
+ ROUTED met2 ( 1258320 1167350 0 ) ( 1258320 1190845 )
NEW met1 ( 1657200 1190475 ) ( 1657200 1190845 )
NEW met1 ( 1657200 1190475 ) ( 1717680 1190475 )
NEW met2 ( 1717680 1190475 ) ( 1717680 1232470 0 )
NEW met1 ( 1258320 1190845 ) ( 1657200 1190845 )
NEW met1 ( 1258320 1190845 ) M1M2_PR
NEW met1 ( 1717680 1190475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[46\] ( soc la_oen[46] ) ( mgmt_buffers la_oen_mprj[46] )
+ ROUTED met2 ( 1620960 1167350 0 ) ( 1622160 1167350 )
NEW met2 ( 1622160 1167350 ) ( 1622160 1197875 )
NEW met2 ( 1718640 1197875 ) ( 1718640 1232470 )
NEW met2 ( 1718640 1232470 ) ( 1719840 1232470 0 )
NEW met1 ( 1622160 1197875 ) ( 1718640 1197875 )
NEW met1 ( 1622160 1197875 ) M1M2_PR
NEW met1 ( 1718640 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[47\] ( soc la_oen[47] ) ( mgmt_buffers la_oen_mprj[47] )
+ ROUTED met2 ( 1256640 1167350 0 ) ( 1257840 1167350 )
NEW met2 ( 1257840 1167350 ) ( 1257840 1203795 )
NEW met2 ( 1721040 1203795 ) ( 1721040 1232470 )
NEW met2 ( 1721040 1232470 ) ( 1722240 1232470 0 )
NEW met1 ( 1257840 1203795 ) ( 1721040 1203795 )
NEW met1 ( 1257840 1203795 ) M1M2_PR
NEW met1 ( 1721040 1203795 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[48\] ( soc la_oen[48] ) ( mgmt_buffers la_oen_mprj[48] )
+ ROUTED met2 ( 1622640 1167350 0 ) ( 1622640 1183445 )
NEW met2 ( 1723920 1183445 ) ( 1723920 1232470 0 )
NEW met1 ( 1622640 1183445 ) ( 1723920 1183445 )
NEW met1 ( 1622640 1183445 ) M1M2_PR
NEW met1 ( 1723920 1183445 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[49\] ( soc la_oen[49] ) ( mgmt_buffers la_oen_mprj[49] )
+ ROUTED met2 ( 1254720 1167350 0 ) ( 1255920 1167350 )
NEW met2 ( 1255920 1167350 ) ( 1255920 1190475 )
NEW met1 ( 1656720 1190105 ) ( 1656720 1190475 )
NEW met1 ( 1656720 1190105 ) ( 1726320 1190105 )
NEW met2 ( 1726320 1190105 ) ( 1726320 1232470 0 )
NEW met1 ( 1255920 1190475 ) ( 1656720 1190475 )
NEW met1 ( 1255920 1190475 ) M1M2_PR
NEW met1 ( 1726320 1190105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[4\] ( soc la_oen[4] ) ( mgmt_buffers la_oen_mprj[4] )
+ ROUTED met2 ( 1624560 1167350 0 ) ( 1626000 1167350 )
NEW met2 ( 1626000 1167350 ) ( 1626000 1195470 )
NEW met2 ( 1626000 1195470 ) ( 1627440 1195470 )
NEW met2 ( 1627440 1195470 ) ( 1627440 1232470 )
NEW met2 ( 1627440 1232470 ) ( 1628400 1232470 0 )
+ USE SIGNAL ;
- la_oen_mprj\[50\] ( soc la_oen[50] ) ( mgmt_buffers la_oen_mprj[50] )
+ ROUTED met2 ( 1253040 1167350 0 ) ( 1253040 1203055 )
NEW met2 ( 1728720 1203055 ) ( 1728720 1232470 0 )
NEW met1 ( 1253040 1203055 ) ( 1728720 1203055 )
NEW met1 ( 1253040 1203055 ) M1M2_PR
NEW met1 ( 1728720 1203055 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[51\] ( soc la_oen[51] ) ( mgmt_buffers la_oen_mprj[51] )
+ ROUTED met2 ( 1626480 1167350 0 ) ( 1626480 1183075 )
NEW met2 ( 1658640 1183075 ) ( 1658640 1183630 )
NEW met2 ( 1658640 1183630 ) ( 1659120 1183630 )
NEW met2 ( 1659120 1183630 ) ( 1659120 1193065 )
NEW met1 ( 1659120 1193065 ) ( 1660560 1193065 )
NEW met2 ( 1660560 1193065 ) ( 1660560 1193250 )
NEW met2 ( 1660560 1193250 ) ( 1661520 1193250 )
NEW met2 ( 1661520 1193250 ) ( 1661520 1193805 )
NEW met1 ( 1661520 1193805 ) ( 1729680 1193805 )
NEW met2 ( 1729680 1193805 ) ( 1729680 1232470 )
NEW met2 ( 1729680 1232470 ) ( 1730880 1232470 0 )
NEW met1 ( 1626480 1183075 ) ( 1658640 1183075 )
NEW met1 ( 1626480 1183075 ) M1M2_PR
NEW met1 ( 1658640 1183075 ) M1M2_PR
NEW met1 ( 1659120 1193065 ) M1M2_PR
NEW met1 ( 1660560 1193065 ) M1M2_PR
NEW met1 ( 1661520 1193805 ) M1M2_PR
NEW met1 ( 1729680 1193805 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[52\] ( soc la_oen[52] ) ( mgmt_buffers la_oen_mprj[52] )
+ ROUTED met2 ( 1251120 1167350 0 ) ( 1251120 1189735 )
NEW met2 ( 1731600 1189735 ) ( 1731600 1232470 )
NEW met2 ( 1731600 1232470 ) ( 1732800 1232470 0 )
NEW met2 ( 1579440 1188995 ) ( 1579440 1189735 )
NEW met1 ( 1579440 1188995 ) ( 1610160 1188995 )
NEW met2 ( 1610160 1188995 ) ( 1610160 1189550 )
NEW met2 ( 1610160 1189550 ) ( 1610640 1189550 )
NEW met2 ( 1610640 1189550 ) ( 1610640 1190105 )
NEW met1 ( 1610640 1190105 ) ( 1612080 1190105 )
NEW met1 ( 1612080 1189735 ) ( 1612080 1190105 )
NEW met1 ( 1251120 1189735 ) ( 1579440 1189735 )
NEW met1 ( 1612080 1189735 ) ( 1731600 1189735 )
NEW met1 ( 1251120 1189735 ) M1M2_PR
NEW met1 ( 1731600 1189735 ) M1M2_PR
NEW met1 ( 1579440 1189735 ) M1M2_PR
NEW met1 ( 1579440 1188995 ) M1M2_PR
NEW met1 ( 1610160 1188995 ) M1M2_PR
NEW met1 ( 1610640 1190105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[53\] ( soc la_oen[53] ) ( mgmt_buffers la_oen_mprj[53] )
+ ROUTED met2 ( 1628400 1167350 0 ) ( 1628400 1198245 )
NEW met2 ( 1643280 1196025 ) ( 1643280 1198245 )
NEW met1 ( 1643280 1196025 ) ( 1734960 1196025 )
NEW met2 ( 1734960 1196025 ) ( 1734960 1232470 0 )
NEW met1 ( 1628400 1198245 ) ( 1643280 1198245 )
NEW met1 ( 1628400 1198245 ) M1M2_PR
NEW met1 ( 1643280 1198245 ) M1M2_PR
NEW met1 ( 1643280 1196025 ) M1M2_PR
NEW met1 ( 1734960 1196025 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[54\] ( soc la_oen[54] ) ( mgmt_buffers la_oen_mprj[54] )
+ ROUTED met2 ( 1249200 1167350 0 ) ( 1249200 1203425 )
NEW met2 ( 1737360 1203425 ) ( 1737360 1232470 0 )
NEW met1 ( 1249200 1203425 ) ( 1737360 1203425 )
NEW met1 ( 1249200 1203425 ) M1M2_PR
NEW met1 ( 1737360 1203425 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[55\] ( soc la_oen[55] ) ( mgmt_buffers la_oen_mprj[55] )
+ ROUTED met2 ( 1630080 1167350 0 ) ( 1631280 1167350 )
NEW met2 ( 1631280 1167350 ) ( 1631280 1184555 )
NEW met2 ( 1663920 1184555 ) ( 1663920 1187515 )
NEW met1 ( 1663920 1187515 ) ( 1739760 1187515 )
NEW met2 ( 1739760 1187515 ) ( 1739760 1232470 0 )
NEW met1 ( 1631280 1184555 ) ( 1663920 1184555 )
NEW met1 ( 1631280 1184555 ) M1M2_PR
NEW met1 ( 1663920 1184555 ) M1M2_PR
NEW met1 ( 1663920 1187515 ) M1M2_PR
NEW met1 ( 1739760 1187515 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[56\] ( soc la_oen[56] ) ( mgmt_buffers la_oen_mprj[56] )
+ ROUTED met2 ( 1247280 1167350 0 ) ( 1247280 1190105 )
NEW met2 ( 1740240 1192695 ) ( 1740240 1232470 )
NEW met2 ( 1740240 1232470 ) ( 1741440 1232470 0 )
NEW met2 ( 1609680 1187330 ) ( 1609680 1190105 )
NEW met3 ( 1609680 1187330 ) ( 1676880 1187330 )
NEW met2 ( 1676880 1187330 ) ( 1676880 1192695 )
NEW met1 ( 1247280 1190105 ) ( 1609680 1190105 )
NEW met1 ( 1676880 1192695 ) ( 1740240 1192695 )
NEW met1 ( 1247280 1190105 ) M1M2_PR
NEW met1 ( 1740240 1192695 ) M1M2_PR
NEW met1 ( 1609680 1190105 ) M1M2_PR
NEW met2 ( 1609680 1187330 ) via2_FR
NEW met2 ( 1676880 1187330 ) via2_FR
NEW met1 ( 1676880 1192695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[57\] ( soc la_oen[57] ) ( mgmt_buffers la_oen_mprj[57] )
+ ROUTED met2 ( 1632000 1167350 0 ) ( 1633200 1167350 )
NEW met2 ( 1633200 1167350 ) ( 1633200 1199355 )
NEW met2 ( 1743600 1199355 ) ( 1743600 1232470 )
NEW met2 ( 1743600 1232470 ) ( 1743840 1232470 0 )
NEW met1 ( 1633200 1199355 ) ( 1743600 1199355 )
NEW met1 ( 1633200 1199355 ) M1M2_PR
NEW met1 ( 1743600 1199355 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[58\] ( soc la_oen[58] ) ( mgmt_buffers la_oen_mprj[58] )
+ ROUTED met2 ( 1746000 1202315 ) ( 1746000 1232470 0 )
NEW met2 ( 1245600 1167350 0 ) ( 1246800 1167350 )
NEW met2 ( 1246800 1167350 ) ( 1246800 1202315 )
NEW met1 ( 1246800 1202315 ) ( 1746000 1202315 )
NEW met1 ( 1746000 1202315 ) M1M2_PR
NEW met1 ( 1246800 1202315 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[59\] ( soc la_oen[59] ) ( mgmt_buffers la_oen_mprj[59] )
+ ROUTED met2 ( 1633680 1167350 0 ) ( 1633680 1184925 )
NEW met2 ( 1747920 1184925 ) ( 1747920 1232470 0 )
NEW met1 ( 1633680 1184925 ) ( 1747920 1184925 )
NEW met1 ( 1633680 1184925 ) M1M2_PR
NEW met1 ( 1747920 1184925 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[5\] ( soc la_oen[5] ) ( mgmt_buffers la_oen_mprj[5] )
+ ROUTED met2 ( 1629360 1193435 ) ( 1629360 1232470 )
NEW met2 ( 1629360 1232470 ) ( 1630560 1232470 0 )
NEW met2 ( 1243680 1167350 0 ) ( 1244880 1167350 )
NEW met2 ( 1244880 1167350 ) ( 1244880 1187145 )
NEW met1 ( 1244880 1187145 ) ( 1294320 1187145 )
NEW met2 ( 1294320 1187145 ) ( 1294320 1193435 )
NEW met1 ( 1294320 1193435 ) ( 1629360 1193435 )
NEW met1 ( 1629360 1193435 ) M1M2_PR
NEW met1 ( 1244880 1187145 ) M1M2_PR
NEW met1 ( 1294320 1187145 ) M1M2_PR
NEW met1 ( 1294320 1193435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[60\] ( soc la_oen[60] ) ( mgmt_buffers la_oen_mprj[60] )
+ ROUTED met2 ( 1635600 1167350 0 ) ( 1635600 1198985 )
NEW met2 ( 1750320 1198985 ) ( 1750320 1232470 0 )
NEW met1 ( 1635600 1198985 ) ( 1750320 1198985 )
NEW met1 ( 1635600 1198985 ) M1M2_PR
NEW met1 ( 1750320 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[61\] ( soc la_oen[61] ) ( mgmt_buffers la_oen_mprj[61] )
+ ROUTED met2 ( 1752240 1202685 ) ( 1752240 1230990 )
NEW met2 ( 1752240 1230990 ) ( 1752480 1230990 )
NEW met2 ( 1752480 1230990 ) ( 1752480 1232470 0 )
NEW met2 ( 1242000 1167350 0 ) ( 1242000 1202685 )
NEW met1 ( 1242000 1202685 ) ( 1752240 1202685 )
NEW met1 ( 1752240 1202685 ) M1M2_PR
NEW met1 ( 1242000 1202685 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[62\] ( soc la_oen[62] ) ( mgmt_buffers la_oen_mprj[62] )
+ ROUTED met2 ( 1637520 1167350 0 ) ( 1637520 1185295 )
NEW met2 ( 1753680 1185295 ) ( 1753680 1232470 )
NEW met2 ( 1753680 1232470 ) ( 1754880 1232470 0 )
NEW met1 ( 1637520 1185295 ) ( 1753680 1185295 )
NEW met1 ( 1637520 1185295 ) M1M2_PR
NEW met1 ( 1753680 1185295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[63\] ( soc la_oen[63] ) ( mgmt_buffers la_oen_mprj[63] )
+ ROUTED met2 ( 1756560 1189365 ) ( 1756560 1232470 0 )
NEW met2 ( 1240080 1167350 0 ) ( 1240080 1189365 )
NEW met1 ( 1579920 1189365 ) ( 1579920 1189735 )
NEW met1 ( 1240080 1189365 ) ( 1579920 1189365 )
NEW met2 ( 1611600 1189735 ) ( 1611600 1190105 )
NEW met2 ( 1611600 1190105 ) ( 1612560 1190105 )
NEW met1 ( 1612560 1190105 ) ( 1634160 1190105 )
NEW met2 ( 1634160 1189365 ) ( 1634160 1190105 )
NEW met1 ( 1579920 1189735 ) ( 1611600 1189735 )
NEW met1 ( 1634160 1189365 ) ( 1756560 1189365 )
NEW met1 ( 1756560 1189365 ) M1M2_PR
NEW met1 ( 1240080 1189365 ) M1M2_PR
NEW met1 ( 1611600 1189735 ) M1M2_PR
NEW met1 ( 1612560 1190105 ) M1M2_PR
NEW met1 ( 1634160 1190105 ) M1M2_PR
NEW met1 ( 1634160 1189365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[64\] ( soc la_oen[64] ) ( mgmt_buffers la_oen_mprj[64] )
+ ROUTED met2 ( 1639440 1167350 0 ) ( 1639440 1199725 )
NEW met2 ( 1758960 1199725 ) ( 1758960 1232470 0 )
NEW met1 ( 1639440 1199725 ) ( 1758960 1199725 )
NEW met1 ( 1639440 1199725 ) M1M2_PR
NEW met1 ( 1758960 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[65\] ( soc la_oen[65] ) ( mgmt_buffers la_oen_mprj[65] )
+ ROUTED met2 ( 1238160 1167350 0 ) ( 1238160 1200650 )
NEW met2 ( 1761360 1200650 ) ( 1761360 1232470 0 )
NEW met3 ( 1238160 1200650 ) ( 1761360 1200650 )
NEW met2 ( 1238160 1200650 ) via2_FR
NEW met2 ( 1761360 1200650 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[66\] ( soc la_oen[66] ) ( mgmt_buffers la_oen_mprj[66] )
+ ROUTED met2 ( 1762320 1183815 ) ( 1762320 1232470 )
NEW met2 ( 1762320 1232470 ) ( 1763520 1232470 0 )
NEW met1 ( 1641360 1183815 ) ( 1762320 1183815 )
NEW met2 ( 1641120 1167350 0 ) ( 1641120 1168830 )
NEW met2 ( 1641120 1168830 ) ( 1641360 1168830 )
NEW met2 ( 1641360 1168830 ) ( 1641360 1183815 )
NEW met1 ( 1641360 1183815 ) M1M2_PR
NEW met1 ( 1762320 1183815 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[67\] ( soc la_oen[67] ) ( mgmt_buffers la_oen_mprj[67] )
+ ROUTED met2 ( 1236240 1167350 0 ) ( 1236240 1188995 )
NEW met2 ( 1765200 1188995 ) ( 1765200 1232470 )
NEW met2 ( 1765200 1232470 ) ( 1765440 1232470 0 )
NEW met2 ( 1578960 1188995 ) ( 1578960 1190290 )
NEW met2 ( 1578960 1190290 ) ( 1580400 1190290 )
NEW met2 ( 1580400 1189365 ) ( 1580400 1190290 )
NEW met1 ( 1236240 1188995 ) ( 1578960 1188995 )
NEW met1 ( 1612560 1188995 ) ( 1612560 1189365 )
NEW met1 ( 1580400 1189365 ) ( 1612560 1189365 )
NEW met1 ( 1612560 1188995 ) ( 1765200 1188995 )
NEW met1 ( 1236240 1188995 ) M1M2_PR
NEW met1 ( 1765200 1188995 ) M1M2_PR
NEW met1 ( 1578960 1188995 ) M1M2_PR
NEW met1 ( 1580400 1189365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[68\] ( soc la_oen[68] ) ( mgmt_buffers la_oen_mprj[68] )
+ ROUTED met2 ( 1643040 1167350 0 ) ( 1643760 1167350 )
NEW met2 ( 1643760 1167350 ) ( 1643760 1198245 )
NEW met2 ( 1767600 1198245 ) ( 1767600 1232470 0 )
NEW met1 ( 1643760 1198245 ) ( 1767600 1198245 )
NEW met1 ( 1643760 1198245 ) M1M2_PR
NEW met1 ( 1767600 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[69\] ( soc la_oen[69] ) ( mgmt_buffers la_oen_mprj[69] )
+ ROUTED met2 ( 1234560 1167350 0 ) ( 1235760 1167350 )
NEW met2 ( 1235760 1167350 ) ( 1235760 1201390 )
NEW met2 ( 1770000 1201390 ) ( 1770000 1232470 0 )
NEW met3 ( 1235760 1201390 ) ( 1770000 1201390 )
NEW met2 ( 1235760 1201390 ) via2_FR
NEW met2 ( 1770000 1201390 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[6\] ( soc la_oen[6] ) ( mgmt_buffers la_oen_mprj[6] )
+ ROUTED met2 ( 1633680 1194545 ) ( 1633680 1232470 )
NEW met2 ( 1632480 1232470 0 ) ( 1633680 1232470 )
NEW met2 ( 1644720 1167350 0 ) ( 1644720 1194545 )
NEW met1 ( 1633680 1194545 ) ( 1644720 1194545 )
NEW met1 ( 1633680 1194545 ) M1M2_PR
NEW met1 ( 1644720 1194545 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[70\] ( soc la_oen[70] ) ( mgmt_buffers la_oen_mprj[70] )
+ ROUTED met2 ( 1232640 1167350 0 ) ( 1233840 1167350 )
NEW met2 ( 1233840 1167350 ) ( 1233840 1188255 )
NEW met2 ( 1772400 1188255 ) ( 1772400 1232470 0 )
NEW met2 ( 1578000 1187145 ) ( 1578000 1188255 )
NEW met1 ( 1578000 1187145 ) ( 1612080 1187145 )
NEW met2 ( 1612080 1187145 ) ( 1612080 1188255 )
NEW met1 ( 1233840 1188255 ) ( 1578000 1188255 )
NEW met1 ( 1612080 1188255 ) ( 1772400 1188255 )
NEW met1 ( 1233840 1188255 ) M1M2_PR
NEW met1 ( 1772400 1188255 ) M1M2_PR
NEW met1 ( 1578000 1188255 ) M1M2_PR
NEW met1 ( 1578000 1187145 ) M1M2_PR
NEW met1 ( 1612080 1187145 ) M1M2_PR
NEW met1 ( 1612080 1188255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[71\] ( soc la_oen[71] ) ( mgmt_buffers la_oen_mprj[71] )
+ ROUTED met2 ( 1772880 1200465 ) ( 1772880 1232470 )
NEW met2 ( 1772880 1232470 ) ( 1774080 1232470 0 )
NEW met2 ( 1646640 1167350 0 ) ( 1646640 1200465 )
NEW met1 ( 1646640 1200465 ) ( 1772880 1200465 )
NEW met1 ( 1772880 1200465 ) M1M2_PR
NEW met1 ( 1646640 1200465 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[72\] ( soc la_oen[72] ) ( mgmt_buffers la_oen_mprj[72] )
+ ROUTED met2 ( 1230960 1167350 0 ) ( 1230960 1209530 )
NEW met2 ( 1775280 1209530 ) ( 1775280 1232470 )
NEW met2 ( 1775280 1232470 ) ( 1776480 1232470 0 )
NEW met3 ( 1230960 1209530 ) ( 1775280 1209530 )
NEW met2 ( 1230960 1209530 ) via2_FR
NEW met2 ( 1775280 1209530 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[73\] ( soc la_oen[73] ) ( mgmt_buffers la_oen_mprj[73] )
+ ROUTED met2 ( 1778640 1185665 ) ( 1778640 1232470 0 )
NEW met2 ( 1648560 1167350 0 ) ( 1648560 1185665 )
NEW met1 ( 1648560 1185665 ) ( 1778640 1185665 )
NEW met1 ( 1778640 1185665 ) M1M2_PR
NEW met1 ( 1648560 1185665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[74\] ( soc la_oen[74] ) ( mgmt_buffers la_oen_mprj[74] )
+ ROUTED met2 ( 1229040 1167350 0 ) ( 1229040 1188625 )
NEW met2 ( 1781040 1188625 ) ( 1781040 1232470 0 )
NEW met1 ( 1229040 1188625 ) ( 1781040 1188625 )
NEW met1 ( 1229040 1188625 ) M1M2_PR
NEW met1 ( 1781040 1188625 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[75\] ( soc la_oen[75] ) ( mgmt_buffers la_oen_mprj[75] )
+ ROUTED met2 ( 1782960 1207865 ) ( 1782960 1232470 0 )
NEW met2 ( 1650480 1167350 0 ) ( 1650480 1198615 )
NEW met1 ( 1650480 1198615 ) ( 1696080 1198615 )
NEW met2 ( 1696080 1198615 ) ( 1696080 1207865 )
NEW met1 ( 1696080 1207865 ) ( 1782960 1207865 )
NEW met1 ( 1782960 1207865 ) M1M2_PR
NEW met1 ( 1650480 1198615 ) M1M2_PR
NEW met1 ( 1696080 1198615 ) M1M2_PR
NEW met1 ( 1696080 1207865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[76\] ( soc la_oen[76] ) ( mgmt_buffers la_oen_mprj[76] )
+ ROUTED met2 ( 1227120 1167350 0 ) ( 1227120 1208790 )
NEW met2 ( 1783920 1208790 ) ( 1783920 1232470 )
NEW met2 ( 1783920 1232470 ) ( 1785120 1232470 0 )
NEW met3 ( 1227120 1208790 ) ( 1783920 1208790 )
NEW met2 ( 1227120 1208790 ) via2_FR
NEW met2 ( 1783920 1208790 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[77\] ( soc la_oen[77] ) ( mgmt_buffers la_oen_mprj[77] )
+ ROUTED met2 ( 1786320 1194175 ) ( 1786320 1232470 )
NEW met2 ( 1786320 1232470 ) ( 1787520 1232470 0 )
NEW met2 ( 1652160 1167350 0 ) ( 1653360 1167350 )
NEW met2 ( 1653360 1167350 ) ( 1653360 1194175 )
NEW met1 ( 1653360 1194175 ) ( 1786320 1194175 )
NEW met1 ( 1786320 1194175 ) M1M2_PR
NEW met1 ( 1653360 1194175 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[78\] ( soc la_oen[78] ) ( mgmt_buffers la_oen_mprj[78] )
+ ROUTED met2 ( 1225200 1167350 0 ) ( 1225200 1187885 )
NEW met2 ( 1789200 1187885 ) ( 1789200 1232470 0 )
NEW met1 ( 1225200 1187885 ) ( 1789200 1187885 )
NEW met1 ( 1225200 1187885 ) M1M2_PR
NEW met1 ( 1789200 1187885 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[79\] ( soc la_oen[79] ) ( mgmt_buffers la_oen_mprj[79] )
+ ROUTED met2 ( 1654080 1167350 0 ) ( 1655280 1167350 )
NEW met2 ( 1655280 1167350 ) ( 1655280 1206385 )
NEW met2 ( 1791600 1206385 ) ( 1791600 1232470 0 )
NEW met1 ( 1655280 1206385 ) ( 1791600 1206385 )
NEW met1 ( 1655280 1206385 ) M1M2_PR
NEW met1 ( 1791600 1206385 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[7\] ( soc la_oen[7] ) ( mgmt_buffers la_oen_mprj[7] )
+ ROUTED met2 ( 1634640 1204905 ) ( 1634640 1232470 0 )
NEW met2 ( 1222320 1167350 ) ( 1223520 1167350 0 )
NEW met2 ( 1222320 1167350 ) ( 1222320 1204905 )
NEW met1 ( 1222320 1204905 ) ( 1634640 1204905 )
NEW met1 ( 1634640 1204905 ) M1M2_PR
NEW met1 ( 1222320 1204905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[80\] ( soc la_oen[80] ) ( mgmt_buffers la_oen_mprj[80] )
+ ROUTED met1 ( 1756560 1193435 ) ( 1756560 1193805 )
NEW met1 ( 1756560 1193805 ) ( 1794000 1193805 )
NEW met2 ( 1794000 1193805 ) ( 1794000 1232470 0 )
NEW met2 ( 1655760 1167350 0 ) ( 1655760 1193435 )
NEW met1 ( 1655760 1193435 ) ( 1661040 1193435 )
NEW met1 ( 1661040 1193065 ) ( 1661040 1193435 )
NEW met1 ( 1661040 1193065 ) ( 1662000 1193065 )
NEW met1 ( 1662000 1193065 ) ( 1662000 1193435 )
NEW met1 ( 1662000 1193435 ) ( 1756560 1193435 )
NEW met1 ( 1794000 1193805 ) M1M2_PR
NEW met1 ( 1655760 1193435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[81\] ( soc la_oen[81] ) ( mgmt_buffers la_oen_mprj[81] )
+ ROUTED met2 ( 1794960 1194730 ) ( 1794960 1232470 )
NEW met2 ( 1794960 1232470 ) ( 1796160 1232470 0 )
NEW met2 ( 1221600 1167350 0 ) ( 1221600 1168830 )
NEW met2 ( 1221600 1168830 ) ( 1221840 1168830 )
NEW met2 ( 1221840 1168830 ) ( 1221840 1194730 )
NEW met3 ( 1221840 1194730 ) ( 1794960 1194730 )
NEW met2 ( 1794960 1194730 ) via2_FR
NEW met2 ( 1221840 1194730 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[82\] ( soc la_oen[82] ) ( mgmt_buffers la_oen_mprj[82] )
+ ROUTED met2 ( 1796880 1207495 ) ( 1796880 1232470 )
NEW met2 ( 1796880 1232470 ) ( 1798080 1232470 0 )
NEW met2 ( 1657680 1167350 0 ) ( 1657680 1207865 )
NEW met1 ( 1695600 1207495 ) ( 1695600 1207865 )
NEW met1 ( 1657680 1207865 ) ( 1695600 1207865 )
NEW met1 ( 1695600 1207495 ) ( 1796880 1207495 )
NEW met1 ( 1796880 1207495 ) M1M2_PR
NEW met1 ( 1657680 1207865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[83\] ( soc la_oen[83] ) ( mgmt_buffers la_oen_mprj[83] )
+ ROUTED met2 ( 1219920 1167350 0 ) ( 1219920 1206570 )
NEW met2 ( 1800240 1206570 ) ( 1800240 1232470 0 )
NEW met3 ( 1219920 1206570 ) ( 1800240 1206570 )
NEW met2 ( 1219920 1206570 ) via2_FR
NEW met2 ( 1800240 1206570 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[84\] ( soc la_oen[84] ) ( mgmt_buffers la_oen_mprj[84] )
+ ROUTED met2 ( 1802640 1194545 ) ( 1802640 1232470 0 )
NEW met2 ( 1659600 1167350 0 ) ( 1659600 1194545 )
NEW met1 ( 1659600 1194545 ) ( 1802640 1194545 )
NEW met1 ( 1802640 1194545 ) M1M2_PR
NEW met1 ( 1659600 1194545 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[85\] ( soc la_oen[85] ) ( mgmt_buffers la_oen_mprj[85] )
+ ROUTED met2 ( 1218000 1167350 0 ) ( 1218000 1193990 )
NEW met2 ( 1803600 1193990 ) ( 1803600 1232470 )
NEW met2 ( 1803600 1232470 ) ( 1805040 1232470 0 )
NEW met3 ( 1218000 1193990 ) ( 1803600 1193990 )
NEW met2 ( 1218000 1193990 ) via2_FR
NEW met2 ( 1803600 1193990 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[86\] ( soc la_oen[86] ) ( mgmt_buffers la_oen_mprj[86] )
+ ROUTED met2 ( 1805520 1181595 ) ( 1805520 1232470 )
NEW met2 ( 1805520 1232470 ) ( 1806720 1232470 0 )
NEW met2 ( 1661520 1167350 0 ) ( 1661520 1181595 )
NEW met1 ( 1661520 1181595 ) ( 1805520 1181595 )
NEW met1 ( 1805520 1181595 ) M1M2_PR
NEW met1 ( 1661520 1181595 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[87\] ( soc la_oen[87] ) ( mgmt_buffers la_oen_mprj[87] )
+ ROUTED met2 ( 1216080 1167350 0 ) ( 1216080 1205830 )
NEW met2 ( 1807920 1205830 ) ( 1807920 1232470 )
NEW met2 ( 1807920 1232470 ) ( 1809120 1232470 0 )
NEW met3 ( 1216080 1205830 ) ( 1807920 1205830 )
NEW met2 ( 1216080 1205830 ) via2_FR
NEW met2 ( 1807920 1205830 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[88\] ( soc la_oen[88] ) ( mgmt_buffers la_oen_mprj[88] )
+ ROUTED met2 ( 1811280 1204535 ) ( 1811280 1232470 0 )
NEW met2 ( 1663200 1167350 0 ) ( 1664400 1167350 )
NEW met2 ( 1664400 1167350 ) ( 1664400 1184555 )
NEW met1 ( 1664400 1184555 ) ( 1697520 1184555 )
NEW met2 ( 1697520 1184555 ) ( 1697520 1204535 )
NEW met1 ( 1697520 1204535 ) ( 1811280 1204535 )
NEW met1 ( 1811280 1204535 ) M1M2_PR
NEW met1 ( 1664400 1184555 ) M1M2_PR
NEW met1 ( 1697520 1184555 ) M1M2_PR
NEW met1 ( 1697520 1204535 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[89\] ( soc la_oen[89] ) ( mgmt_buffers la_oen_mprj[89] )
+ ROUTED met2 ( 1214160 1167350 0 ) ( 1214160 1193250 )
NEW met2 ( 1813680 1193250 ) ( 1813680 1232470 0 )
NEW met3 ( 1214160 1193250 ) ( 1813680 1193250 )
NEW met2 ( 1214160 1193250 ) via2_FR
NEW met2 ( 1813680 1193250 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[8\] ( soc la_oen[8] ) ( mgmt_buffers la_oen_mprj[8] )
+ ROUTED met2 ( 1637040 1206755 ) ( 1637040 1232470 0 )
NEW met2 ( 1664880 1167350 ) ( 1665120 1167350 0 )
NEW met2 ( 1664880 1167350 ) ( 1664880 1206755 )
NEW met1 ( 1637040 1206755 ) ( 1664880 1206755 )
NEW met1 ( 1637040 1206755 ) M1M2_PR
NEW met1 ( 1664880 1206755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[90\] ( soc la_oen[90] ) ( mgmt_buffers la_oen_mprj[90] )
+ ROUTED met2 ( 1212480 1167350 0 ) ( 1213680 1167350 )
NEW met2 ( 1213680 1167350 ) ( 1213680 1205090 )
NEW met2 ( 1815600 1205090 ) ( 1815600 1232470 0 )
NEW met3 ( 1213680 1205090 ) ( 1815600 1205090 )
NEW met2 ( 1213680 1205090 ) via2_FR
NEW met2 ( 1815600 1205090 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[91\] ( soc la_oen[91] ) ( mgmt_buffers la_oen_mprj[91] )
+ ROUTED met2 ( 1816560 1206755 ) ( 1816560 1232470 )
NEW met2 ( 1816560 1232470 ) ( 1817760 1232470 0 )
NEW met2 ( 1666800 1167350 0 ) ( 1666800 1206755 )
NEW met1 ( 1666800 1206755 ) ( 1816560 1206755 )
NEW met1 ( 1816560 1206755 ) M1M2_PR
NEW met1 ( 1666800 1206755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[92\] ( soc la_oen[92] ) ( mgmt_buffers la_oen_mprj[92] )
+ ROUTED met2 ( 1210560 1167350 0 ) ( 1211760 1167350 )
NEW met2 ( 1211760 1167350 ) ( 1211760 1191770 )
NEW met2 ( 1819440 1191770 ) ( 1819440 1232470 )
NEW met2 ( 1819440 1232470 ) ( 1820160 1232470 0 )
NEW met3 ( 1211760 1191770 ) ( 1819440 1191770 )
NEW met2 ( 1211760 1191770 ) via2_FR
NEW met2 ( 1819440 1191770 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[93\] ( soc la_oen[93] ) ( mgmt_buffers la_oen_mprj[93] )
+ ROUTED met2 ( 1814640 1205275 ) ( 1814640 1207125 )
NEW met1 ( 1814640 1205275 ) ( 1821840 1205275 )
NEW met2 ( 1821840 1205275 ) ( 1821840 1232470 0 )
NEW met2 ( 1668720 1167350 0 ) ( 1668720 1207125 )
NEW met1 ( 1668720 1207125 ) ( 1814640 1207125 )
NEW met1 ( 1814640 1207125 ) M1M2_PR
NEW met1 ( 1814640 1205275 ) M1M2_PR
NEW met1 ( 1821840 1205275 ) M1M2_PR
NEW met1 ( 1668720 1207125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[94\] ( soc la_oen[94] ) ( mgmt_buffers la_oen_mprj[94] )
+ ROUTED met2 ( 1208880 1167350 0 ) ( 1208880 1203610 )
NEW met2 ( 1824240 1203610 ) ( 1824240 1232470 0 )
NEW met3 ( 1208880 1203610 ) ( 1824240 1203610 )
NEW met2 ( 1208880 1203610 ) via2_FR
NEW met2 ( 1824240 1203610 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[95\] ( soc la_oen[95] ) ( mgmt_buffers la_oen_mprj[95] )
+ ROUTED met2 ( 1826640 1193065 ) ( 1826640 1232470 0 )
NEW met2 ( 1670640 1167350 0 ) ( 1670640 1193065 )
NEW met1 ( 1670640 1193065 ) ( 1826640 1193065 )
NEW met1 ( 1826640 1193065 ) M1M2_PR
NEW met1 ( 1670640 1193065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[96\] ( soc la_oen[96] ) ( mgmt_buffers la_oen_mprj[96] )
+ ROUTED met2 ( 1206960 1167350 0 ) ( 1206960 1191030 )
NEW met2 ( 1829040 1191030 ) ( 1829040 1232470 )
NEW met2 ( 1828800 1232470 0 ) ( 1829040 1232470 )
NEW met3 ( 1206960 1191030 ) ( 1829040 1191030 )
NEW met2 ( 1206960 1191030 ) via2_FR
NEW met2 ( 1829040 1191030 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[97\] ( soc la_oen[97] ) ( mgmt_buffers la_oen_mprj[97] )
+ ROUTED met2 ( 1829520 1200095 ) ( 1829520 1232470 )
NEW met2 ( 1829520 1232470 ) ( 1830720 1232470 0 )
NEW met2 ( 1672560 1167350 0 ) ( 1672560 1200095 )
NEW met1 ( 1672560 1200095 ) ( 1829520 1200095 )
NEW met1 ( 1829520 1200095 ) M1M2_PR
NEW met1 ( 1672560 1200095 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[98\] ( soc la_oen[98] ) ( mgmt_buffers la_oen_mprj[98] )
+ ROUTED met2 ( 1205040 1167350 0 ) ( 1205040 1202870 )
NEW met2 ( 1832880 1202870 ) ( 1832880 1232470 0 )
NEW met3 ( 1205040 1202870 ) ( 1832880 1202870 )
NEW met2 ( 1205040 1202870 ) via2_FR
NEW met2 ( 1832880 1202870 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[99\] ( soc la_oen[99] ) ( mgmt_buffers la_oen_mprj[99] )
+ ROUTED met2 ( 1835280 1191955 ) ( 1835280 1232470 0 )
NEW met2 ( 1674240 1167350 0 ) ( 1675440 1167350 )
NEW met2 ( 1675440 1167350 ) ( 1675440 1181225 )
NEW met1 ( 1675440 1181225 ) ( 1676400 1181225 )
NEW met2 ( 1676400 1181225 ) ( 1676400 1191955 )
NEW met1 ( 1676400 1191955 ) ( 1835280 1191955 )
NEW met1 ( 1835280 1191955 ) M1M2_PR
NEW met1 ( 1675440 1181225 ) M1M2_PR
NEW met1 ( 1676400 1181225 ) M1M2_PR
NEW met1 ( 1676400 1191955 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[9\] ( soc la_oen[9] ) ( mgmt_buffers la_oen_mprj[9] )
+ ROUTED met2 ( 1203120 1167350 0 ) ( 1203120 1191955 )
NEW met2 ( 1588560 1191955 ) ( 1588560 1194545 )
NEW met1 ( 1588560 1194545 ) ( 1629840 1194545 )
NEW met2 ( 1629840 1193435 ) ( 1629840 1194545 )
NEW met1 ( 1629840 1193435 ) ( 1638000 1193435 )
NEW met2 ( 1638000 1193435 ) ( 1638000 1232470 )
NEW met2 ( 1638000 1232470 ) ( 1639440 1232470 0 )
NEW met1 ( 1203120 1191955 ) ( 1588560 1191955 )
NEW met1 ( 1203120 1191955 ) M1M2_PR
NEW met1 ( 1588560 1191955 ) M1M2_PR
NEW met1 ( 1588560 1194545 ) M1M2_PR
NEW met1 ( 1629840 1194545 ) M1M2_PR
NEW met1 ( 1629840 1193435 ) M1M2_PR
NEW met1 ( 1638000 1193435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[0\] ( mprj la_oen[0] ) ( mgmt_buffers la_oen_core[0] )
+ ROUTED met2 ( 971760 1382505 ) ( 971760 1389350 0 )
NEW met2 ( 1628400 1325710 0 ) ( 1628880 1325710 )
NEW met2 ( 1628880 1325710 ) ( 1628880 1382505 )
NEW met1 ( 971760 1382505 ) ( 1628880 1382505 )
NEW met1 ( 971760 1382505 ) M1M2_PR
NEW met1 ( 1628880 1382505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[100\] ( mprj la_oen[100] ) ( mgmt_buffers la_oen_core[100] )
+ ROUTED met2 ( 2755440 1324415 ) ( 2755440 1389350 0 )
NEW met1 ( 1912560 1324415 ) ( 1912560 1324785 )
NEW met1 ( 1847760 1324785 ) ( 1912560 1324785 )
NEW met2 ( 1847760 1324785 ) ( 1847760 1324970 )
NEW met2 ( 1846320 1324970 0 ) ( 1847760 1324970 )
NEW met1 ( 1912560 1324415 ) ( 2755440 1324415 )
NEW met1 ( 2755440 1324415 ) M1M2_PR
NEW met1 ( 1847760 1324785 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[101\] ( mprj la_oen[101] ) ( mgmt_buffers la_oen_core[101] )
+ ROUTED met2 ( 2773680 1373995 ) ( 2773680 1389350 0 )
NEW met2 ( 1848240 1325710 0 ) ( 1848240 1371405 )
NEW met2 ( 1889520 1371405 ) ( 1889520 1373995 )
NEW met1 ( 1848240 1371405 ) ( 1889520 1371405 )
NEW met1 ( 1889520 1373995 ) ( 2773680 1373995 )
NEW met1 ( 2773680 1373995 ) M1M2_PR
NEW met1 ( 1848240 1371405 ) M1M2_PR
NEW met1 ( 1889520 1371405 ) M1M2_PR
NEW met1 ( 1889520 1373995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[102\] ( mprj la_oen[102] ) ( mgmt_buffers la_oen_core[102] )
+ ROUTED met2 ( 2791440 1345505 ) ( 2791440 1389350 0 )
NEW met2 ( 1850400 1325710 0 ) ( 1851600 1325710 )
NEW met2 ( 1851600 1325710 ) ( 1851600 1342915 )
NEW met2 ( 1893840 1342915 ) ( 1893840 1345505 )
NEW met1 ( 1893840 1345505 ) ( 1902000 1345505 )
NEW met1 ( 1902000 1345505 ) ( 1902000 1345875 )
NEW met1 ( 1902000 1345875 ) ( 1906800 1345875 )
NEW met1 ( 1906800 1345505 ) ( 1906800 1345875 )
NEW met1 ( 1851600 1342915 ) ( 1893840 1342915 )
NEW met1 ( 1906800 1345505 ) ( 2791440 1345505 )
NEW met1 ( 2791440 1345505 ) M1M2_PR
NEW met1 ( 1851600 1342915 ) M1M2_PR
NEW met1 ( 1893840 1342915 ) M1M2_PR
NEW met1 ( 1893840 1345505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[103\] ( mprj la_oen[103] ) ( mgmt_buffers la_oen_core[103] )
+ ROUTED met2 ( 2809200 1382135 ) ( 2809200 1389350 0 )
NEW met3 ( 1854000 1342730 ) ( 1855200 1342730 )
NEW met4 ( 1855200 1342730 ) ( 1855200 1350315 )
NEW met5 ( 1855200 1350315 ) ( 1923360 1350315 )
NEW met4 ( 1923360 1350315 ) ( 1923360 1365670 )
NEW met3 ( 1923360 1365670 ) ( 1924080 1365670 )
NEW met2 ( 1852800 1325710 0 ) ( 1854000 1325710 )
NEW met2 ( 1854000 1325710 ) ( 1854000 1342730 )
NEW met1 ( 1924080 1378435 ) ( 1925520 1378435 )
NEW met2 ( 1925520 1378435 ) ( 1925520 1382135 )
NEW met2 ( 1924080 1365670 ) ( 1924080 1378435 )
NEW met1 ( 1925520 1382135 ) ( 2809200 1382135 )
NEW met1 ( 2809200 1382135 ) M1M2_PR
NEW met2 ( 1854000 1342730 ) via2_FR
NEW met3 ( 1855200 1342730 ) M3M4_PR_M
NEW met4 ( 1855200 1350315 ) via4_FR
NEW met4 ( 1923360 1350315 ) via4_FR
NEW met3 ( 1923360 1365670 ) M3M4_PR_M
NEW met2 ( 1924080 1365670 ) via2_FR
NEW met1 ( 1924080 1378435 ) M1M2_PR
NEW met1 ( 1925520 1378435 ) M1M2_PR
NEW met1 ( 1925520 1382135 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[104\] ( mprj la_oen[104] ) ( mgmt_buffers la_oen_core[104] )
+ ROUTED met2 ( 2827440 1353275 ) ( 2827440 1389350 0 )
NEW met1 ( 1854960 1339585 ) ( 1883280 1339585 )
NEW met2 ( 1883280 1339585 ) ( 1883280 1354570 )
NEW met3 ( 1883280 1354570 ) ( 1930320 1354570 )
NEW met2 ( 1930320 1353275 ) ( 1930320 1354570 )
NEW met1 ( 1930320 1353275 ) ( 2827440 1353275 )
NEW met2 ( 1854960 1325710 0 ) ( 1854960 1339585 )
NEW met1 ( 2827440 1353275 ) M1M2_PR
NEW met1 ( 1854960 1339585 ) M1M2_PR
NEW met1 ( 1883280 1339585 ) M1M2_PR
NEW met2 ( 1883280 1354570 ) via2_FR
NEW met2 ( 1930320 1354570 ) via2_FR
NEW met1 ( 1930320 1353275 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[105\] ( mprj la_oen[105] ) ( mgmt_buffers la_oen_core[105] )
+ ROUTED met2 ( 2844720 1381765 ) ( 2844720 1389350 0 )
NEW met1 ( 1856880 1382135 ) ( 1870800 1382135 )
NEW met2 ( 1870800 1382135 ) ( 1870800 1393050 )
NEW met2 ( 1870800 1393050 ) ( 1871760 1393050 )
NEW met2 ( 1856880 1325710 0 ) ( 1856880 1382135 )
NEW met2 ( 1975440 1381765 ) ( 1975440 1393050 )
NEW met1 ( 1975440 1381765 ) ( 2844720 1381765 )
NEW met3 ( 1871760 1393050 ) ( 1975440 1393050 )
NEW met1 ( 2844720 1381765 ) M1M2_PR
NEW met1 ( 1856880 1382135 ) M1M2_PR
NEW met1 ( 1870800 1382135 ) M1M2_PR
NEW met2 ( 1871760 1393050 ) via2_FR
NEW met1 ( 1975440 1381765 ) M1M2_PR
NEW met2 ( 1975440 1393050 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[106\] ( mprj la_oen[106] ) ( mgmt_buffers la_oen_core[106] )
+ ROUTED met2 ( 2862480 1340325 ) ( 2862480 1389350 0 )
NEW met2 ( 1859280 1325710 0 ) ( 1859280 1340695 )
NEW met2 ( 1941360 1338475 ) ( 1941360 1340695 )
NEW met2 ( 1941360 1338475 ) ( 1944720 1338475 )
NEW met2 ( 1944720 1338475 ) ( 1944720 1340325 )
NEW met1 ( 1859280 1340695 ) ( 1941360 1340695 )
NEW met1 ( 1944720 1340325 ) ( 2862480 1340325 )
NEW met1 ( 2862480 1340325 ) M1M2_PR
NEW met1 ( 1859280 1340695 ) M1M2_PR
NEW met1 ( 1941360 1340695 ) M1M2_PR
NEW met1 ( 1944720 1340325 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[107\] ( mprj la_oen[107] ) ( mgmt_buffers la_oen_core[107] )
+ ROUTED met2 ( 2880720 1380655 ) ( 2880720 1389350 0 )
NEW met2 ( 1861440 1325710 0 ) ( 1862640 1325710 )
NEW met2 ( 1862640 1325710 ) ( 1862640 1368445 )
NEW met2 ( 1888560 1368445 ) ( 1888560 1380470 )
NEW met2 ( 1888560 1380470 ) ( 1892880 1380470 )
NEW met2 ( 1892880 1380470 ) ( 1892880 1391755 )
NEW met1 ( 1892880 1391755 ) ( 1923600 1391755 )
NEW met2 ( 1923600 1380655 ) ( 1923600 1391755 )
NEW met1 ( 1862640 1368445 ) ( 1888560 1368445 )
NEW met1 ( 1923600 1380655 ) ( 2880720 1380655 )
NEW met1 ( 2880720 1380655 ) M1M2_PR
NEW met1 ( 1862640 1368445 ) M1M2_PR
NEW met1 ( 1888560 1368445 ) M1M2_PR
NEW met1 ( 1892880 1391755 ) M1M2_PR
NEW met1 ( 1923600 1391755 ) M1M2_PR
NEW met1 ( 1923600 1380655 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[108\] ( mprj la_oen[108] ) ( mgmt_buffers la_oen_core[108] )
+ ROUTED met2 ( 2898480 1351795 ) ( 2898480 1389350 0 )
NEW met2 ( 1863360 1325710 0 ) ( 1864560 1325710 )
NEW met2 ( 1864560 1325710 ) ( 1864560 1338105 )
NEW met2 ( 1886160 1338105 ) ( 1886160 1339585 )
NEW met1 ( 1886160 1339585 ) ( 1901520 1339585 )
NEW met2 ( 1901520 1339585 ) ( 1901520 1339955 )
NEW met2 ( 1901520 1339955 ) ( 1902960 1339955 )
NEW met2 ( 1902960 1339955 ) ( 1902960 1342915 )
NEW met1 ( 1902960 1342915 ) ( 1942800 1342915 )
NEW met2 ( 1942800 1342915 ) ( 1942800 1351795 )
NEW met1 ( 1864560 1338105 ) ( 1886160 1338105 )
NEW met1 ( 1942800 1351795 ) ( 2898480 1351795 )
NEW met1 ( 2898480 1351795 ) M1M2_PR
NEW met1 ( 1864560 1338105 ) M1M2_PR
NEW met1 ( 1886160 1338105 ) M1M2_PR
NEW met1 ( 1886160 1339585 ) M1M2_PR
NEW met1 ( 1901520 1339585 ) M1M2_PR
NEW met1 ( 1902960 1342915 ) M1M2_PR
NEW met1 ( 1942800 1342915 ) M1M2_PR
NEW met1 ( 1942800 1351795 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[109\] ( mprj la_oen[109] ) ( mgmt_buffers la_oen_core[109] )
+ ROUTED met2 ( 2916240 1379915 ) ( 2916240 1389350 0 )
NEW met2 ( 1865760 1325710 0 ) ( 1866960 1325710 )
NEW met2 ( 1866960 1325710 ) ( 1866960 1368815 )
NEW met2 ( 1890480 1368815 ) ( 1890480 1379175 )
NEW met1 ( 1890480 1379175 ) ( 1921680 1379175 )
NEW met2 ( 1921680 1379175 ) ( 1921680 1379915 )
NEW met1 ( 1866960 1368815 ) ( 1890480 1368815 )
NEW met1 ( 1921680 1379915 ) ( 2916240 1379915 )
NEW met1 ( 2916240 1379915 ) M1M2_PR
NEW met1 ( 1866960 1368815 ) M1M2_PR
NEW met1 ( 1890480 1368815 ) M1M2_PR
NEW met1 ( 1890480 1379175 ) M1M2_PR
NEW met1 ( 1921680 1379175 ) M1M2_PR
NEW met1 ( 1921680 1379915 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[10\] ( mprj la_oen[10] ) ( mgmt_buffers la_oen_core[10] )
+ ROUTED met2 ( 1150320 1333295 ) ( 1150320 1389350 0 )
NEW met2 ( 1590960 1333295 ) ( 1590960 1335885 )
NEW met1 ( 1150320 1333295 ) ( 1590960 1333295 )
NEW met2 ( 1650000 1325710 0 ) ( 1650000 1334775 )
NEW met1 ( 1642800 1334775 ) ( 1650000 1334775 )
NEW met1 ( 1642800 1334775 ) ( 1642800 1335145 )
NEW met1 ( 1641360 1335145 ) ( 1642800 1335145 )
NEW met2 ( 1641360 1335145 ) ( 1641360 1335885 )
NEW met1 ( 1590960 1335885 ) ( 1641360 1335885 )
NEW met1 ( 1150320 1333295 ) M1M2_PR
NEW met1 ( 1590960 1333295 ) M1M2_PR
NEW met1 ( 1590960 1335885 ) M1M2_PR
NEW met1 ( 1650000 1334775 ) M1M2_PR
NEW met1 ( 1641360 1335145 ) M1M2_PR
NEW met1 ( 1641360 1335885 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[110\] ( mprj la_oen[110] ) ( mgmt_buffers la_oen_core[110] )
+ ROUTED met3 ( 1867920 1353830 ) ( 1919760 1353830 )
NEW met2 ( 1919760 1351425 ) ( 1919760 1353830 )
NEW met2 ( 2934000 1351425 ) ( 2934000 1389350 0 )
NEW met2 ( 1867920 1325710 0 ) ( 1867920 1353830 )
NEW met1 ( 1919760 1351425 ) ( 2934000 1351425 )
NEW met2 ( 1867920 1353830 ) via2_FR
NEW met2 ( 1919760 1353830 ) via2_FR
NEW met1 ( 1919760 1351425 ) M1M2_PR
NEW met1 ( 2934000 1351425 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[111\] ( mprj la_oen[111] ) ( mgmt_buffers la_oen_core[111] )
+ ROUTED met2 ( 2951760 1379175 ) ( 2951760 1389350 0 )
NEW met2 ( 1870320 1325710 0 ) ( 1870320 1378435 )
NEW met2 ( 1922160 1378435 ) ( 1922160 1379175 )
NEW met1 ( 1870320 1378435 ) ( 1922160 1378435 )
NEW met1 ( 1922160 1379175 ) ( 2951760 1379175 )
NEW met1 ( 2951760 1379175 ) M1M2_PR
NEW met1 ( 1870320 1378435 ) M1M2_PR
NEW met1 ( 1922160 1378435 ) M1M2_PR
NEW met1 ( 1922160 1379175 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[112\] ( mprj la_oen[112] ) ( mgmt_buffers la_oen_core[112] )
+ ROUTED met2 ( 2970000 1350685 ) ( 2970000 1389350 0 )
NEW met2 ( 1872240 1325710 0 ) ( 1873680 1325710 )
NEW met1 ( 1873680 1339955 ) ( 1894320 1339955 )
NEW met2 ( 1894320 1339955 ) ( 1894320 1342915 )
NEW met1 ( 1894320 1342915 ) ( 1901520 1342915 )
NEW met2 ( 1901520 1342915 ) ( 1901520 1344025 )
NEW met2 ( 1901520 1344025 ) ( 1902480 1344025 )
NEW met2 ( 1902480 1344025 ) ( 1902480 1350315 )
NEW met1 ( 1902480 1350315 ) ( 1904400 1350315 )
NEW met2 ( 1904400 1349390 ) ( 1904400 1350315 )
NEW met2 ( 1904400 1349390 ) ( 1905840 1349390 )
NEW met2 ( 1905840 1349390 ) ( 1905840 1350685 )
NEW met2 ( 1873680 1325710 ) ( 1873680 1339955 )
NEW met1 ( 1905840 1350685 ) ( 2970000 1350685 )
NEW met1 ( 2970000 1350685 ) M1M2_PR
NEW met1 ( 1873680 1339955 ) M1M2_PR
NEW met1 ( 1894320 1339955 ) M1M2_PR
NEW met1 ( 1894320 1342915 ) M1M2_PR
NEW met1 ( 1901520 1342915 ) M1M2_PR
NEW met1 ( 1902480 1350315 ) M1M2_PR
NEW met1 ( 1904400 1350315 ) M1M2_PR
NEW met1 ( 1905840 1350685 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[113\] ( mprj la_oen[113] ) ( mgmt_buffers la_oen_core[113] )
+ ROUTED met2 ( 2987760 1350315 ) ( 2987760 1389350 0 )
NEW met2 ( 2138160 1350315 ) ( 2138160 1352535 )
NEW met1 ( 2138160 1352535 ) ( 2188560 1352535 )
NEW met2 ( 2188560 1350315 ) ( 2188560 1352535 )
NEW met1 ( 2188560 1350315 ) ( 2987760 1350315 )
NEW met2 ( 1874400 1325710 0 ) ( 1875600 1325710 )
NEW met2 ( 1875600 1325710 ) ( 1875600 1350315 )
NEW met1 ( 1875600 1350315 ) ( 1891440 1350315 )
NEW met1 ( 1891440 1350315 ) ( 1891440 1350685 )
NEW met1 ( 1891440 1350685 ) ( 1901520 1350685 )
NEW met2 ( 1901520 1350685 ) ( 1901520 1355125 )
NEW met1 ( 1901520 1355125 ) ( 1904880 1355125 )
NEW met2 ( 1904880 1350315 ) ( 1904880 1355125 )
NEW met1 ( 1904880 1350315 ) ( 2138160 1350315 )
NEW met1 ( 2987760 1350315 ) M1M2_PR
NEW met1 ( 2138160 1350315 ) M1M2_PR
NEW met1 ( 2138160 1352535 ) M1M2_PR
NEW met1 ( 2188560 1352535 ) M1M2_PR
NEW met1 ( 2188560 1350315 ) M1M2_PR
NEW met1 ( 1875600 1350315 ) M1M2_PR
NEW met1 ( 1901520 1350685 ) M1M2_PR
NEW met1 ( 1901520 1355125 ) M1M2_PR
NEW met1 ( 1904880 1355125 ) M1M2_PR
NEW met1 ( 1904880 1350315 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[114\] ( mprj la_oen[114] ) ( mgmt_buffers la_oen_core[114] )
+ ROUTED met2 ( 3005520 1357345 ) ( 3005520 1389350 0 )
NEW met1 ( 1878000 1358825 ) ( 1890480 1358825 )
NEW met2 ( 1890480 1355125 ) ( 1890480 1358825 )
NEW met1 ( 1890480 1355125 ) ( 1894320 1355125 )
NEW met1 ( 1894320 1354755 ) ( 1894320 1355125 )
NEW met1 ( 1894320 1354755 ) ( 1905840 1354755 )
NEW met2 ( 1905840 1353645 ) ( 1905840 1354755 )
NEW met2 ( 1905840 1353645 ) ( 1906320 1353645 )
NEW met1 ( 1906320 1353645 ) ( 1916880 1353645 )
NEW met2 ( 1916880 1353645 ) ( 1916880 1357345 )
NEW met1 ( 1916880 1357345 ) ( 3005520 1357345 )
NEW met2 ( 1876800 1325710 0 ) ( 1878000 1325710 )
NEW met2 ( 1878000 1325710 ) ( 1878000 1358825 )
NEW met1 ( 3005520 1357345 ) M1M2_PR
NEW met1 ( 1878000 1358825 ) M1M2_PR
NEW met1 ( 1890480 1358825 ) M1M2_PR
NEW met1 ( 1890480 1355125 ) M1M2_PR
NEW met1 ( 1905840 1354755 ) M1M2_PR
NEW met1 ( 1906320 1353645 ) M1M2_PR
NEW met1 ( 1916880 1353645 ) M1M2_PR
NEW met1 ( 1916880 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[115\] ( mprj la_oen[115] ) ( mgmt_buffers la_oen_core[115] )
+ ROUTED met2 ( 3023280 1378435 ) ( 3023280 1389350 0 )
NEW met1 ( 1878960 1372145 ) ( 1888080 1372145 )
NEW met2 ( 1888080 1372145 ) ( 1888080 1376585 )
NEW met1 ( 1888080 1376585 ) ( 1926000 1376585 )
NEW met2 ( 1926000 1376585 ) ( 1926000 1378435 )
NEW met2 ( 1878960 1325710 0 ) ( 1878960 1372145 )
NEW met1 ( 1926000 1378435 ) ( 3023280 1378435 )
NEW met1 ( 3023280 1378435 ) M1M2_PR
NEW met1 ( 1878960 1372145 ) M1M2_PR
NEW met1 ( 1888080 1372145 ) M1M2_PR
NEW met1 ( 1888080 1376585 ) M1M2_PR
NEW met1 ( 1926000 1376585 ) M1M2_PR
NEW met1 ( 1926000 1378435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[116\] ( mprj la_oen[116] ) ( mgmt_buffers la_oen_core[116] )
+ ROUTED met2 ( 3041040 1358825 ) ( 3041040 1389350 0 )
NEW met2 ( 1943760 1358825 ) ( 1943760 1364375 )
NEW met1 ( 1943760 1358825 ) ( 3041040 1358825 )
NEW met2 ( 1880880 1325710 0 ) ( 1882800 1325710 )
NEW met2 ( 1882800 1325710 ) ( 1882800 1365670 )
NEW met3 ( 1882800 1365670 ) ( 1896720 1365670 )
NEW met2 ( 1896720 1364375 ) ( 1896720 1365670 )
NEW met1 ( 1896720 1364375 ) ( 1943760 1364375 )
NEW met1 ( 3041040 1358825 ) M1M2_PR
NEW met1 ( 1943760 1364375 ) M1M2_PR
NEW met1 ( 1943760 1358825 ) M1M2_PR
NEW met2 ( 1882800 1365670 ) via2_FR
NEW met2 ( 1896720 1365670 ) via2_FR
NEW met1 ( 1896720 1364375 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[117\] ( mprj la_oen[117] ) ( mgmt_buffers la_oen_core[117] )
+ ROUTED met2 ( 3059280 1325525 ) ( 3059280 1389350 0 )
NEW met2 ( 1884720 1325525 ) ( 1884720 1325710 )
NEW met2 ( 1883280 1325710 0 ) ( 1884720 1325710 )
NEW met1 ( 1884720 1325525 ) ( 3059280 1325525 )
NEW met1 ( 3059280 1325525 ) M1M2_PR
NEW met1 ( 1884720 1325525 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[118\] ( mprj la_oen[118] ) ( mgmt_buffers la_oen_core[118] )
+ ROUTED met2 ( 3077040 1383245 ) ( 3077040 1389350 0 )
NEW met2 ( 1885440 1325710 0 ) ( 1886640 1325710 )
NEW met1 ( 1886640 1371775 ) ( 1888560 1371775 )
NEW met1 ( 1888560 1371775 ) ( 1888560 1372145 )
NEW met1 ( 1888560 1372145 ) ( 1895760 1372145 )
NEW met2 ( 1895760 1368075 ) ( 1895760 1372145 )
NEW met1 ( 1895760 1368075 ) ( 1936080 1368075 )
NEW met2 ( 1936080 1368075 ) ( 1936080 1383245 )
NEW met2 ( 1886640 1325710 ) ( 1886640 1371775 )
NEW met1 ( 1936080 1383245 ) ( 3077040 1383245 )
NEW met1 ( 3077040 1383245 ) M1M2_PR
NEW met1 ( 1886640 1371775 ) M1M2_PR
NEW met1 ( 1895760 1372145 ) M1M2_PR
NEW met1 ( 1895760 1368075 ) M1M2_PR
NEW met1 ( 1936080 1368075 ) M1M2_PR
NEW met1 ( 1936080 1383245 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[119\] ( mprj la_oen[119] ) ( mgmt_buffers la_oen_core[119] )
+ ROUTED met2 ( 1994640 1368815 ) ( 1994640 1378065 )
NEW met2 ( 3094320 1378065 ) ( 3094320 1389350 0 )
NEW met1 ( 1994640 1378065 ) ( 3094320 1378065 )
NEW met2 ( 1887840 1325710 0 ) ( 1889040 1325710 )
NEW met2 ( 1889040 1325710 ) ( 1889040 1334775 )
NEW met2 ( 1889040 1334775 ) ( 1889520 1334775 )
NEW met2 ( 1889520 1334775 ) ( 1889520 1364745 )
NEW met2 ( 1889520 1364745 ) ( 1891440 1364745 )
NEW met2 ( 1891440 1364745 ) ( 1891440 1366225 )
NEW met2 ( 1891440 1366225 ) ( 1891920 1366225 )
NEW met2 ( 1891920 1366225 ) ( 1891920 1366410 )
NEW met2 ( 1891920 1366410 ) ( 1892400 1366410 )
NEW met2 ( 1892400 1366410 ) ( 1892400 1366965 )
NEW met2 ( 1892400 1366965 ) ( 1892880 1366965 )
NEW met1 ( 1892880 1371775 ) ( 1918800 1371775 )
NEW met2 ( 1918800 1368815 ) ( 1918800 1371775 )
NEW met2 ( 1892880 1366965 ) ( 1892880 1371775 )
NEW met1 ( 1918800 1368815 ) ( 1994640 1368815 )
NEW met1 ( 1994640 1368815 ) M1M2_PR
NEW met1 ( 1994640 1378065 ) M1M2_PR
NEW met1 ( 3094320 1378065 ) M1M2_PR
NEW met1 ( 1892880 1371775 ) M1M2_PR
NEW met1 ( 1918800 1371775 ) M1M2_PR
NEW met1 ( 1918800 1368815 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[11\] ( mprj la_oen[11] ) ( mgmt_buffers la_oen_core[11] )
+ ROUTED met2 ( 1167600 1373995 ) ( 1167600 1389350 0 )
NEW met2 ( 1590960 1373995 ) ( 1590960 1375105 )
NEW met1 ( 1167600 1373995 ) ( 1590960 1373995 )
NEW met1 ( 1590960 1375105 ) ( 1650960 1375105 )
NEW met2 ( 1650960 1325710 ) ( 1652160 1325710 0 )
NEW met2 ( 1650960 1325710 ) ( 1650960 1375105 )
NEW met1 ( 1167600 1373995 ) M1M2_PR
NEW met1 ( 1590960 1373995 ) M1M2_PR
NEW met1 ( 1590960 1375105 ) M1M2_PR
NEW met1 ( 1650960 1375105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[120\] ( mprj la_oen[120] ) ( mgmt_buffers la_oen_core[120] )
+ ROUTED met2 ( 3112560 1367335 ) ( 3112560 1389350 0 )
NEW met2 ( 1889520 1325710 0 ) ( 1890480 1325710 )
NEW met2 ( 1890480 1325710 ) ( 1890480 1338105 )
NEW met2 ( 1890480 1338105 ) ( 1890960 1338105 )
NEW met2 ( 1890960 1338105 ) ( 1890960 1347910 )
NEW met2 ( 1890960 1347910 ) ( 1893840 1347910 )
NEW met2 ( 1893840 1347910 ) ( 1893840 1355125 )
NEW met2 ( 1893840 1355125 ) ( 1894800 1355125 )
NEW met1 ( 1894800 1355125 ) ( 1897200 1355125 )
NEW met2 ( 1897200 1355125 ) ( 1897200 1366225 )
NEW met1 ( 1897200 1366225 ) ( 1943280 1366225 )
NEW met1 ( 1956240 1367335 ) ( 3112560 1367335 )
NEW met1 ( 1943280 1368075 ) ( 1956240 1368075 )
NEW met2 ( 1943280 1366225 ) ( 1943280 1368075 )
NEW met2 ( 1956240 1367335 ) ( 1956240 1368075 )
NEW met1 ( 3112560 1367335 ) M1M2_PR
NEW met1 ( 1894800 1355125 ) M1M2_PR
NEW met1 ( 1897200 1355125 ) M1M2_PR
NEW met1 ( 1897200 1366225 ) M1M2_PR
NEW met1 ( 1943280 1366225 ) M1M2_PR
NEW met1 ( 1956240 1367335 ) M1M2_PR
NEW met1 ( 1943280 1368075 ) M1M2_PR
NEW met1 ( 1956240 1368075 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[121\] ( mprj la_oen[121] ) ( mgmt_buffers la_oen_core[121] )
+ ROUTED met2 ( 1994160 1344395 ) ( 1994160 1347355 )
NEW met2 ( 3130320 1347355 ) ( 3130320 1389350 0 )
NEW met2 ( 2187600 1347170 ) ( 2187600 1347355 )
NEW met2 ( 2187600 1347170 ) ( 2188080 1347170 )
NEW met2 ( 2188080 1347170 ) ( 2188080 1347355 )
NEW met1 ( 1994160 1347355 ) ( 2187600 1347355 )
NEW met2 ( 2289840 1347355 ) ( 2289840 1348650 )
NEW met3 ( 2289840 1348650 ) ( 2293200 1348650 )
NEW met2 ( 2293200 1347355 ) ( 2293200 1348650 )
NEW met1 ( 2188080 1347355 ) ( 2289840 1347355 )
NEW met2 ( 1891920 1325710 0 ) ( 1891920 1344395 )
NEW met1 ( 1891920 1344395 ) ( 1903440 1344395 )
NEW met1 ( 1903440 1344395 ) ( 1903440 1344765 )
NEW met1 ( 1903440 1344765 ) ( 1905360 1344765 )
NEW met1 ( 1905360 1344395 ) ( 1905360 1344765 )
NEW met1 ( 1905360 1344395 ) ( 1994160 1344395 )
NEW met2 ( 2664240 1346430 ) ( 2664240 1347355 )
NEW met2 ( 2664240 1346430 ) ( 2665680 1346430 )
NEW met2 ( 2665680 1346430 ) ( 2665680 1347355 )
NEW met1 ( 2293200 1347355 ) ( 2664240 1347355 )
NEW met1 ( 2665680 1347355 ) ( 3130320 1347355 )
NEW met1 ( 1994160 1344395 ) M1M2_PR
NEW met1 ( 1994160 1347355 ) M1M2_PR
NEW met1 ( 3130320 1347355 ) M1M2_PR
NEW met1 ( 2187600 1347355 ) M1M2_PR
NEW met1 ( 2188080 1347355 ) M1M2_PR
NEW met1 ( 2289840 1347355 ) M1M2_PR
NEW met2 ( 2289840 1348650 ) via2_FR
NEW met2 ( 2293200 1348650 ) via2_FR
NEW met1 ( 2293200 1347355 ) M1M2_PR
NEW met1 ( 1891920 1344395 ) M1M2_PR
NEW met1 ( 2664240 1347355 ) M1M2_PR
NEW met1 ( 2665680 1347355 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[122\] ( mprj la_oen[122] ) ( mgmt_buffers la_oen_core[122] )
+ ROUTED met2 ( 1993200 1365115 ) ( 1993200 1366410 )
NEW met2 ( 1993200 1366410 ) ( 1994640 1366410 )
NEW met2 ( 1994640 1366225 ) ( 1994640 1366410 )
NEW met2 ( 3148560 1366225 ) ( 3148560 1389350 0 )
NEW met1 ( 1994640 1366225 ) ( 3148560 1366225 )
NEW met2 ( 1894320 1325710 0 ) ( 1895760 1325710 )
NEW met2 ( 1895760 1325710 ) ( 1895760 1326450 )
NEW met2 ( 1895760 1326450 ) ( 1898160 1326450 )
NEW met2 ( 1898160 1326450 ) ( 1898160 1365115 )
NEW met1 ( 1898160 1365115 ) ( 1993200 1365115 )
NEW met1 ( 1993200 1365115 ) M1M2_PR
NEW met1 ( 1994640 1366225 ) M1M2_PR
NEW met1 ( 3148560 1366225 ) M1M2_PR
NEW met1 ( 1898160 1365115 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[123\] ( mprj la_oen[123] ) ( mgmt_buffers la_oen_core[123] )
+ ROUTED met2 ( 3165840 1325155 ) ( 3165840 1389350 0 )
NEW met2 ( 1897680 1324970 ) ( 1897680 1325155 )
NEW met2 ( 1896480 1324970 0 ) ( 1897680 1324970 )
NEW met1 ( 1897680 1325155 ) ( 3165840 1325155 )
NEW met1 ( 3165840 1325155 ) M1M2_PR
NEW met1 ( 1897680 1325155 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[124\] ( mprj la_oen[124] ) ( mgmt_buffers la_oen_core[124] )
+ ROUTED met2 ( 3183600 1376585 ) ( 3183600 1389350 0 )
NEW met2 ( 1994160 1371775 ) ( 1994160 1376585 )
NEW met1 ( 1994160 1376585 ) ( 3183600 1376585 )
NEW met2 ( 1898400 1325710 0 ) ( 1899120 1325710 )
NEW met2 ( 1899120 1325710 ) ( 1899120 1343655 )
NEW met2 ( 1899120 1343655 ) ( 1900080 1343655 )
NEW met2 ( 1900080 1370110 ) ( 1900560 1370110 )
NEW met2 ( 1900560 1370110 ) ( 1900560 1383615 )
NEW met1 ( 1900560 1383615 ) ( 1905840 1383615 )
NEW met2 ( 1905840 1383615 ) ( 1905840 1384170 )
NEW met2 ( 1905840 1384170 ) ( 1912080 1384170 )
NEW met2 ( 1912080 1384170 ) ( 1912080 1391015 )
NEW met1 ( 1912080 1391015 ) ( 1920240 1391015 )
NEW met2 ( 1920240 1382690 ) ( 1920240 1391015 )
NEW met2 ( 1920240 1382690 ) ( 1921200 1382690 )
NEW met2 ( 1921200 1382135 ) ( 1921200 1382690 )
NEW met1 ( 1921200 1382135 ) ( 1924560 1382135 )
NEW met2 ( 1924560 1382135 ) ( 1924560 1382505 )
NEW met2 ( 1924560 1382505 ) ( 1926480 1382505 )
NEW met2 ( 1926480 1376585 ) ( 1926480 1382505 )
NEW met1 ( 1926480 1376585 ) ( 1972560 1376585 )
NEW met2 ( 1972560 1371775 ) ( 1972560 1376585 )
NEW met2 ( 1900080 1343655 ) ( 1900080 1370110 )
NEW met1 ( 1972560 1371775 ) ( 1994160 1371775 )
NEW met1 ( 3183600 1376585 ) M1M2_PR
NEW met1 ( 1994160 1371775 ) M1M2_PR
NEW met1 ( 1994160 1376585 ) M1M2_PR
NEW met1 ( 1900560 1383615 ) M1M2_PR
NEW met1 ( 1905840 1383615 ) M1M2_PR
NEW met1 ( 1912080 1391015 ) M1M2_PR
NEW met1 ( 1920240 1391015 ) M1M2_PR
NEW met1 ( 1921200 1382135 ) M1M2_PR
NEW met1 ( 1924560 1382135 ) M1M2_PR
NEW met1 ( 1926480 1376585 ) M1M2_PR
NEW met1 ( 1972560 1376585 ) M1M2_PR
NEW met1 ( 1972560 1371775 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[125\] ( mprj la_oen[125] ) ( mgmt_buffers la_oen_core[125] )
+ ROUTED met2 ( 3201840 1382875 ) ( 3201840 1389350 0 )
NEW met2 ( 1900560 1325710 0 ) ( 1902480 1325710 )
NEW met2 ( 1902480 1325710 ) ( 1902480 1327190 )
NEW met2 ( 1902480 1327190 ) ( 1903440 1327190 )
NEW met2 ( 1903440 1370850 ) ( 1906320 1370850 )
NEW met2 ( 1906320 1370850 ) ( 1906320 1383615 )
NEW met1 ( 1906320 1383615 ) ( 1923120 1383615 )
NEW met1 ( 1923120 1383245 ) ( 1923120 1383615 )
NEW met1 ( 1923120 1383245 ) ( 1935600 1383245 )
NEW met1 ( 1935600 1382875 ) ( 1935600 1383245 )
NEW met2 ( 1903440 1327190 ) ( 1903440 1370850 )
NEW met1 ( 1935600 1382875 ) ( 3201840 1382875 )
NEW met1 ( 3201840 1382875 ) M1M2_PR
NEW met1 ( 1906320 1383615 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[126\] ( mprj la_oen[126] ) ( mgmt_buffers la_oen_core[126] )
+ ROUTED met2 ( 3219600 1361785 ) ( 3219600 1389350 0 )
NEW met2 ( 2800560 1344025 ) ( 2800560 1361785 )
NEW met1 ( 2800560 1361785 ) ( 3219600 1361785 )
NEW met1 ( 2066160 1343285 ) ( 2066160 1343655 )
NEW met2 ( 2419440 1343470 ) ( 2419440 1344025 )
NEW met2 ( 2419440 1343470 ) ( 2419920 1343470 )
NEW met2 ( 2419920 1343470 ) ( 2419920 1344395 )
NEW met1 ( 2555760 1344025 ) ( 2555760 1344395 )
NEW met1 ( 2419920 1344395 ) ( 2555760 1344395 )
NEW met1 ( 2213040 1344025 ) ( 2213040 1344395 )
NEW met1 ( 2116080 1343285 ) ( 2116080 1343655 )
NEW met1 ( 2116080 1343285 ) ( 2138640 1343285 )
NEW met1 ( 2138640 1343285 ) ( 2138640 1344025 )
NEW met1 ( 2066160 1343655 ) ( 2116080 1343655 )
NEW met1 ( 2138640 1344025 ) ( 2213040 1344025 )
NEW met1 ( 2339760 1343655 ) ( 2339760 1344395 )
NEW met1 ( 2339760 1343655 ) ( 2347920 1343655 )
NEW met1 ( 2347920 1343655 ) ( 2347920 1344025 )
NEW met1 ( 2213040 1344395 ) ( 2339760 1344395 )
NEW met1 ( 2347920 1344025 ) ( 2419440 1344025 )
NEW met1 ( 2706960 1344025 ) ( 2706960 1344765 )
NEW met1 ( 2706960 1344765 ) ( 2750640 1344765 )
NEW met1 ( 2750640 1344025 ) ( 2750640 1344765 )
NEW met1 ( 2555760 1344025 ) ( 2706960 1344025 )
NEW met1 ( 2750640 1344025 ) ( 2800560 1344025 )
NEW met2 ( 1902960 1325710 0 ) ( 1903440 1325710 )
NEW met2 ( 1903440 1325710 ) ( 1903440 1326450 )
NEW met2 ( 1903440 1326450 ) ( 1903920 1326450 )
NEW met2 ( 1903920 1326450 ) ( 1903920 1328670 )
NEW met2 ( 1903920 1328670 ) ( 1904880 1328670 )
NEW met2 ( 1904880 1328670 ) ( 1904880 1343285 )
NEW met2 ( 1904880 1343285 ) ( 1906320 1343285 )
NEW met1 ( 1906320 1343285 ) ( 2066160 1343285 )
NEW met1 ( 3219600 1361785 ) M1M2_PR
NEW met1 ( 2800560 1344025 ) M1M2_PR
NEW met1 ( 2800560 1361785 ) M1M2_PR
NEW met1 ( 2419440 1344025 ) M1M2_PR
NEW met1 ( 2419920 1344395 ) M1M2_PR
NEW met1 ( 1906320 1343285 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[127\] ( mprj la_oen[127] ) ( mgmt_buffers la_oen_core[127] )
+ ROUTED met2 ( 2145840 1341990 ) ( 2145840 1352350 )
NEW met3 ( 2145840 1341990 ) ( 2246160 1341990 )
NEW met2 ( 2246160 1341990 ) ( 2246160 1352350 )
NEW met2 ( 2347440 1352350 ) ( 2347920 1352350 )
NEW met2 ( 2347920 1349390 ) ( 2347920 1352350 )
NEW met3 ( 2347920 1349390 ) ( 2447280 1349390 )
NEW met2 ( 2447280 1349390 ) ( 2447760 1349390 )
NEW met2 ( 2447760 1349390 ) ( 2447760 1352350 )
NEW met2 ( 2549040 1344950 ) ( 2549040 1352350 )
NEW met3 ( 2246160 1352350 ) ( 2347440 1352350 )
NEW met3 ( 2447760 1352350 ) ( 2549040 1352350 )
NEW met2 ( 2622000 1344950 ) ( 2622000 1352350 )
NEW met3 ( 2549040 1344950 ) ( 2622000 1344950 )
NEW met3 ( 3197280 1352350 ) ( 3197280 1353830 )
NEW met3 ( 3197280 1353830 ) ( 3237840 1353830 )
NEW met2 ( 3237840 1353830 ) ( 3237840 1389350 0 )
NEW met2 ( 1944720 1352350 ) ( 1944720 1355125 )
NEW met3 ( 1944720 1352350 ) ( 2145840 1352350 )
NEW met2 ( 2765040 1352165 ) ( 2765040 1352350 )
NEW met1 ( 2765040 1352165 ) ( 2865360 1352165 )
NEW met2 ( 2865360 1351610 ) ( 2865360 1352165 )
NEW met3 ( 2622000 1352350 ) ( 2765040 1352350 )
NEW met3 ( 2866080 1351610 ) ( 2866080 1351795 )
NEW met3 ( 2866080 1351795 ) ( 2868000 1351795 )
NEW met3 ( 2868000 1351610 ) ( 2868000 1351795 )
NEW met3 ( 2868000 1351610 ) ( 2916000 1351610 )
NEW met3 ( 2916000 1351610 ) ( 2916000 1352350 )
NEW met3 ( 2865360 1351610 ) ( 2866080 1351610 )
NEW met2 ( 2952240 1352165 ) ( 2952240 1352350 )
NEW met1 ( 2952240 1352165 ) ( 3002640 1352165 )
NEW met2 ( 3002640 1352165 ) ( 3002640 1352350 )
NEW met3 ( 2916000 1352350 ) ( 2952240 1352350 )
NEW met3 ( 3002640 1352350 ) ( 3197280 1352350 )
NEW met2 ( 1904880 1325710 0 ) ( 1906320 1325710 )
NEW met2 ( 1906320 1325710 ) ( 1906320 1326450 )
NEW met2 ( 1906320 1326450 ) ( 1906800 1326450 )
NEW met2 ( 1906800 1326450 ) ( 1906800 1343655 )
NEW met2 ( 1906320 1343655 ) ( 1906800 1343655 )
NEW met2 ( 1906320 1343655 ) ( 1906320 1347170 )
NEW met2 ( 1906320 1347170 ) ( 1907280 1347170 )
NEW met2 ( 1907280 1347170 ) ( 1907280 1355125 )
NEW met1 ( 1907280 1355125 ) ( 1944720 1355125 )
NEW met2 ( 2145840 1352350 ) via2_FR
NEW met2 ( 2145840 1341990 ) via2_FR
NEW met2 ( 2246160 1341990 ) via2_FR
NEW met2 ( 2246160 1352350 ) via2_FR
NEW met2 ( 2347440 1352350 ) via2_FR
NEW met2 ( 2347920 1349390 ) via2_FR
NEW met2 ( 2447280 1349390 ) via2_FR
NEW met2 ( 2447760 1352350 ) via2_FR
NEW met2 ( 2549040 1352350 ) via2_FR
NEW met2 ( 2549040 1344950 ) via2_FR
NEW met2 ( 2622000 1344950 ) via2_FR
NEW met2 ( 2622000 1352350 ) via2_FR
NEW met2 ( 3237840 1353830 ) via2_FR
NEW met1 ( 1944720 1355125 ) M1M2_PR
NEW met2 ( 1944720 1352350 ) via2_FR
NEW met2 ( 2765040 1352350 ) via2_FR
NEW met1 ( 2765040 1352165 ) M1M2_PR
NEW met1 ( 2865360 1352165 ) M1M2_PR
NEW met2 ( 2865360 1351610 ) via2_FR
NEW met2 ( 2952240 1352350 ) via2_FR
NEW met1 ( 2952240 1352165 ) M1M2_PR
NEW met1 ( 3002640 1352165 ) M1M2_PR
NEW met2 ( 3002640 1352350 ) via2_FR
NEW met1 ( 1907280 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[12\] ( mprj la_oen[12] ) ( mgmt_buffers la_oen_core[12] )
+ ROUTED met2 ( 1185840 1389350 0 ) ( 1187280 1389350 )
NEW met2 ( 1187280 1334775 ) ( 1187280 1389350 )
NEW met2 ( 1591920 1333850 ) ( 1591920 1334775 )
NEW met2 ( 1591920 1333850 ) ( 1592880 1333850 )
NEW met2 ( 1592880 1333850 ) ( 1592880 1338475 )
NEW met1 ( 1187280 1334775 ) ( 1591920 1334775 )
NEW met2 ( 1653360 1325710 ) ( 1654560 1325710 0 )
NEW met2 ( 1653360 1325710 ) ( 1653360 1338105 )
NEW met1 ( 1644240 1338105 ) ( 1653360 1338105 )
NEW met1 ( 1644240 1338105 ) ( 1644240 1338475 )
NEW met1 ( 1592880 1338475 ) ( 1644240 1338475 )
NEW met1 ( 1187280 1334775 ) M1M2_PR
NEW met1 ( 1591920 1334775 ) M1M2_PR
NEW met1 ( 1592880 1338475 ) M1M2_PR
NEW met1 ( 1653360 1338105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[13\] ( mprj la_oen[13] ) ( mgmt_buffers la_oen_core[13] )
+ ROUTED met2 ( 1203600 1386575 ) ( 1203600 1389350 0 )
NEW met1 ( 1203600 1386575 ) ( 1656720 1386575 )
NEW met2 ( 1656720 1325710 0 ) ( 1656720 1386575 )
NEW met1 ( 1203600 1386575 ) M1M2_PR
NEW met1 ( 1656720 1386575 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[14\] ( mprj la_oen[14] ) ( mgmt_buffers la_oen_core[14] )
+ ROUTED met2 ( 1221360 1335145 ) ( 1221360 1389350 0 )
NEW met2 ( 1658640 1325710 0 ) ( 1658640 1330705 )
NEW met2 ( 1586640 1335145 ) ( 1586640 1338475 )
NEW met1 ( 1586640 1338475 ) ( 1592400 1338475 )
NEW met2 ( 1592400 1334775 ) ( 1592400 1338475 )
NEW met1 ( 1592400 1334775 ) ( 1594320 1334775 )
NEW met2 ( 1594320 1330705 ) ( 1594320 1334775 )
NEW met1 ( 1221360 1335145 ) ( 1586640 1335145 )
NEW met1 ( 1594320 1330705 ) ( 1658640 1330705 )
NEW met1 ( 1221360 1335145 ) M1M2_PR
NEW met1 ( 1658640 1330705 ) M1M2_PR
NEW met1 ( 1586640 1335145 ) M1M2_PR
NEW met1 ( 1586640 1338475 ) M1M2_PR
NEW met1 ( 1592400 1338475 ) M1M2_PR
NEW met1 ( 1592400 1334775 ) M1M2_PR
NEW met1 ( 1594320 1334775 ) M1M2_PR
NEW met1 ( 1594320 1330705 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[15\] ( mprj la_oen[15] ) ( mgmt_buffers la_oen_core[15] )
+ ROUTED met2 ( 1239600 1383615 ) ( 1239600 1389350 0 )
NEW met1 ( 1239600 1383615 ) ( 1661040 1383615 )
NEW met2 ( 1661040 1325710 0 ) ( 1661040 1383615 )
NEW met1 ( 1239600 1383615 ) M1M2_PR
NEW met1 ( 1661040 1383615 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[16\] ( mprj la_oen[16] ) ( mgmt_buffers la_oen_core[16] )
+ ROUTED met2 ( 1576080 1335885 ) ( 1576080 1338845 )
NEW met1 ( 1576080 1338845 ) ( 1611600 1338845 )
NEW met2 ( 1611600 1338845 ) ( 1611600 1340695 )
NEW met2 ( 1256880 1389350 0 ) ( 1258320 1389350 )
NEW met2 ( 1258320 1335885 ) ( 1258320 1389350 )
NEW met1 ( 1258320 1335885 ) ( 1576080 1335885 )
NEW met2 ( 1662000 1325710 ) ( 1663200 1325710 0 )
NEW met2 ( 1662000 1325525 ) ( 1662000 1325710 )
NEW met1 ( 1648560 1325525 ) ( 1662000 1325525 )
NEW met2 ( 1648560 1325525 ) ( 1648560 1339770 )
NEW met2 ( 1646640 1339770 ) ( 1648560 1339770 )
NEW met2 ( 1646640 1338845 ) ( 1646640 1339770 )
NEW met1 ( 1640400 1338845 ) ( 1646640 1338845 )
NEW met2 ( 1640400 1338845 ) ( 1640400 1340695 )
NEW met1 ( 1611600 1340695 ) ( 1640400 1340695 )
NEW met1 ( 1611600 1340695 ) M1M2_PR
NEW met1 ( 1576080 1335885 ) M1M2_PR
NEW met1 ( 1576080 1338845 ) M1M2_PR
NEW met1 ( 1611600 1338845 ) M1M2_PR
NEW met1 ( 1258320 1335885 ) M1M2_PR
NEW met1 ( 1662000 1325525 ) M1M2_PR
NEW met1 ( 1648560 1325525 ) M1M2_PR
NEW met1 ( 1646640 1338845 ) M1M2_PR
NEW met1 ( 1640400 1338845 ) M1M2_PR
NEW met1 ( 1640400 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[17\] ( mprj la_oen[17] ) ( mgmt_buffers la_oen_core[17] )
+ ROUTED met2 ( 1274640 1385095 ) ( 1274640 1389350 0 )
NEW met1 ( 1274640 1385095 ) ( 1663920 1385095 )
NEW met2 ( 1663920 1325710 ) ( 1665120 1325710 0 )
NEW met2 ( 1663920 1325710 ) ( 1663920 1385095 )
NEW met1 ( 1274640 1385095 ) M1M2_PR
NEW met1 ( 1663920 1385095 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[18\] ( mprj la_oen[18] ) ( mgmt_buffers la_oen_core[18] )
+ ROUTED met1 ( 1607280 1340325 ) ( 1633200 1340325 )
NEW met2 ( 1607280 1336625 ) ( 1607280 1340325 )
NEW met2 ( 1633200 1325155 ) ( 1633200 1340325 )
NEW met2 ( 1292880 1389350 0 ) ( 1294320 1389350 )
NEW met2 ( 1294320 1336625 ) ( 1294320 1389350 )
NEW met1 ( 1294320 1336625 ) ( 1607280 1336625 )
NEW met2 ( 1665840 1324970 ) ( 1665840 1325155 )
NEW met2 ( 1665840 1324970 ) ( 1667280 1324970 0 )
NEW met1 ( 1633200 1325155 ) ( 1665840 1325155 )
NEW met1 ( 1607280 1340325 ) M1M2_PR
NEW met1 ( 1633200 1340325 ) M1M2_PR
NEW met1 ( 1607280 1336625 ) M1M2_PR
NEW met1 ( 1633200 1325155 ) M1M2_PR
NEW met1 ( 1294320 1336625 ) M1M2_PR
NEW met1 ( 1665840 1325155 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[19\] ( mprj la_oen[19] ) ( mgmt_buffers la_oen_core[19] )
+ ROUTED met2 ( 1310640 1383985 ) ( 1310640 1389350 0 )
NEW met1 ( 1310640 1383985 ) ( 1669680 1383985 )
NEW met2 ( 1669680 1325710 0 ) ( 1669680 1383985 )
NEW met1 ( 1310640 1383985 ) M1M2_PR
NEW met1 ( 1669680 1383985 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[1\] ( mprj la_oen[1] ) ( mgmt_buffers la_oen_core[1] )
+ ROUTED met2 ( 989520 1325155 ) ( 989520 1389350 0 )
NEW met2 ( 1629360 1324970 ) ( 1629360 1325155 )
NEW met2 ( 1629360 1324970 ) ( 1630560 1324970 0 )
NEW met1 ( 989520 1325155 ) ( 1629360 1325155 )
NEW met1 ( 989520 1325155 ) M1M2_PR
NEW met1 ( 1629360 1325155 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[20\] ( mprj la_oen[20] ) ( mgmt_buffers la_oen_core[20] )
+ ROUTED met1 ( 1586160 1354015 ) ( 1635600 1354015 )
NEW met2 ( 1635600 1349390 ) ( 1635600 1354015 )
NEW met2 ( 1586160 1337365 ) ( 1586160 1354015 )
NEW met2 ( 1642320 1349390 ) ( 1642320 1351795 )
NEW met1 ( 1642320 1351795 ) ( 1670640 1351795 )
NEW met3 ( 1635600 1349390 ) ( 1642320 1349390 )
NEW met2 ( 1328880 1337365 ) ( 1328880 1389350 0 )
NEW met1 ( 1328880 1337365 ) ( 1586160 1337365 )
NEW met2 ( 1670640 1325710 ) ( 1672080 1325710 0 )
NEW met2 ( 1670640 1325710 ) ( 1670640 1351795 )
NEW met1 ( 1586160 1354015 ) M1M2_PR
NEW met1 ( 1635600 1354015 ) M1M2_PR
NEW met2 ( 1635600 1349390 ) via2_FR
NEW met1 ( 1586160 1337365 ) M1M2_PR
NEW met2 ( 1642320 1349390 ) via2_FR
NEW met1 ( 1642320 1351795 ) M1M2_PR
NEW met1 ( 1670640 1351795 ) M1M2_PR
NEW met1 ( 1328880 1337365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[21\] ( mprj la_oen[21] ) ( mgmt_buffers la_oen_core[21] )
+ ROUTED met2 ( 1346160 1384355 ) ( 1346160 1389350 0 )
NEW met1 ( 1346160 1384355 ) ( 1672560 1384355 )
NEW met2 ( 1672560 1325710 ) ( 1673760 1325710 0 )
NEW met2 ( 1672560 1325710 ) ( 1672560 1384355 )
NEW met1 ( 1346160 1384355 ) M1M2_PR
NEW met1 ( 1672560 1384355 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[22\] ( mprj la_oen[22] ) ( mgmt_buffers la_oen_core[22] )
+ ROUTED met2 ( 1363920 1345505 ) ( 1363920 1389350 0 )
NEW met2 ( 1580880 1345505 ) ( 1580880 1346615 )
NEW met1 ( 1580880 1346615 ) ( 1638000 1346615 )
NEW met2 ( 1638000 1341250 ) ( 1638000 1346615 )
NEW met2 ( 1638000 1341250 ) ( 1641360 1341250 )
NEW met2 ( 1641360 1340695 ) ( 1641360 1341250 )
NEW met1 ( 1363920 1345505 ) ( 1580880 1345505 )
NEW met1 ( 1641360 1340695 ) ( 1674960 1340695 )
NEW met2 ( 1674960 1325710 ) ( 1676160 1325710 0 )
NEW met2 ( 1674960 1325710 ) ( 1674960 1340695 )
NEW met1 ( 1363920 1345505 ) M1M2_PR
NEW met1 ( 1580880 1345505 ) M1M2_PR
NEW met1 ( 1580880 1346615 ) M1M2_PR
NEW met1 ( 1638000 1346615 ) M1M2_PR
NEW met1 ( 1641360 1340695 ) M1M2_PR
NEW met1 ( 1674960 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[23\] ( mprj la_oen[23] ) ( mgmt_buffers la_oen_core[23] )
+ ROUTED met2 ( 1382160 1370665 ) ( 1382160 1389350 0 )
NEW met2 ( 1591440 1370665 ) ( 1591440 1373995 )
NEW met1 ( 1382160 1370665 ) ( 1591440 1370665 )
NEW met1 ( 1591440 1373995 ) ( 1678320 1373995 )
NEW met2 ( 1678320 1325710 0 ) ( 1678320 1373995 )
NEW met1 ( 1382160 1370665 ) M1M2_PR
NEW met1 ( 1591440 1370665 ) M1M2_PR
NEW met1 ( 1591440 1373995 ) M1M2_PR
NEW met1 ( 1678320 1373995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[24\] ( mprj la_oen[24] ) ( mgmt_buffers la_oen_core[24] )
+ ROUTED met2 ( 1424400 1341805 ) ( 1424400 1354385 )
NEW met1 ( 1399920 1354385 ) ( 1424400 1354385 )
NEW met2 ( 1399920 1354385 ) ( 1399920 1389350 0 )
NEW met2 ( 1581360 1341805 ) ( 1581360 1345505 )
NEW met1 ( 1424400 1341805 ) ( 1581360 1341805 )
NEW met1 ( 1581360 1345505 ) ( 1680720 1345505 )
NEW met2 ( 1680720 1325710 0 ) ( 1680720 1345505 )
NEW met1 ( 1424400 1341805 ) M1M2_PR
NEW met1 ( 1424400 1354385 ) M1M2_PR
NEW met1 ( 1399920 1354385 ) M1M2_PR
NEW met1 ( 1581360 1341805 ) M1M2_PR
NEW met1 ( 1581360 1345505 ) M1M2_PR
NEW met1 ( 1680720 1345505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[25\] ( mprj la_oen[25] ) ( mgmt_buffers la_oen_core[25] )
+ ROUTED met2 ( 1419120 1389165 ) ( 1419120 1389350 )
NEW met2 ( 1417680 1389350 0 ) ( 1419120 1389350 )
NEW met1 ( 1419120 1389165 ) ( 1681680 1389165 )
NEW met2 ( 1681680 1325710 ) ( 1682640 1325710 0 )
NEW met2 ( 1681680 1325710 ) ( 1681680 1389165 )
NEW met1 ( 1419120 1389165 ) M1M2_PR
NEW met1 ( 1681680 1389165 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[26\] ( mprj la_oen[26] ) ( mgmt_buffers la_oen_core[26] )
+ ROUTED met2 ( 1435440 1370295 ) ( 1435440 1389350 0 )
NEW met2 ( 1683600 1325710 ) ( 1684800 1325710 0 )
NEW met2 ( 1683600 1325710 ) ( 1683600 1370295 )
NEW met1 ( 1435440 1370295 ) ( 1683600 1370295 )
NEW met1 ( 1435440 1370295 ) M1M2_PR
NEW met1 ( 1683600 1370295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[27\] ( mprj la_oen[27] ) ( mgmt_buffers la_oen_core[27] )
+ ROUTED met2 ( 1575600 1332555 ) ( 1575600 1338845 )
NEW met2 ( 1453200 1338845 ) ( 1453200 1389350 0 )
NEW met1 ( 1453200 1338845 ) ( 1575600 1338845 )
NEW met2 ( 1686480 1325710 ) ( 1687200 1325710 0 )
NEW met2 ( 1686480 1325710 ) ( 1686480 1333295 )
NEW met1 ( 1642320 1333295 ) ( 1686480 1333295 )
NEW met1 ( 1642320 1332555 ) ( 1642320 1333295 )
NEW met1 ( 1575600 1332555 ) ( 1642320 1332555 )
NEW met1 ( 1575600 1338845 ) M1M2_PR
NEW met1 ( 1575600 1332555 ) M1M2_PR
NEW met1 ( 1453200 1338845 ) M1M2_PR
NEW met1 ( 1686480 1333295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[28\] ( mprj la_oen[28] ) ( mgmt_buffers la_oen_core[28] )
+ ROUTED met2 ( 1471440 1342175 ) ( 1471440 1389350 0 )
NEW met2 ( 1689360 1325710 0 ) ( 1689360 1341805 )
NEW met1 ( 1583760 1341805 ) ( 1583760 1342175 )
NEW met1 ( 1471440 1342175 ) ( 1583760 1342175 )
NEW met1 ( 1583760 1341805 ) ( 1689360 1341805 )
NEW met1 ( 1471440 1342175 ) M1M2_PR
NEW met1 ( 1689360 1341805 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[29\] ( mprj la_oen[29] ) ( mgmt_buffers la_oen_core[29] )
+ ROUTED met2 ( 1489200 1384725 ) ( 1489200 1389350 0 )
NEW met2 ( 1690800 1325710 ) ( 1691280 1325710 0 )
NEW met2 ( 1690800 1325710 ) ( 1690800 1384725 )
NEW met1 ( 1489200 1384725 ) ( 1690800 1384725 )
NEW met1 ( 1489200 1384725 ) M1M2_PR
NEW met1 ( 1690800 1384725 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[2\] ( mprj la_oen[2] ) ( mgmt_buffers la_oen_core[2] )
+ ROUTED met2 ( 1007280 1330705 ) ( 1007280 1389350 0 )
NEW met2 ( 1631280 1325710 ) ( 1632480 1325710 0 )
NEW met2 ( 1631280 1325710 ) ( 1631280 1335145 )
NEW met2 ( 1550640 1330705 ) ( 1550640 1331445 )
NEW met1 ( 1550640 1331445 ) ( 1585680 1331445 )
NEW met2 ( 1585680 1331445 ) ( 1585680 1335885 )
NEW met1 ( 1585680 1335885 ) ( 1589040 1335885 )
NEW met2 ( 1589040 1335885 ) ( 1590000 1335885 )
NEW met2 ( 1590000 1335145 ) ( 1590000 1335885 )
NEW met1 ( 1007280 1330705 ) ( 1550640 1330705 )
NEW met1 ( 1590000 1335145 ) ( 1631280 1335145 )
NEW met1 ( 1007280 1330705 ) M1M2_PR
NEW met1 ( 1631280 1335145 ) M1M2_PR
NEW met1 ( 1550640 1330705 ) M1M2_PR
NEW met1 ( 1550640 1331445 ) M1M2_PR
NEW met1 ( 1585680 1331445 ) M1M2_PR
NEW met1 ( 1585680 1335885 ) M1M2_PR
NEW met1 ( 1589040 1335885 ) M1M2_PR
NEW met1 ( 1590000 1335145 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[30\] ( mprj la_oen[30] ) ( mgmt_buffers la_oen_core[30] )
+ ROUTED met2 ( 1506480 1369555 ) ( 1506480 1389350 0 )
NEW met1 ( 1506480 1369555 ) ( 1693680 1369555 )
NEW met2 ( 1693680 1325710 0 ) ( 1693680 1369555 )
NEW met1 ( 1506480 1369555 ) M1M2_PR
NEW met1 ( 1693680 1369555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[31\] ( mprj la_oen[31] ) ( mgmt_buffers la_oen_core[31] )
+ ROUTED met2 ( 1639920 1346985 ) ( 1639920 1347170 )
NEW met2 ( 1639920 1347170 ) ( 1641360 1347170 )
NEW met2 ( 1641360 1347170 ) ( 1641360 1353275 )
NEW met2 ( 1524720 1346985 ) ( 1524720 1389350 0 )
NEW met1 ( 1524720 1346985 ) ( 1639920 1346985 )
NEW met1 ( 1641360 1353275 ) ( 1694640 1353275 )
NEW met2 ( 1694640 1325710 ) ( 1695840 1325710 0 )
NEW met2 ( 1694640 1325710 ) ( 1694640 1353275 )
NEW met1 ( 1639920 1346985 ) M1M2_PR
NEW met1 ( 1641360 1353275 ) M1M2_PR
NEW met1 ( 1524720 1346985 ) M1M2_PR
NEW met1 ( 1694640 1353275 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[32\] ( mprj la_oen[32] ) ( mgmt_buffers la_oen_core[32] )
+ ROUTED met2 ( 1609200 1357345 ) ( 1609200 1358825 )
NEW met1 ( 1542480 1357345 ) ( 1609200 1357345 )
NEW met2 ( 1542480 1357345 ) ( 1542480 1389350 0 )
NEW met2 ( 1649520 1357345 ) ( 1649520 1358825 )
NEW met1 ( 1649520 1357345 ) ( 1697040 1357345 )
NEW met1 ( 1609200 1358825 ) ( 1649520 1358825 )
NEW met2 ( 1697040 1325710 ) ( 1698240 1325710 0 )
NEW met2 ( 1697040 1325710 ) ( 1697040 1357345 )
NEW met1 ( 1609200 1358825 ) M1M2_PR
NEW met1 ( 1609200 1357345 ) M1M2_PR
NEW met1 ( 1542480 1357345 ) M1M2_PR
NEW met1 ( 1649520 1358825 ) M1M2_PR
NEW met1 ( 1649520 1357345 ) M1M2_PR
NEW met1 ( 1697040 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[33\] ( mprj la_oen[33] ) ( mgmt_buffers la_oen_core[33] )
+ ROUTED met2 ( 1608240 1359195 ) ( 1608240 1367335 )
NEW met1 ( 1560720 1359195 ) ( 1608240 1359195 )
NEW met2 ( 1560720 1359195 ) ( 1560720 1389350 0 )
NEW met2 ( 1670640 1356235 ) ( 1670640 1367335 )
NEW met1 ( 1670640 1356235 ) ( 1699440 1356235 )
NEW met1 ( 1608240 1367335 ) ( 1670640 1367335 )
NEW met2 ( 1699440 1325710 ) ( 1700160 1325710 0 )
NEW met2 ( 1699440 1325710 ) ( 1699440 1356235 )
NEW met1 ( 1608240 1367335 ) M1M2_PR
NEW met1 ( 1608240 1359195 ) M1M2_PR
NEW met1 ( 1560720 1359195 ) M1M2_PR
NEW met1 ( 1670640 1367335 ) M1M2_PR
NEW met1 ( 1670640 1356235 ) M1M2_PR
NEW met1 ( 1699440 1356235 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[34\] ( mprj la_oen[34] ) ( mgmt_buffers la_oen_core[34] )
+ ROUTED met2 ( 1640400 1354755 ) ( 1640400 1357345 )
NEW met1 ( 1628400 1357345 ) ( 1640400 1357345 )
NEW met2 ( 1628400 1357345 ) ( 1628400 1358270 )
NEW met2 ( 1626480 1358270 ) ( 1628400 1358270 )
NEW met2 ( 1626480 1357530 ) ( 1626480 1358270 )
NEW met2 ( 1626000 1357530 ) ( 1626480 1357530 )
NEW met2 ( 1626000 1356050 ) ( 1626000 1357530 )
NEW met2 ( 1623120 1356050 ) ( 1626000 1356050 )
NEW met2 ( 1623120 1355495 ) ( 1623120 1356050 )
NEW met1 ( 1578480 1355495 ) ( 1623120 1355495 )
NEW met2 ( 1578480 1355495 ) ( 1578480 1389350 0 )
NEW met1 ( 1640400 1354755 ) ( 1702320 1354755 )
NEW met2 ( 1702320 1325710 0 ) ( 1702320 1354755 )
NEW met1 ( 1640400 1354755 ) M1M2_PR
NEW met1 ( 1640400 1357345 ) M1M2_PR
NEW met1 ( 1628400 1357345 ) M1M2_PR
NEW met1 ( 1623120 1355495 ) M1M2_PR
NEW met1 ( 1578480 1355495 ) M1M2_PR
NEW met1 ( 1702320 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[35\] ( mprj la_oen[35] ) ( mgmt_buffers la_oen_core[35] )
+ ROUTED met2 ( 1618800 1366225 ) ( 1618800 1367705 )
NEW met1 ( 1595760 1367705 ) ( 1618800 1367705 )
NEW met2 ( 1595760 1367705 ) ( 1595760 1389350 0 )
NEW met1 ( 1618800 1366225 ) ( 1702800 1366225 )
NEW met2 ( 1702800 1325710 ) ( 1704720 1325710 0 )
NEW met2 ( 1702800 1325710 ) ( 1702800 1366225 )
NEW met1 ( 1618800 1366225 ) M1M2_PR
NEW met1 ( 1618800 1367705 ) M1M2_PR
NEW met1 ( 1595760 1367705 ) M1M2_PR
NEW met1 ( 1702800 1366225 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[36\] ( mprj la_oen[36] ) ( mgmt_buffers la_oen_core[36] )
+ ROUTED met2 ( 1706640 1325710 0 ) ( 1706640 1355125 )
NEW met2 ( 1627920 1355125 ) ( 1627920 1357345 )
NEW met1 ( 1615440 1357345 ) ( 1627920 1357345 )
NEW met2 ( 1615440 1357345 ) ( 1615440 1389350 )
NEW met2 ( 1614000 1389350 0 ) ( 1615440 1389350 )
NEW met1 ( 1627920 1355125 ) ( 1706640 1355125 )
NEW met1 ( 1706640 1355125 ) M1M2_PR
NEW met1 ( 1627920 1355125 ) M1M2_PR
NEW met1 ( 1627920 1357345 ) M1M2_PR
NEW met1 ( 1615440 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[37\] ( mprj la_oen[37] ) ( mgmt_buffers la_oen_core[37] )
+ ROUTED met2 ( 1631760 1355495 ) ( 1631760 1389350 0 )
NEW met1 ( 1631760 1355495 ) ( 1707600 1355495 )
NEW met2 ( 1707600 1325710 ) ( 1708800 1325710 0 )
NEW met2 ( 1707600 1325710 ) ( 1707600 1355495 )
NEW met1 ( 1631760 1355495 ) M1M2_PR
NEW met1 ( 1707600 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[38\] ( mprj la_oen[38] ) ( mgmt_buffers la_oen_core[38] )
+ ROUTED met2 ( 1709520 1325710 ) ( 1711200 1325710 0 )
NEW met2 ( 1709520 1325710 ) ( 1709520 1326450 )
NEW met2 ( 1709040 1326450 ) ( 1709520 1326450 )
NEW met2 ( 1709040 1326450 ) ( 1709040 1358825 )
NEW met1 ( 1650000 1358825 ) ( 1709040 1358825 )
NEW met2 ( 1650000 1358825 ) ( 1650000 1389350 0 )
NEW met1 ( 1709040 1358825 ) M1M2_PR
NEW met1 ( 1650000 1358825 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[39\] ( mprj la_oen[39] ) ( mgmt_buffers la_oen_core[39] )
+ ROUTED met2 ( 1713360 1325710 0 ) ( 1713360 1341435 )
NEW met1 ( 1667280 1341435 ) ( 1713360 1341435 )
NEW met2 ( 1667280 1341435 ) ( 1667280 1389350 0 )
NEW met1 ( 1713360 1341435 ) M1M2_PR
NEW met1 ( 1667280 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[3\] ( mprj la_oen[3] ) ( mgmt_buffers la_oen_core[3] )
+ ROUTED met2 ( 1025040 1379175 ) ( 1025040 1389350 0 )
NEW met2 ( 1588080 1377695 ) ( 1588080 1379175 )
NEW met1 ( 1588080 1377695 ) ( 1635120 1377695 )
NEW met2 ( 1634640 1325710 0 ) ( 1635120 1325710 )
NEW met2 ( 1635120 1325710 ) ( 1635120 1377695 )
NEW met1 ( 1025040 1379175 ) ( 1588080 1379175 )
NEW met1 ( 1025040 1379175 ) M1M2_PR
NEW met1 ( 1588080 1379175 ) M1M2_PR
NEW met1 ( 1588080 1377695 ) M1M2_PR
NEW met1 ( 1635120 1377695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[40\] ( mprj la_oen[40] ) ( mgmt_buffers la_oen_core[40] )
+ ROUTED met1 ( 1685040 1367335 ) ( 1715280 1367335 )
NEW met2 ( 1685040 1367335 ) ( 1685040 1389350 0 )
NEW met2 ( 1715280 1325710 0 ) ( 1715280 1367335 )
NEW met1 ( 1715280 1367335 ) M1M2_PR
NEW met1 ( 1685040 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[41\] ( mprj la_oen[41] ) ( mgmt_buffers la_oen_core[41] )
+ ROUTED met1 ( 1703280 1366225 ) ( 1717680 1366225 )
NEW met2 ( 1703280 1366225 ) ( 1703280 1389350 0 )
NEW met2 ( 1717680 1325710 0 ) ( 1717680 1366225 )
NEW met1 ( 1717680 1366225 ) M1M2_PR
NEW met1 ( 1703280 1366225 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[42\] ( mprj la_oen[42] ) ( mgmt_buffers la_oen_core[42] )
+ ROUTED met2 ( 1719840 1325710 0 ) ( 1721040 1325710 )
NEW met2 ( 1721040 1325710 ) ( 1721040 1389350 0 )
+ USE SIGNAL ;
- la_oen_user\[43\] ( mprj la_oen[43] ) ( mgmt_buffers la_oen_core[43] )
+ ROUTED met1 ( 1723440 1366225 ) ( 1738800 1366225 )
NEW met2 ( 1738800 1366225 ) ( 1738800 1389350 0 )
NEW met2 ( 1722240 1325710 0 ) ( 1723440 1325710 )
NEW met2 ( 1723440 1325710 ) ( 1723440 1366225 )
NEW met1 ( 1723440 1366225 ) M1M2_PR
NEW met1 ( 1738800 1366225 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[44\] ( mprj la_oen[44] ) ( mgmt_buffers la_oen_core[44] )
+ ROUTED met2 ( 1755120 1355125 ) ( 1755120 1389350 )
NEW met2 ( 1755120 1389350 ) ( 1756560 1389350 0 )
NEW met1 ( 1723920 1355125 ) ( 1755120 1355125 )
NEW met2 ( 1723920 1325710 0 ) ( 1723920 1355125 )
NEW met1 ( 1755120 1355125 ) M1M2_PR
NEW met1 ( 1723920 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[45\] ( mprj la_oen[45] ) ( mgmt_buffers la_oen_core[45] )
+ ROUTED met2 ( 1774320 1355495 ) ( 1774320 1389350 0 )
NEW met1 ( 1726320 1355495 ) ( 1774320 1355495 )
NEW met2 ( 1726320 1325710 0 ) ( 1726320 1355495 )
NEW met1 ( 1774320 1355495 ) M1M2_PR
NEW met1 ( 1726320 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[46\] ( mprj la_oen[46] ) ( mgmt_buffers la_oen_core[46] )
+ ROUTED met1 ( 1774800 1355495 ) ( 1774800 1355865 )
NEW met1 ( 1774800 1355495 ) ( 1792080 1355495 )
NEW met2 ( 1792080 1355495 ) ( 1792080 1389350 0 )
NEW met1 ( 1728720 1355865 ) ( 1774800 1355865 )
NEW met2 ( 1728720 1325710 0 ) ( 1728720 1355865 )
NEW met1 ( 1792080 1355495 ) M1M2_PR
NEW met1 ( 1728720 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[47\] ( mprj la_oen[47] ) ( mgmt_buffers la_oen_core[47] )
+ ROUTED met2 ( 1747920 1354755 ) ( 1747920 1356235 )
NEW met1 ( 1747920 1356235 ) ( 1756080 1356235 )
NEW met2 ( 1756080 1356235 ) ( 1756080 1367335 )
NEW met1 ( 1756080 1367335 ) ( 1810320 1367335 )
NEW met2 ( 1810320 1367335 ) ( 1810320 1389350 0 )
NEW met1 ( 1732080 1354755 ) ( 1747920 1354755 )
NEW met2 ( 1730880 1325710 0 ) ( 1732080 1325710 )
NEW met2 ( 1732080 1325710 ) ( 1732080 1354755 )
NEW met1 ( 1747920 1354755 ) M1M2_PR
NEW met1 ( 1747920 1356235 ) M1M2_PR
NEW met1 ( 1756080 1356235 ) M1M2_PR
NEW met1 ( 1756080 1367335 ) M1M2_PR
NEW met1 ( 1810320 1367335 ) M1M2_PR
NEW met1 ( 1732080 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[48\] ( mprj la_oen[48] ) ( mgmt_buffers la_oen_core[48] )
+ ROUTED met2 ( 1748400 1354755 ) ( 1748400 1357345 )
NEW met1 ( 1748400 1354755 ) ( 1755600 1354755 )
NEW met1 ( 1755600 1354755 ) ( 1755600 1355125 )
NEW met1 ( 1734000 1357345 ) ( 1748400 1357345 )
NEW met2 ( 1732800 1325710 0 ) ( 1734000 1325710 )
NEW met2 ( 1734000 1325710 ) ( 1734000 1357345 )
NEW met2 ( 1815120 1355125 ) ( 1815120 1357345 )
NEW met1 ( 1815120 1357345 ) ( 1827120 1357345 )
NEW met2 ( 1827120 1357345 ) ( 1827120 1389350 )
NEW met2 ( 1827120 1389350 ) ( 1828080 1389350 0 )
NEW met1 ( 1755600 1355125 ) ( 1815120 1355125 )
NEW met1 ( 1748400 1357345 ) M1M2_PR
NEW met1 ( 1748400 1354755 ) M1M2_PR
NEW met1 ( 1734000 1357345 ) M1M2_PR
NEW met1 ( 1815120 1355125 ) M1M2_PR
NEW met1 ( 1815120 1357345 ) M1M2_PR
NEW met1 ( 1827120 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[49\] ( mprj la_oen[49] ) ( mgmt_buffers la_oen_core[49] )
+ ROUTED met2 ( 1792560 1355495 ) ( 1792560 1358825 )
NEW met1 ( 1736400 1358825 ) ( 1792560 1358825 )
NEW met2 ( 1734960 1325710 0 ) ( 1736400 1325710 )
NEW met2 ( 1736400 1325710 ) ( 1736400 1358825 )
NEW met2 ( 1825200 1355495 ) ( 1825200 1382135 )
NEW met1 ( 1825200 1382135 ) ( 1845840 1382135 )
NEW met2 ( 1845840 1382135 ) ( 1845840 1389350 0 )
NEW met1 ( 1792560 1355495 ) ( 1825200 1355495 )
NEW met1 ( 1792560 1358825 ) M1M2_PR
NEW met1 ( 1792560 1355495 ) M1M2_PR
NEW met1 ( 1736400 1358825 ) M1M2_PR
NEW met1 ( 1825200 1355495 ) M1M2_PR
NEW met1 ( 1825200 1382135 ) M1M2_PR
NEW met1 ( 1845840 1382135 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[4\] ( mprj la_oen[4] ) ( mgmt_buffers la_oen_core[4] )
+ ROUTED met2 ( 1637040 1325710 0 ) ( 1637040 1334775 )
NEW met2 ( 1042800 1331445 ) ( 1042800 1389350 0 )
NEW met2 ( 1550160 1331445 ) ( 1550160 1332555 )
NEW met1 ( 1550160 1332555 ) ( 1565040 1332555 )
NEW met2 ( 1565040 1330705 ) ( 1565040 1332555 )
NEW met1 ( 1565040 1330705 ) ( 1591920 1330705 )
NEW met1 ( 1591920 1330705 ) ( 1591920 1331445 )
NEW met1 ( 1591920 1331445 ) ( 1594800 1331445 )
NEW met2 ( 1594800 1331445 ) ( 1594800 1334775 )
NEW met1 ( 1042800 1331445 ) ( 1550160 1331445 )
NEW met1 ( 1594800 1334775 ) ( 1637040 1334775 )
NEW met1 ( 1637040 1334775 ) M1M2_PR
NEW met1 ( 1042800 1331445 ) M1M2_PR
NEW met1 ( 1550160 1331445 ) M1M2_PR
NEW met1 ( 1550160 1332555 ) M1M2_PR
NEW met1 ( 1565040 1332555 ) M1M2_PR
NEW met1 ( 1565040 1330705 ) M1M2_PR
NEW met1 ( 1594800 1331445 ) M1M2_PR
NEW met1 ( 1594800 1334775 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[50\] ( mprj la_oen[50] ) ( mgmt_buffers la_oen_core[50] )
+ ROUTED met2 ( 1749360 1366225 ) ( 1749360 1367335 )
NEW met1 ( 1737360 1367335 ) ( 1749360 1367335 )
NEW met2 ( 1737360 1325710 0 ) ( 1737360 1367335 )
NEW met2 ( 1863600 1366225 ) ( 1863600 1389350 0 )
NEW met1 ( 1749360 1366225 ) ( 1863600 1366225 )
NEW met1 ( 1749360 1367335 ) M1M2_PR
NEW met1 ( 1749360 1366225 ) M1M2_PR
NEW met1 ( 1737360 1367335 ) M1M2_PR
NEW met1 ( 1863600 1366225 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[51\] ( mprj la_oen[51] ) ( mgmt_buffers la_oen_core[51] )
+ ROUTED met2 ( 1748880 1357345 ) ( 1748880 1366225 )
NEW met1 ( 1748880 1357345 ) ( 1793040 1357345 )
NEW met2 ( 1793040 1357345 ) ( 1793040 1358825 )
NEW met1 ( 1739760 1366225 ) ( 1748880 1366225 )
NEW met2 ( 1872240 1358825 ) ( 1872240 1366225 )
NEW met1 ( 1872240 1366225 ) ( 1881360 1366225 )
NEW met1 ( 1793040 1358825 ) ( 1872240 1358825 )
NEW met2 ( 1739760 1325710 0 ) ( 1739760 1366225 )
NEW met2 ( 1881360 1366225 ) ( 1881360 1389350 0 )
NEW met1 ( 1748880 1366225 ) M1M2_PR
NEW met1 ( 1748880 1357345 ) M1M2_PR
NEW met1 ( 1793040 1357345 ) M1M2_PR
NEW met1 ( 1793040 1358825 ) M1M2_PR
NEW met1 ( 1739760 1366225 ) M1M2_PR
NEW met1 ( 1872240 1358825 ) M1M2_PR
NEW met1 ( 1872240 1366225 ) M1M2_PR
NEW met1 ( 1881360 1366225 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[52\] ( mprj la_oen[52] ) ( mgmt_buffers la_oen_core[52] )
+ ROUTED met2 ( 1741440 1325710 0 ) ( 1742160 1325710 )
NEW met2 ( 1742160 1325710 ) ( 1742160 1352535 )
NEW met2 ( 1813680 1352535 ) ( 1813680 1373995 )
NEW met1 ( 1742160 1352535 ) ( 1813680 1352535 )
NEW met2 ( 1878960 1373995 ) ( 1878960 1379915 )
NEW met1 ( 1878960 1379915 ) ( 1899600 1379915 )
NEW met2 ( 1899600 1379915 ) ( 1899600 1389350 0 )
NEW met1 ( 1813680 1373995 ) ( 1878960 1373995 )
NEW met1 ( 1742160 1352535 ) M1M2_PR
NEW met1 ( 1813680 1352535 ) M1M2_PR
NEW met1 ( 1813680 1373995 ) M1M2_PR
NEW met1 ( 1878960 1373995 ) M1M2_PR
NEW met1 ( 1878960 1379915 ) M1M2_PR
NEW met1 ( 1899600 1379915 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[53\] ( mprj la_oen[53] ) ( mgmt_buffers la_oen_core[53] )
+ ROUTED met2 ( 1743840 1325710 0 ) ( 1745040 1325710 )
NEW met2 ( 1745040 1325710 ) ( 1745040 1335885 )
NEW met1 ( 1745040 1335885 ) ( 1771440 1335885 )
NEW met2 ( 1771440 1335885 ) ( 1771440 1338105 )
NEW met2 ( 1833360 1337365 ) ( 1833360 1338105 )
NEW met1 ( 1833360 1337365 ) ( 1839600 1337365 )
NEW met2 ( 1839600 1335515 ) ( 1839600 1337365 )
NEW met1 ( 1839600 1335515 ) ( 1871760 1335515 )
NEW met1 ( 1871760 1335515 ) ( 1871760 1335885 )
NEW met1 ( 1871760 1335885 ) ( 1872720 1335885 )
NEW met1 ( 1872720 1335885 ) ( 1872720 1336255 )
NEW met1 ( 1872720 1336255 ) ( 1874160 1336255 )
NEW met2 ( 1874160 1335515 ) ( 1874160 1336255 )
NEW met1 ( 1874160 1335515 ) ( 1887600 1335515 )
NEW met2 ( 1887600 1335515 ) ( 1887600 1338475 )
NEW met1 ( 1887600 1338475 ) ( 1900080 1338475 )
NEW met2 ( 1900080 1338105 ) ( 1900080 1338475 )
NEW met2 ( 1900080 1338105 ) ( 1902000 1338105 )
NEW met2 ( 1902000 1338105 ) ( 1902000 1339585 )
NEW met1 ( 1902000 1339585 ) ( 1914960 1339585 )
NEW met1 ( 1771440 1338105 ) ( 1833360 1338105 )
NEW met2 ( 1914960 1389350 ) ( 1916880 1389350 0 )
NEW met2 ( 1914960 1339585 ) ( 1914960 1389350 )
NEW met1 ( 1745040 1335885 ) M1M2_PR
NEW met1 ( 1771440 1335885 ) M1M2_PR
NEW met1 ( 1771440 1338105 ) M1M2_PR
NEW met1 ( 1833360 1338105 ) M1M2_PR
NEW met1 ( 1833360 1337365 ) M1M2_PR
NEW met1 ( 1839600 1337365 ) M1M2_PR
NEW met1 ( 1839600 1335515 ) M1M2_PR
NEW met1 ( 1874160 1336255 ) M1M2_PR
NEW met1 ( 1874160 1335515 ) M1M2_PR
NEW met1 ( 1887600 1335515 ) M1M2_PR
NEW met1 ( 1887600 1338475 ) M1M2_PR
NEW met1 ( 1900080 1338475 ) M1M2_PR
NEW met1 ( 1902000 1339585 ) M1M2_PR
NEW met1 ( 1914960 1339585 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[54\] ( mprj la_oen[54] ) ( mgmt_buffers la_oen_core[54] )
+ ROUTED met2 ( 1746000 1325710 0 ) ( 1746000 1383615 )
NEW met1 ( 1864560 1382875 ) ( 1864560 1383615 )
NEW met1 ( 1746000 1383615 ) ( 1864560 1383615 )
NEW met2 ( 1935120 1382875 ) ( 1935120 1389350 0 )
NEW met1 ( 1864560 1382875 ) ( 1935120 1382875 )
NEW met1 ( 1746000 1383615 ) M1M2_PR
NEW met1 ( 1935120 1382875 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[55\] ( mprj la_oen[55] ) ( mgmt_buffers la_oen_core[55] )
+ ROUTED met2 ( 1749360 1325525 ) ( 1749360 1325710 )
NEW met2 ( 1747920 1325710 0 ) ( 1749360 1325710 )
NEW met2 ( 1865040 1325525 ) ( 1865040 1354015 )
NEW met1 ( 1865040 1354015 ) ( 1889040 1354015 )
NEW met1 ( 1749360 1325525 ) ( 1865040 1325525 )
NEW met1 ( 1889040 1378065 ) ( 1952880 1378065 )
NEW met2 ( 1952880 1378065 ) ( 1952880 1389350 0 )
NEW met2 ( 1889040 1354015 ) ( 1889040 1378065 )
NEW met1 ( 1749360 1325525 ) M1M2_PR
NEW met1 ( 1865040 1325525 ) M1M2_PR
NEW met1 ( 1865040 1354015 ) M1M2_PR
NEW met1 ( 1889040 1354015 ) M1M2_PR
NEW met1 ( 1889040 1378065 ) M1M2_PR
NEW met1 ( 1952880 1378065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[56\] ( mprj la_oen[56] ) ( mgmt_buffers la_oen_core[56] )
+ ROUTED met1 ( 1751760 1392495 ) ( 1751760 1393235 )
NEW met2 ( 1750320 1325710 0 ) ( 1751760 1325710 )
NEW met2 ( 1751760 1325710 ) ( 1751760 1392495 )
NEW met2 ( 1969200 1393050 ) ( 1970640 1393050 0 )
NEW met2 ( 1969200 1392865 ) ( 1969200 1393050 )
NEW met1 ( 1969200 1392865 ) ( 1969200 1393235 )
NEW met1 ( 1751760 1393235 ) ( 1969200 1393235 )
NEW met1 ( 1751760 1392495 ) M1M2_PR
NEW met1 ( 1969200 1392865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[57\] ( mprj la_oen[57] ) ( mgmt_buffers la_oen_core[57] )
+ ROUTED met2 ( 1988880 1337365 ) ( 1988880 1389350 0 )
NEW met2 ( 1833840 1336625 ) ( 1833840 1338475 )
NEW met1 ( 1833840 1336625 ) ( 1841040 1336625 )
NEW met2 ( 1841040 1336625 ) ( 1841040 1337365 )
NEW met1 ( 1841040 1337365 ) ( 1988880 1337365 )
NEW met2 ( 1752480 1325710 0 ) ( 1753680 1325710 )
NEW met2 ( 1753680 1325710 ) ( 1753680 1337365 )
NEW met1 ( 1753680 1337365 ) ( 1780080 1337365 )
NEW met1 ( 1780080 1337365 ) ( 1780080 1337735 )
NEW met1 ( 1780080 1337735 ) ( 1784880 1337735 )
NEW met2 ( 1784880 1337735 ) ( 1784880 1338475 )
NEW met1 ( 1784880 1338475 ) ( 1833840 1338475 )
NEW met1 ( 1988880 1337365 ) M1M2_PR
NEW met1 ( 1833840 1338475 ) M1M2_PR
NEW met1 ( 1833840 1336625 ) M1M2_PR
NEW met1 ( 1841040 1336625 ) M1M2_PR
NEW met1 ( 1841040 1337365 ) M1M2_PR
NEW met1 ( 1753680 1337365 ) M1M2_PR
NEW met1 ( 1784880 1337735 ) M1M2_PR
NEW met1 ( 1784880 1338475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[58\] ( mprj la_oen[58] ) ( mgmt_buffers la_oen_core[58] )
+ ROUTED met2 ( 1754880 1325710 0 ) ( 1755600 1325710 )
NEW met2 ( 1755600 1325710 ) ( 1755600 1386575 )
NEW met2 ( 2004720 1392125 ) ( 2004720 1392310 )
NEW met2 ( 2004720 1392310 ) ( 2006160 1392310 0 )
NEW met2 ( 1901520 1386575 ) ( 1901520 1392125 )
NEW met1 ( 1755600 1386575 ) ( 1901520 1386575 )
NEW met1 ( 1901520 1392125 ) ( 2004720 1392125 )
NEW met1 ( 1755600 1386575 ) M1M2_PR
NEW met1 ( 2004720 1392125 ) M1M2_PR
NEW met1 ( 1901520 1386575 ) M1M2_PR
NEW met1 ( 1901520 1392125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[59\] ( mprj la_oen[59] ) ( mgmt_buffers la_oen_core[59] )
+ ROUTED met2 ( 2022480 1389350 ) ( 2023920 1389350 0 )
NEW met2 ( 1756560 1325710 0 ) ( 1756560 1330705 )
NEW met1 ( 1756560 1330705 ) ( 1795920 1330705 )
NEW met2 ( 1795920 1330705 ) ( 1795920 1336255 )
NEW met2 ( 2022480 1334405 ) ( 2022480 1389350 )
NEW met1 ( 1832400 1335515 ) ( 1832400 1336255 )
NEW met1 ( 1832400 1335515 ) ( 1837680 1335515 )
NEW met1 ( 1837680 1335515 ) ( 1837680 1335885 )
NEW met1 ( 1837680 1335885 ) ( 1871280 1335885 )
NEW met2 ( 1871280 1335515 ) ( 1871280 1335885 )
NEW met2 ( 1871280 1335515 ) ( 1872240 1335515 )
NEW met1 ( 1872240 1335515 ) ( 1873200 1335515 )
NEW met1 ( 1873200 1335515 ) ( 1873200 1335885 )
NEW met1 ( 1795920 1336255 ) ( 1832400 1336255 )
NEW met1 ( 1944720 1335515 ) ( 1944720 1335885 )
NEW met1 ( 1944720 1335515 ) ( 1965360 1335515 )
NEW met2 ( 1965360 1334405 ) ( 1965360 1335515 )
NEW met1 ( 1873200 1335885 ) ( 1944720 1335885 )
NEW met1 ( 1965360 1334405 ) ( 2022480 1334405 )
NEW met1 ( 1756560 1330705 ) M1M2_PR
NEW met1 ( 1795920 1330705 ) M1M2_PR
NEW met1 ( 1795920 1336255 ) M1M2_PR
NEW met1 ( 2022480 1334405 ) M1M2_PR
NEW met1 ( 1871280 1335885 ) M1M2_PR
NEW met1 ( 1872240 1335515 ) M1M2_PR
NEW met1 ( 1965360 1335515 ) M1M2_PR
NEW met1 ( 1965360 1334405 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[5\] ( mprj la_oen[5] ) ( mgmt_buffers la_oen_core[5] )
+ ROUTED met2 ( 1637040 1340510 ) ( 1637040 1382875 )
NEW met2 ( 1637040 1340510 ) ( 1638480 1340510 )
NEW met2 ( 1638480 1325710 ) ( 1639440 1325710 0 )
NEW met2 ( 1638480 1325710 ) ( 1638480 1340510 )
NEW met2 ( 1061040 1382875 ) ( 1061040 1389350 0 )
NEW met1 ( 1061040 1382875 ) ( 1637040 1382875 )
NEW met1 ( 1637040 1382875 ) M1M2_PR
NEW met1 ( 1061040 1382875 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[60\] ( mprj la_oen[60] ) ( mgmt_buffers la_oen_core[60] )
+ ROUTED met2 ( 1758960 1325710 0 ) ( 1758960 1392495 )
NEW met1 ( 2014800 1392125 ) ( 2014800 1392495 )
NEW met1 ( 2014800 1392125 ) ( 2040720 1392125 )
NEW met2 ( 2040720 1392125 ) ( 2040720 1392310 )
NEW met2 ( 2040720 1392310 ) ( 2042160 1392310 0 )
NEW met2 ( 1862640 1381765 ) ( 1862640 1392495 )
NEW met1 ( 1758960 1392495 ) ( 1862640 1392495 )
NEW met2 ( 1873680 1381765 ) ( 1873680 1392495 )
NEW met1 ( 1862640 1381765 ) ( 1873680 1381765 )
NEW met1 ( 1873680 1392495 ) ( 2014800 1392495 )
NEW met1 ( 1758960 1392495 ) M1M2_PR
NEW met1 ( 2040720 1392125 ) M1M2_PR
NEW met1 ( 1862640 1392495 ) M1M2_PR
NEW met1 ( 1862640 1381765 ) M1M2_PR
NEW met1 ( 1873680 1381765 ) M1M2_PR
NEW met1 ( 1873680 1392495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[61\] ( mprj la_oen[61] ) ( mgmt_buffers la_oen_core[61] )
+ ROUTED met2 ( 1761360 1325710 0 ) ( 1761360 1338475 )
NEW met1 ( 1761360 1338475 ) ( 1784400 1338475 )
NEW met2 ( 1784400 1337365 ) ( 1784400 1338475 )
NEW met2 ( 2059440 1389350 ) ( 2059920 1389350 0 )
NEW met2 ( 2059440 1338105 ) ( 2059440 1389350 )
NEW met1 ( 1832880 1336255 ) ( 1832880 1337365 )
NEW met1 ( 1832880 1336255 ) ( 1840080 1336255 )
NEW met2 ( 1840080 1335145 ) ( 1840080 1336255 )
NEW met1 ( 1784400 1337365 ) ( 1832880 1337365 )
NEW met2 ( 1986480 1335145 ) ( 1986480 1338105 )
NEW met1 ( 1840080 1335145 ) ( 1986480 1335145 )
NEW met1 ( 1986480 1338105 ) ( 2059440 1338105 )
NEW met1 ( 1761360 1338475 ) M1M2_PR
NEW met1 ( 1784400 1338475 ) M1M2_PR
NEW met1 ( 1784400 1337365 ) M1M2_PR
NEW met1 ( 2059440 1338105 ) M1M2_PR
NEW met1 ( 1840080 1336255 ) M1M2_PR
NEW met1 ( 1840080 1335145 ) M1M2_PR
NEW met1 ( 1986480 1335145 ) M1M2_PR
NEW met1 ( 1986480 1338105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[62\] ( mprj la_oen[62] ) ( mgmt_buffers la_oen_core[62] )
+ ROUTED met2 ( 1763520 1325710 0 ) ( 1764720 1325710 )
NEW met2 ( 1764720 1325710 ) ( 1764720 1389535 )
NEW met2 ( 2076720 1389350 ) ( 2076720 1389535 )
NEW met2 ( 2076720 1389350 ) ( 2078160 1389350 0 )
NEW met1 ( 1764720 1389535 ) ( 2076720 1389535 )
NEW met1 ( 1764720 1389535 ) M1M2_PR
NEW met1 ( 2076720 1389535 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[63\] ( mprj la_oen[63] ) ( mgmt_buffers la_oen_core[63] )
+ ROUTED met2 ( 1765440 1325710 0 ) ( 1766640 1325710 )
NEW met2 ( 1766640 1325710 ) ( 1766640 1351795 )
NEW met2 ( 2095440 1341435 ) ( 2095440 1389350 0 )
NEW met1 ( 1843440 1351795 ) ( 1843440 1352535 )
NEW met1 ( 1766640 1351795 ) ( 1843440 1351795 )
NEW met2 ( 1892880 1350315 ) ( 1892880 1352535 )
NEW met1 ( 1892880 1350315 ) ( 1900560 1350315 )
NEW met2 ( 1900560 1341065 ) ( 1900560 1350315 )
NEW met2 ( 1900560 1341065 ) ( 1902480 1341065 )
NEW met2 ( 1902480 1341065 ) ( 1902480 1341435 )
NEW met1 ( 1843440 1352535 ) ( 1892880 1352535 )
NEW met1 ( 1902480 1341435 ) ( 2095440 1341435 )
NEW met1 ( 1766640 1351795 ) M1M2_PR
NEW met1 ( 2095440 1341435 ) M1M2_PR
NEW met1 ( 1892880 1352535 ) M1M2_PR
NEW met1 ( 1892880 1350315 ) M1M2_PR
NEW met1 ( 1900560 1350315 ) M1M2_PR
NEW met1 ( 1902480 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[64\] ( mprj la_oen[64] ) ( mgmt_buffers la_oen_core[64] )
+ ROUTED met2 ( 1767600 1325710 0 ) ( 1767600 1370295 )
NEW met2 ( 2113200 1370295 ) ( 2113200 1389350 0 )
NEW met1 ( 1767600 1370295 ) ( 2113200 1370295 )
NEW met1 ( 1767600 1370295 ) M1M2_PR
NEW met1 ( 2113200 1370295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[65\] ( mprj la_oen[65] ) ( mgmt_buffers la_oen_core[65] )
+ ROUTED met2 ( 1770000 1325710 0 ) ( 1770000 1334775 )
NEW met1 ( 1770000 1334775 ) ( 1831920 1334775 )
NEW met1 ( 1831920 1334775 ) ( 1831920 1335145 )
NEW met1 ( 1831920 1335145 ) ( 1838640 1335145 )
NEW met1 ( 1838640 1334775 ) ( 1838640 1335145 )
NEW met2 ( 2045040 1334775 ) ( 2045040 1336995 )
NEW met1 ( 2045040 1336995 ) ( 2131440 1336995 )
NEW met2 ( 2131440 1336995 ) ( 2131440 1389350 0 )
NEW met1 ( 1838640 1334775 ) ( 2045040 1334775 )
NEW met1 ( 1770000 1334775 ) M1M2_PR
NEW met1 ( 2045040 1334775 ) M1M2_PR
NEW met1 ( 2045040 1336995 ) M1M2_PR
NEW met1 ( 2131440 1336995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[66\] ( mprj la_oen[66] ) ( mgmt_buffers la_oen_core[66] )
+ ROUTED met2 ( 2147760 1389165 ) ( 2147760 1389350 )
NEW met2 ( 2147760 1389350 ) ( 2149200 1389350 0 )
NEW met2 ( 1772400 1325710 0 ) ( 1772400 1389165 )
NEW met1 ( 1772400 1389165 ) ( 2147760 1389165 )
NEW met1 ( 1772400 1389165 ) M1M2_PR
NEW met1 ( 2147760 1389165 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[67\] ( mprj la_oen[67] ) ( mgmt_buffers la_oen_core[67] )
+ ROUTED met2 ( 1774080 1325710 0 ) ( 1775280 1325710 )
NEW met2 ( 1775280 1325710 ) ( 1775280 1335885 )
NEW met2 ( 2166960 1333295 ) ( 2166960 1389350 0 )
NEW met2 ( 1830960 1334035 ) ( 1830960 1335885 )
NEW met2 ( 1830960 1334035 ) ( 1832880 1334035 )
NEW met2 ( 1832880 1334035 ) ( 1832880 1334775 )
NEW met1 ( 1832880 1334775 ) ( 1838160 1334775 )
NEW met2 ( 1838160 1333295 ) ( 1838160 1334775 )
NEW met1 ( 1775280 1335885 ) ( 1830960 1335885 )
NEW met1 ( 1946640 1333295 ) ( 1946640 1333665 )
NEW met1 ( 1946640 1333665 ) ( 1948080 1333665 )
NEW met2 ( 1948080 1333665 ) ( 1949040 1333665 )
NEW met2 ( 1949040 1333295 ) ( 1949040 1333665 )
NEW met1 ( 1838160 1333295 ) ( 1946640 1333295 )
NEW met1 ( 1949040 1333295 ) ( 2166960 1333295 )
NEW met1 ( 1775280 1335885 ) M1M2_PR
NEW met1 ( 2166960 1333295 ) M1M2_PR
NEW met1 ( 1830960 1335885 ) M1M2_PR
NEW met1 ( 1832880 1334775 ) M1M2_PR
NEW met1 ( 1838160 1334775 ) M1M2_PR
NEW met1 ( 1838160 1333295 ) M1M2_PR
NEW met1 ( 1948080 1333665 ) M1M2_PR
NEW met1 ( 1949040 1333295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[68\] ( mprj la_oen[68] ) ( mgmt_buffers la_oen_core[68] )
+ ROUTED met1 ( 1777680 1344395 ) ( 1804560 1344395 )
NEW met2 ( 1804560 1341805 ) ( 1804560 1344395 )
NEW met2 ( 2184720 1341805 ) ( 2184720 1389350 0 )
NEW met2 ( 1776480 1325710 0 ) ( 1777680 1325710 )
NEW met2 ( 1777680 1325710 ) ( 1777680 1344395 )
NEW met1 ( 1804560 1341805 ) ( 2184720 1341805 )
NEW met1 ( 1777680 1344395 ) M1M2_PR
NEW met1 ( 1804560 1344395 ) M1M2_PR
NEW met1 ( 1804560 1341805 ) M1M2_PR
NEW met1 ( 2184720 1341805 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[69\] ( mprj la_oen[69] ) ( mgmt_buffers la_oen_core[69] )
+ ROUTED met2 ( 2202480 1370665 ) ( 2202480 1389350 0 )
NEW met2 ( 1778640 1325710 0 ) ( 1778640 1370665 )
NEW met1 ( 1778640 1370665 ) ( 2202480 1370665 )
NEW met1 ( 1778640 1370665 ) M1M2_PR
NEW met1 ( 2202480 1370665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[6\] ( mprj la_oen[6] ) ( mgmt_buffers la_oen_core[6] )
+ ROUTED met2 ( 1078800 1332555 ) ( 1078800 1389350 0 )
NEW met2 ( 1639920 1325710 ) ( 1641120 1325710 0 )
NEW met2 ( 1639920 1325710 ) ( 1639920 1335145 )
NEW met1 ( 1638000 1335145 ) ( 1639920 1335145 )
NEW met2 ( 1638000 1335145 ) ( 1638000 1338845 )
NEW met1 ( 1632240 1338845 ) ( 1638000 1338845 )
NEW met2 ( 1632240 1333295 ) ( 1632240 1338845 )
NEW met2 ( 1549200 1324785 ) ( 1549200 1332555 )
NEW met1 ( 1549200 1324785 ) ( 1591920 1324785 )
NEW met2 ( 1591920 1324785 ) ( 1591920 1325710 )
NEW met2 ( 1591920 1325710 ) ( 1592880 1325710 )
NEW met2 ( 1592880 1325710 ) ( 1592880 1333295 )
NEW met1 ( 1078800 1332555 ) ( 1549200 1332555 )
NEW met1 ( 1592880 1333295 ) ( 1632240 1333295 )
NEW met1 ( 1078800 1332555 ) M1M2_PR
NEW met1 ( 1639920 1335145 ) M1M2_PR
NEW met1 ( 1638000 1335145 ) M1M2_PR
NEW met1 ( 1638000 1338845 ) M1M2_PR
NEW met1 ( 1632240 1338845 ) M1M2_PR
NEW met1 ( 1632240 1333295 ) M1M2_PR
NEW met1 ( 1549200 1332555 ) M1M2_PR
NEW met1 ( 1549200 1324785 ) M1M2_PR
NEW met1 ( 1591920 1324785 ) M1M2_PR
NEW met1 ( 1592880 1333295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[70\] ( mprj la_oen[70] ) ( mgmt_buffers la_oen_core[70] )
+ ROUTED met2 ( 2219280 1388795 ) ( 2219280 1389350 )
NEW met2 ( 2219280 1389350 ) ( 2220720 1389350 0 )
NEW met2 ( 1779120 1325710 ) ( 1781040 1325710 0 )
NEW met2 ( 1779120 1325710 ) ( 1779120 1388795 )
NEW met1 ( 1779120 1388795 ) ( 2219280 1388795 )
NEW met1 ( 1779120 1388795 ) M1M2_PR
NEW met1 ( 2219280 1388795 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[71\] ( mprj la_oen[71] ) ( mgmt_buffers la_oen_core[71] )
+ ROUTED met2 ( 1782960 1324970 0 ) ( 1784400 1324970 )
NEW met2 ( 1784400 1324970 ) ( 1784400 1325155 )
NEW met1 ( 1784400 1325155 ) ( 1838160 1325155 )
NEW met2 ( 1838160 1325155 ) ( 1838160 1332555 )
NEW met2 ( 2238480 1332555 ) ( 2238480 1389350 0 )
NEW met1 ( 1838160 1332555 ) ( 2238480 1332555 )
NEW met1 ( 1784400 1325155 ) M1M2_PR
NEW met1 ( 1838160 1325155 ) M1M2_PR
NEW met1 ( 1838160 1332555 ) M1M2_PR
NEW met1 ( 2238480 1332555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[72\] ( mprj la_oen[72] ) ( mgmt_buffers la_oen_core[72] )
+ ROUTED met2 ( 1785120 1325710 0 ) ( 1785360 1325710 )
NEW met2 ( 1785360 1325710 ) ( 1785360 1342175 )
NEW met2 ( 2255760 1342175 ) ( 2255760 1389350 0 )
NEW met1 ( 1785360 1342175 ) ( 2255760 1342175 )
NEW met1 ( 1785360 1342175 ) M1M2_PR
NEW met1 ( 2255760 1342175 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[73\] ( mprj la_oen[73] ) ( mgmt_buffers la_oen_core[73] )
+ ROUTED met2 ( 1787520 1325710 0 ) ( 1788720 1325710 )
NEW met2 ( 1788720 1325710 ) ( 1788720 1384355 )
NEW met2 ( 2274000 1388425 ) ( 2274000 1389350 0 )
NEW met2 ( 1921680 1384355 ) ( 1921680 1385650 )
NEW met2 ( 1921680 1385650 ) ( 1922640 1385650 )
NEW met2 ( 1922640 1385650 ) ( 1922640 1388425 )
NEW met1 ( 1788720 1384355 ) ( 1921680 1384355 )
NEW met1 ( 1922640 1388425 ) ( 2274000 1388425 )
NEW met1 ( 1788720 1384355 ) M1M2_PR
NEW met1 ( 2274000 1388425 ) M1M2_PR
NEW met1 ( 1921680 1384355 ) M1M2_PR
NEW met1 ( 1922640 1388425 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[74\] ( mprj la_oen[74] ) ( mgmt_buffers la_oen_core[74] )
+ ROUTED met2 ( 1789200 1325710 0 ) ( 1790640 1325710 )
NEW met2 ( 1790640 1325710 ) ( 1790640 1369925 )
NEW met2 ( 2291760 1371035 ) ( 2291760 1389350 0 )
NEW met2 ( 1922640 1369925 ) ( 1922640 1371035 )
NEW met1 ( 1790640 1369925 ) ( 1922640 1369925 )
NEW met1 ( 1922640 1371035 ) ( 2291760 1371035 )
NEW met1 ( 1790640 1369925 ) M1M2_PR
NEW met1 ( 2291760 1371035 ) M1M2_PR
NEW met1 ( 1922640 1369925 ) M1M2_PR
NEW met1 ( 1922640 1371035 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[75\] ( mprj la_oen[75] ) ( mgmt_buffers la_oen_core[75] )
+ ROUTED met2 ( 1791600 1325710 0 ) ( 1791600 1332555 )
NEW met1 ( 1791600 1332555 ) ( 1837200 1332555 )
NEW met2 ( 1837200 1331445 ) ( 1837200 1332555 )
NEW met2 ( 2310000 1331445 ) ( 2310000 1389350 0 )
NEW met2 ( 1969680 1331445 ) ( 1969680 1339585 )
NEW met1 ( 1969680 1339585 ) ( 1997040 1339585 )
NEW met2 ( 1997040 1331445 ) ( 1997040 1339585 )
NEW met1 ( 1837200 1331445 ) ( 1969680 1331445 )
NEW met1 ( 1997040 1331445 ) ( 2310000 1331445 )
NEW met1 ( 1791600 1332555 ) M1M2_PR
NEW met1 ( 1837200 1332555 ) M1M2_PR
NEW met1 ( 1837200 1331445 ) M1M2_PR
NEW met1 ( 2310000 1331445 ) M1M2_PR
NEW met1 ( 1969680 1331445 ) M1M2_PR
NEW met1 ( 1969680 1339585 ) M1M2_PR
NEW met1 ( 1997040 1339585 ) M1M2_PR
NEW met1 ( 1997040 1331445 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[76\] ( mprj la_oen[76] ) ( mgmt_buffers la_oen_core[76] )
+ ROUTED met2 ( 1794000 1325710 0 ) ( 1794000 1388425 )
NEW met2 ( 2327760 1387685 ) ( 2327760 1389350 0 )
NEW met2 ( 1922160 1387685 ) ( 1922160 1388425 )
NEW met1 ( 1794000 1388425 ) ( 1922160 1388425 )
NEW met1 ( 1922160 1387685 ) ( 2327760 1387685 )
NEW met1 ( 1794000 1388425 ) M1M2_PR
NEW met1 ( 2327760 1387685 ) M1M2_PR
NEW met1 ( 1922160 1388425 ) M1M2_PR
NEW met1 ( 1922160 1387685 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[77\] ( mprj la_oen[77] ) ( mgmt_buffers la_oen_core[77] )
+ ROUTED met2 ( 1796160 1325710 0 ) ( 1797360 1325710 )
NEW met2 ( 1797360 1325710 ) ( 1797360 1351425 )
NEW met2 ( 1942320 1341065 ) ( 1942320 1351795 )
NEW met2 ( 2056560 1341065 ) ( 2056560 1359935 )
NEW met1 ( 1942320 1341065 ) ( 2056560 1341065 )
NEW met2 ( 2345040 1359935 ) ( 2345040 1389350 0 )
NEW met1 ( 2056560 1359935 ) ( 2345040 1359935 )
NEW met1 ( 1844880 1351425 ) ( 1844880 1351795 )
NEW met1 ( 1797360 1351425 ) ( 1844880 1351425 )
NEW met1 ( 1844880 1351795 ) ( 1942320 1351795 )
NEW met1 ( 1797360 1351425 ) M1M2_PR
NEW met1 ( 1942320 1351795 ) M1M2_PR
NEW met1 ( 1942320 1341065 ) M1M2_PR
NEW met1 ( 2056560 1341065 ) M1M2_PR
NEW met1 ( 2056560 1359935 ) M1M2_PR
NEW met1 ( 2345040 1359935 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[78\] ( mprj la_oen[78] ) ( mgmt_buffers la_oen_core[78] )
+ ROUTED met2 ( 2363280 1371405 ) ( 2363280 1389350 0 )
NEW met2 ( 1798080 1325710 0 ) ( 1798800 1325710 )
NEW met2 ( 1798800 1325710 ) ( 1798800 1371035 )
NEW met1 ( 1922160 1371035 ) ( 1922160 1371405 )
NEW met1 ( 1798800 1371035 ) ( 1922160 1371035 )
NEW met1 ( 1922160 1371405 ) ( 2363280 1371405 )
NEW met1 ( 1798800 1371035 ) M1M2_PR
NEW met1 ( 2363280 1371405 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[79\] ( mprj la_oen[79] ) ( mgmt_buffers la_oen_core[79] )
+ ROUTED met2 ( 1800240 1325710 0 ) ( 1800240 1330705 )
NEW met2 ( 2381040 1330705 ) ( 2381040 1389350 0 )
NEW met1 ( 1800240 1330705 ) ( 2381040 1330705 )
NEW met1 ( 1800240 1330705 ) M1M2_PR
NEW met1 ( 2381040 1330705 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[7\] ( mprj la_oen[7] ) ( mgmt_buffers la_oen_core[7] )
+ ROUTED met2 ( 1098000 1392125 ) ( 1098000 1392310 )
NEW met2 ( 1096560 1392310 0 ) ( 1098000 1392310 )
NEW met2 ( 1641840 1324230 ) ( 1643520 1324230 0 )
NEW met2 ( 1641840 1324230 ) ( 1641840 1326450 )
NEW met2 ( 1640400 1326450 ) ( 1641840 1326450 )
NEW met2 ( 1640400 1326450 ) ( 1640400 1333295 )
NEW met1 ( 1632720 1333295 ) ( 1640400 1333295 )
NEW met2 ( 1632720 1333295 ) ( 1632720 1392125 )
NEW met1 ( 1098000 1392125 ) ( 1632720 1392125 )
NEW met1 ( 1098000 1392125 ) M1M2_PR
NEW met1 ( 1640400 1333295 ) M1M2_PR
NEW met1 ( 1632720 1333295 ) M1M2_PR
NEW met1 ( 1632720 1392125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[80\] ( mprj la_oen[80] ) ( mgmt_buffers la_oen_core[80] )
+ ROUTED met2 ( 2398800 1386575 ) ( 2398800 1389350 0 )
NEW met2 ( 1802640 1325710 0 ) ( 1802640 1385095 )
NEW met2 ( 1921200 1385095 ) ( 1921200 1386575 )
NEW met1 ( 1802640 1385095 ) ( 1921200 1385095 )
NEW met1 ( 1921200 1386575 ) ( 2398800 1386575 )
NEW met1 ( 1802640 1385095 ) M1M2_PR
NEW met1 ( 2398800 1386575 ) M1M2_PR
NEW met1 ( 1921200 1385095 ) M1M2_PR
NEW met1 ( 1921200 1386575 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[81\] ( mprj la_oen[81] ) ( mgmt_buffers la_oen_core[81] )
+ ROUTED met2 ( 2416560 1355865 ) ( 2416560 1389350 0 )
NEW met2 ( 1805040 1325710 0 ) ( 1805040 1347355 )
NEW met2 ( 1892880 1341065 ) ( 1892880 1347355 )
NEW met2 ( 1892880 1341065 ) ( 1893360 1341065 )
NEW met1 ( 1805040 1347355 ) ( 1892880 1347355 )
NEW met1 ( 1941840 1340695 ) ( 1941840 1341065 )
NEW met1 ( 1941840 1340695 ) ( 1991280 1340695 )
NEW met2 ( 1991280 1340695 ) ( 1991280 1355865 )
NEW met1 ( 1893360 1341065 ) ( 1941840 1341065 )
NEW met1 ( 1991280 1355865 ) ( 2416560 1355865 )
NEW met1 ( 1805040 1347355 ) M1M2_PR
NEW met1 ( 2416560 1355865 ) M1M2_PR
NEW met1 ( 1892880 1347355 ) M1M2_PR
NEW met1 ( 1893360 1341065 ) M1M2_PR
NEW met1 ( 1991280 1340695 ) M1M2_PR
NEW met1 ( 1991280 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[82\] ( mprj la_oen[82] ) ( mgmt_buffers la_oen_core[82] )
+ ROUTED met2 ( 2434320 1360305 ) ( 2434320 1389350 0 )
NEW met2 ( 1806720 1325710 0 ) ( 1807920 1325710 )
NEW met2 ( 1807920 1325710 ) ( 1807920 1337735 )
NEW met1 ( 2045520 1360305 ) ( 2434320 1360305 )
NEW met2 ( 2045520 1336255 ) ( 2045520 1360305 )
NEW met2 ( 1885680 1336255 ) ( 1885680 1337735 )
NEW met1 ( 1807920 1337735 ) ( 1885680 1337735 )
NEW met1 ( 1885680 1336255 ) ( 2045520 1336255 )
NEW met1 ( 2434320 1360305 ) M1M2_PR
NEW met1 ( 1807920 1337735 ) M1M2_PR
NEW met1 ( 2045520 1360305 ) M1M2_PR
NEW met1 ( 2045520 1336255 ) M1M2_PR
NEW met1 ( 1885680 1337735 ) M1M2_PR
NEW met1 ( 1885680 1336255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[83\] ( mprj la_oen[83] ) ( mgmt_buffers la_oen_core[83] )
+ ROUTED met2 ( 2001840 1365855 ) ( 2001840 1369185 )
NEW met2 ( 1809120 1325710 0 ) ( 1809840 1325710 )
NEW met2 ( 1809840 1325710 ) ( 1809840 1369185 )
NEW met2 ( 2452560 1365855 ) ( 2452560 1389350 0 )
NEW met1 ( 2001840 1365855 ) ( 2452560 1365855 )
NEW met1 ( 1809840 1369185 ) ( 2001840 1369185 )
NEW met1 ( 1809840 1369185 ) M1M2_PR
NEW met1 ( 2001840 1369185 ) M1M2_PR
NEW met1 ( 2001840 1365855 ) M1M2_PR
NEW met1 ( 2452560 1365855 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[84\] ( mprj la_oen[84] ) ( mgmt_buffers la_oen_core[84] )
+ ROUTED met2 ( 2016240 1366965 ) ( 2016240 1383985 )
NEW met2 ( 1810800 1325710 ) ( 1811280 1325710 0 )
NEW met2 ( 1810800 1325710 ) ( 1810800 1383985 )
NEW met2 ( 2470320 1366965 ) ( 2470320 1389350 0 )
NEW met1 ( 2016240 1366965 ) ( 2470320 1366965 )
NEW met1 ( 1810800 1383985 ) ( 2016240 1383985 )
NEW met1 ( 1810800 1383985 ) M1M2_PR
NEW met1 ( 2016240 1383985 ) M1M2_PR
NEW met1 ( 2016240 1366965 ) M1M2_PR
NEW met1 ( 2470320 1366965 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[85\] ( mprj la_oen[85] ) ( mgmt_buffers la_oen_core[85] )
+ ROUTED met2 ( 1986960 1342545 ) ( 1986960 1358455 )
NEW met1 ( 1986960 1358455 ) ( 2025360 1358455 )
NEW met2 ( 2025360 1358455 ) ( 2025360 1362895 )
NEW met2 ( 1813680 1325710 0 ) ( 1813680 1344395 )
NEW met1 ( 1938960 1342545 ) ( 1986960 1342545 )
NEW met2 ( 2488080 1362895 ) ( 2488080 1389350 0 )
NEW met1 ( 2025360 1362895 ) ( 2488080 1362895 )
NEW met2 ( 1938960 1336625 ) ( 1938960 1342545 )
NEW met2 ( 1888080 1336995 ) ( 1888080 1344395 )
NEW met1 ( 1888080 1336995 ) ( 1893360 1336995 )
NEW met1 ( 1893360 1336625 ) ( 1893360 1336995 )
NEW met1 ( 1813680 1344395 ) ( 1888080 1344395 )
NEW met1 ( 1893360 1336625 ) ( 1938960 1336625 )
NEW met1 ( 1813680 1344395 ) M1M2_PR
NEW met1 ( 1986960 1342545 ) M1M2_PR
NEW met1 ( 1986960 1358455 ) M1M2_PR
NEW met1 ( 2025360 1358455 ) M1M2_PR
NEW met1 ( 2025360 1362895 ) M1M2_PR
NEW met1 ( 1938960 1342545 ) M1M2_PR
NEW met1 ( 2488080 1362895 ) M1M2_PR
NEW met1 ( 1938960 1336625 ) M1M2_PR
NEW met1 ( 1888080 1344395 ) M1M2_PR
NEW met1 ( 1888080 1336995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[86\] ( mprj la_oen[86] ) ( mgmt_buffers la_oen_core[86] )
+ ROUTED met1 ( 1989360 1337365 ) ( 1989360 1337735 )
NEW met1 ( 1989360 1337365 ) ( 2030160 1337365 )
NEW met2 ( 2030160 1337365 ) ( 2030160 1361415 )
NEW met2 ( 2094960 1361415 ) ( 2094960 1363265 )
NEW met1 ( 2030160 1361415 ) ( 2094960 1361415 )
NEW met2 ( 2505840 1363265 ) ( 2505840 1389350 0 )
NEW met1 ( 2094960 1363265 ) ( 2505840 1363265 )
NEW met2 ( 1921200 1334405 ) ( 1921200 1337735 )
NEW met1 ( 1921200 1337735 ) ( 1989360 1337735 )
NEW met2 ( 1815600 1325710 0 ) ( 1815600 1355125 )
NEW met1 ( 1815600 1355125 ) ( 1890000 1355125 )
NEW met2 ( 1890000 1334405 ) ( 1890000 1355125 )
NEW met1 ( 1890000 1334405 ) ( 1921200 1334405 )
NEW met1 ( 2030160 1361415 ) M1M2_PR
NEW met1 ( 2030160 1337365 ) M1M2_PR
NEW met1 ( 2094960 1361415 ) M1M2_PR
NEW met1 ( 2094960 1363265 ) M1M2_PR
NEW met1 ( 2505840 1363265 ) M1M2_PR
NEW met1 ( 1921200 1334405 ) M1M2_PR
NEW met1 ( 1921200 1337735 ) M1M2_PR
NEW met1 ( 1815600 1355125 ) M1M2_PR
NEW met1 ( 1890000 1355125 ) M1M2_PR
NEW met1 ( 1890000 1334405 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[87\] ( mprj la_oen[87] ) ( mgmt_buffers la_oen_core[87] )
+ ROUTED met2 ( 1980720 1363635 ) ( 1980720 1369555 )
NEW met2 ( 2523600 1363635 ) ( 2523600 1389350 0 )
NEW met1 ( 1980720 1363635 ) ( 2523600 1363635 )
NEW met2 ( 1817760 1325710 0 ) ( 1818960 1325710 )
NEW met2 ( 1818960 1325710 ) ( 1818960 1356790 )
NEW met3 ( 1818960 1356790 ) ( 1846560 1356790 )
NEW met3 ( 1846560 1356790 ) ( 1846560 1357530 )
NEW met3 ( 1846560 1357530 ) ( 1854960 1357530 )
NEW met2 ( 1854960 1357530 ) ( 1854960 1369555 )
NEW met1 ( 1854960 1369555 ) ( 1980720 1369555 )
NEW met1 ( 1980720 1369555 ) M1M2_PR
NEW met1 ( 1980720 1363635 ) M1M2_PR
NEW met1 ( 2523600 1363635 ) M1M2_PR
NEW met2 ( 1818960 1356790 ) via2_FR
NEW met2 ( 1854960 1357530 ) via2_FR
NEW met1 ( 1854960 1369555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[88\] ( mprj la_oen[88] ) ( mgmt_buffers la_oen_core[88] )
+ ROUTED met2 ( 2541840 1384725 ) ( 2541840 1389350 0 )
NEW met2 ( 1820160 1325710 0 ) ( 1821360 1325710 )
NEW met2 ( 1821360 1325710 ) ( 1821360 1378435 )
NEW met1 ( 1821360 1378435 ) ( 1865040 1378435 )
NEW met2 ( 1865040 1378435 ) ( 1865040 1383245 )
NEW met2 ( 1922640 1383245 ) ( 1922640 1384725 )
NEW met1 ( 1865040 1383245 ) ( 1922640 1383245 )
NEW met1 ( 1922640 1384725 ) ( 2541840 1384725 )
NEW met1 ( 2541840 1384725 ) M1M2_PR
NEW met1 ( 1821360 1378435 ) M1M2_PR
NEW met1 ( 1865040 1378435 ) M1M2_PR
NEW met1 ( 1865040 1383245 ) M1M2_PR
NEW met1 ( 1922640 1383245 ) M1M2_PR
NEW met1 ( 1922640 1384725 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[89\] ( mprj la_oen[89] ) ( mgmt_buffers la_oen_core[89] )
+ ROUTED met2 ( 1972560 1352535 ) ( 1972560 1356235 )
NEW met2 ( 2559600 1356235 ) ( 2559600 1389350 0 )
NEW met2 ( 1821840 1325710 0 ) ( 1821840 1350685 )
NEW met2 ( 1890960 1350685 ) ( 1890960 1353090 )
NEW met2 ( 1890960 1353090 ) ( 1893360 1353090 )
NEW met2 ( 1893360 1352535 ) ( 1893360 1353090 )
NEW met1 ( 1821840 1350685 ) ( 1890960 1350685 )
NEW met1 ( 1893360 1352535 ) ( 1972560 1352535 )
NEW met1 ( 1972560 1356235 ) ( 2559600 1356235 )
NEW met1 ( 1821840 1350685 ) M1M2_PR
NEW met1 ( 1972560 1352535 ) M1M2_PR
NEW met1 ( 1972560 1356235 ) M1M2_PR
NEW met1 ( 2559600 1356235 ) M1M2_PR
NEW met1 ( 1890960 1350685 ) M1M2_PR
NEW met1 ( 1893360 1352535 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[8\] ( mprj la_oen[8] ) ( mgmt_buffers la_oen_core[8] )
+ ROUTED met2 ( 1114320 1389350 0 ) ( 1115760 1389350 )
NEW met2 ( 1115760 1325525 ) ( 1115760 1389350 )
NEW met2 ( 1645200 1325525 ) ( 1645200 1325710 )
NEW met2 ( 1645200 1325710 ) ( 1645680 1325710 0 )
NEW met1 ( 1115760 1325525 ) ( 1645200 1325525 )
NEW met1 ( 1115760 1325525 ) M1M2_PR
NEW met1 ( 1645200 1325525 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[90\] ( mprj la_oen[90] ) ( mgmt_buffers la_oen_core[90] )
+ ROUTED met2 ( 2577360 1338475 ) ( 2577360 1389350 0 )
NEW met1 ( 1920240 1338105 ) ( 1920240 1338475 )
NEW met1 ( 1920240 1338475 ) ( 2577360 1338475 )
NEW met2 ( 1824240 1324970 0 ) ( 1825200 1324970 )
NEW met2 ( 1825200 1324785 ) ( 1825200 1324970 )
NEW met3 ( 1825200 1324785 ) ( 1825440 1324785 )
NEW met4 ( 1825440 1324785 ) ( 1825440 1327005 )
NEW met5 ( 1825440 1327005 ) ( 1893600 1327005 )
NEW met4 ( 1893600 1327005 ) ( 1893600 1337550 )
NEW met3 ( 1893600 1337550 ) ( 1893840 1337550 )
NEW met2 ( 1893840 1337550 ) ( 1893840 1338105 )
NEW met1 ( 1893840 1338105 ) ( 1920240 1338105 )
NEW met1 ( 2577360 1338475 ) M1M2_PR
NEW met2 ( 1825200 1324785 ) via2_FR
NEW met3 ( 1825440 1324785 ) M3M4_PR_M
NEW met4 ( 1825440 1327005 ) via4_FR
NEW met4 ( 1893600 1327005 ) via4_FR
NEW met3 ( 1893600 1337550 ) M3M4_PR_M
NEW met2 ( 1893840 1337550 ) via2_FR
NEW met1 ( 1893840 1338105 ) M1M2_PR
NEW met3 ( 1825200 1324785 ) RECT ( -380 -150 0 150 )
NEW met3 ( 1893840 1337550 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_oen_user\[91\] ( mprj la_oen[91] ) ( mgmt_buffers la_oen_core[91] )
+ ROUTED met2 ( 2595120 1364375 ) ( 2595120 1389350 0 )
NEW met1 ( 1972560 1364375 ) ( 2595120 1364375 )
NEW met2 ( 1826640 1325710 0 ) ( 1826640 1348465 )
NEW met1 ( 1826640 1348465 ) ( 1898640 1348465 )
NEW met2 ( 1898640 1348465 ) ( 1898640 1355495 )
NEW met2 ( 1898640 1355495 ) ( 1899600 1355495 )
NEW met2 ( 1899600 1355125 ) ( 1899600 1355495 )
NEW met1 ( 1899600 1355125 ) ( 1900560 1355125 )
NEW met1 ( 1900560 1368445 ) ( 1972560 1368445 )
NEW met2 ( 1900560 1355125 ) ( 1900560 1368445 )
NEW met2 ( 1972560 1364375 ) ( 1972560 1368445 )
NEW met1 ( 1972560 1364375 ) M1M2_PR
NEW met1 ( 2595120 1364375 ) M1M2_PR
NEW met1 ( 1826640 1348465 ) M1M2_PR
NEW met1 ( 1898640 1348465 ) M1M2_PR
NEW met1 ( 1899600 1355125 ) M1M2_PR
NEW met1 ( 1900560 1355125 ) M1M2_PR
NEW met1 ( 1900560 1368445 ) M1M2_PR
NEW met1 ( 1972560 1368445 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[92\] ( mprj la_oen[92] ) ( mgmt_buffers la_oen_core[92] )
+ ROUTED met2 ( 1995120 1364745 ) ( 1995120 1384355 )
NEW met1 ( 1995120 1364745 ) ( 2038320 1364745 )
NEW met2 ( 2038320 1364005 ) ( 2038320 1364745 )
NEW met2 ( 2612880 1364005 ) ( 2612880 1389350 0 )
NEW met2 ( 1828800 1325710 0 ) ( 1829520 1325710 )
NEW met2 ( 1829520 1325710 ) ( 1829520 1384725 )
NEW met1 ( 2038320 1364005 ) ( 2612880 1364005 )
NEW met1 ( 1922160 1384355 ) ( 1922160 1384725 )
NEW met1 ( 1829520 1384725 ) ( 1922160 1384725 )
NEW met1 ( 1922160 1384355 ) ( 1995120 1384355 )
NEW met1 ( 1829520 1384725 ) M1M2_PR
NEW met1 ( 1995120 1384355 ) M1M2_PR
NEW met1 ( 1995120 1364745 ) M1M2_PR
NEW met1 ( 2038320 1364745 ) M1M2_PR
NEW met1 ( 2038320 1364005 ) M1M2_PR
NEW met1 ( 2612880 1364005 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[93\] ( mprj la_oen[93] ) ( mgmt_buffers la_oen_core[93] )
+ ROUTED met2 ( 1965840 1347355 ) ( 1965840 1362525 )
NEW met2 ( 2630640 1362525 ) ( 2630640 1389350 0 )
NEW met2 ( 1830720 1325710 0 ) ( 1832400 1325710 )
NEW met2 ( 1832400 1325710 ) ( 1832400 1331445 )
NEW met1 ( 1832400 1331445 ) ( 1836720 1331445 )
NEW met2 ( 1836720 1331445 ) ( 1836720 1345505 )
NEW met2 ( 1893360 1345505 ) ( 1893360 1347355 )
NEW met1 ( 1836720 1345505 ) ( 1893360 1345505 )
NEW met1 ( 1893360 1347355 ) ( 1965840 1347355 )
NEW met1 ( 1965840 1362525 ) ( 2630640 1362525 )
NEW met1 ( 1836720 1345505 ) M1M2_PR
NEW met1 ( 1965840 1347355 ) M1M2_PR
NEW met1 ( 1965840 1362525 ) M1M2_PR
NEW met1 ( 2630640 1362525 ) M1M2_PR
NEW met1 ( 1832400 1331445 ) M1M2_PR
NEW met1 ( 1836720 1331445 ) M1M2_PR
NEW met1 ( 1893360 1345505 ) M1M2_PR
NEW met1 ( 1893360 1347355 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[94\] ( mprj la_oen[94] ) ( mgmt_buffers la_oen_core[94] )
+ ROUTED met1 ( 1960080 1361785 ) ( 1994640 1361785 )
NEW met1 ( 1994640 1361785 ) ( 1994640 1362155 )
NEW met2 ( 2648880 1362155 ) ( 2648880 1389350 0 )
NEW met2 ( 1960080 1338105 ) ( 1960080 1361785 )
NEW met1 ( 1994640 1362155 ) ( 2648880 1362155 )
NEW met1 ( 1920720 1337735 ) ( 1920720 1338105 )
NEW met1 ( 1920720 1338105 ) ( 1960080 1338105 )
NEW met2 ( 1832880 1325710 0 ) ( 1834800 1325710 )
NEW met2 ( 1834800 1325710 ) ( 1834800 1338105 )
NEW met1 ( 1834800 1338105 ) ( 1847280 1338105 )
NEW met1 ( 1847280 1338105 ) ( 1847280 1338475 )
NEW met1 ( 1847280 1338475 ) ( 1886640 1338475 )
NEW met1 ( 1886640 1338105 ) ( 1886640 1338475 )
NEW met1 ( 1886640 1338105 ) ( 1893360 1338105 )
NEW met1 ( 1893360 1337735 ) ( 1893360 1338105 )
NEW met1 ( 1893360 1337735 ) ( 1920720 1337735 )
NEW met1 ( 1960080 1361785 ) M1M2_PR
NEW met1 ( 2648880 1362155 ) M1M2_PR
NEW met1 ( 1960080 1338105 ) M1M2_PR
NEW met1 ( 1834800 1338105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[95\] ( mprj la_oen[95] ) ( mgmt_buffers la_oen_core[95] )
+ ROUTED met2 ( 1986960 1365855 ) ( 1986960 1389905 )
NEW met1 ( 1986960 1365855 ) ( 1993680 1365855 )
NEW met2 ( 1993680 1362710 ) ( 1993680 1365855 )
NEW met2 ( 1993680 1362710 ) ( 1995120 1362710 )
NEW met2 ( 1995120 1361785 ) ( 1995120 1362710 )
NEW met1 ( 1995120 1361785 ) ( 2007600 1361785 )
NEW met1 ( 2007600 1361045 ) ( 2007600 1361785 )
NEW met1 ( 2007600 1361045 ) ( 2038800 1361045 )
NEW met2 ( 2038800 1361045 ) ( 2038800 1364745 )
NEW met2 ( 2666160 1364745 ) ( 2666160 1389350 0 )
NEW met1 ( 2038800 1364745 ) ( 2666160 1364745 )
NEW met2 ( 1835280 1325710 0 ) ( 1835280 1355495 )
NEW met1 ( 1835280 1355495 ) ( 1859760 1355495 )
NEW met2 ( 1859760 1355495 ) ( 1859760 1389905 )
NEW met1 ( 1859760 1389905 ) ( 1986960 1389905 )
NEW met1 ( 1986960 1389905 ) M1M2_PR
NEW met1 ( 1986960 1365855 ) M1M2_PR
NEW met1 ( 1993680 1365855 ) M1M2_PR
NEW met1 ( 1995120 1361785 ) M1M2_PR
NEW met1 ( 2038800 1361045 ) M1M2_PR
NEW met1 ( 2038800 1364745 ) M1M2_PR
NEW met1 ( 2666160 1364745 ) M1M2_PR
NEW met1 ( 1835280 1355495 ) M1M2_PR
NEW met1 ( 1859760 1355495 ) M1M2_PR
NEW met1 ( 1859760 1389905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[96\] ( mprj la_oen[96] ) ( mgmt_buffers la_oen_core[96] )
+ ROUTED met1 ( 1968720 1366965 ) ( 2012880 1366965 )
NEW met2 ( 2012880 1354015 ) ( 2012880 1366965 )
NEW met2 ( 2684400 1354015 ) ( 2684400 1389350 0 )
NEW met1 ( 2012880 1354015 ) ( 2684400 1354015 )
NEW met2 ( 1837680 1325710 0 ) ( 1837680 1380655 )
NEW met2 ( 1877040 1380655 ) ( 1877040 1384170 )
NEW met3 ( 1877040 1384170 ) ( 1968720 1384170 )
NEW met1 ( 1837680 1380655 ) ( 1877040 1380655 )
NEW met2 ( 1968720 1366965 ) ( 1968720 1384170 )
NEW met1 ( 1968720 1366965 ) M1M2_PR
NEW met1 ( 2012880 1366965 ) M1M2_PR
NEW met1 ( 2012880 1354015 ) M1M2_PR
NEW met1 ( 2684400 1354015 ) M1M2_PR
NEW met1 ( 1837680 1380655 ) M1M2_PR
NEW met1 ( 1877040 1380655 ) M1M2_PR
NEW met2 ( 1877040 1384170 ) via2_FR
NEW met2 ( 1968720 1384170 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[97\] ( mprj la_oen[97] ) ( mgmt_buffers la_oen_core[97] )
+ ROUTED met1 ( 1972080 1364745 ) ( 1994640 1364745 )
NEW met1 ( 1994640 1364745 ) ( 1994640 1365115 )
NEW met2 ( 2702160 1365115 ) ( 2702160 1389350 0 )
NEW met1 ( 1994640 1365115 ) ( 2702160 1365115 )
NEW met2 ( 1839360 1325710 0 ) ( 1840560 1325710 )
NEW met2 ( 1840560 1325710 ) ( 1840560 1379915 )
NEW met2 ( 1878480 1379915 ) ( 1878480 1382135 )
NEW met1 ( 1878480 1382135 ) ( 1920720 1382135 )
NEW met2 ( 1920720 1377510 ) ( 1920720 1382135 )
NEW met2 ( 1920720 1377510 ) ( 1922640 1377510 )
NEW met2 ( 1922640 1371775 ) ( 1922640 1377510 )
NEW met1 ( 1922640 1371775 ) ( 1972080 1371775 )
NEW met1 ( 1840560 1379915 ) ( 1878480 1379915 )
NEW met2 ( 1972080 1364745 ) ( 1972080 1371775 )
NEW met1 ( 1972080 1364745 ) M1M2_PR
NEW met1 ( 2702160 1365115 ) M1M2_PR
NEW met1 ( 1840560 1379915 ) M1M2_PR
NEW met1 ( 1878480 1379915 ) M1M2_PR
NEW met1 ( 1878480 1382135 ) M1M2_PR
NEW met1 ( 1920720 1382135 ) M1M2_PR
NEW met1 ( 1922640 1371775 ) M1M2_PR
NEW met1 ( 1972080 1371775 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[98\] ( mprj la_oen[98] ) ( mgmt_buffers la_oen_core[98] )
+ ROUTED met2 ( 1958160 1364190 ) ( 1959120 1364190 )
NEW met2 ( 1959120 1363635 ) ( 1959120 1364190 )
NEW met1 ( 1959120 1363635 ) ( 1980240 1363635 )
NEW met2 ( 1980240 1363635 ) ( 1980240 1366225 )
NEW met1 ( 1980240 1366225 ) ( 1994160 1366225 )
NEW met1 ( 1994160 1365855 ) ( 1994160 1366225 )
NEW met1 ( 1994160 1365855 ) ( 1999440 1365855 )
NEW met2 ( 1999440 1361415 ) ( 1999440 1365855 )
NEW met1 ( 1999440 1361415 ) ( 2007120 1361415 )
NEW met1 ( 2007120 1360305 ) ( 2007120 1361415 )
NEW met1 ( 2007120 1360305 ) ( 2034000 1360305 )
NEW met2 ( 2034000 1354385 ) ( 2034000 1360305 )
NEW met2 ( 2719920 1354385 ) ( 2719920 1389350 0 )
NEW met1 ( 2034000 1354385 ) ( 2719920 1354385 )
NEW met2 ( 1841040 1324230 ) ( 1841760 1324230 0 )
NEW met2 ( 1841040 1324230 ) ( 1841040 1326450 )
NEW met2 ( 1841040 1326450 ) ( 1841520 1326450 )
NEW met2 ( 1841520 1326450 ) ( 1841520 1387685 )
NEW met2 ( 1876080 1387685 ) ( 1876080 1388610 )
NEW met2 ( 1876080 1388610 ) ( 1876560 1388610 )
NEW met2 ( 1876560 1388610 ) ( 1876560 1391755 )
NEW met1 ( 1876560 1391755 ) ( 1892400 1391755 )
NEW met1 ( 1892400 1391755 ) ( 1892400 1392125 )
NEW met1 ( 1892400 1392125 ) ( 1901040 1392125 )
NEW met2 ( 1901040 1392125 ) ( 1901040 1393050 )
NEW met2 ( 1901040 1393050 ) ( 1902000 1393050 )
NEW met2 ( 1902000 1386575 ) ( 1902000 1393050 )
NEW met1 ( 1902000 1386575 ) ( 1920720 1386575 )
NEW met2 ( 1920720 1383430 ) ( 1920720 1386575 )
NEW met2 ( 1920720 1383430 ) ( 1922160 1383430 )
NEW met2 ( 1922160 1383430 ) ( 1922160 1385095 )
NEW met1 ( 1922160 1385095 ) ( 1958160 1385095 )
NEW met1 ( 1841520 1387685 ) ( 1876080 1387685 )
NEW met2 ( 1958160 1364190 ) ( 1958160 1385095 )
NEW met1 ( 1959120 1363635 ) M1M2_PR
NEW met1 ( 1980240 1363635 ) M1M2_PR
NEW met1 ( 1980240 1366225 ) M1M2_PR
NEW met1 ( 1999440 1365855 ) M1M2_PR
NEW met1 ( 1999440 1361415 ) M1M2_PR
NEW met1 ( 2034000 1360305 ) M1M2_PR
NEW met1 ( 2034000 1354385 ) M1M2_PR
NEW met1 ( 2719920 1354385 ) M1M2_PR
NEW met1 ( 1841520 1387685 ) M1M2_PR
NEW met1 ( 1876080 1387685 ) M1M2_PR
NEW met1 ( 1876560 1391755 ) M1M2_PR
NEW met1 ( 1901040 1392125 ) M1M2_PR
NEW met1 ( 1902000 1386575 ) M1M2_PR
NEW met1 ( 1920720 1386575 ) M1M2_PR
NEW met1 ( 1922160 1385095 ) M1M2_PR
NEW met1 ( 1958160 1385095 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[99\] ( mprj la_oen[99] ) ( mgmt_buffers la_oen_core[99] )
+ ROUTED met2 ( 2738160 1361785 ) ( 2738160 1389350 0 )
NEW met2 ( 1843920 1325710 0 ) ( 1845360 1325710 )
NEW met2 ( 1845360 1325710 ) ( 1845360 1336255 )
NEW met1 ( 1845360 1336255 ) ( 1872240 1336255 )
NEW met2 ( 1872240 1336070 ) ( 1872240 1336255 )
NEW met3 ( 1872240 1336070 ) ( 1872480 1336070 )
NEW met4 ( 1872480 1333665 ) ( 1872480 1336070 )
NEW met4 ( 1935840 1333665 ) ( 1935840 1354570 )
NEW met3 ( 1935840 1354570 ) ( 2008080 1354570 )
NEW met2 ( 2008080 1354570 ) ( 2008080 1361785 )
NEW met5 ( 1872480 1333665 ) ( 1935840 1333665 )
NEW met1 ( 2008080 1361785 ) ( 2738160 1361785 )
NEW met1 ( 2738160 1361785 ) M1M2_PR
NEW met1 ( 1845360 1336255 ) M1M2_PR
NEW met1 ( 1872240 1336255 ) M1M2_PR
NEW met2 ( 1872240 1336070 ) via2_FR
NEW met3 ( 1872480 1336070 ) M3M4_PR_M
NEW met4 ( 1872480 1333665 ) via4_FR
NEW met4 ( 1935840 1333665 ) via4_FR
NEW met3 ( 1935840 1354570 ) M3M4_PR_M
NEW met2 ( 2008080 1354570 ) via2_FR
NEW met1 ( 2008080 1361785 ) M1M2_PR
NEW met3 ( 1872240 1336070 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_oen_user\[9\] ( mprj la_oen[9] ) ( mgmt_buffers la_oen_core[9] )
+ ROUTED met2 ( 1133520 1392310 ) ( 1133520 1392495 )
NEW met2 ( 1132080 1392310 0 ) ( 1133520 1392310 )
NEW met2 ( 1648080 1324970 0 ) ( 1649040 1324970 )
NEW met2 ( 1649040 1324970 ) ( 1649040 1392495 )
NEW met1 ( 1133520 1392495 ) ( 1649040 1392495 )
NEW met1 ( 1133520 1392495 ) M1M2_PR
NEW met1 ( 1649040 1392495 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[0\] ( user_id_value mask_rev[0] ) ( soc mask_rev[0] )
+ ROUTED met3 ( 3202080 404410 0 ) ( 3236880 404410 )
NEW met2 ( 3236880 404410 ) ( 3236880 463795 )
NEW met2 ( 3303600 439930 0 ) ( 3303600 463795 )
NEW met1 ( 3236880 463795 ) ( 3303600 463795 )
NEW met2 ( 3236880 404410 ) via2_FR
NEW met1 ( 3236880 463795 ) M1M2_PR
NEW met1 ( 3303600 463795 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[10\] ( user_id_value mask_rev[10] ) ( soc mask_rev[10] )
+ ROUTED met3 ( 3202080 401450 0 ) ( 3202080 402190 )
NEW met3 ( 3202080 402190 ) ( 3239280 402190 )
NEW met2 ( 3239280 394235 ) ( 3239280 402190 )
NEW met2 ( 3303600 394235 ) ( 3303600 405150 0 )
NEW met1 ( 3239280 394235 ) ( 3303600 394235 )
NEW met2 ( 3239280 402190 ) via2_FR
NEW met1 ( 3239280 394235 ) M1M2_PR
NEW met1 ( 3303600 394235 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[11\] ( user_id_value mask_rev[11] ) ( soc mask_rev[11] )
+ ROUTED met3 ( 3202080 406630 ) ( 3202080 407370 0 )
NEW met3 ( 3202080 406630 ) ( 3239760 406630 )
NEW met2 ( 3239760 406445 ) ( 3239760 406630 )
NEW met1 ( 3239760 406445 ) ( 3287760 406445 )
NEW met2 ( 3287760 406445 ) ( 3287760 406630 )
NEW met2 ( 3287760 406630 ) ( 3288960 406630 0 )
NEW met2 ( 3239760 406630 ) via2_FR
NEW met1 ( 3239760 406445 ) M1M2_PR
NEW met1 ( 3287760 406445 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[12\] ( user_id_value mask_rev[12] ) ( soc mask_rev[12] )
+ ROUTED met3 ( 3202080 398860 0 ) ( 3202080 400710 )
NEW met3 ( 3202080 400710 ) ( 3239760 400710 )
NEW met2 ( 3239760 400710 ) ( 3239760 400895 )
NEW met1 ( 3239760 400895 ) ( 3284880 400895 )
NEW met2 ( 3284880 400895 ) ( 3284880 405150 )
NEW met2 ( 3284880 405150 ) ( 3286080 405150 0 )
NEW met2 ( 3239760 400710 ) via2_FR
NEW met1 ( 3239760 400895 ) M1M2_PR
NEW met1 ( 3284880 400895 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[13\] ( user_id_value mask_rev[13] ) ( soc mask_rev[13] )
+ ROUTED met3 ( 3202080 409590 0 ) ( 3202080 411070 )
NEW met3 ( 3202080 411070 ) ( 3237840 411070 )
NEW met2 ( 3237840 411070 ) ( 3237840 463055 )
NEW met2 ( 3308880 439930 ) ( 3310080 439930 0 )
NEW met2 ( 3308880 439930 ) ( 3308880 463055 )
NEW met1 ( 3237840 463055 ) ( 3308880 463055 )
NEW met2 ( 3237840 411070 ) via2_FR
NEW met1 ( 3237840 463055 ) M1M2_PR
NEW met1 ( 3308880 463055 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[14\] ( user_id_value mask_rev[14] ) ( soc mask_rev[14] )
+ ROUTED met3 ( 3202080 396270 0 ) ( 3239760 396270 )
NEW met2 ( 3239760 391645 ) ( 3239760 396270 )
NEW met2 ( 3312720 391645 ) ( 3312720 405150 0 )
NEW met1 ( 3239760 391645 ) ( 3312720 391645 )
NEW met2 ( 3239760 396270 ) via2_FR
NEW met1 ( 3239760 391645 ) M1M2_PR
NEW met1 ( 3312720 391645 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[15\] ( user_id_value mask_rev[15] ) ( soc mask_rev[15] )
+ ROUTED met3 ( 3202080 412550 0 ) ( 3239760 412550 )
NEW met2 ( 3239760 409405 ) ( 3239760 412550 )
NEW met2 ( 3316560 409405 ) ( 3316560 410330 )
NEW met3 ( 3316320 410330 ) ( 3316560 410330 )
NEW met3 ( 3316320 410330 ) ( 3316320 413290 0 )
NEW met1 ( 3239760 409405 ) ( 3316560 409405 )
NEW met2 ( 3239760 412550 ) via2_FR
NEW met1 ( 3239760 409405 ) M1M2_PR
NEW met1 ( 3316560 409405 ) M1M2_PR
NEW met2 ( 3316560 410330 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[16\] ( user_id_value mask_rev[16] ) ( soc mask_rev[16] )
+ ROUTED met3 ( 3202080 393310 0 ) ( 3202080 394790 )
NEW met3 ( 3202080 394790 ) ( 3238800 394790 )
NEW met2 ( 3238800 394790 ) ( 3238800 396455 )
NEW met1 ( 3238800 396455 ) ( 3294480 396455 )
NEW met2 ( 3294480 396455 ) ( 3294480 405150 0 )
NEW met2 ( 3238800 394790 ) via2_FR
NEW met1 ( 3238800 396455 ) M1M2_PR
NEW met1 ( 3294480 396455 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[17\] ( user_id_value mask_rev[17] ) ( soc mask_rev[17] )
+ ROUTED met3 ( 3201120 415510 0 ) ( 3201120 416990 )
NEW met3 ( 3201120 416990 ) ( 3239760 416990 )
NEW met2 ( 3239760 416990 ) ( 3239760 417175 )
NEW met1 ( 3239760 417175 ) ( 3285360 417175 )
NEW met1 ( 3285360 435675 ) ( 3285360 436415 )
NEW met1 ( 3285360 436415 ) ( 3290160 436415 )
NEW met2 ( 3290160 436230 ) ( 3290160 436415 )
NEW met2 ( 3290160 436230 ) ( 3291600 436230 0 )
NEW met2 ( 3285360 417175 ) ( 3285360 435675 )
NEW met2 ( 3239760 416990 ) via2_FR
NEW met1 ( 3239760 417175 ) M1M2_PR
NEW met1 ( 3285360 417175 ) M1M2_PR
NEW met1 ( 3285360 435675 ) M1M2_PR
NEW met1 ( 3290160 436415 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[18\] ( user_id_value mask_rev[18] ) ( soc mask_rev[18] )
+ ROUTED met3 ( 3202080 390720 0 ) ( 3202080 392570 )
NEW met3 ( 3202080 392570 ) ( 3227280 392570 )
NEW met2 ( 3227280 392570 ) ( 3227280 398305 )
NEW met1 ( 3227280 398305 ) ( 3256080 398305 )
NEW met2 ( 3256080 398305 ) ( 3256080 431050 )
NEW met3 ( 3256080 431050 ) ( 3283680 431050 0 )
NEW met2 ( 3227280 392570 ) via2_FR
NEW met1 ( 3227280 398305 ) M1M2_PR
NEW met1 ( 3256080 398305 ) M1M2_PR
NEW met2 ( 3256080 431050 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[19\] ( user_id_value mask_rev[19] ) ( soc mask_rev[19] )
+ ROUTED met3 ( 3202080 417730 0 ) ( 3202080 419210 )
NEW met3 ( 3202080 419210 ) ( 3236400 419210 )
NEW met2 ( 3236400 419210 ) ( 3236400 462315 )
NEW met2 ( 3298080 439190 0 ) ( 3298800 439190 )
NEW met2 ( 3298800 439190 ) ( 3298800 462315 )
NEW met1 ( 3236400 462315 ) ( 3298800 462315 )
NEW met2 ( 3236400 419210 ) via2_FR
NEW met1 ( 3236400 462315 ) M1M2_PR
NEW met1 ( 3298800 462315 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[1\] ( user_id_value mask_rev[1] ) ( soc mask_rev[1] )
+ ROUTED met3 ( 3202080 388130 0 ) ( 3239760 388130 )
NEW met2 ( 3239760 388130 ) ( 3239760 388315 )
NEW met1 ( 3239760 388315 ) ( 3255120 388315 )
NEW met2 ( 3255120 388315 ) ( 3255120 421430 )
NEW met3 ( 3255120 421430 ) ( 3283680 421430 0 )
NEW met2 ( 3239760 388130 ) via2_FR
NEW met1 ( 3239760 388315 ) M1M2_PR
NEW met1 ( 3255120 388315 ) M1M2_PR
NEW met2 ( 3255120 421430 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[20\] ( user_id_value mask_rev[20] ) ( soc mask_rev[20] )
+ ROUTED met3 ( 3202080 420690 0 ) ( 3229680 420690 )
NEW met2 ( 3229680 409035 ) ( 3229680 420690 )
NEW met2 ( 3317040 409035 ) ( 3317040 414030 )
NEW met3 ( 3317040 414030 ) ( 3317280 414030 )
NEW met3 ( 3317280 414030 ) ( 3317280 416990 0 )
NEW met1 ( 3229680 409035 ) ( 3317040 409035 )
NEW met2 ( 3229680 420690 ) via2_FR
NEW met1 ( 3229680 409035 ) M1M2_PR
NEW met1 ( 3317040 409035 ) M1M2_PR
NEW met2 ( 3317040 414030 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[21\] ( user_id_value mask_rev[21] ) ( soc mask_rev[21] )
+ ROUTED met3 ( 3202080 385170 0 ) ( 3239760 385170 )
NEW met2 ( 3239760 385170 ) ( 3239760 386465 )
NEW met2 ( 3314640 386465 ) ( 3314640 405890 )
NEW met3 ( 3314640 405890 ) ( 3315360 405890 )
NEW met3 ( 3315360 405890 ) ( 3315360 408850 0 )
NEW met1 ( 3239760 386465 ) ( 3314640 386465 )
NEW met2 ( 3239760 385170 ) via2_FR
NEW met1 ( 3239760 386465 ) M1M2_PR
NEW met1 ( 3314640 386465 ) M1M2_PR
NEW met2 ( 3314640 405890 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[22\] ( user_id_value mask_rev[22] ) ( soc mask_rev[22] )
+ ROUTED met3 ( 3201120 423650 0 ) ( 3201120 425130 )
NEW met3 ( 3201120 425130 ) ( 3234480 425130 )
NEW met2 ( 3234480 425130 ) ( 3234480 425685 )
NEW met1 ( 3234480 425685 ) ( 3255120 425685 )
NEW met3 ( 3255120 434750 ) ( 3283680 434750 0 )
NEW met2 ( 3255120 425685 ) ( 3255120 434750 )
NEW met2 ( 3234480 425130 ) via2_FR
NEW met1 ( 3234480 425685 ) M1M2_PR
NEW met1 ( 3255120 425685 ) M1M2_PR
NEW met2 ( 3255120 434750 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[23\] ( user_id_value mask_rev[23] ) ( soc mask_rev[23] )
+ ROUTED met3 ( 3202080 382580 0 ) ( 3202080 384430 )
NEW met3 ( 3202080 384430 ) ( 3232560 384430 )
NEW met2 ( 3232560 384430 ) ( 3232560 384615 )
NEW met1 ( 3232560 384615 ) ( 3291600 384615 )
NEW met2 ( 3291600 384615 ) ( 3291600 405150 0 )
NEW met2 ( 3232560 384430 ) via2_FR
NEW met1 ( 3232560 384615 ) M1M2_PR
NEW met1 ( 3291600 384615 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[24\] ( user_id_value mask_rev[24] ) ( soc mask_rev[24] )
+ ROUTED met3 ( 3202080 425870 0 ) ( 3202080 427350 )
NEW met3 ( 3202080 427350 ) ( 3238800 427350 )
NEW met2 ( 3238800 427350 ) ( 3238800 461575 )
NEW met2 ( 3312720 439930 0 ) ( 3312720 461575 )
NEW met1 ( 3238800 461575 ) ( 3312720 461575 )
NEW met2 ( 3238800 427350 ) via2_FR
NEW met1 ( 3238800 461575 ) M1M2_PR
NEW met1 ( 3312720 461575 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[25\] ( user_id_value mask_rev[25] ) ( soc mask_rev[25] )
+ ROUTED met3 ( 3202080 379990 0 ) ( 3232560 379990 )
NEW met2 ( 3232560 379990 ) ( 3232560 383875 )
NEW met1 ( 3232560 383875 ) ( 3254640 383875 )
NEW met2 ( 3254640 383875 ) ( 3254640 413290 )
NEW met3 ( 3254640 413290 ) ( 3283680 413290 0 )
NEW met2 ( 3232560 379990 ) via2_FR
NEW met1 ( 3232560 383875 ) M1M2_PR
NEW met1 ( 3254640 383875 ) M1M2_PR
NEW met2 ( 3254640 413290 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[26\] ( user_id_value mask_rev[26] ) ( soc mask_rev[26] )
+ ROUTED met3 ( 3202080 428830 0 ) ( 3231120 428830 )
NEW met2 ( 3231120 428830 ) ( 3231120 461205 )
NEW met2 ( 3306000 439930 ) ( 3307200 439930 0 )
NEW met2 ( 3306000 439930 ) ( 3306000 461205 )
NEW met1 ( 3231120 461205 ) ( 3306000 461205 )
NEW met2 ( 3231120 428830 ) via2_FR
NEW met1 ( 3231120 461205 ) M1M2_PR
NEW met1 ( 3306000 461205 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[27\] ( user_id_value mask_rev[27] ) ( soc mask_rev[27] )
+ ROUTED met3 ( 3202080 377030 0 ) ( 3232560 377030 )
NEW met2 ( 3232560 377030 ) ( 3232560 377215 )
NEW met1 ( 3232560 377215 ) ( 3284400 377215 )
NEW met2 ( 3284400 436230 ) ( 3288960 436230 0 )
NEW met2 ( 3284400 377215 ) ( 3284400 436230 )
NEW met2 ( 3232560 377030 ) via2_FR
NEW met1 ( 3232560 377215 ) M1M2_PR
NEW met1 ( 3284400 377215 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[28\] ( user_id_value mask_rev[28] ) ( soc mask_rev[28] )
+ ROUTED met3 ( 3202080 431050 ) ( 3202080 431790 0 )
NEW met3 ( 3202080 431050 ) ( 3239280 431050 )
NEW met2 ( 3239280 431050 ) ( 3239280 461945 )
NEW met2 ( 3315600 439930 0 ) ( 3315600 461945 )
NEW met1 ( 3239280 461945 ) ( 3315600 461945 )
NEW met2 ( 3239280 431050 ) via2_FR
NEW met1 ( 3239280 461945 ) M1M2_PR
NEW met1 ( 3315600 461945 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[29\] ( user_id_value mask_rev[29] ) ( soc mask_rev[29] )
+ ROUTED met3 ( 3202080 374440 0 ) ( 3202080 376290 )
NEW met3 ( 3202080 376290 ) ( 3230640 376290 )
NEW met2 ( 3230640 376290 ) ( 3230640 379065 )
NEW met2 ( 3308880 379065 ) ( 3308880 405150 )
NEW met2 ( 3308880 405150 ) ( 3310080 405150 0 )
NEW met1 ( 3230640 379065 ) ( 3308880 379065 )
NEW met2 ( 3230640 376290 ) via2_FR
NEW met1 ( 3230640 379065 ) M1M2_PR
NEW met1 ( 3308880 379065 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[2\] ( user_id_value mask_rev[2] ) ( soc mask_rev[2] )
+ ROUTED met3 ( 3201120 432530 ) ( 3201120 434010 0 )
NEW met3 ( 3201120 432530 ) ( 3239760 432530 )
NEW met2 ( 3239760 432345 ) ( 3239760 432530 )
NEW met3 ( 3255600 416990 ) ( 3283680 416990 0 )
NEW met1 ( 3239760 432345 ) ( 3255600 432345 )
NEW met2 ( 3255600 416990 ) ( 3255600 432345 )
NEW met2 ( 3239760 432530 ) via2_FR
NEW met1 ( 3239760 432345 ) M1M2_PR
NEW met2 ( 3255600 416990 ) via2_FR
NEW met1 ( 3255600 432345 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[30\] ( user_id_value mask_rev[30] ) ( soc mask_rev[30] )
+ ROUTED met3 ( 3202080 371850 0 ) ( 3236400 371850 )
NEW met2 ( 3236400 362785 ) ( 3236400 371850 )
NEW met2 ( 3316080 414770 ) ( 3317040 414770 )
NEW met2 ( 3317040 414770 ) ( 3317040 428090 )
NEW met3 ( 3317040 428090 ) ( 3317280 428090 )
NEW met3 ( 3317280 428090 ) ( 3317280 431050 0 )
NEW met1 ( 3236400 362785 ) ( 3316080 362785 )
NEW met2 ( 3316080 362785 ) ( 3316080 414770 )
NEW met2 ( 3236400 371850 ) via2_FR
NEW met1 ( 3236400 362785 ) M1M2_PR
NEW met2 ( 3317040 428090 ) via2_FR
NEW met1 ( 3316080 362785 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[31\] ( user_id_value mask_rev[31] ) ( soc mask_rev[31] )
+ ROUTED met3 ( 3202080 436970 0 ) ( 3237360 436970 )
NEW met2 ( 3237360 388685 ) ( 3237360 436970 )
NEW met1 ( 3237360 388685 ) ( 3297360 388685 )
NEW met2 ( 3297360 388685 ) ( 3297360 403485 )
NEW met2 ( 3297840 403485 ) ( 3297840 403670 )
NEW met2 ( 3297840 403670 ) ( 3298080 403670 )
NEW met2 ( 3298080 403670 ) ( 3298080 405150 0 )
NEW met1 ( 3297360 403485 ) ( 3297840 403485 )
NEW met2 ( 3237360 436970 ) via2_FR
NEW met1 ( 3237360 388685 ) M1M2_PR
NEW met1 ( 3297360 388685 ) M1M2_PR
NEW met1 ( 3297360 403485 ) M1M2_PR
NEW met1 ( 3297840 403485 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[3\] ( user_id_value mask_rev[3] ) ( soc mask_rev[3] )
+ ROUTED met3 ( 3202080 368890 0 ) ( 3234480 368890 )
NEW met2 ( 3234480 362415 ) ( 3234480 368890 )
NEW met1 ( 3234480 362415 ) ( 3300720 362415 )
NEW met2 ( 3300720 362415 ) ( 3300720 405150 0 )
NEW met2 ( 3234480 368890 ) via2_FR
NEW met1 ( 3234480 362415 ) M1M2_PR
NEW met1 ( 3300720 362415 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[4\] ( user_id_value mask_rev[4] ) ( soc mask_rev[4] )
+ ROUTED met3 ( 3202080 438450 ) ( 3202080 439930 0 )
NEW met3 ( 3202080 438450 ) ( 3239760 438450 )
NEW met2 ( 3239760 435305 ) ( 3239760 438450 )
NEW met3 ( 3316320 425870 ) ( 3316560 425870 )
NEW met3 ( 3316320 422910 0 ) ( 3316320 425870 )
NEW met1 ( 3239760 435305 ) ( 3316560 435305 )
NEW met2 ( 3316560 425870 ) ( 3316560 435305 )
NEW met2 ( 3239760 438450 ) via2_FR
NEW met1 ( 3239760 435305 ) M1M2_PR
NEW met2 ( 3316560 425870 ) via2_FR
NEW met1 ( 3316560 435305 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[5\] ( user_id_value mask_rev[5] ) ( soc mask_rev[5] )
+ ROUTED met3 ( 3202080 364450 ) ( 3202080 366300 0 )
NEW met3 ( 3202080 364450 ) ( 3230640 364450 )
NEW met2 ( 3230640 361305 ) ( 3230640 364450 )
NEW met2 ( 3317040 400710 ) ( 3317520 400710 )
NEW met2 ( 3317520 400710 ) ( 3317520 423650 )
NEW met3 ( 3317280 423650 ) ( 3317520 423650 )
NEW met3 ( 3317280 423650 ) ( 3317280 426610 0 )
NEW met1 ( 3230640 361305 ) ( 3317040 361305 )
NEW met2 ( 3317040 361305 ) ( 3317040 400710 )
NEW met2 ( 3230640 364450 ) via2_FR
NEW met1 ( 3230640 361305 ) M1M2_PR
NEW met2 ( 3317520 423650 ) via2_FR
NEW met1 ( 3317040 361305 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[6\] ( user_id_value mask_rev[6] ) ( soc mask_rev[6] )
+ ROUTED met3 ( 3201120 440670 ) ( 3201120 442150 0 )
NEW met3 ( 3201120 440670 ) ( 3234480 440670 )
NEW met2 ( 3234480 440115 ) ( 3234480 440670 )
NEW met3 ( 3254640 426610 ) ( 3283680 426610 0 )
NEW met1 ( 3234480 440115 ) ( 3254640 440115 )
NEW met2 ( 3254640 426610 ) ( 3254640 440115 )
NEW met2 ( 3234480 440670 ) via2_FR
NEW met1 ( 3234480 440115 ) M1M2_PR
NEW met2 ( 3254640 426610 ) via2_FR
NEW met1 ( 3254640 440115 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[7\] ( user_id_value mask_rev[7] ) ( soc mask_rev[7] )
+ ROUTED met3 ( 3202080 362970 ) ( 3202080 363710 0 )
NEW met3 ( 3202080 362970 ) ( 3233520 362970 )
NEW met2 ( 3233520 362970 ) ( 3233520 463425 )
NEW met2 ( 3299280 439930 ) ( 3300720 439930 0 )
NEW met2 ( 3299280 439930 ) ( 3299280 463425 )
NEW met1 ( 3233520 463425 ) ( 3299280 463425 )
NEW met1 ( 3233520 463425 ) M1M2_PR
NEW met2 ( 3233520 362970 ) via2_FR
NEW met1 ( 3299280 463425 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[8\] ( user_id_value mask_rev[8] ) ( soc mask_rev[8] )
+ ROUTED met3 ( 3202080 445110 0 ) ( 3238320 445110 )
NEW met2 ( 3238320 408295 ) ( 3238320 445110 )
NEW met2 ( 3305520 408110 ) ( 3307200 408110 0 )
NEW met2 ( 3305520 408110 ) ( 3305520 408295 )
NEW met1 ( 3238320 408295 ) ( 3305520 408295 )
NEW met2 ( 3238320 445110 ) via2_FR
NEW met1 ( 3238320 408295 ) M1M2_PR
NEW met1 ( 3305520 408295 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[9\] ( user_id_value mask_rev[9] ) ( soc mask_rev[9] )
+ ROUTED met2 ( 3232560 376290 ) ( 3233040 376290 )
NEW met2 ( 3233040 376290 ) ( 3233040 460835 )
NEW met3 ( 3202080 360750 0 ) ( 3232560 360750 )
NEW met2 ( 3232560 360750 ) ( 3232560 376290 )
NEW met2 ( 3294480 439930 0 ) ( 3294480 460835 )
NEW met1 ( 3233040 460835 ) ( 3294480 460835 )
NEW met1 ( 3233040 460835 ) M1M2_PR
NEW met2 ( 3232560 360750 ) via2_FR
NEW met1 ( 3294480 460835 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[0\] ( storage mgmt_addr[0] ) ( soc mgmt_addr[0] )
+ ROUTED met2 ( 741840 270655 ) ( 741840 302475 )
NEW met2 ( 1024080 302475 ) ( 1024080 306730 )
NEW met1 ( 741840 302475 ) ( 1024080 302475 )
NEW met3 ( 704160 267880 0 ) ( 704160 268990 )
NEW met3 ( 704160 268990 ) ( 720240 268990 )
NEW met2 ( 720240 268990 ) ( 720240 270655 )
NEW met1 ( 720240 270655 ) ( 741840 270655 )
NEW met3 ( 1024080 306730 ) ( 1052640 306730 0 )
NEW met1 ( 741840 270655 ) M1M2_PR
NEW met1 ( 741840 302475 ) M1M2_PR
NEW met1 ( 1024080 302475 ) M1M2_PR
NEW met2 ( 1024080 306730 ) via2_FR
NEW met2 ( 720240 268990 ) via2_FR
NEW met1 ( 720240 270655 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[1\] ( storage mgmt_addr[1] ) ( soc mgmt_addr[1] )
+ ROUTED met2 ( 756240 273245 ) ( 756240 302845 )
NEW met2 ( 1024560 302845 ) ( 1024560 308950 )
NEW met1 ( 756240 302845 ) ( 1024560 302845 )
NEW met2 ( 720240 273245 ) ( 720240 273430 )
NEW met3 ( 704160 273430 0 ) ( 720240 273430 )
NEW met1 ( 720240 273245 ) ( 756240 273245 )
NEW met3 ( 1024560 308950 ) ( 1052640 308950 0 )
NEW met1 ( 756240 273245 ) M1M2_PR
NEW met1 ( 756240 302845 ) M1M2_PR
NEW met1 ( 1024560 302845 ) M1M2_PR
NEW met2 ( 1024560 308950 ) via2_FR
NEW met1 ( 720240 273245 ) M1M2_PR
NEW met2 ( 720240 273430 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[2\] ( storage mgmt_addr[2] ) ( soc mgmt_addr[2] )
+ ROUTED met2 ( 763440 282495 ) ( 763440 303215 )
NEW met2 ( 1022640 303215 ) ( 1022640 303770 )
NEW met1 ( 763440 303215 ) ( 1022640 303215 )
NEW met3 ( 704160 279370 0 ) ( 704160 280830 )
NEW met3 ( 704160 280830 ) ( 720240 280830 )
NEW met2 ( 720240 280830 ) ( 720240 282495 )
NEW met1 ( 720240 282495 ) ( 763440 282495 )
NEW met3 ( 1022640 303770 ) ( 1052640 303770 0 )
NEW met1 ( 763440 282495 ) M1M2_PR
NEW met1 ( 763440 303215 ) M1M2_PR
NEW met1 ( 1022640 303215 ) M1M2_PR
NEW met2 ( 1022640 303770 ) via2_FR
NEW met2 ( 720240 280830 ) via2_FR
NEW met1 ( 720240 282495 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[3\] ( storage mgmt_addr[3] ) ( soc mgmt_addr[3] )
+ ROUTED met2 ( 781680 285455 ) ( 781680 303585 )
NEW met2 ( 1023600 303585 ) ( 1023600 311910 )
NEW met1 ( 781680 303585 ) ( 1023600 303585 )
NEW met2 ( 720240 285270 ) ( 720240 285455 )
NEW met3 ( 704160 285270 0 ) ( 720240 285270 )
NEW met1 ( 720240 285455 ) ( 781680 285455 )
NEW met3 ( 1023600 311910 ) ( 1052640 311910 0 )
NEW met1 ( 781680 285455 ) M1M2_PR
NEW met1 ( 781680 303585 ) M1M2_PR
NEW met1 ( 1023600 303585 ) M1M2_PR
NEW met2 ( 1023600 311910 ) via2_FR
NEW met1 ( 720240 285455 ) M1M2_PR
NEW met2 ( 720240 285270 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[4\] ( storage mgmt_addr[4] ) ( soc mgmt_addr[4] )
+ ROUTED met2 ( 1022640 295075 ) ( 1022640 300810 )
NEW met3 ( 704160 291610 0 ) ( 704160 293410 )
NEW met3 ( 704160 293410 ) ( 720240 293410 )
NEW met2 ( 720240 293410 ) ( 720240 295075 )
NEW met1 ( 720240 295075 ) ( 1022640 295075 )
NEW met3 ( 1022640 300810 ) ( 1052640 300810 0 )
NEW met1 ( 1022640 295075 ) M1M2_PR
NEW met2 ( 1022640 300810 ) via2_FR
NEW met2 ( 720240 293410 ) via2_FR
NEW met1 ( 720240 295075 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[5\] ( storage mgmt_addr[5] ) ( soc mgmt_addr[5] )
+ ROUTED met2 ( 802800 298035 ) ( 802800 303955 )
NEW met2 ( 1023120 303955 ) ( 1023120 314870 )
NEW met1 ( 802800 303955 ) ( 1023120 303955 )
NEW met2 ( 720240 297850 ) ( 720240 298035 )
NEW met3 ( 704160 297850 0 ) ( 720240 297850 )
NEW met1 ( 720240 298035 ) ( 802800 298035 )
NEW met3 ( 1023120 314870 ) ( 1052640 314870 0 )
NEW met1 ( 802800 298035 ) M1M2_PR
NEW met1 ( 802800 303955 ) M1M2_PR
NEW met1 ( 1023120 303955 ) M1M2_PR
NEW met2 ( 1023120 314870 ) via2_FR
NEW met1 ( 720240 298035 ) M1M2_PR
NEW met2 ( 720240 297850 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[6\] ( storage mgmt_addr[6] ) ( soc mgmt_addr[6] )
+ ROUTED met2 ( 734640 302105 ) ( 734640 303770 )
NEW met2 ( 1034160 300070 ) ( 1034160 302105 )
NEW met1 ( 734640 302105 ) ( 1034160 302105 )
NEW met3 ( 704160 303770 0 ) ( 734640 303770 )
NEW met3 ( 1052640 298220 0 ) ( 1052640 300070 )
NEW met3 ( 1034160 300070 ) ( 1052640 300070 )
NEW met1 ( 734640 302105 ) M1M2_PR
NEW met2 ( 734640 303770 ) via2_FR
NEW met2 ( 1034160 300070 ) via2_FR
NEW met1 ( 1034160 302105 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[7\] ( storage mgmt_addr[7] ) ( soc mgmt_addr[7] )
+ ROUTED met2 ( 734640 311910 ) ( 734640 316905 )
NEW met2 ( 1022640 316905 ) ( 1022640 317090 )
NEW met1 ( 734640 316905 ) ( 1022640 316905 )
NEW met3 ( 704160 309320 0 ) ( 704160 311910 )
NEW met3 ( 704160 311910 ) ( 734640 311910 )
NEW met3 ( 1022640 317090 ) ( 1052640 317090 0 )
NEW met1 ( 734640 316905 ) M1M2_PR
NEW met2 ( 734640 311910 ) via2_FR
NEW met1 ( 1022640 316905 ) M1M2_PR
NEW met2 ( 1022640 317090 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[0\] ( storage mgmt_addr_ro[0] ) ( soc mgmt_addr_ro[0] )
+ ROUTED met2 ( 749040 301735 ) ( 749040 312465 )
NEW met2 ( 1023120 295630 ) ( 1023120 301735 )
NEW met1 ( 749040 301735 ) ( 1023120 301735 )
NEW met3 ( 704160 314130 ) ( 704160 315240 0 )
NEW met3 ( 704160 314130 ) ( 720240 314130 )
NEW met2 ( 720240 312465 ) ( 720240 314130 )
NEW met1 ( 720240 312465 ) ( 749040 312465 )
NEW met3 ( 1023120 295630 ) ( 1052640 295630 0 )
NEW met1 ( 749040 312465 ) M1M2_PR
NEW met1 ( 749040 301735 ) M1M2_PR
NEW met2 ( 1023120 295630 ) via2_FR
NEW met1 ( 1023120 301735 ) M1M2_PR
NEW met2 ( 720240 314130 ) via2_FR
NEW met1 ( 720240 312465 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[1\] ( storage mgmt_addr_ro[1] ) ( soc mgmt_addr_ro[1] )
+ ROUTED met2 ( 1023120 320050 ) ( 1023120 324305 )
NEW met2 ( 721680 323010 ) ( 721680 324305 )
NEW met3 ( 704160 323010 ) ( 721680 323010 )
NEW met3 ( 704160 321670 0 ) ( 704160 323010 )
NEW met1 ( 721680 324305 ) ( 1023120 324305 )
NEW met3 ( 1023120 320050 ) ( 1052640 320050 0 )
NEW met2 ( 1023120 320050 ) via2_FR
NEW met1 ( 1023120 324305 ) M1M2_PR
NEW met1 ( 721680 324305 ) M1M2_PR
NEW met2 ( 721680 323010 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[2\] ( storage mgmt_addr_ro[2] ) ( soc mgmt_addr_ro[2] )
+ ROUTED met2 ( 1022640 323010 ) ( 1022640 323935 )
NEW met2 ( 725040 323935 ) ( 725040 327450 )
NEW met3 ( 704160 327450 0 ) ( 725040 327450 )
NEW met1 ( 725040 323935 ) ( 1022640 323935 )
NEW met3 ( 1022640 323010 ) ( 1052640 323010 0 )
NEW met2 ( 1022640 323010 ) via2_FR
NEW met1 ( 1022640 323935 ) M1M2_PR
NEW met1 ( 725040 323935 ) M1M2_PR
NEW met2 ( 725040 327450 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[3\] ( storage mgmt_addr_ro[3] ) ( soc mgmt_addr_ro[3] )
+ ROUTED met1 ( 749040 330965 ) ( 749040 331335 )
NEW met1 ( 734160 331335 ) ( 749040 331335 )
NEW met2 ( 734160 331335 ) ( 734160 331890 )
NEW met3 ( 704160 331890 ) ( 734160 331890 )
NEW met3 ( 704160 331890 ) ( 704160 333740 0 )
NEW met2 ( 1027440 325230 ) ( 1027440 330965 )
NEW met1 ( 749040 330965 ) ( 1027440 330965 )
NEW met3 ( 1027440 325230 ) ( 1052640 325230 0 )
NEW met1 ( 734160 331335 ) M1M2_PR
NEW met2 ( 734160 331890 ) via2_FR
NEW met2 ( 1027440 325230 ) via2_FR
NEW met1 ( 1027440 330965 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[4\] ( storage mgmt_addr_ro[4] ) ( soc mgmt_addr_ro[4] )
+ ROUTED met3 ( 704160 337070 ) ( 704160 339660 0 )
NEW met3 ( 704160 337070 ) ( 720720 337070 )
NEW met2 ( 720720 336145 ) ( 720720 337070 )
NEW met1 ( 720720 336145 ) ( 763440 336145 )
NEW met2 ( 763440 330595 ) ( 763440 336145 )
NEW met2 ( 1031760 328190 ) ( 1031760 330595 )
NEW met1 ( 763440 330595 ) ( 1031760 330595 )
NEW met3 ( 1031760 328190 ) ( 1052640 328190 0 )
NEW met2 ( 720720 337070 ) via2_FR
NEW met1 ( 720720 336145 ) M1M2_PR
NEW met1 ( 763440 336145 ) M1M2_PR
NEW met1 ( 763440 330595 ) M1M2_PR
NEW met2 ( 1031760 328190 ) via2_FR
NEW met1 ( 1031760 330595 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[5\] ( storage mgmt_addr_ro[5] ) ( soc mgmt_addr_ro[5] )
+ ROUTED met2 ( 791760 330225 ) ( 791760 332075 )
NEW met2 ( 731760 332075 ) ( 731760 345210 )
NEW met3 ( 704160 345210 0 ) ( 731760 345210 )
NEW met1 ( 731760 332075 ) ( 791760 332075 )
NEW met2 ( 1022640 330225 ) ( 1022640 331150 )
NEW met1 ( 791760 330225 ) ( 1022640 330225 )
NEW met3 ( 1022640 331150 ) ( 1052640 331150 0 )
NEW met1 ( 791760 332075 ) M1M2_PR
NEW met1 ( 791760 330225 ) M1M2_PR
NEW met1 ( 731760 332075 ) M1M2_PR
NEW met2 ( 731760 345210 ) via2_FR
NEW met1 ( 1022640 330225 ) M1M2_PR
NEW met2 ( 1022640 331150 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[6\] ( storage mgmt_addr_ro[6] ) ( soc mgmt_addr_ro[6] )
+ ROUTED met3 ( 704160 348910 ) ( 704160 351450 0 )
NEW met3 ( 704160 348910 ) ( 720240 348910 )
NEW met2 ( 720240 345765 ) ( 720240 348910 )
NEW met1 ( 720240 345765 ) ( 734640 345765 )
NEW met1 ( 734640 345395 ) ( 734640 345765 )
NEW met2 ( 1032720 333370 ) ( 1032720 345395 )
NEW met1 ( 734640 345395 ) ( 1032720 345395 )
NEW met3 ( 1032720 333370 ) ( 1052640 333370 0 )
NEW met2 ( 720240 348910 ) via2_FR
NEW met1 ( 720240 345765 ) M1M2_PR
NEW met2 ( 1032720 333370 ) via2_FR
NEW met1 ( 1032720 345395 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[7\] ( storage mgmt_addr_ro[7] ) ( soc mgmt_addr_ro[7] )
+ ROUTED met3 ( 704160 354830 ) ( 704160 357420 0 )
NEW met3 ( 704160 354830 ) ( 720240 354830 )
NEW met2 ( 720240 351315 ) ( 720240 354830 )
NEW met1 ( 720240 351315 ) ( 749040 351315 )
NEW met2 ( 749040 345025 ) ( 749040 351315 )
NEW met2 ( 1020720 336330 ) ( 1020720 345025 )
NEW met1 ( 749040 345025 ) ( 1020720 345025 )
NEW met3 ( 1020720 336330 ) ( 1052640 336330 0 )
NEW met2 ( 720240 354830 ) via2_FR
NEW met1 ( 720240 351315 ) M1M2_PR
NEW met1 ( 749040 351315 ) M1M2_PR
NEW met1 ( 749040 345025 ) M1M2_PR
NEW met2 ( 1020720 336330 ) via2_FR
NEW met1 ( 1020720 345025 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_ena\[0\] ( storage mgmt_ena[0] ) ( soc mgmt_ena[0] )
+ ROUTED met2 ( 773520 344655 ) ( 773520 360195 )
NEW met1 ( 730320 360195 ) ( 773520 360195 )
NEW met2 ( 730320 360195 ) ( 730320 369630 )
NEW met3 ( 704160 369630 0 ) ( 730320 369630 )
NEW met2 ( 1023120 339290 ) ( 1023120 344655 )
NEW met1 ( 773520 344655 ) ( 1023120 344655 )
NEW met3 ( 1023120 339290 ) ( 1052640 339290 0 )
NEW met1 ( 773520 344655 ) M1M2_PR
NEW met1 ( 773520 360195 ) M1M2_PR
NEW met1 ( 730320 360195 ) M1M2_PR
NEW met2 ( 730320 369630 ) via2_FR
NEW met2 ( 1023120 339290 ) via2_FR
NEW met1 ( 1023120 344655 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_ena\[1\] ( storage mgmt_ena[1] ) ( soc mgmt_ena[1] )
+ ROUTED met2 ( 785040 344285 ) ( 785040 374995 )
NEW met2 ( 720240 374995 ) ( 720240 375550 )
NEW met3 ( 704160 375550 ) ( 720240 375550 )
NEW met3 ( 704160 375550 ) ( 704160 375920 0 )
NEW met1 ( 720240 374995 ) ( 785040 374995 )
NEW met2 ( 1032240 341510 ) ( 1032240 344285 )
NEW met1 ( 785040 344285 ) ( 1032240 344285 )
NEW met3 ( 1032240 341510 ) ( 1052640 341510 0 )
NEW met1 ( 785040 374995 ) M1M2_PR
NEW met1 ( 785040 344285 ) M1M2_PR
NEW met1 ( 720240 374995 ) M1M2_PR
NEW met2 ( 720240 375550 ) via2_FR
NEW met2 ( 1032240 341510 ) via2_FR
NEW met1 ( 1032240 344285 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_ena_ro ( storage mgmt_ena_ro ) ( soc mgmt_ena_ro )
+ ROUTED met2 ( 800880 343915 ) ( 800880 375365 )
NEW met3 ( 704160 378510 ) ( 704160 381100 0 )
NEW met3 ( 704160 378510 ) ( 732720 378510 )
NEW met2 ( 732720 375365 ) ( 732720 378510 )
NEW met1 ( 732720 375365 ) ( 800880 375365 )
NEW met2 ( 1022640 343915 ) ( 1022640 344470 )
NEW met1 ( 800880 343915 ) ( 1022640 343915 )
NEW met3 ( 1022640 344470 ) ( 1052640 344470 0 )
NEW met1 ( 800880 375365 ) M1M2_PR
NEW met1 ( 800880 343915 ) M1M2_PR
NEW met2 ( 732720 378510 ) via2_FR
NEW met1 ( 732720 375365 ) M1M2_PR
NEW met1 ( 1022640 343915 ) M1M2_PR
NEW met2 ( 1022640 344470 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[0\] ( soc mgmt_in_data[0] ) ( gpio_control_bidir\[0\] mgmt_gpio_in )
+ ROUTED met3 ( 3202080 607170 ) ( 3202080 608650 0 )
NEW met3 ( 3202080 607170 ) ( 3238800 607170 )
NEW met2 ( 3238800 605875 ) ( 3238800 607170 )
NEW met2 ( 3372240 605320 ) ( 3372240 605875 )
NEW met3 ( 3372240 605320 ) ( 3373920 605320 0 )
NEW met1 ( 3238800 605875 ) ( 3372240 605875 )
NEW met2 ( 3238800 607170 ) via2_FR
NEW met1 ( 3238800 605875 ) M1M2_PR
NEW met1 ( 3372240 605875 ) M1M2_PR
NEW met2 ( 3372240 605320 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[10\] ( soc mgmt_out_data[10] ) ( soc mgmt_in_data[10] ) ( gpio_control_in\[10\] mgmt_gpio_out ) ( gpio_control_in\[10\] mgmt_gpio_in )
+ ROUTED met1 ( 3198960 1191585 ) ( 3249840 1191585 )
NEW met2 ( 3197760 1164390 0 ) ( 3198480 1164390 )
NEW met2 ( 3198480 1164390 ) ( 3198960 1164390 )
NEW met2 ( 3198960 1164390 ) ( 3198960 1191585 )
NEW met2 ( 3249840 1191585 ) ( 3249840 3067485 )
NEW met3 ( 3398880 3070260 0 ) ( 3398880 3072110 )
NEW met3 ( 3398640 3072110 ) ( 3398880 3072110 )
NEW met2 ( 3398640 3072110 ) ( 3398640 3075070 )
NEW met3 ( 3398640 3075070 ) ( 3398880 3075070 )
NEW met3 ( 3398880 3075070 ) ( 3398880 3078030 0 )
NEW met2 ( 3398640 3067485 ) ( 3398640 3072110 )
NEW met1 ( 3249840 3067485 ) ( 3398640 3067485 )
NEW met1 ( 1338960 256965 ) ( 1338960 258445 )
NEW met1 ( 1237680 256225 ) ( 1237680 256595 )
NEW met1 ( 1237680 256225 ) ( 1260240 256225 )
NEW met1 ( 1260240 256225 ) ( 1260240 256965 )
NEW met1 ( 1260240 256965 ) ( 1338960 256965 )
NEW met2 ( 1439760 258445 ) ( 1439760 258630 )
NEW met2 ( 1439760 258630 ) ( 1440240 258630 )
NEW met2 ( 1440240 258445 ) ( 1440240 258630 )
NEW met1 ( 1440240 258445 ) ( 1460400 258445 )
NEW met2 ( 1460400 257705 ) ( 1460400 258445 )
NEW met1 ( 1460400 257705 ) ( 1511760 257705 )
NEW met1 ( 1511760 257705 ) ( 1511760 258075 )
NEW met1 ( 1338960 258445 ) ( 1439760 258445 )
NEW met2 ( 1860240 257890 ) ( 1860240 258075 )
NEW met2 ( 1860240 257890 ) ( 1860720 257890 )
NEW met2 ( 1860720 257705 ) ( 1860720 257890 )
NEW met1 ( 1860720 257705 ) ( 1914960 257705 )
NEW met1 ( 1914960 257705 ) ( 1914960 258075 )
NEW met2 ( 2263440 257890 ) ( 2263440 258075 )
NEW met2 ( 2263440 257890 ) ( 2263920 257890 )
NEW met2 ( 2263920 257705 ) ( 2263920 257890 )
NEW met1 ( 2263920 257705 ) ( 2318160 257705 )
NEW met1 ( 2318160 257705 ) ( 2318160 258075 )
NEW met2 ( 2419440 258445 ) ( 2419440 259555 )
NEW met1 ( 2419440 259555 ) ( 2469360 259555 )
NEW met2 ( 2469360 257705 ) ( 2469360 259555 )
NEW met1 ( 2469360 257705 ) ( 2519760 257705 )
NEW met1 ( 2519760 257705 ) ( 2519760 258075 )
NEW met1 ( 2621040 257705 ) ( 2621040 258445 )
NEW met1 ( 2822640 257705 ) ( 2822640 258445 )
NEW met1 ( 1512240 257705 ) ( 1512240 258075 )
NEW met2 ( 1512240 257705 ) ( 1512720 257705 )
NEW met1 ( 1511760 258075 ) ( 1512240 258075 )
NEW met2 ( 1742160 258075 ) ( 1742160 258445 )
NEW met2 ( 1742160 258075 ) ( 1742640 258075 )
NEW met1 ( 1742640 258075 ) ( 1860240 258075 )
NEW met1 ( 1915440 257705 ) ( 1915440 258075 )
NEW met1 ( 1914960 258075 ) ( 1915440 258075 )
NEW met2 ( 2117040 258445 ) ( 2117040 259555 )
NEW met1 ( 2117040 259555 ) ( 2164080 259555 )
NEW met2 ( 2164080 258075 ) ( 2164080 259555 )
NEW met1 ( 2164080 258075 ) ( 2263440 258075 )
NEW met2 ( 2346960 258075 ) ( 2346960 258445 )
NEW met2 ( 2346960 258445 ) ( 2347920 258445 )
NEW met1 ( 2318160 258075 ) ( 2346960 258075 )
NEW met1 ( 2347920 258445 ) ( 2419440 258445 )
NEW met1 ( 2520240 257705 ) ( 2520240 258075 )
NEW met1 ( 2520240 257705 ) ( 2566320 257705 )
NEW met1 ( 2566320 257705 ) ( 2566320 258445 )
NEW met1 ( 2519760 258075 ) ( 2520240 258075 )
NEW met1 ( 2566320 258445 ) ( 2621040 258445 )
NEW met1 ( 2721840 257705 ) ( 2721840 258075 )
NEW met2 ( 2721840 258075 ) ( 2721840 259555 )
NEW met1 ( 2721840 259555 ) ( 2764080 259555 )
NEW met2 ( 2764080 258445 ) ( 2764080 259555 )
NEW met1 ( 2621040 257705 ) ( 2721840 257705 )
NEW met1 ( 2764080 258445 ) ( 2822640 258445 )
NEW met1 ( 2970000 257705 ) ( 2970000 258445 )
NEW met1 ( 2822640 257705 ) ( 2970000 257705 )
NEW met2 ( 3128880 258075 ) ( 3128880 259555 )
NEW met1 ( 3128880 259555 ) ( 3198480 259555 )
NEW met2 ( 3198480 259555 ) ( 3198480 1164390 )
NEW met2 ( 1613040 256965 ) ( 1613040 257705 )
NEW met1 ( 1613040 256965 ) ( 1649520 256965 )
NEW met1 ( 1649520 256965 ) ( 1649520 258445 )
NEW met1 ( 1512720 257705 ) ( 1613040 257705 )
NEW met1 ( 1649520 258445 ) ( 1742160 258445 )
NEW met2 ( 2015760 257705 ) ( 2015760 257890 )
NEW met2 ( 2015760 257890 ) ( 2016720 257890 )
NEW met2 ( 2016720 257890 ) ( 2016720 258445 )
NEW met1 ( 1915440 257705 ) ( 2015760 257705 )
NEW met1 ( 2016720 258445 ) ( 2117040 258445 )
NEW met2 ( 3052560 258445 ) ( 3052560 258630 )
NEW met2 ( 3052560 258630 ) ( 3053520 258630 )
NEW met2 ( 3053520 258075 ) ( 3053520 258630 )
NEW met1 ( 2970000 258445 ) ( 3052560 258445 )
NEW met1 ( 3053520 258075 ) ( 3128880 258075 )
NEW met2 ( 1137360 256595 ) ( 1137360 261775 )
NEW met1 ( 1056240 261775 ) ( 1137360 261775 )
NEW met2 ( 1056240 261775 ) ( 1056240 266770 )
NEW met2 ( 1056000 266770 ) ( 1056240 266770 )
NEW met2 ( 1056000 266770 ) ( 1056000 268250 0 )
NEW met1 ( 1137360 256595 ) ( 1237680 256595 )
NEW met1 ( 3198960 1191585 ) M1M2_PR
NEW met1 ( 3249840 1191585 ) M1M2_PR
NEW met1 ( 3249840 3067485 ) M1M2_PR
NEW met2 ( 3398640 3072110 ) via2_FR
NEW met2 ( 3398640 3075070 ) via2_FR
NEW met1 ( 3398640 3067485 ) M1M2_PR
NEW met1 ( 1439760 258445 ) M1M2_PR
NEW met1 ( 1440240 258445 ) M1M2_PR
NEW met1 ( 1460400 258445 ) M1M2_PR
NEW met1 ( 1460400 257705 ) M1M2_PR
NEW met1 ( 1860240 258075 ) M1M2_PR
NEW met1 ( 1860720 257705 ) M1M2_PR
NEW met1 ( 2263440 258075 ) M1M2_PR
NEW met1 ( 2263920 257705 ) M1M2_PR
NEW met1 ( 2419440 258445 ) M1M2_PR
NEW met1 ( 2419440 259555 ) M1M2_PR
NEW met1 ( 2469360 259555 ) M1M2_PR
NEW met1 ( 2469360 257705 ) M1M2_PR
NEW met1 ( 1512240 257705 ) M1M2_PR
NEW met1 ( 1512720 257705 ) M1M2_PR
NEW met1 ( 1742160 258445 ) M1M2_PR
NEW met1 ( 1742640 258075 ) M1M2_PR
NEW met1 ( 2117040 258445 ) M1M2_PR
NEW met1 ( 2117040 259555 ) M1M2_PR
NEW met1 ( 2164080 259555 ) M1M2_PR
NEW met1 ( 2164080 258075 ) M1M2_PR
NEW met1 ( 2346960 258075 ) M1M2_PR
NEW met1 ( 2347920 258445 ) M1M2_PR
NEW met1 ( 2721840 258075 ) M1M2_PR
NEW met1 ( 2721840 259555 ) M1M2_PR
NEW met1 ( 2764080 259555 ) M1M2_PR
NEW met1 ( 2764080 258445 ) M1M2_PR
NEW met1 ( 3128880 258075 ) M1M2_PR
NEW met1 ( 3128880 259555 ) M1M2_PR
NEW met1 ( 3198480 259555 ) M1M2_PR
NEW met1 ( 1613040 257705 ) M1M2_PR
NEW met1 ( 1613040 256965 ) M1M2_PR
NEW met1 ( 2015760 257705 ) M1M2_PR
NEW met1 ( 2016720 258445 ) M1M2_PR
NEW met1 ( 3052560 258445 ) M1M2_PR
NEW met1 ( 3053520 258075 ) M1M2_PR
NEW met1 ( 1137360 256595 ) M1M2_PR
NEW met1 ( 1137360 261775 ) M1M2_PR
NEW met1 ( 1056240 261775 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[11\] ( soc mgmt_out_data[11] ) ( soc mgmt_in_data[11] ) ( gpio_control_in\[11\] mgmt_gpio_out ) ( gpio_control_in\[11\] mgmt_gpio_in )
+ ROUTED met3 ( 3202080 1162170 ) ( 3202080 1163280 0 )
NEW met3 ( 3202080 1162170 ) ( 3228720 1162170 )
NEW met1 ( 3228720 1164575 ) ( 3249360 1164575 )
NEW met2 ( 3228720 1162170 ) ( 3228720 1164575 )
NEW met2 ( 3228720 258815 ) ( 3228720 1162170 )
NEW met2 ( 3249360 1164575 ) ( 3249360 3283565 )
NEW met3 ( 3398880 3295590 0 ) ( 3398880 3296330 )
NEW met3 ( 3398640 3296330 ) ( 3398880 3296330 )
NEW met2 ( 3398640 3296330 ) ( 3398640 3300030 )
NEW met3 ( 3398640 3300030 ) ( 3398880 3300030 )
NEW met3 ( 3398880 3300030 ) ( 3398880 3302990 0 )
NEW met2 ( 3398640 3283565 ) ( 3398640 3296330 )
NEW met1 ( 3249360 3283565 ) ( 3398640 3283565 )
NEW met2 ( 1083120 258815 ) ( 1083120 267695 )
NEW met1 ( 1057920 267695 ) ( 1083120 267695 )
NEW met2 ( 1057920 267695 ) ( 1057920 268250 0 )
NEW met1 ( 1083120 258815 ) ( 3228720 258815 )
NEW met1 ( 3228720 258815 ) M1M2_PR
NEW met1 ( 3249360 3283565 ) M1M2_PR
NEW met2 ( 3228720 1162170 ) via2_FR
NEW met1 ( 3249360 1164575 ) M1M2_PR
NEW met1 ( 3228720 1164575 ) M1M2_PR
NEW met2 ( 3398640 3296330 ) via2_FR
NEW met2 ( 3398640 3300030 ) via2_FR
NEW met1 ( 3398640 3283565 ) M1M2_PR
NEW met1 ( 1083120 258815 ) M1M2_PR
NEW met1 ( 1083120 267695 ) M1M2_PR
NEW met1 ( 1057920 267695 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[12\] ( soc mgmt_out_data[12] ) ( soc mgmt_in_data[12] ) ( gpio_control_in\[12\] mgmt_gpio_out ) ( gpio_control_in\[12\] mgmt_gpio_in )
+ ROUTED met2 ( 3197040 1188810 ) ( 3197040 1189735 )
NEW met1 ( 3197040 1189735 ) ( 3248880 1189735 )
NEW met2 ( 3248880 1189735 ) ( 3248880 3513705 )
NEW met3 ( 3398880 3521290 0 ) ( 3398880 3522770 )
NEW met3 ( 3398880 3522770 ) ( 3399120 3522770 )
NEW met2 ( 3399120 3522770 ) ( 3399120 3525730 )
NEW met3 ( 3398880 3525730 ) ( 3399120 3525730 )
NEW met3 ( 3398880 3525730 ) ( 3398880 3528690 0 )
NEW met2 ( 3399120 3513705 ) ( 3399120 3522770 )
NEW met2 ( 3196560 1188810 ) ( 3197040 1188810 )
NEW met2 ( 3195120 1167350 ) ( 3196080 1167350 0 )
NEW met3 ( 3193440 1167350 ) ( 3195120 1167350 )
NEW met3 ( 3193440 1166610 ) ( 3193440 1167350 )
NEW met3 ( 3188640 1166610 ) ( 3193440 1166610 )
NEW met2 ( 3196080 1167350 0 ) ( 3196560 1167350 )
NEW met4 ( 3188640 259370 ) ( 3188640 1166610 )
NEW met2 ( 3196560 1167350 ) ( 3196560 1188810 )
NEW met1 ( 3248880 3513705 ) ( 3399120 3513705 )
NEW met2 ( 1059600 259370 ) ( 1059600 268250 0 )
NEW met3 ( 1059600 259370 ) ( 3188640 259370 )
NEW met1 ( 3197040 1189735 ) M1M2_PR
NEW met1 ( 3248880 1189735 ) M1M2_PR
NEW met1 ( 3248880 3513705 ) M1M2_PR
NEW met2 ( 3399120 3522770 ) via2_FR
NEW met2 ( 3399120 3525730 ) via2_FR
NEW met1 ( 3399120 3513705 ) M1M2_PR
NEW met3 ( 3188640 259370 ) M3M4_PR_M
NEW met2 ( 3195120 1167350 ) via2_FR
NEW met3 ( 3188640 1166610 ) M3M4_PR_M
NEW met2 ( 1059600 259370 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[13\] ( soc mgmt_out_data[13] ) ( soc mgmt_in_data[13] ) ( gpio_control_in\[13\] mgmt_gpio_out ) ( gpio_control_in\[13\] mgmt_gpio_in )
+ ROUTED met3 ( 3201120 1160690 0 ) ( 3201120 1161430 )
NEW met3 ( 3201120 1161430 ) ( 3225840 1161430 )
NEW met2 ( 3225840 1161430 ) ( 3225840 1161615 )
NEW met1 ( 3225840 1161615 ) ( 3248400 1161615 )
NEW met2 ( 3227760 259185 ) ( 3227760 1161615 )
NEW met2 ( 3248400 1161615 ) ( 3248400 3744215 )
NEW met3 ( 3398880 3746250 0 ) ( 3398880 3747730 )
NEW met3 ( 3398880 3747730 ) ( 3399120 3747730 )
NEW met2 ( 3399120 3747730 ) ( 3399120 3750690 )
NEW met3 ( 3398880 3750690 ) ( 3399120 3750690 )
NEW met3 ( 3398880 3750690 ) ( 3398880 3753650 0 )
NEW met2 ( 3399120 3744215 ) ( 3399120 3747730 )
NEW met1 ( 3248400 3744215 ) ( 3399120 3744215 )
NEW met2 ( 1067280 259185 ) ( 1067280 260295 )
NEW met1 ( 1062000 260295 ) ( 1067280 260295 )
NEW met2 ( 1062000 260295 ) ( 1062000 268250 )
NEW met2 ( 1061520 268250 0 ) ( 1062000 268250 )
NEW met1 ( 1067280 259185 ) ( 3227760 259185 )
NEW met1 ( 3227760 259185 ) M1M2_PR
NEW met2 ( 3225840 1161430 ) via2_FR
NEW met1 ( 3225840 1161615 ) M1M2_PR
NEW met1 ( 3248400 1161615 ) M1M2_PR
NEW met1 ( 3227760 1161615 ) M1M2_PR
NEW met1 ( 3248400 3744215 ) M1M2_PR
NEW met2 ( 3399120 3747730 ) via2_FR
NEW met2 ( 3399120 3750690 ) via2_FR
NEW met1 ( 3399120 3744215 ) M1M2_PR
NEW met1 ( 1067280 259185 ) M1M2_PR
NEW met1 ( 1067280 260295 ) M1M2_PR
NEW met1 ( 1062000 260295 ) M1M2_PR
NEW met1 ( 3227760 1161615 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- mgmt_io_in\[14\] ( soc mgmt_out_data[14] ) ( soc mgmt_in_data[14] ) ( gpio_control_in\[14\] mgmt_gpio_out ) ( gpio_control_in\[14\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 4638320 0 ) ( 3398880 4640170 )
NEW met3 ( 3398880 4640170 ) ( 3399120 4640170 )
NEW met2 ( 3399120 4640170 ) ( 3399120 4643130 )
NEW met3 ( 3398880 4643130 ) ( 3399120 4643130 )
NEW met3 ( 3398880 4643130 ) ( 3398880 4645720 0 )
NEW met2 ( 3399120 4637025 ) ( 3399120 4640170 )
NEW met2 ( 3247920 1188995 ) ( 3247920 4637025 )
NEW met1 ( 3194640 1188995 ) ( 3247920 1188995 )
NEW met1 ( 3247920 4637025 ) ( 3399120 4637025 )
NEW met2 ( 3192720 1167350 ) ( 3194160 1167350 0 )
NEW met2 ( 3192720 1167350 ) ( 3192720 1168090 )
NEW met3 ( 3187680 1168090 ) ( 3192720 1168090 )
NEW met2 ( 3194160 1167350 0 ) ( 3194640 1167350 )
NEW met4 ( 3187680 260110 ) ( 3187680 1168090 )
NEW met2 ( 3194640 1167350 ) ( 3194640 1188995 )
NEW met2 ( 1063440 260110 ) ( 1063440 268250 0 )
NEW met3 ( 1063440 260110 ) ( 3187680 260110 )
NEW met1 ( 3247920 1188995 ) M1M2_PR
NEW met1 ( 3247920 4637025 ) M1M2_PR
NEW met2 ( 3399120 4640170 ) via2_FR
NEW met2 ( 3399120 4643130 ) via2_FR
NEW met1 ( 3399120 4637025 ) M1M2_PR
NEW met3 ( 3187680 260110 ) M3M4_PR_M
NEW met1 ( 3194640 1188995 ) M1M2_PR
NEW met2 ( 3192720 1168090 ) via2_FR
NEW met3 ( 3187680 1168090 ) M3M4_PR_M
NEW met2 ( 1063440 260110 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[15\] ( soc mgmt_out_data[15] ) ( soc mgmt_in_data[15] ) ( gpio_control_in\[15\] mgmt_gpio_out ) ( gpio_control_in\[15\] mgmt_gpio_in )
+ ROUTED met2 ( 3255120 1188255 ) ( 3255120 4931915 )
NEW met1 ( 2707440 1180855 ) ( 2746320 1180855 )
NEW met1 ( 2746320 1188255 ) ( 3255120 1188255 )
NEW met2 ( 2745120 1167350 0 ) ( 2746320 1167350 )
NEW met2 ( 2707440 1173270 ) ( 2707440 1180855 )
NEW met2 ( 2746320 1167350 ) ( 2746320 1188255 )
NEW met3 ( 2753760 4978350 ) ( 2753760 4979090 0 )
NEW met1 ( 2721360 4931915 ) ( 3255120 4931915 )
NEW met3 ( 2747040 4978350 ) ( 2747040 4979090 )
NEW met3 ( 2746080 4979090 0 ) ( 2747040 4979090 )
NEW met3 ( 2721360 4978350 ) ( 2747040 4978350 )
NEW met2 ( 2721360 4931915 ) ( 2721360 4978350 )
NEW met3 ( 2747040 4978350 ) ( 2753760 4978350 )
NEW met4 ( 1054560 714285 ) ( 1055520 714285 )
NEW met4 ( 1055520 667665 ) ( 1055520 714285 )
NEW met4 ( 1055520 667665 ) ( 1058400 667665 )
NEW met2 ( 1065360 267510 ) ( 1065360 268250 0 )
NEW met3 ( 1065120 267510 ) ( 1065360 267510 )
NEW met4 ( 1065120 267510 ) ( 1065120 274725 )
NEW met4 ( 1065120 274725 ) ( 1065360 274725 )
NEW met4 ( 1065360 274725 ) ( 1065360 281385 )
NEW met4 ( 1065120 281385 ) ( 1065360 281385 )
NEW met3 ( 1050960 595330 ) ( 1055520 595330 )
NEW met4 ( 1055520 595330 ) ( 1055520 617715 )
NEW met4 ( 1055520 617715 ) ( 1058400 617715 )
NEW met4 ( 1058400 617715 ) ( 1058400 667665 )
NEW met4 ( 1056480 1093905 ) ( 1057440 1093905 )
NEW met4 ( 1056480 1037295 ) ( 1056480 1093905 )
NEW met4 ( 1056480 1037295 ) ( 1058400 1037295 )
NEW met4 ( 1057440 1093905 ) ( 1057440 1173270 )
NEW met3 ( 1057440 1173270 ) ( 2707440 1173270 )
NEW met4 ( 1057440 887445 ) ( 1058400 887445 )
NEW met4 ( 1058400 887445 ) ( 1058400 1037295 )
NEW met4 ( 1054560 817515 ) ( 1057440 817515 )
NEW met4 ( 1054560 714285 ) ( 1054560 817515 )
NEW met4 ( 1057440 817515 ) ( 1057440 887445 )
NEW met3 ( 1050960 471010 ) ( 1055520 471010 )
NEW met4 ( 1055520 471010 ) ( 1059360 471010 )
NEW met4 ( 1059360 461205 ) ( 1059360 471010 )
NEW met4 ( 1059360 461205 ) ( 1065120 461205 )
NEW met2 ( 1050960 471010 ) ( 1050960 595330 )
NEW met4 ( 1065120 281385 ) ( 1065120 461205 )
NEW met1 ( 3255120 1188255 ) M1M2_PR
NEW met1 ( 3255120 4931915 ) M1M2_PR
NEW met1 ( 2746320 1188255 ) M1M2_PR
NEW met1 ( 2707440 1180855 ) M1M2_PR
NEW met1 ( 2746320 1180855 ) M1M2_PR
NEW met2 ( 2707440 1173270 ) via2_FR
NEW met1 ( 2721360 4931915 ) M1M2_PR
NEW met2 ( 2721360 4978350 ) via2_FR
NEW met2 ( 1065360 267510 ) via2_FR
NEW met3 ( 1065120 267510 ) M3M4_PR_M
NEW met2 ( 1050960 595330 ) via2_FR
NEW met3 ( 1055520 595330 ) M3M4_PR_M
NEW met3 ( 1057440 1173270 ) M3M4_PR_M
NEW met2 ( 1050960 471010 ) via2_FR
NEW met3 ( 1055520 471010 ) M3M4_PR_M
NEW met2 ( 2746320 1180855 ) RECT ( -70 0 70 485 )
NEW met3 ( 1065360 267510 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mgmt_io_in\[16\] ( soc mgmt_out_data[16] ) ( soc mgmt_in_data[16] ) ( gpio_control_in\[16\] mgmt_gpio_out ) ( gpio_control_in\[16\] mgmt_gpio_in )
+ ROUTED met2 ( 2487600 1167350 0 ) ( 2487600 1183630 )
NEW met1 ( 3213360 4967435 ) ( 3247440 4967435 )
NEW met2 ( 3247440 1389535 ) ( 3247440 4967435 )
NEW met2 ( 2578800 4976315 ) ( 2578800 5018865 )
NEW met2 ( 3213360 4967435 ) ( 3213360 4976315 )
NEW met2 ( 2849520 1331815 ) ( 2849520 1389535 )
NEW met3 ( 1049040 1183630 ) ( 2487600 1183630 )
NEW met1 ( 2490960 5018865 ) ( 2578800 5018865 )
NEW met1 ( 2849520 1389535 ) ( 3247440 1389535 )
NEW met1 ( 2578800 4976315 ) ( 3213360 4976315 )
NEW met1 ( 2487600 1258185 ) ( 2508240 1258185 )
NEW met2 ( 2508240 1258185 ) ( 2508240 1331815 )
NEW met2 ( 2487600 1183630 ) ( 2487600 1258185 )
NEW met1 ( 2508240 1331815 ) ( 2849520 1331815 )
NEW met3 ( 2489280 5098970 0 ) ( 2489280 5099710 )
NEW met3 ( 2489280 5099710 ) ( 2497440 5099710 )
NEW met3 ( 2497440 5098970 ) ( 2497440 5099710 )
NEW met3 ( 2496480 5098970 0 ) ( 2497440 5098970 )
NEW met3 ( 2489280 5025710 0 ) ( 2490960 5025710 )
NEW met3 ( 2489280 5025710 0 ) ( 2489280 5098970 0 )
NEW met2 ( 2490960 5018865 ) ( 2490960 5025710 )
NEW met2 ( 1049040 265845 ) ( 1049040 1183630 )
NEW met2 ( 1066800 265845 ) ( 1066800 266955 )
NEW met2 ( 1066800 266955 ) ( 1067040 266955 )
NEW met2 ( 1067040 266955 ) ( 1067040 268250 0 )
NEW met1 ( 1049040 265845 ) ( 1066800 265845 )
NEW met2 ( 2487600 1183630 ) via2_FR
NEW met1 ( 3247440 1389535 ) M1M2_PR
NEW met1 ( 3213360 4967435 ) M1M2_PR
NEW met1 ( 3247440 4967435 ) M1M2_PR
NEW met1 ( 2578800 5018865 ) M1M2_PR
NEW met1 ( 2578800 4976315 ) M1M2_PR
NEW met1 ( 2849520 1389535 ) M1M2_PR
NEW met1 ( 3213360 4976315 ) M1M2_PR
NEW met1 ( 2849520 1331815 ) M1M2_PR
NEW met2 ( 1049040 1183630 ) via2_FR
NEW met1 ( 2490960 5018865 ) M1M2_PR
NEW met1 ( 2487600 1258185 ) M1M2_PR
NEW met1 ( 2508240 1258185 ) M1M2_PR
NEW met1 ( 2508240 1331815 ) M1M2_PR
NEW met2 ( 2490960 5025710 ) via2_FR
NEW met1 ( 1049040 265845 ) M1M2_PR
NEW met1 ( 1066800 265845 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[17\] ( soc mgmt_out_data[17] ) ( soc mgmt_in_data[17] ) ( gpio_control_in\[17\] mgmt_gpio_out ) ( gpio_control_in\[17\] mgmt_gpio_in )
+ ROUTED met2 ( 3041040 1187885 ) ( 3041040 1224145 )
NEW met1 ( 3041040 1224145 ) ( 3067440 1224145 )
NEW met2 ( 3067440 1224145 ) ( 3067440 1245605 )
NEW met2 ( 3246960 1346245 ) ( 3246960 4917485 )
NEW met1 ( 2103120 1187885 ) ( 3041040 1187885 )
NEW met2 ( 3126000 1245605 ) ( 3126000 1267065 )
NEW met1 ( 3126000 1267065 ) ( 3139440 1267065 )
NEW met1 ( 3139440 1267065 ) ( 3139440 1267435 )
NEW met1 ( 3139440 1267435 ) ( 3160560 1267435 )
NEW met1 ( 3067440 1245605 ) ( 3126000 1245605 )
NEW met2 ( 2103120 1167350 0 ) ( 2103120 1187885 )
NEW met2 ( 3160560 1267435 ) ( 3160560 1346245 )
NEW met1 ( 3160560 1346245 ) ( 3246960 1346245 )
NEW met1 ( 2145840 4917485 ) ( 3246960 4917485 )
NEW met2 ( 2145840 4917485 ) ( 2145840 4996665 )
NEW met3 ( 1048560 1182890 ) ( 1058400 1182890 )
NEW met3 ( 1058400 1182150 ) ( 1058400 1182890 )
NEW met3 ( 1058400 1182150 ) ( 2103120 1182150 )
NEW met2 ( 1068720 268250 ) ( 1068960 268250 0 )
NEW met2 ( 1068720 258815 ) ( 1068720 268250 )
NEW met1 ( 1048560 258815 ) ( 1068720 258815 )
NEW met2 ( 1048560 258815 ) ( 1048560 1182890 )
NEW met3 ( 2111520 4979090 0 ) ( 2112480 4979090 )
NEW met3 ( 2112480 4978350 ) ( 2112480 4979090 )
NEW met3 ( 2104320 4978350 ) ( 2112480 4978350 )
NEW met3 ( 2104320 4978350 ) ( 2104320 4979090 0 )
NEW met2 ( 2112720 4979090 ) ( 2112720 4996665 )
NEW met3 ( 2112480 4979090 ) ( 2112720 4979090 )
NEW met1 ( 2112720 4996665 ) ( 2145840 4996665 )
NEW met1 ( 3041040 1187885 ) M1M2_PR
NEW met1 ( 3041040 1224145 ) M1M2_PR
NEW met1 ( 3067440 1224145 ) M1M2_PR
NEW met1 ( 3067440 1245605 ) M1M2_PR
NEW met1 ( 3246960 1346245 ) M1M2_PR
NEW met1 ( 3246960 4917485 ) M1M2_PR
NEW met1 ( 2103120 1187885 ) M1M2_PR
NEW met2 ( 2103120 1182150 ) via2_FR
NEW met1 ( 3126000 1245605 ) M1M2_PR
NEW met1 ( 3126000 1267065 ) M1M2_PR
NEW met1 ( 3160560 1267435 ) M1M2_PR
NEW met1 ( 2145840 4917485 ) M1M2_PR
NEW met1 ( 3160560 1346245 ) M1M2_PR
NEW met1 ( 2145840 4996665 ) M1M2_PR
NEW met2 ( 1048560 1182890 ) via2_FR
NEW met1 ( 1068720 258815 ) M1M2_PR
NEW met1 ( 1048560 258815 ) M1M2_PR
NEW met1 ( 2112720 4996665 ) M1M2_PR
NEW met2 ( 2112720 4979090 ) via2_FR
NEW met2 ( 2103120 1182150 ) RECT ( -70 -485 70 0 )
NEW met3 ( 2112720 4979090 ) RECT ( 0 -150 560 150 )
+ USE SIGNAL ;
- mgmt_io_in\[18\] ( soc mgmt_out_data[18] ) ( soc mgmt_in_data[18] ) ( gpio_control_in\[18\] mgmt_gpio_out ) ( gpio_control_in\[18\] mgmt_gpio_in )
+ ROUTED met1 ( 957360 1262255 ) ( 966480 1262255 )
NEW met1 ( 324240 4920815 ) ( 345360 4920815 )
NEW met2 ( 345360 4920815 ) ( 345360 4939870 )
NEW met2 ( 345360 4939870 ) ( 345840 4939870 )
NEW met2 ( 345840 4939870 ) ( 345840 4975575 )
NEW met2 ( 324240 1389535 ) ( 324240 4920815 )
NEW met1 ( 966480 1224145 ) ( 979440 1224145 )
NEW met1 ( 979440 1223775 ) ( 979440 1224145 )
NEW met1 ( 979440 1223775 ) ( 994800 1223775 )
NEW met2 ( 994800 1199910 ) ( 994800 1223775 )
NEW met2 ( 966480 1224145 ) ( 966480 1262255 )
NEW met2 ( 957360 1262255 ) ( 957360 1389535 )
NEW met2 ( 1757040 1193435 ) ( 1757040 1195470 )
NEW met1 ( 1757040 1193435 ) ( 1794480 1193435 )
NEW met2 ( 1794480 1167350 ) ( 1794480 1193435 )
NEW met2 ( 1794480 1167350 ) ( 1795680 1167350 0 )
NEW met1 ( 324240 1389535 ) ( 957360 1389535 )
NEW met2 ( 1043760 1195470 ) ( 1043760 1199910 )
NEW met3 ( 994800 1199910 ) ( 1043760 1199910 )
NEW met2 ( 1512240 4975575 ) ( 1512240 4988895 )
NEW met1 ( 345840 4975575 ) ( 1512240 4975575 )
NEW met3 ( 1043760 1195470 ) ( 1757040 1195470 )
NEW met2 ( 1070640 258445 ) ( 1070640 268250 0 )
NEW met1 ( 1049520 258445 ) ( 1070640 258445 )
NEW met2 ( 1049520 258445 ) ( 1049520 1195470 )
NEW met3 ( 1767360 4978350 ) ( 1767360 4979090 0 )
NEW met3 ( 1767360 4978350 ) ( 1775520 4978350 )
NEW met3 ( 1775520 4978350 ) ( 1775520 4979090 )
NEW met3 ( 1774560 4979090 0 ) ( 1775520 4979090 )
NEW met2 ( 1743600 4979090 ) ( 1743600 4988895 )
NEW met3 ( 1743600 4979090 ) ( 1767360 4979090 0 )
NEW met1 ( 1512240 4988895 ) ( 1743600 4988895 )
NEW met1 ( 324240 1389535 ) M1M2_PR
NEW met1 ( 957360 1262255 ) M1M2_PR
NEW met1 ( 966480 1262255 ) M1M2_PR
NEW met1 ( 324240 4920815 ) M1M2_PR
NEW met1 ( 345360 4920815 ) M1M2_PR
NEW met1 ( 345840 4975575 ) M1M2_PR
NEW met1 ( 966480 1224145 ) M1M2_PR
NEW met1 ( 994800 1223775 ) M1M2_PR
NEW met2 ( 994800 1199910 ) via2_FR
NEW met1 ( 957360 1389535 ) M1M2_PR
NEW met2 ( 1757040 1195470 ) via2_FR
NEW met1 ( 1757040 1193435 ) M1M2_PR
NEW met1 ( 1794480 1193435 ) M1M2_PR
NEW met2 ( 1043760 1199910 ) via2_FR
NEW met2 ( 1043760 1195470 ) via2_FR
NEW met2 ( 1049520 1195470 ) via2_FR
NEW met1 ( 1512240 4975575 ) M1M2_PR
NEW met1 ( 1512240 4988895 ) M1M2_PR
NEW met1 ( 1070640 258445 ) M1M2_PR
NEW met1 ( 1049520 258445 ) M1M2_PR
NEW met1 ( 1743600 4988895 ) M1M2_PR
NEW met2 ( 1743600 4979090 ) via2_FR
NEW met3 ( 1049520 1195470 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[19\] ( soc mgmt_out_data[19] ) ( soc mgmt_in_data[19] ) ( gpio_control_in\[19\] mgmt_gpio_out ) ( gpio_control_in\[19\] mgmt_gpio_in )
+ ROUTED met1 ( 438960 1303695 ) ( 466800 1303695 )
NEW met2 ( 438960 1303695 ) ( 438960 1318125 )
NEW met1 ( 374640 1338845 ) ( 389040 1338845 )
NEW met2 ( 389040 1318125 ) ( 389040 1338845 )
NEW met1 ( 389040 1318125 ) ( 438960 1318125 )
NEW met2 ( 466800 1281495 ) ( 466800 1303695 )
NEW met2 ( 1389840 4917485 ) ( 1389840 4925625 )
NEW met1 ( 1389840 4925625 ) ( 1440240 4925625 )
NEW met2 ( 1440240 4925625 ) ( 1440240 4943385 )
NEW met2 ( 489360 1252450 ) ( 489360 1281495 )
NEW met2 ( 489360 1252450 ) ( 489840 1252450 )
NEW met1 ( 466800 1281495 ) ( 489360 1281495 )
NEW met2 ( 723120 1202315 ) ( 723120 1223775 )
NEW met2 ( 325200 1339215 ) ( 325200 4917485 )
NEW met1 ( 325200 4917485 ) ( 1389840 4917485 )
NEW met1 ( 1440240 4943385 ) ( 1495920 4943385 )
NEW met1 ( 325200 1339215 ) ( 374640 1339215 )
NEW met1 ( 374640 1338845 ) ( 374640 1339215 )
NEW met1 ( 546960 1223775 ) ( 546960 1224145 )
NEW met1 ( 546960 1223775 ) ( 723120 1223775 )
NEW met2 ( 813840 1188070 ) ( 813840 1202315 )
NEW met1 ( 723120 1202315 ) ( 813840 1202315 )
NEW met2 ( 1796400 1167350 ) ( 1796400 1182890 )
NEW met2 ( 1796400 1167350 ) ( 1797600 1167350 0 )
NEW met2 ( 489840 1224145 ) ( 489840 1252450 )
NEW met1 ( 489840 1224145 ) ( 546960 1224145 )
NEW met4 ( 1065120 1182890 ) ( 1065120 1188070 )
NEW met3 ( 813840 1188070 ) ( 1065120 1188070 )
NEW met3 ( 1515360 4978350 ) ( 1515360 4979090 0 )
NEW met3 ( 1515360 4978350 ) ( 1520160 4978350 )
NEW met3 ( 1520160 4978350 ) ( 1520160 4979090 )
NEW met3 ( 1520160 4979090 ) ( 1523040 4979090 0 )
NEW met3 ( 1495920 4978350 ) ( 1515360 4978350 )
NEW met2 ( 1495920 4943385 ) ( 1495920 4978350 )
NEW met3 ( 1065120 1182890 ) ( 1796400 1182890 )
NEW met2 ( 1072080 271210 ) ( 1072560 271210 0 )
NEW met3 ( 1063200 271210 ) ( 1072080 271210 )
NEW met4 ( 1063200 301365 ) ( 1064160 301365 )
NEW met4 ( 1063200 271210 ) ( 1063200 301365 )
NEW met3 ( 1062240 1168090 ) ( 1065120 1168090 )
NEW met4 ( 1065120 1168090 ) ( 1065120 1182890 )
NEW met3 ( 1051680 612350 ) ( 1054560 612350 )
NEW met3 ( 1051680 758130 ) ( 1055520 758130 )
NEW met4 ( 1055520 758130 ) ( 1058400 758130 )
NEW met4 ( 1051680 612350 ) ( 1051680 758130 )
NEW met3 ( 1051680 1164390 ) ( 1062240 1164390 )
NEW met4 ( 1062240 1164390 ) ( 1062240 1168090 )
NEW met3 ( 1050720 533910 ) ( 1054560 533910 )
NEW met4 ( 1054560 533910 ) ( 1054560 612350 )
NEW met3 ( 1051680 1003070 ) ( 1055520 1003070 )
NEW met4 ( 1055520 1000665 ) ( 1055520 1003070 )
NEW met4 ( 1055520 1000665 ) ( 1056480 1000665 )
NEW met4 ( 1051680 1003070 ) ( 1051680 1164390 )
NEW met4 ( 1053600 862470 ) ( 1056480 862470 )
NEW met4 ( 1053600 764050 ) ( 1053600 862470 )
NEW met3 ( 1053600 764050 ) ( 1054560 764050 )
NEW met3 ( 1054560 763310 ) ( 1054560 764050 )
NEW met3 ( 1054560 763310 ) ( 1055520 763310 )
NEW met4 ( 1055520 763310 ) ( 1058400 763310 )
NEW met4 ( 1056480 862470 ) ( 1056480 1000665 )
NEW met4 ( 1058400 758130 ) ( 1058400 763310 )
NEW met3 ( 1050720 465830 ) ( 1055520 465830 )
NEW met4 ( 1055520 465830 ) ( 1056480 465830 )
NEW met4 ( 1056480 457875 ) ( 1056480 465830 )
NEW met4 ( 1056480 457875 ) ( 1064160 457875 )
NEW met4 ( 1050720 465830 ) ( 1050720 533910 )
NEW met4 ( 1064160 301365 ) ( 1064160 457875 )
NEW met1 ( 438960 1303695 ) M1M2_PR
NEW met1 ( 466800 1303695 ) M1M2_PR
NEW met1 ( 438960 1318125 ) M1M2_PR
NEW met1 ( 389040 1338845 ) M1M2_PR
NEW met1 ( 389040 1318125 ) M1M2_PR
NEW met1 ( 466800 1281495 ) M1M2_PR
NEW met1 ( 1389840 4917485 ) M1M2_PR
NEW met1 ( 1389840 4925625 ) M1M2_PR
NEW met1 ( 1440240 4925625 ) M1M2_PR
NEW met1 ( 1440240 4943385 ) M1M2_PR
NEW met1 ( 489360 1281495 ) M1M2_PR
NEW met1 ( 723120 1223775 ) M1M2_PR
NEW met1 ( 723120 1202315 ) M1M2_PR
NEW met1 ( 325200 1339215 ) M1M2_PR
NEW met1 ( 325200 4917485 ) M1M2_PR
NEW met1 ( 1495920 4943385 ) M1M2_PR
NEW met2 ( 813840 1188070 ) via2_FR
NEW met1 ( 813840 1202315 ) M1M2_PR
NEW met2 ( 1796400 1182890 ) via2_FR
NEW met1 ( 489840 1224145 ) M1M2_PR
NEW met3 ( 1065120 1188070 ) M3M4_PR_M
NEW met3 ( 1065120 1182890 ) M3M4_PR_M
NEW met2 ( 1495920 4978350 ) via2_FR
NEW met2 ( 1072080 271210 ) via2_FR
NEW met3 ( 1063200 271210 ) M3M4_PR_M
NEW met3 ( 1050720 533910 ) M3M4_PR_M
NEW met3 ( 1062240 1168090 ) M3M4_PR_M
NEW met3 ( 1065120 1168090 ) M3M4_PR_M
NEW met3 ( 1054560 612350 ) M3M4_PR_M
NEW met3 ( 1051680 612350 ) M3M4_PR_M
NEW met3 ( 1051680 758130 ) M3M4_PR_M
NEW met3 ( 1055520 758130 ) M3M4_PR_M
NEW met3 ( 1051680 1164390 ) M3M4_PR_M
NEW met3 ( 1062240 1164390 ) M3M4_PR_M
NEW met3 ( 1054560 533910 ) M3M4_PR_M
NEW met3 ( 1051680 1003070 ) M3M4_PR_M
NEW met3 ( 1055520 1003070 ) M3M4_PR_M
NEW met3 ( 1053600 764050 ) M3M4_PR_M
NEW met3 ( 1055520 763310 ) M3M4_PR_M
NEW met3 ( 1050720 465830 ) M3M4_PR_M
NEW met3 ( 1055520 465830 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_io_in\[1\] ( soc mgmt_in_data[1] ) ( gpio_control_bidir\[1\] mgmt_gpio_in )
+ ROUTED met3 ( 3202080 831390 0 ) ( 3239760 831390 )
NEW met2 ( 3239760 820845 ) ( 3239760 831390 )
NEW met2 ( 3373680 820845 ) ( 3373680 828430 )
NEW met3 ( 3373680 828430 ) ( 3373920 828430 )
NEW met3 ( 3373920 828430 ) ( 3373920 831390 0 )
NEW met1 ( 3239760 820845 ) ( 3373680 820845 )
NEW met2 ( 3239760 831390 ) via2_FR
NEW met1 ( 3239760 820845 ) M1M2_PR
NEW met1 ( 3373680 820845 ) M1M2_PR
NEW met2 ( 3373680 828430 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[20\] ( soc mgmt_out_data[20] ) ( soc mgmt_in_data[20] ) ( gpio_control_in\[20\] mgmt_gpio_out ) ( gpio_control_in\[20\] mgmt_gpio_in )
+ ROUTED met1 ( 409200 1324785 ) ( 453360 1324785 )
NEW met2 ( 453360 1303325 ) ( 453360 1324785 )
NEW met2 ( 1237680 4938945 ) ( 1237680 4979830 )
NEW met1 ( 1223760 4938945 ) ( 1237680 4938945 )
NEW met2 ( 1223760 4917855 ) ( 1223760 4938945 )
NEW met2 ( 714960 1194915 ) ( 714960 1223405 )
NEW met2 ( 324720 1392865 ) ( 324720 4917855 )
NEW met1 ( 453360 1303325 ) ( 512880 1303325 )
NEW met1 ( 324720 4917855 ) ( 1223760 4917855 )
NEW met2 ( 338160 1353645 ) ( 338160 1392865 )
NEW met1 ( 338160 1353645 ) ( 345840 1353645 )
NEW met1 ( 345840 1353275 ) ( 345840 1353645 )
NEW met1 ( 345840 1353275 ) ( 409200 1353275 )
NEW met1 ( 324720 1392865 ) ( 338160 1392865 )
NEW met2 ( 409200 1324785 ) ( 409200 1353275 )
NEW met2 ( 512880 1223405 ) ( 512880 1303325 )
NEW met1 ( 512880 1223405 ) ( 714960 1223405 )
NEW met3 ( 1257120 4979090 0 ) ( 1259040 4979090 )
NEW met3 ( 1259040 4978350 ) ( 1259040 4979090 )
NEW met3 ( 1259040 4978350 ) ( 1264800 4978350 )
NEW met3 ( 1264800 4978350 ) ( 1264800 4979090 0 )
NEW met3 ( 1257120 4979090 0 ) ( 1257120 4979830 0 )
NEW met3 ( 1237680 4979830 ) ( 1257120 4979830 0 )
NEW met3 ( 1052640 619750 ) ( 1055520 619750 )
NEW met4 ( 1051680 814185 ) ( 1052640 814185 )
NEW met4 ( 1051680 814185 ) ( 1051680 862470 )
NEW met3 ( 1051680 862470 ) ( 1055520 862470 )
NEW met3 ( 1055520 862470 ) ( 1055520 863950 )
NEW met3 ( 1052640 663410 ) ( 1055520 663410 )
NEW met4 ( 1052640 663410 ) ( 1052640 814185 )
NEW met4 ( 1055520 619750 ) ( 1055520 663410 )
NEW met3 ( 1052640 1115550 ) ( 1055520 1115550 )
NEW met2 ( 1074000 270470 ) ( 1074480 270470 0 )
NEW met3 ( 1056480 270470 ) ( 1074000 270470 )
NEW met4 ( 1056480 270470 ) ( 1056480 298035 )
NEW met4 ( 1055520 298035 ) ( 1056480 298035 )
NEW met2 ( 1083600 1167350 0 ) ( 1083600 1194915 )
NEW met3 ( 1055280 1168090 ) ( 1055520 1168090 )
NEW met2 ( 1055280 1168090 ) ( 1055280 1194915 )
NEW met1 ( 714960 1194915 ) ( 1083600 1194915 )
NEW met4 ( 1055520 1115550 ) ( 1055520 1168090 )
NEW met3 ( 1052640 994190 ) ( 1052640 994930 )
NEW met3 ( 1052640 994190 ) ( 1055520 994190 )
NEW met4 ( 1052640 994930 ) ( 1052640 1115550 )
NEW met4 ( 1055520 863950 ) ( 1055520 994190 )
NEW met3 ( 1052640 405890 ) ( 1055520 405890 )
NEW met4 ( 1052640 405890 ) ( 1052640 619750 )
NEW met4 ( 1055520 298035 ) ( 1055520 405890 )
NEW met1 ( 453360 1303325 ) M1M2_PR
NEW met1 ( 409200 1324785 ) M1M2_PR
NEW met1 ( 453360 1324785 ) M1M2_PR
NEW met2 ( 1237680 4979830 ) via2_FR
NEW met1 ( 1237680 4938945 ) M1M2_PR
NEW met1 ( 1223760 4938945 ) M1M2_PR
NEW met1 ( 1223760 4917855 ) M1M2_PR
NEW met1 ( 324720 1392865 ) M1M2_PR
NEW met1 ( 714960 1223405 ) M1M2_PR
NEW met1 ( 714960 1194915 ) M1M2_PR
NEW met1 ( 324720 4917855 ) M1M2_PR
NEW met1 ( 512880 1303325 ) M1M2_PR
NEW met1 ( 338160 1392865 ) M1M2_PR
NEW met1 ( 338160 1353645 ) M1M2_PR
NEW met1 ( 409200 1353275 ) M1M2_PR
NEW met1 ( 512880 1223405 ) M1M2_PR
NEW met3 ( 1052640 619750 ) M3M4_PR_M
NEW met3 ( 1055520 619750 ) M3M4_PR_M
NEW met3 ( 1051680 862470 ) M3M4_PR_M
NEW met3 ( 1055520 863950 ) M3M4_PR_M
NEW met3 ( 1052640 663410 ) M3M4_PR_M
NEW met3 ( 1055520 663410 ) M3M4_PR_M
NEW met3 ( 1052640 1115550 ) M3M4_PR_M
NEW met3 ( 1055520 1115550 ) M3M4_PR_M
NEW met2 ( 1074000 270470 ) via2_FR
NEW met3 ( 1056480 270470 ) M3M4_PR_M
NEW met1 ( 1083600 1194915 ) M1M2_PR
NEW met3 ( 1055520 1168090 ) M3M4_PR_M
NEW met2 ( 1055280 1168090 ) via2_FR
NEW met1 ( 1055280 1194915 ) M1M2_PR
NEW met3 ( 1052640 994930 ) M3M4_PR_M
NEW met3 ( 1055520 994190 ) M3M4_PR_M
NEW met3 ( 1052640 405890 ) M3M4_PR_M
NEW met3 ( 1055520 405890 ) M3M4_PR_M
NEW met3 ( 1055520 1168090 ) RECT ( 0 -150 380 150 )
NEW met1 ( 1055280 1194915 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- mgmt_io_in\[21\] ( soc mgmt_out_data[21] ) ( soc mgmt_in_data[21] ) ( gpio_control_in\[21\] mgmt_gpio_out ) ( gpio_control_in\[21\] mgmt_gpio_in )
+ ROUTED met1 ( 326160 4931915 ) ( 975600 4931915 )
NEW met3 ( 533280 1230990 ) ( 533280 1231730 )
NEW met3 ( 939360 1225810 ) ( 939360 1226550 )
NEW met2 ( 326160 1229510 ) ( 326160 4931915 )
NEW met4 ( 1062240 980685 ) ( 1065120 980685 )
NEW met3 ( 1051680 1181410 ) ( 1054800 1181410 )
NEW met4 ( 1051680 1181410 ) ( 1051680 1225810 )
NEW met3 ( 939360 1225810 ) ( 1051680 1225810 )
NEW met2 ( 1076400 266770 ) ( 1076400 268250 0 )
NEW met3 ( 1062240 266770 ) ( 1076400 266770 )
NEW met3 ( 438240 1229510 ) ( 438240 1231730 )
NEW met3 ( 326160 1229510 ) ( 438240 1229510 )
NEW met3 ( 438240 1231730 ) ( 533280 1231730 )
NEW met2 ( 858960 1226550 ) ( 858960 1230990 )
NEW met3 ( 533280 1230990 ) ( 858960 1230990 )
NEW met3 ( 858960 1226550 ) ( 939360 1226550 )
NEW met3 ( 1000320 4978350 ) ( 1000320 4979090 0 )
NEW met3 ( 1000320 4978350 ) ( 1004640 4978350 )
NEW met3 ( 1004640 4978350 ) ( 1004640 4979830 )
NEW met3 ( 1004640 4979830 ) ( 1007520 4979830 0 )
NEW met3 ( 975600 4978350 ) ( 1000320 4978350 )
NEW met2 ( 975600 4931915 ) ( 975600 4978350 )
NEW met2 ( 1056000 1167350 0 ) ( 1057200 1167350 )
NEW met3 ( 1057200 1167350 ) ( 1065120 1167350 )
NEW met2 ( 1054800 1167350 ) ( 1056000 1167350 0 )
NEW met2 ( 1054800 1167350 ) ( 1054800 1181410 )
NEW met4 ( 1065120 980685 ) ( 1065120 1167350 )
NEW met4 ( 1062240 584415 ) ( 1064160 584415 )
NEW met4 ( 1064160 714285 ) ( 1065120 714285 )
NEW met4 ( 1064160 584415 ) ( 1064160 714285 )
NEW met4 ( 1062240 927405 ) ( 1065120 927405 )
NEW met4 ( 1062240 927405 ) ( 1062240 980685 )
NEW met4 ( 1065120 714285 ) ( 1065120 927405 )
NEW met4 ( 1057440 421245 ) ( 1061280 421245 )
NEW met4 ( 1061280 417915 ) ( 1061280 421245 )
NEW met4 ( 1061280 417915 ) ( 1062240 417915 )
NEW met4 ( 1062240 266770 ) ( 1062240 417915 )
NEW met4 ( 1055520 451215 ) ( 1057440 451215 )
NEW met4 ( 1055520 451215 ) ( 1055520 465090 )
NEW met3 ( 1051680 465090 ) ( 1055520 465090 )
NEW met4 ( 1051680 465090 ) ( 1051680 479150 )
NEW met3 ( 1051680 479150 ) ( 1055520 479150 )
NEW met4 ( 1055520 479150 ) ( 1055520 481185 )
NEW met4 ( 1055520 481185 ) ( 1062240 481185 )
NEW met4 ( 1057440 421245 ) ( 1057440 451215 )
NEW met4 ( 1062240 481185 ) ( 1062240 584415 )
NEW met1 ( 326160 4931915 ) M1M2_PR
NEW met1 ( 975600 4931915 ) M1M2_PR
NEW met2 ( 326160 1229510 ) via2_FR
NEW met2 ( 1054800 1181410 ) via2_FR
NEW met3 ( 1051680 1181410 ) M3M4_PR_M
NEW met3 ( 1051680 1225810 ) M3M4_PR_M
NEW met2 ( 1076400 266770 ) via2_FR
NEW met3 ( 1062240 266770 ) M3M4_PR_M
NEW met2 ( 858960 1230990 ) via2_FR
NEW met2 ( 858960 1226550 ) via2_FR
NEW met2 ( 975600 4978350 ) via2_FR
NEW met2 ( 1057200 1167350 ) via2_FR
NEW met3 ( 1065120 1167350 ) M3M4_PR_M
NEW met3 ( 1055520 465090 ) M3M4_PR_M
NEW met3 ( 1051680 465090 ) M3M4_PR_M
NEW met3 ( 1051680 479150 ) M3M4_PR_M
NEW met3 ( 1055520 479150 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_io_in\[22\] ( soc mgmt_out_data[22] ) ( soc mgmt_in_data[22] ) ( gpio_control_in\[22\] mgmt_gpio_out ) ( gpio_control_in\[22\] mgmt_gpio_in )
+ ROUTED met1 ( 316560 4932285 ) ( 726480 4932285 )
NEW met1 ( 1035120 935915 ) ( 1036560 935915 )
NEW met2 ( 316560 1234505 ) ( 316560 4932285 )
NEW met1 ( 316560 1234505 ) ( 1025040 1234505 )
NEW met3 ( 743520 4979830 0 ) ( 745680 4979830 )
NEW met2 ( 745680 4979830 ) ( 747600 4979830 )
NEW met3 ( 747600 4979830 ) ( 750720 4979830 0 )
NEW met3 ( 726480 4979830 ) ( 743520 4979830 0 )
NEW met2 ( 726480 4932285 ) ( 726480 4979830 )
NEW met2 ( 1036080 323935 ) ( 1036560 323935 )
NEW met2 ( 1036080 266215 ) ( 1036080 323935 )
NEW met1 ( 1036080 561845 ) ( 1037040 561845 )
NEW met1 ( 1035120 814555 ) ( 1037040 814555 )
NEW met2 ( 1035120 814555 ) ( 1035120 935915 )
NEW met2 ( 1037040 561845 ) ( 1037040 814555 )
NEW met1 ( 1025040 1160505 ) ( 1027920 1160505 )
NEW met2 ( 1027920 1065785 ) ( 1027920 1160505 )
NEW met1 ( 1027920 1065785 ) ( 1036080 1065785 )
NEW met3 ( 1052640 1161430 ) ( 1052640 1163280 0 )
NEW met3 ( 1027920 1161430 ) ( 1052640 1161430 )
NEW met2 ( 1027920 1160505 ) ( 1027920 1161430 )
NEW met2 ( 1025040 1160505 ) ( 1025040 1234505 )
NEW met2 ( 1077840 266215 ) ( 1077840 267325 )
NEW met2 ( 1077840 267325 ) ( 1078080 267325 )
NEW met2 ( 1078080 267325 ) ( 1078080 268250 0 )
NEW met1 ( 1036080 266215 ) ( 1077840 266215 )
NEW met1 ( 1035120 446035 ) ( 1036080 446035 )
NEW met2 ( 1035120 345765 ) ( 1035120 446035 )
NEW met1 ( 1035120 345765 ) ( 1036560 345765 )
NEW met2 ( 1036080 446035 ) ( 1036080 561845 )
NEW met2 ( 1036560 323935 ) ( 1036560 345765 )
NEW met3 ( 1036080 1051170 ) ( 1036320 1051170 )
NEW met4 ( 1036320 950530 ) ( 1036320 1051170 )
NEW met3 ( 1036320 950530 ) ( 1036560 950530 )
NEW met2 ( 1036080 1051170 ) ( 1036080 1065785 )
NEW met2 ( 1036560 935915 ) ( 1036560 950530 )
NEW met1 ( 316560 4932285 ) M1M2_PR
NEW met1 ( 726480 4932285 ) M1M2_PR
NEW met1 ( 1025040 1234505 ) M1M2_PR
NEW met1 ( 1035120 935915 ) M1M2_PR
NEW met1 ( 1036560 935915 ) M1M2_PR
NEW met1 ( 316560 1234505 ) M1M2_PR
NEW met2 ( 745680 4979830 ) via2_FR
NEW met2 ( 747600 4979830 ) via2_FR
NEW met2 ( 726480 4979830 ) via2_FR
NEW met1 ( 1036080 266215 ) M1M2_PR
NEW met1 ( 1036080 561845 ) M1M2_PR
NEW met1 ( 1037040 561845 ) M1M2_PR
NEW met1 ( 1035120 814555 ) M1M2_PR
NEW met1 ( 1037040 814555 ) M1M2_PR
NEW met1 ( 1025040 1160505 ) M1M2_PR
NEW met1 ( 1027920 1160505 ) M1M2_PR
NEW met1 ( 1027920 1065785 ) M1M2_PR
NEW met1 ( 1036080 1065785 ) M1M2_PR
NEW met2 ( 1027920 1161430 ) via2_FR
NEW met1 ( 1077840 266215 ) M1M2_PR
NEW met1 ( 1036080 446035 ) M1M2_PR
NEW met1 ( 1035120 446035 ) M1M2_PR
NEW met1 ( 1035120 345765 ) M1M2_PR
NEW met1 ( 1036560 345765 ) M1M2_PR
NEW met2 ( 1036080 1051170 ) via2_FR
NEW met3 ( 1036320 1051170 ) M3M4_PR_M
NEW met3 ( 1036320 950530 ) M3M4_PR_M
NEW met2 ( 1036560 950530 ) via2_FR
NEW met3 ( 1036080 1051170 ) RECT ( -380 -150 0 150 )
NEW met3 ( 1036320 950530 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[23\] ( soc mgmt_out_data[23] ) ( soc mgmt_in_data[23] ) ( gpio_control_in\[23\] mgmt_gpio_out ) ( gpio_control_in\[23\] mgmt_gpio_in )
+ ROUTED met2 ( 474960 4924885 ) ( 474960 4978350 )
NEW met1 ( 325680 4924885 ) ( 474960 4924885 )
NEW met3 ( 486240 4978350 ) ( 486240 4979090 0 )
NEW met3 ( 486240 4978350 ) ( 493920 4978350 )
NEW met3 ( 493920 4978350 ) ( 493920 4979090 0 )
NEW met3 ( 474960 4978350 ) ( 486240 4978350 )
NEW met2 ( 325680 1232470 ) ( 325680 4924885 )
NEW met2 ( 1010640 1223590 ) ( 1010640 1232470 )
NEW met3 ( 325680 1232470 ) ( 1010640 1232470 )
NEW met4 ( 1058400 820845 ) ( 1059360 820845 )
NEW met4 ( 1058400 820845 ) ( 1058400 847485 )
NEW met4 ( 1058400 847485 ) ( 1059360 847485 )
NEW met4 ( 1058400 717615 ) ( 1059360 717615 )
NEW met4 ( 1058400 717615 ) ( 1058400 747585 )
NEW met4 ( 1058400 747585 ) ( 1059360 747585 )
NEW met4 ( 1059360 747585 ) ( 1059360 820845 )
NEW met2 ( 1056240 1223590 ) ( 1056720 1223590 )
NEW met3 ( 1010640 1223590 ) ( 1056240 1223590 )
NEW met3 ( 1049760 592370 ) ( 1055520 592370 )
NEW met4 ( 1055520 592370 ) ( 1055520 594405 )
NEW met4 ( 1055520 594405 ) ( 1058400 594405 )
NEW met4 ( 1058400 594405 ) ( 1058400 616050 )
NEW met4 ( 1058400 616050 ) ( 1059360 616050 )
NEW met4 ( 1059360 616050 ) ( 1059360 717615 )
NEW met2 ( 1079760 268250 ) ( 1080000 268250 0 )
NEW met2 ( 1079760 267510 ) ( 1079760 268250 )
NEW met3 ( 1079760 267510 ) ( 1079760 268250 )
NEW met3 ( 1059360 268250 ) ( 1079760 268250 )
NEW met4 ( 1058400 1043955 ) ( 1059360 1043955 )
NEW met4 ( 1056480 344655 ) ( 1058400 344655 )
NEW met4 ( 1058400 288045 ) ( 1058400 344655 )
NEW met4 ( 1058400 288045 ) ( 1059360 288045 )
NEW met4 ( 1059360 268250 ) ( 1059360 288045 )
NEW met2 ( 1057920 1167350 0 ) ( 1057920 1167535 )
NEW met2 ( 1057920 1167535 ) ( 1058640 1167535 )
NEW met2 ( 1058640 1167535 ) ( 1058640 1168090 )
NEW met3 ( 1058400 1168090 ) ( 1058640 1168090 )
NEW met4 ( 1058400 1110555 ) ( 1058400 1168090 )
NEW met4 ( 1058400 1110555 ) ( 1058640 1110555 )
NEW met4 ( 1058640 1107225 ) ( 1058640 1110555 )
NEW met4 ( 1058400 1107225 ) ( 1058640 1107225 )
NEW met3 ( 1056720 1168090 ) ( 1058400 1168090 )
NEW met2 ( 1056720 1168090 ) ( 1056720 1223590 )
NEW met4 ( 1058400 1043955 ) ( 1058400 1107225 )
NEW met4 ( 1059360 847485 ) ( 1059360 1043955 )
NEW met3 ( 1049760 411070 ) ( 1054560 411070 )
NEW met4 ( 1054560 407925 ) ( 1054560 411070 )
NEW met4 ( 1054560 407925 ) ( 1056480 407925 )
NEW met4 ( 1049760 411070 ) ( 1049760 592370 )
NEW met4 ( 1056480 344655 ) ( 1056480 407925 )
NEW met1 ( 474960 4924885 ) M1M2_PR
NEW met2 ( 474960 4978350 ) via2_FR
NEW met1 ( 325680 4924885 ) M1M2_PR
NEW met2 ( 325680 1232470 ) via2_FR
NEW met2 ( 1010640 1232470 ) via2_FR
NEW met2 ( 1010640 1223590 ) via2_FR
NEW met2 ( 1056240 1223590 ) via2_FR
NEW met3 ( 1049760 592370 ) M3M4_PR_M
NEW met3 ( 1055520 592370 ) M3M4_PR_M
NEW met2 ( 1079760 267510 ) via2_FR
NEW met3 ( 1059360 268250 ) M3M4_PR_M
NEW met2 ( 1058640 1168090 ) via2_FR
NEW met3 ( 1058400 1168090 ) M3M4_PR_M
NEW met2 ( 1056720 1168090 ) via2_FR
NEW met3 ( 1049760 411070 ) M3M4_PR_M
NEW met3 ( 1054560 411070 ) M3M4_PR_M
NEW met3 ( 1058640 1168090 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mgmt_io_in\[24\] ( soc mgmt_out_data[24] ) ( soc mgmt_in_data[24] ) ( gpio_control_in\[24\] mgmt_gpio_out ) ( gpio_control_in\[24\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 4654970 ) ( 211680 4656450 0 )
NEW met3 ( 211680 4654970 ) ( 211920 4654970 )
NEW met2 ( 211920 4654785 ) ( 211920 4654970 )
NEW met1 ( 211920 4654785 ) ( 223440 4654785 )
NEW met3 ( 211680 4662370 ) ( 211680 4663850 0 )
NEW met3 ( 211680 4662370 ) ( 211920 4662370 )
NEW met2 ( 211920 4654970 ) ( 211920 4662370 )
NEW met2 ( 223440 1231915 ) ( 223440 4654785 )
NEW met1 ( 1024560 935915 ) ( 1025520 935915 )
NEW met2 ( 1024560 1063750 ) ( 1025520 1063750 )
NEW met2 ( 501360 1231730 ) ( 501360 1231915 )
NEW met2 ( 501360 1231730 ) ( 503280 1231730 )
NEW met2 ( 503280 1231730 ) ( 503280 1231915 )
NEW met1 ( 223440 1231915 ) ( 501360 1231915 )
NEW met1 ( 503280 1231915 ) ( 1024560 1231915 )
NEW met3 ( 1037040 1160690 ) ( 1052640 1160690 0 )
NEW met2 ( 1037040 1137195 ) ( 1037040 1160690 )
NEW met1 ( 1025520 1137195 ) ( 1037040 1137195 )
NEW met3 ( 1024560 1160690 ) ( 1037040 1160690 )
NEW met2 ( 1024560 1160690 ) ( 1024560 1231915 )
NEW met2 ( 1025520 1063750 ) ( 1025520 1137195 )
NEW met2 ( 1024560 727050 ) ( 1025040 727050 )
NEW met2 ( 1081680 271025 ) ( 1081680 271210 0 )
NEW met2 ( 1025040 763310 ) ( 1025520 763310 )
NEW met2 ( 1025040 727050 ) ( 1025040 763310 )
NEW met2 ( 1025520 763310 ) ( 1025520 935915 )
NEW met2 ( 1025520 399970 ) ( 1026480 399970 )
NEW met2 ( 1026480 345950 ) ( 1026480 399970 )
NEW met2 ( 1026000 345950 ) ( 1026480 345950 )
NEW met1 ( 1024560 950715 ) ( 1025520 950715 )
NEW met2 ( 1025520 950715 ) ( 1025520 1051170 )
NEW met3 ( 1024560 1051170 ) ( 1025520 1051170 )
NEW met2 ( 1024560 935915 ) ( 1024560 950715 )
NEW met2 ( 1024560 1051170 ) ( 1024560 1063750 )
NEW met1 ( 1026000 345025 ) ( 1037520 345025 )
NEW met2 ( 1037520 271025 ) ( 1037520 345025 )
NEW met2 ( 1026000 345025 ) ( 1026000 345950 )
NEW met1 ( 1037520 271025 ) ( 1081680 271025 )
NEW met1 ( 1025040 446405 ) ( 1025040 446775 )
NEW met1 ( 1025040 446405 ) ( 1025520 446405 )
NEW met2 ( 1025520 399970 ) ( 1025520 446405 )
NEW met1 ( 1024080 633255 ) ( 1024560 633255 )
NEW met2 ( 1024080 532985 ) ( 1024080 633255 )
NEW met1 ( 1024080 532985 ) ( 1025040 532985 )
NEW met2 ( 1024560 633255 ) ( 1024560 727050 )
NEW met2 ( 1025040 446775 ) ( 1025040 532985 )
NEW met1 ( 223440 1231915 ) M1M2_PR
NEW met2 ( 211920 4654970 ) via2_FR
NEW met1 ( 211920 4654785 ) M1M2_PR
NEW met1 ( 223440 4654785 ) M1M2_PR
NEW met2 ( 211920 4662370 ) via2_FR
NEW met1 ( 1024560 1231915 ) M1M2_PR
NEW met1 ( 1024560 935915 ) M1M2_PR
NEW met1 ( 1025520 935915 ) M1M2_PR
NEW met1 ( 501360 1231915 ) M1M2_PR
NEW met1 ( 503280 1231915 ) M1M2_PR
NEW met2 ( 1037040 1160690 ) via2_FR
NEW met1 ( 1037040 1137195 ) M1M2_PR
NEW met1 ( 1025520 1137195 ) M1M2_PR
NEW met2 ( 1024560 1160690 ) via2_FR
NEW met1 ( 1081680 271025 ) M1M2_PR
NEW met1 ( 1024560 950715 ) M1M2_PR
NEW met1 ( 1025520 950715 ) M1M2_PR
NEW met2 ( 1025520 1051170 ) via2_FR
NEW met2 ( 1024560 1051170 ) via2_FR
NEW met1 ( 1026000 345025 ) M1M2_PR
NEW met1 ( 1037520 345025 ) M1M2_PR
NEW met1 ( 1037520 271025 ) M1M2_PR
NEW met1 ( 1025040 446775 ) M1M2_PR
NEW met1 ( 1025520 446405 ) M1M2_PR
NEW met1 ( 1024560 633255 ) M1M2_PR
NEW met1 ( 1024080 633255 ) M1M2_PR
NEW met1 ( 1024080 532985 ) M1M2_PR
NEW met1 ( 1025040 532985 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[25\] ( soc mgmt_out_data[25] ) ( soc mgmt_in_data[25] ) ( gpio_control_in\[25\] mgmt_gpio_out ) ( gpio_control_in\[25\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 4027450 0 ) ( 214320 4027450 )
NEW met2 ( 214320 4027265 ) ( 214320 4027450 )
NEW met3 ( 211680 4034850 0 ) ( 214320 4034850 )
NEW met2 ( 214320 4027450 ) ( 214320 4034850 )
NEW met1 ( 214320 4027265 ) ( 266160 4027265 )
NEW met1 ( 1025040 1231915 ) ( 1025040 1232285 )
NEW met2 ( 266160 1232285 ) ( 266160 4027265 )
NEW met1 ( 1052400 1231175 ) ( 1059600 1231175 )
NEW met1 ( 1052400 1231175 ) ( 1052400 1231915 )
NEW met1 ( 1025040 1231915 ) ( 1052400 1231915 )
NEW met2 ( 1083120 269730 ) ( 1083600 269730 0 )
NEW met3 ( 1061280 269730 ) ( 1083120 269730 )
NEW met1 ( 501840 1231915 ) ( 501840 1232285 )
NEW met1 ( 501840 1231915 ) ( 502800 1231915 )
NEW met1 ( 502800 1231915 ) ( 502800 1232285 )
NEW met1 ( 266160 1232285 ) ( 501840 1232285 )
NEW met1 ( 502800 1232285 ) ( 1025040 1232285 )
NEW met4 ( 1060320 814185 ) ( 1062240 814185 )
NEW met4 ( 1062240 814185 ) ( 1062240 844155 )
NEW met4 ( 1060320 844155 ) ( 1062240 844155 )
NEW met4 ( 1053600 497835 ) ( 1060320 497835 )
NEW met4 ( 1053600 531135 ) ( 1055520 531135 )
NEW met4 ( 1055520 531135 ) ( 1055520 591075 )
NEW met4 ( 1055520 591075 ) ( 1062240 591075 )
NEW met4 ( 1062240 591075 ) ( 1062240 619010 )
NEW met4 ( 1060320 619010 ) ( 1062240 619010 )
NEW met4 ( 1053600 497835 ) ( 1053600 531135 )
NEW met4 ( 1060320 619010 ) ( 1060320 814185 )
NEW met4 ( 1054560 487845 ) ( 1060320 487845 )
NEW met4 ( 1060320 487845 ) ( 1060320 497835 )
NEW met2 ( 1059600 1167350 0 ) ( 1059600 1168830 )
NEW met3 ( 1059600 1168830 ) ( 1060320 1168830 )
NEW met2 ( 1059600 1168830 ) ( 1059600 1231175 )
NEW met4 ( 1060320 844155 ) ( 1060320 1168830 )
NEW met4 ( 1054560 414585 ) ( 1055520 414585 )
NEW met4 ( 1055520 411255 ) ( 1055520 414585 )
NEW met4 ( 1055520 411255 ) ( 1061280 411255 )
NEW met4 ( 1054560 414585 ) ( 1054560 487845 )
NEW met4 ( 1061280 269730 ) ( 1061280 411255 )
NEW met1 ( 266160 4027265 ) M1M2_PR
NEW met2 ( 214320 4027450 ) via2_FR
NEW met1 ( 214320 4027265 ) M1M2_PR
NEW met2 ( 214320 4034850 ) via2_FR
NEW met1 ( 266160 1232285 ) M1M2_PR
NEW met1 ( 1059600 1231175 ) M1M2_PR
NEW met2 ( 1083120 269730 ) via2_FR
NEW met3 ( 1061280 269730 ) M3M4_PR_M
NEW met2 ( 1059600 1168830 ) via2_FR
NEW met3 ( 1060320 1168830 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_io_in\[26\] ( soc mgmt_out_data[26] ) ( soc mgmt_in_data[26] ) ( gpio_control_in\[26\] mgmt_gpio_out ) ( gpio_control_in\[26\] mgmt_gpio_in )
+ ROUTED met3 ( 210720 3809890 ) ( 210720 3811370 0 )
NEW met3 ( 210720 3809890 ) ( 210960 3809890 )
NEW met2 ( 210960 3809705 ) ( 210960 3809890 )
NEW met3 ( 210720 3817290 ) ( 210720 3818770 0 )
NEW met3 ( 210720 3817290 ) ( 210960 3817290 )
NEW met2 ( 210960 3809890 ) ( 210960 3817290 )
NEW met1 ( 210960 3809705 ) ( 266640 3809705 )
NEW met2 ( 266640 1232655 ) ( 266640 3809705 )
NEW met2 ( 453360 1232655 ) ( 453360 1233210 )
NEW met3 ( 453360 1233210 ) ( 502800 1233210 )
NEW met2 ( 502800 1232655 ) ( 502800 1233210 )
NEW met1 ( 266640 1232655 ) ( 453360 1232655 )
NEW met1 ( 502800 1232655 ) ( 1061520 1232655 )
NEW met4 ( 1061280 587745 ) ( 1063200 587745 )
NEW met4 ( 1063200 587745 ) ( 1063200 621045 )
NEW met4 ( 1062240 621045 ) ( 1063200 621045 )
NEW met4 ( 1061280 810855 ) ( 1063200 810855 )
NEW met4 ( 1063200 810855 ) ( 1063200 847485 )
NEW met4 ( 1061280 847485 ) ( 1063200 847485 )
NEW met4 ( 1061280 744255 ) ( 1062240 744255 )
NEW met4 ( 1061280 744255 ) ( 1061280 810855 )
NEW met4 ( 1062240 621045 ) ( 1062240 744255 )
NEW met2 ( 1085040 268990 ) ( 1085520 268990 0 )
NEW met3 ( 1053600 268990 ) ( 1085040 268990 )
NEW met2 ( 1061040 1167350 ) ( 1061520 1167350 0 )
NEW met2 ( 1061040 1167350 ) ( 1061040 1168090 )
NEW met3 ( 1061040 1168090 ) ( 1061280 1168090 )
NEW met2 ( 1061520 1167350 0 ) ( 1061520 1232655 )
NEW met4 ( 1061280 847485 ) ( 1061280 1168090 )
NEW met3 ( 1053600 484330 ) ( 1055520 484330 )
NEW met4 ( 1055520 484330 ) ( 1055520 484515 )
NEW met4 ( 1055520 484515 ) ( 1061280 484515 )
NEW met4 ( 1053600 268990 ) ( 1053600 484330 )
NEW met4 ( 1061280 484515 ) ( 1061280 587745 )
NEW met1 ( 266640 3809705 ) M1M2_PR
NEW met2 ( 210960 3809890 ) via2_FR
NEW met1 ( 210960 3809705 ) M1M2_PR
NEW met2 ( 210960 3817290 ) via2_FR
NEW met1 ( 266640 1232655 ) M1M2_PR
NEW met1 ( 1061520 1232655 ) M1M2_PR
NEW met1 ( 453360 1232655 ) M1M2_PR
NEW met2 ( 453360 1233210 ) via2_FR
NEW met2 ( 502800 1233210 ) via2_FR
NEW met1 ( 502800 1232655 ) M1M2_PR
NEW met2 ( 1085040 268990 ) via2_FR
NEW met3 ( 1053600 268990 ) M3M4_PR_M
NEW met2 ( 1061040 1168090 ) via2_FR
NEW met3 ( 1061280 1168090 ) M3M4_PR_M
NEW met3 ( 1053600 484330 ) M3M4_PR_M
NEW met3 ( 1055520 484330 ) M3M4_PR_M
NEW met3 ( 1061040 1168090 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[27\] ( soc mgmt_out_data[27] ) ( soc mgmt_in_data[27] ) ( gpio_control_in\[27\] mgmt_gpio_out ) ( gpio_control_in\[27\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 3593810 ) ( 211680 3595290 0 )
NEW met3 ( 211680 3593810 ) ( 212400 3593810 )
NEW met2 ( 212400 3593625 ) ( 212400 3593810 )
NEW met3 ( 211680 3601950 ) ( 211680 3602690 0 )
NEW met3 ( 211680 3601950 ) ( 212400 3601950 )
NEW met2 ( 212400 3593810 ) ( 212400 3601950 )
NEW met1 ( 212400 3593625 ) ( 267600 3593625 )
NEW met2 ( 1024080 1157730 ) ( 1024080 1233765 )
NEW met2 ( 267600 1233765 ) ( 267600 3593625 )
NEW met3 ( 1048080 1157730 ) ( 1052640 1157730 0 )
NEW met3 ( 1024080 1157730 ) ( 1048080 1157730 )
NEW met2 ( 1087440 266955 ) ( 1087440 268250 0 )
NEW met1 ( 1048080 266955 ) ( 1087440 266955 )
NEW met2 ( 1048080 266955 ) ( 1048080 1157730 )
NEW met1 ( 267600 1233765 ) ( 1024080 1233765 )
NEW met1 ( 267600 3593625 ) M1M2_PR
NEW met2 ( 212400 3593810 ) via2_FR
NEW met1 ( 212400 3593625 ) M1M2_PR
NEW met2 ( 212400 3601950 ) via2_FR
NEW met2 ( 1024080 1157730 ) via2_FR
NEW met1 ( 1024080 1233765 ) M1M2_PR
NEW met1 ( 267600 1233765 ) M1M2_PR
NEW met2 ( 1048080 1157730 ) via2_FR
NEW met1 ( 1087440 266955 ) M1M2_PR
NEW met1 ( 1048080 266955 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[28\] ( soc mgmt_out_data[28] ) ( soc mgmt_in_data[28] ) ( gpio_control_in\[28\] mgmt_gpio_out ) ( gpio_control_in\[28\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 3378470 ) ( 211680 3379210 0 )
NEW met3 ( 211680 3378470 ) ( 212400 3378470 )
NEW met2 ( 212400 3378470 ) ( 212400 3379025 )
NEW met3 ( 211680 3383650 ) ( 211680 3386610 0 )
NEW met3 ( 211680 3383650 ) ( 212400 3383650 )
NEW met2 ( 212400 3379025 ) ( 212400 3383650 )
NEW met1 ( 212400 3379025 ) ( 268560 3379025 )
NEW met2 ( 268560 1233025 ) ( 268560 3379025 )
NEW met2 ( 1063440 1167350 0 ) ( 1063440 1233765 )
NEW met1 ( 1054800 1233765 ) ( 1063440 1233765 )
NEW met1 ( 1054800 1233025 ) ( 1054800 1233765 )
NEW met1 ( 1051920 1168275 ) ( 1062000 1168275 )
NEW met2 ( 1062000 1167350 ) ( 1062000 1168275 )
NEW met2 ( 1062000 1167350 ) ( 1063440 1167350 0 )
NEW met2 ( 1089120 267325 ) ( 1089120 268250 0 )
NEW met1 ( 1051920 267325 ) ( 1089120 267325 )
NEW met2 ( 1051920 267325 ) ( 1051920 1168275 )
NEW met2 ( 453840 1233025 ) ( 453840 1234875 )
NEW met1 ( 453840 1234875 ) ( 501360 1234875 )
NEW met2 ( 501360 1233025 ) ( 501360 1234875 )
NEW met1 ( 268560 1233025 ) ( 453840 1233025 )
NEW met1 ( 501360 1233025 ) ( 1054800 1233025 )
NEW met1 ( 268560 3379025 ) M1M2_PR
NEW met2 ( 212400 3378470 ) via2_FR
NEW met1 ( 212400 3379025 ) M1M2_PR
NEW met2 ( 212400 3383650 ) via2_FR
NEW met1 ( 268560 1233025 ) M1M2_PR
NEW met1 ( 1063440 1233765 ) M1M2_PR
NEW met1 ( 1051920 1168275 ) M1M2_PR
NEW met1 ( 1062000 1168275 ) M1M2_PR
NEW met1 ( 1089120 267325 ) M1M2_PR
NEW met1 ( 1051920 267325 ) M1M2_PR
NEW met1 ( 453840 1233025 ) M1M2_PR
NEW met1 ( 453840 1234875 ) M1M2_PR
NEW met1 ( 501360 1234875 ) M1M2_PR
NEW met1 ( 501360 1233025 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[29\] ( soc mgmt_out_data[29] ) ( soc mgmt_in_data[29] ) ( gpio_control_in\[29\] mgmt_gpio_out ) ( gpio_control_in\[29\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 3161650 ) ( 211680 3163130 0 )
NEW met3 ( 211680 3161650 ) ( 212400 3161650 )
NEW met2 ( 212400 3153695 ) ( 212400 3161650 )
NEW met3 ( 211680 3167570 ) ( 211680 3170530 0 )
NEW met3 ( 211680 3167570 ) ( 212400 3167570 )
NEW met2 ( 212400 3161650 ) ( 212400 3167570 )
NEW met1 ( 212400 3153695 ) ( 280560 3153695 )
NEW met2 ( 1023600 1154770 ) ( 1023600 1234135 )
NEW met2 ( 280560 1234135 ) ( 280560 3153695 )
NEW met3 ( 1023600 1154770 ) ( 1052640 1154770 0 )
NEW met2 ( 1090800 268250 ) ( 1091040 268250 0 )
NEW met2 ( 1090800 265105 ) ( 1090800 268250 )
NEW met1 ( 1039920 265105 ) ( 1090800 265105 )
NEW met2 ( 1039920 265105 ) ( 1039920 1154770 )
NEW met1 ( 280560 1234135 ) ( 1023600 1234135 )
NEW met2 ( 212400 3161650 ) via2_FR
NEW met1 ( 212400 3153695 ) M1M2_PR
NEW met2 ( 212400 3167570 ) via2_FR
NEW met1 ( 280560 3153695 ) M1M2_PR
NEW met2 ( 1023600 1154770 ) via2_FR
NEW met1 ( 1023600 1234135 ) M1M2_PR
NEW met1 ( 280560 1234135 ) M1M2_PR
NEW met2 ( 1039920 1154770 ) via2_FR
NEW met1 ( 1090800 265105 ) M1M2_PR
NEW met1 ( 1039920 265105 ) M1M2_PR
NEW met3 ( 1039920 1154770 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[2\] ( soc mgmt_out_data[2] ) ( soc mgmt_in_data[2] ) ( gpio_control_in\[2\] mgmt_gpio_out ) ( gpio_control_in\[2\] mgmt_gpio_in )
+ ROUTED met3 ( 3201120 1051910 ) ( 3201120 1054130 0 )
NEW met3 ( 3201120 1051910 ) ( 3239760 1051910 )
NEW met2 ( 3239760 1051355 ) ( 3239760 1051910 )
NEW met3 ( 3398880 1056350 0 ) ( 3398880 1057090 )
NEW met3 ( 3398880 1057090 ) ( 3399120 1057090 )
NEW met2 ( 3399120 1057090 ) ( 3399120 1060790 )
NEW met3 ( 3398880 1060790 ) ( 3399120 1060790 )
NEW met3 ( 3398880 1060790 ) ( 3398880 1063750 0 )
NEW met2 ( 3399120 1051355 ) ( 3399120 1057090 )
NEW met2 ( 3228240 232175 ) ( 3228240 1051910 )
NEW met1 ( 3239760 1051355 ) ( 3399120 1051355 )
NEW met2 ( 1092720 232175 ) ( 1092720 268250 0 )
NEW met1 ( 1092720 232175 ) ( 3228240 232175 )
NEW met1 ( 3228240 232175 ) M1M2_PR
NEW met2 ( 3239760 1051910 ) via2_FR
NEW met1 ( 3239760 1051355 ) M1M2_PR
NEW met2 ( 3228240 1051910 ) via2_FR
NEW met2 ( 3399120 1057090 ) via2_FR
NEW met2 ( 3399120 1060790 ) via2_FR
NEW met1 ( 3399120 1051355 ) M1M2_PR
NEW met1 ( 1092720 232175 ) M1M2_PR
NEW met3 ( 3228240 1051910 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[30\] ( soc mgmt_out_data[30] ) ( soc mgmt_in_data[30] ) ( gpio_control_in\[30\] mgmt_gpio_out ) ( gpio_control_in\[30\] mgmt_gpio_in )
+ ROUTED met2 ( 1065360 1167350 0 ) ( 1065360 1180855 )
NEW met1 ( 1053360 1180855 ) ( 1065360 1180855 )
NEW met1 ( 1051440 1180855 ) ( 1053360 1180855 )
NEW met2 ( 1094640 271210 0 ) ( 1095120 271210 )
NEW met2 ( 1095120 271210 ) ( 1095120 271395 )
NEW met1 ( 1050960 271395 ) ( 1095120 271395 )
NEW met2 ( 1050960 271395 ) ( 1050960 275650 )
NEW met2 ( 1050960 275650 ) ( 1051440 275650 )
NEW met2 ( 1051440 275650 ) ( 1051440 1180855 )
NEW met1 ( 269040 1260405 ) ( 1053360 1260405 )
NEW met2 ( 1053360 1180855 ) ( 1053360 1260405 )
NEW met3 ( 211680 2947050 0 ) ( 269040 2947050 )
NEW met3 ( 211680 2952230 ) ( 211680 2954820 0 )
NEW met3 ( 211680 2952230 ) ( 213840 2952230 )
NEW met2 ( 213840 2947050 ) ( 213840 2952230 )
NEW met2 ( 269040 1260405 ) ( 269040 2947050 )
NEW met1 ( 269040 1260405 ) M1M2_PR
NEW met1 ( 1065360 1180855 ) M1M2_PR
NEW met1 ( 1053360 1180855 ) M1M2_PR
NEW met1 ( 1051440 1180855 ) M1M2_PR
NEW met1 ( 1095120 271395 ) M1M2_PR
NEW met1 ( 1050960 271395 ) M1M2_PR
NEW met1 ( 1053360 1260405 ) M1M2_PR
NEW met2 ( 269040 2947050 ) via2_FR
NEW met2 ( 213840 2952230 ) via2_FR
NEW met2 ( 213840 2947050 ) via2_FR
NEW met3 ( 213840 2947050 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[31\] ( soc mgmt_out_data[31] ) ( soc mgmt_in_data[31] ) ( gpio_control_in\[31\] mgmt_gpio_out ) ( gpio_control_in\[31\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 2730970 ) ( 211680 2731340 0 )
NEW met3 ( 211680 2730970 ) ( 216720 2730970 )
NEW met2 ( 216720 2724125 ) ( 216720 2730970 )
NEW met1 ( 216720 2724125 ) ( 222960 2724125 )
NEW met3 ( 211680 2738370 ) ( 211680 2738740 0 )
NEW met3 ( 211680 2738370 ) ( 216720 2738370 )
NEW met2 ( 216720 2730970 ) ( 216720 2738370 )
NEW met2 ( 1065840 1167350 ) ( 1067040 1167350 0 )
NEW met1 ( 1050480 1167535 ) ( 1065840 1167535 )
NEW met2 ( 1096080 270470 ) ( 1096560 270470 0 )
NEW met2 ( 1096080 270470 ) ( 1096080 270655 )
NEW met1 ( 1050480 270655 ) ( 1096080 270655 )
NEW met2 ( 1050480 270655 ) ( 1050480 1167535 )
NEW met1 ( 222960 1275575 ) ( 302160 1275575 )
NEW met2 ( 302160 1231175 ) ( 302160 1275575 )
NEW met2 ( 222960 1275575 ) ( 222960 2724125 )
NEW met2 ( 806640 1230990 ) ( 806640 1231175 )
NEW met2 ( 806640 1230990 ) ( 807120 1230990 )
NEW met2 ( 807120 1230805 ) ( 807120 1230990 )
NEW met1 ( 302160 1231175 ) ( 806640 1231175 )
NEW met2 ( 1013040 1228585 ) ( 1013040 1231175 )
NEW met1 ( 1013040 1228585 ) ( 1065840 1228585 )
NEW met2 ( 1065840 1167350 ) ( 1065840 1228585 )
NEW met2 ( 907440 1230805 ) ( 907440 1230990 )
NEW met2 ( 907440 1230990 ) ( 907920 1230990 )
NEW met2 ( 907920 1230990 ) ( 907920 1231175 )
NEW met1 ( 807120 1230805 ) ( 907440 1230805 )
NEW met1 ( 907920 1231175 ) ( 1013040 1231175 )
NEW met2 ( 216720 2730970 ) via2_FR
NEW met1 ( 216720 2724125 ) M1M2_PR
NEW met1 ( 222960 2724125 ) M1M2_PR
NEW met2 ( 216720 2738370 ) via2_FR
NEW met1 ( 1050480 1167535 ) M1M2_PR
NEW met1 ( 1065840 1167535 ) M1M2_PR
NEW met1 ( 1096080 270655 ) M1M2_PR
NEW met1 ( 1050480 270655 ) M1M2_PR
NEW met1 ( 222960 1275575 ) M1M2_PR
NEW met1 ( 302160 1275575 ) M1M2_PR
NEW met1 ( 302160 1231175 ) M1M2_PR
NEW met1 ( 806640 1231175 ) M1M2_PR
NEW met1 ( 807120 1230805 ) M1M2_PR
NEW met1 ( 1013040 1231175 ) M1M2_PR
NEW met1 ( 1013040 1228585 ) M1M2_PR
NEW met1 ( 1065840 1228585 ) M1M2_PR
NEW met1 ( 907440 1230805 ) M1M2_PR
NEW met1 ( 907920 1231175 ) M1M2_PR
NEW met2 ( 1065840 1167535 ) RECT ( -70 0 70 485 )
+ USE SIGNAL ;
- mgmt_io_in\[32\] ( soc mgmt_out_data[32] ) ( soc mgmt_in_data[32] ) ( gpio_control_in\[32\] mgmt_gpio_out ) ( gpio_control_in\[32\] mgmt_gpio_in )
+ ROUTED met2 ( 269520 1346245 ) ( 269520 2092165 )
NEW met3 ( 211680 2092350 ) ( 211680 2093090 0 )
NEW met3 ( 211680 2092350 ) ( 211920 2092350 )
NEW met2 ( 211920 2092165 ) ( 211920 2092350 )
NEW met3 ( 211680 2099750 ) ( 211680 2100490 0 )
NEW met3 ( 211680 2099750 ) ( 211920 2099750 )
NEW met2 ( 211920 2092350 ) ( 211920 2099750 )
NEW met1 ( 211920 2092165 ) ( 269520 2092165 )
NEW met1 ( 269520 1346245 ) ( 1029840 1346245 )
NEW met3 ( 1029840 1152550 ) ( 1052640 1152550 0 )
NEW met2 ( 1098480 266585 ) ( 1098480 268250 0 )
NEW met1 ( 1047120 266585 ) ( 1098480 266585 )
NEW met2 ( 1047120 266585 ) ( 1047120 1152550 )
NEW met1 ( 1029840 1180855 ) ( 1029840 1181965 )
NEW met2 ( 1029840 1152550 ) ( 1029840 1180855 )
NEW met2 ( 1029840 1181965 ) ( 1029840 1346245 )
NEW met1 ( 269520 1346245 ) M1M2_PR
NEW met1 ( 269520 2092165 ) M1M2_PR
NEW met2 ( 1029840 1152550 ) via2_FR
NEW met1 ( 1029840 1346245 ) M1M2_PR
NEW met2 ( 211920 2092350 ) via2_FR
NEW met1 ( 211920 2092165 ) M1M2_PR
NEW met2 ( 211920 2099750 ) via2_FR
NEW met2 ( 1047120 1152550 ) via2_FR
NEW met1 ( 1098480 266585 ) M1M2_PR
NEW met1 ( 1047120 266585 ) M1M2_PR
NEW met1 ( 1029840 1180855 ) M1M2_PR
NEW met1 ( 1029840 1181965 ) M1M2_PR
NEW met3 ( 1047120 1152550 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[33\] ( soc mgmt_out_data[33] ) ( soc mgmt_in_data[33] ) ( gpio_control_in\[33\] mgmt_gpio_out ) ( gpio_control_in\[33\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 1876270 ) ( 211680 1877010 0 )
NEW met3 ( 211440 1876270 ) ( 211680 1876270 )
NEW met2 ( 211440 1876085 ) ( 211440 1876270 )
NEW met1 ( 211440 1876085 ) ( 223920 1876085 )
NEW met3 ( 211680 1882930 ) ( 211680 1884780 0 )
NEW met3 ( 211440 1882930 ) ( 211680 1882930 )
NEW met2 ( 211440 1876270 ) ( 211440 1882930 )
NEW met2 ( 223920 1231545 ) ( 223920 1876085 )
NEW met2 ( 1067760 1167350 ) ( 1068960 1167350 0 )
NEW met2 ( 1067760 1167350 ) ( 1067760 1224145 )
NEW met1 ( 1048560 1224145 ) ( 1067760 1224145 )
NEW met2 ( 1048560 1224145 ) ( 1048560 1231545 )
NEW met1 ( 1040400 1167905 ) ( 1067760 1167905 )
NEW met1 ( 223920 1231545 ) ( 1048560 1231545 )
NEW met2 ( 1100160 267510 ) ( 1100160 268250 0 )
NEW met3 ( 1084080 267510 ) ( 1100160 267510 )
NEW met2 ( 1084080 267510 ) ( 1084080 269175 )
NEW met1 ( 1040400 269175 ) ( 1084080 269175 )
NEW met2 ( 1040400 269175 ) ( 1040400 1167905 )
NEW met1 ( 223920 1231545 ) M1M2_PR
NEW met2 ( 211440 1876270 ) via2_FR
NEW met1 ( 211440 1876085 ) M1M2_PR
NEW met1 ( 223920 1876085 ) M1M2_PR
NEW met2 ( 211440 1882930 ) via2_FR
NEW met1 ( 1067760 1224145 ) M1M2_PR
NEW met1 ( 1048560 1224145 ) M1M2_PR
NEW met1 ( 1048560 1231545 ) M1M2_PR
NEW met1 ( 1040400 1167905 ) M1M2_PR
NEW met1 ( 1067760 1167905 ) M1M2_PR
NEW met2 ( 1100160 267510 ) via2_FR
NEW met2 ( 1084080 267510 ) via2_FR
NEW met1 ( 1084080 269175 ) M1M2_PR
NEW met1 ( 1040400 269175 ) M1M2_PR
NEW met2 ( 1067760 1167905 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[34\] ( soc mgmt_out_data[34] ) ( soc mgmt_in_data[34] ) ( gpio_control_in\[34\] mgmt_gpio_out ) ( gpio_control_in\[34\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 1660190 ) ( 211680 1661300 0 )
NEW met3 ( 211440 1660190 ) ( 211680 1660190 )
NEW met2 ( 211440 1660005 ) ( 211440 1660190 )
NEW met1 ( 211440 1660005 ) ( 225360 1660005 )
NEW met3 ( 211680 1667590 ) ( 211680 1669070 0 )
NEW met3 ( 211440 1667590 ) ( 211680 1667590 )
NEW met2 ( 211440 1660190 ) ( 211440 1667590 )
NEW met2 ( 1034160 1149590 ) ( 1034160 1260035 )
NEW met2 ( 225360 1260035 ) ( 225360 1660005 )
NEW met1 ( 225360 1260035 ) ( 1034160 1260035 )
NEW met3 ( 1034160 1149590 ) ( 1052640 1149590 0 )
NEW met2 ( 1101840 268250 ) ( 1102080 268250 0 )
NEW met2 ( 1101840 266030 ) ( 1101840 268250 )
NEW met3 ( 1035600 266030 ) ( 1101840 266030 )
NEW met2 ( 1035600 266030 ) ( 1035600 1149590 )
NEW met2 ( 211440 1660190 ) via2_FR
NEW met1 ( 211440 1660005 ) M1M2_PR
NEW met1 ( 225360 1660005 ) M1M2_PR
NEW met2 ( 211440 1667590 ) via2_FR
NEW met1 ( 1034160 1260035 ) M1M2_PR
NEW met2 ( 1034160 1149590 ) via2_FR
NEW met2 ( 1035600 1149590 ) via2_FR
NEW met1 ( 225360 1260035 ) M1M2_PR
NEW met2 ( 1101840 266030 ) via2_FR
NEW met2 ( 1035600 266030 ) via2_FR
NEW met3 ( 1035600 1149590 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[35\] ( soc mgmt_out_data[35] ) ( soc mgmt_in_data[35] ) ( gpio_control_in\[35\] mgmt_gpio_out ) ( gpio_control_in\[35\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 1444110 ) ( 211680 1445590 0 )
NEW met3 ( 211440 1444110 ) ( 211680 1444110 )
NEW met2 ( 211440 1440225 ) ( 211440 1444110 )
NEW met3 ( 211680 1450030 ) ( 211680 1452990 0 )
NEW met3 ( 211680 1450030 ) ( 213600 1450030 )
NEW met3 ( 213600 1447810 ) ( 213600 1450030 )
NEW met3 ( 211680 1447810 ) ( 213600 1447810 )
NEW met3 ( 211680 1445590 0 ) ( 211680 1447810 )
NEW met1 ( 211440 1440225 ) ( 281520 1440225 )
NEW met2 ( 281520 1233395 ) ( 281520 1440225 )
NEW met2 ( 1070640 1167350 0 ) ( 1070640 1224515 )
NEW met1 ( 1050960 1224515 ) ( 1070640 1224515 )
NEW met2 ( 1050960 1224515 ) ( 1050960 1233395 )
NEW met1 ( 1050000 1167165 ) ( 1069680 1167165 )
NEW met1 ( 1069680 1167165 ) ( 1069680 1167535 )
NEW met2 ( 1069680 1167350 ) ( 1069680 1167535 )
NEW met2 ( 1069680 1167350 ) ( 1070640 1167350 0 )
NEW met2 ( 1103760 244570 ) ( 1103760 268250 0 )
NEW met3 ( 1047600 244570 ) ( 1103760 244570 )
NEW met2 ( 1047600 244570 ) ( 1047600 299885 )
NEW met1 ( 1047600 299885 ) ( 1050000 299885 )
NEW met2 ( 1050000 299885 ) ( 1050000 1167165 )
NEW met1 ( 281520 1233395 ) ( 1050960 1233395 )
NEW met2 ( 211440 1444110 ) via2_FR
NEW met1 ( 211440 1440225 ) M1M2_PR
NEW met1 ( 281520 1440225 ) M1M2_PR
NEW met1 ( 281520 1233395 ) M1M2_PR
NEW met1 ( 1070640 1224515 ) M1M2_PR
NEW met1 ( 1050960 1224515 ) M1M2_PR
NEW met1 ( 1050960 1233395 ) M1M2_PR
NEW met1 ( 1050000 1167165 ) M1M2_PR
NEW met1 ( 1069680 1167535 ) M1M2_PR
NEW met2 ( 1103760 244570 ) via2_FR
NEW met2 ( 1047600 244570 ) via2_FR
NEW met1 ( 1047600 299885 ) M1M2_PR
NEW met1 ( 1050000 299885 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[36\] ( soc mgmt_out_data[36] ) ( soc mgmt_in_data[36] ) ( gpio_control_in\[36\] mgmt_gpio_out ) ( gpio_control_in\[36\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 1228030 ) ( 211680 1229510 0 )
NEW met3 ( 211680 1228030 ) ( 211920 1228030 )
NEW met2 ( 211920 1224885 ) ( 211920 1228030 )
NEW met3 ( 211680 1233950 ) ( 211680 1236910 0 )
NEW met3 ( 211680 1233950 ) ( 211920 1233950 )
NEW met2 ( 211920 1228030 ) ( 211920 1233950 )
NEW met3 ( 1048800 619010 ) ( 1054560 619010 )
NEW met3 ( 1050960 763310 ) ( 1053600 763310 )
NEW met2 ( 1050960 763310 ) ( 1050960 863210 )
NEW met3 ( 1050960 863210 ) ( 1054560 863210 )
NEW met4 ( 1053600 710030 ) ( 1054560 710030 )
NEW met4 ( 1053600 710030 ) ( 1053600 763310 )
NEW met4 ( 1054560 619010 ) ( 1054560 710030 )
NEW met2 ( 1105680 268990 0 ) ( 1106160 268990 )
NEW met2 ( 1106160 268805 ) ( 1106160 268990 )
NEW met1 ( 1062000 268805 ) ( 1106160 268805 )
NEW met2 ( 1062000 268805 ) ( 1062000 271210 )
NEW met3 ( 1054560 271210 ) ( 1062000 271210 )
NEW met1 ( 211920 1224885 ) ( 1071120 1224885 )
NEW met2 ( 1071600 1167350 ) ( 1072560 1167350 0 )
NEW met3 ( 1071600 1166610 ) ( 1071600 1167350 )
NEW met3 ( 1054560 1166610 ) ( 1071600 1166610 )
NEW met2 ( 1071120 1167350 ) ( 1071600 1167350 )
NEW met2 ( 1071120 1167350 ) ( 1071120 1224885 )
NEW met3 ( 1048800 388870 ) ( 1054560 388870 )
NEW met4 ( 1048800 388870 ) ( 1048800 619010 )
NEW met4 ( 1054560 271210 ) ( 1054560 388870 )
NEW met4 ( 1053600 994005 ) ( 1054560 994005 )
NEW met4 ( 1053600 994005 ) ( 1053600 1000665 )
NEW met4 ( 1053600 1000665 ) ( 1054560 1000665 )
NEW met4 ( 1054560 1000665 ) ( 1054560 1166610 )
NEW met4 ( 1054560 863210 ) ( 1054560 994005 )
NEW met2 ( 211920 1228030 ) via2_FR
NEW met1 ( 211920 1224885 ) M1M2_PR
NEW met2 ( 211920 1233950 ) via2_FR
NEW met3 ( 1048800 619010 ) M3M4_PR_M
NEW met3 ( 1054560 619010 ) M3M4_PR_M
NEW met3 ( 1053600 763310 ) M3M4_PR_M
NEW met2 ( 1050960 763310 ) via2_FR
NEW met2 ( 1050960 863210 ) via2_FR
NEW met3 ( 1054560 863210 ) M3M4_PR_M
NEW met1 ( 1106160 268805 ) M1M2_PR
NEW met1 ( 1062000 268805 ) M1M2_PR
NEW met2 ( 1062000 271210 ) via2_FR
NEW met3 ( 1054560 271210 ) M3M4_PR_M
NEW met1 ( 1071120 1224885 ) M1M2_PR
NEW met2 ( 1071600 1167350 ) via2_FR
NEW met3 ( 1054560 1166610 ) M3M4_PR_M
NEW met3 ( 1048800 388870 ) M3M4_PR_M
NEW met3 ( 1054560 388870 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_io_in\[37\] ( soc mgmt_out_data[37] ) ( soc mgmt_in_data[37] ) ( gpio_control_in\[37\] mgmt_gpio_out ) ( gpio_control_in\[37\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 1020830 0 ) ( 211680 1021570 )
NEW met3 ( 211680 1021570 ) ( 211920 1021570 )
NEW met2 ( 211920 1021570 ) ( 211920 1021755 )
NEW met1 ( 211920 1021755 ) ( 222960 1021755 )
NEW met3 ( 211680 1013430 0 ) ( 211680 1014170 )
NEW met3 ( 211680 1014170 ) ( 211920 1014170 )
NEW met2 ( 211920 1014170 ) ( 211920 1021570 )
NEW met3 ( 1046640 1013430 ) ( 1052640 1013430 0 )
NEW met3 ( 1028400 1013430 ) ( 1046640 1013430 )
NEW met2 ( 1107600 249195 ) ( 1107600 268250 0 )
NEW met1 ( 1045680 249195 ) ( 1107600 249195 )
NEW met2 ( 1045680 249195 ) ( 1045680 286750 )
NEW met2 ( 1045680 286750 ) ( 1046640 286750 )
NEW met2 ( 1046640 286750 ) ( 1046640 1013430 )
NEW met2 ( 222960 1021755 ) ( 222960 1209715 )
NEW met2 ( 705840 1209715 ) ( 705840 1211195 )
NEW met1 ( 705840 1211195 ) ( 805680 1211195 )
NEW met2 ( 805680 1210085 ) ( 805680 1211195 )
NEW met1 ( 805680 1209715 ) ( 805680 1210085 )
NEW met1 ( 222960 1209715 ) ( 705840 1209715 )
NEW met1 ( 957360 1209715 ) ( 957360 1210085 )
NEW met1 ( 805680 1209715 ) ( 957360 1209715 )
NEW met1 ( 957360 1210085 ) ( 1029360 1210085 )
NEW met2 ( 1028400 1121470 ) ( 1029360 1121470 )
NEW met2 ( 1028400 1013430 ) ( 1028400 1121470 )
NEW met2 ( 1029360 1121470 ) ( 1029360 1210085 )
NEW met2 ( 211920 1021570 ) via2_FR
NEW met1 ( 211920 1021755 ) M1M2_PR
NEW met1 ( 222960 1021755 ) M1M2_PR
NEW met2 ( 211920 1014170 ) via2_FR
NEW met1 ( 222960 1209715 ) M1M2_PR
NEW met2 ( 1028400 1013430 ) via2_FR
NEW met2 ( 1046640 1013430 ) via2_FR
NEW met1 ( 1107600 249195 ) M1M2_PR
NEW met1 ( 1045680 249195 ) M1M2_PR
NEW met1 ( 705840 1209715 ) M1M2_PR
NEW met1 ( 705840 1211195 ) M1M2_PR
NEW met1 ( 805680 1211195 ) M1M2_PR
NEW met1 ( 805680 1210085 ) M1M2_PR
NEW met1 ( 1029360 1210085 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[3\] ( soc mgmt_out_data[3] ) ( soc mgmt_in_data[3] ) ( gpio_control_in\[3\] mgmt_gpio_out ) ( gpio_control_in\[3\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 1282050 0 ) ( 3398880 1284270 )
NEW met3 ( 3398880 1284270 ) ( 3399120 1284270 )
NEW met2 ( 3399120 1284270 ) ( 3399120 1287230 )
NEW met3 ( 3398880 1287230 ) ( 3399120 1287230 )
NEW met3 ( 3398880 1287230 ) ( 3398880 1289820 0 )
NEW met2 ( 3399120 1281865 ) ( 3399120 1284270 )
NEW met1 ( 3193680 1180855 ) ( 3196080 1180855 )
NEW met2 ( 3196080 1180855 ) ( 3196080 1189735 )
NEW met2 ( 3196080 1189735 ) ( 3196560 1189735 )
NEW met2 ( 3190800 1167350 ) ( 3192240 1167350 0 )
NEW met2 ( 3190800 1167350 ) ( 3190800 1168830 )
NEW met3 ( 3186720 1168830 ) ( 3190800 1168830 )
NEW met3 ( 3190800 1168830 ) ( 3193680 1168830 )
NEW met4 ( 3186720 258630 ) ( 3186720 1168830 )
NEW met2 ( 3193680 1168830 ) ( 3193680 1180855 )
NEW met2 ( 3196560 1189735 ) ( 3196560 1281865 )
NEW met1 ( 3196560 1281865 ) ( 3399120 1281865 )
NEW met2 ( 1109520 258630 ) ( 1109520 268250 0 )
NEW met3 ( 1109520 258630 ) ( 3186720 258630 )
NEW met2 ( 3399120 1284270 ) via2_FR
NEW met2 ( 3399120 1287230 ) via2_FR
NEW met1 ( 3399120 1281865 ) M1M2_PR
NEW met3 ( 3186720 258630 ) M3M4_PR_M
NEW met1 ( 3193680 1180855 ) M1M2_PR
NEW met1 ( 3196080 1180855 ) M1M2_PR
NEW met2 ( 3190800 1168830 ) via2_FR
NEW met3 ( 3186720 1168830 ) M3M4_PR_M
NEW met2 ( 3193680 1168830 ) via2_FR
NEW met1 ( 3196560 1281865 ) M1M2_PR
NEW met2 ( 1109520 258630 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[4\] ( soc mgmt_out_data[4] ) ( soc mgmt_in_data[4] ) ( gpio_control_in\[4\] mgmt_gpio_out ) ( gpio_control_in\[4\] mgmt_gpio_in )
+ ROUTED met3 ( 3202080 1157730 0 ) ( 3225840 1157730 )
NEW met2 ( 3225840 1157730 ) ( 3225840 1157915 )
NEW met1 ( 3225840 1157915 ) ( 3252720 1157915 )
NEW met2 ( 3225840 1157730 ) ( 3226320 1157730 )
NEW met2 ( 3226320 244015 ) ( 3226320 1157730 )
NEW met2 ( 3252720 1157915 ) ( 3252720 1497945 )
NEW met3 ( 3398880 1507010 0 ) ( 3398880 1508490 )
NEW met3 ( 3398880 1508490 ) ( 3399120 1508490 )
NEW met2 ( 3399120 1508490 ) ( 3399120 1512190 )
NEW met3 ( 3398880 1512190 ) ( 3399120 1512190 )
NEW met3 ( 3398880 1512190 ) ( 3398880 1514780 0 )
NEW met2 ( 3399120 1497945 ) ( 3399120 1508490 )
NEW met1 ( 3252720 1497945 ) ( 3399120 1497945 )
NEW met2 ( 1111440 244015 ) ( 1111440 266770 )
NEW met2 ( 1111200 266770 ) ( 1111440 266770 )
NEW met2 ( 1111200 266770 ) ( 1111200 268250 0 )
NEW met1 ( 1111440 244015 ) ( 3226320 244015 )
NEW met1 ( 3226320 244015 ) M1M2_PR
NEW met2 ( 3225840 1157730 ) via2_FR
NEW met1 ( 3225840 1157915 ) M1M2_PR
NEW met1 ( 3252720 1157915 ) M1M2_PR
NEW met1 ( 3252720 1497945 ) M1M2_PR
NEW met2 ( 3399120 1508490 ) via2_FR
NEW met2 ( 3399120 1512190 ) via2_FR
NEW met1 ( 3399120 1497945 ) M1M2_PR
NEW met1 ( 1111440 244015 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[5\] ( soc mgmt_out_data[5] ) ( soc mgmt_in_data[5] ) ( gpio_control_in\[5\] mgmt_gpio_out ) ( gpio_control_in\[5\] mgmt_gpio_in )
+ ROUTED met2 ( 3252240 1189365 ) ( 3252240 1728085 )
NEW met3 ( 3398880 1732340 0 ) ( 3398880 1733450 )
NEW met3 ( 3398880 1733450 ) ( 3399120 1733450 )
NEW met2 ( 3399120 1733450 ) ( 3399120 1737150 )
NEW met3 ( 3398880 1737150 ) ( 3399120 1737150 )
NEW met3 ( 3398880 1737150 ) ( 3398880 1739740 0 )
NEW met2 ( 3399120 1728085 ) ( 3399120 1733450 )
NEW met1 ( 3189840 1189365 ) ( 3252240 1189365 )
NEW met2 ( 3189840 1167350 ) ( 3190320 1167350 0 )
NEW met3 ( 3189600 1167350 ) ( 3189840 1167350 )
NEW met4 ( 3189600 260850 ) ( 3189600 1167350 )
NEW met2 ( 3189840 1167350 ) ( 3189840 1189365 )
NEW met1 ( 3252240 1728085 ) ( 3399120 1728085 )
NEW met2 ( 1112880 260850 ) ( 1112880 268250 )
NEW met2 ( 1112880 268250 ) ( 1113120 268250 0 )
NEW met3 ( 1112880 260850 ) ( 3189600 260850 )
NEW met1 ( 3252240 1189365 ) M1M2_PR
NEW met1 ( 3252240 1728085 ) M1M2_PR
NEW met2 ( 3399120 1733450 ) via2_FR
NEW met2 ( 3399120 1737150 ) via2_FR
NEW met1 ( 3399120 1728085 ) M1M2_PR
NEW met3 ( 3189600 260850 ) M3M4_PR_M
NEW met1 ( 3189840 1189365 ) M1M2_PR
NEW met2 ( 3189840 1167350 ) via2_FR
NEW met3 ( 3189600 1167350 ) M3M4_PR_M
NEW met2 ( 1112880 260850 ) via2_FR
NEW met3 ( 3189840 1167350 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mgmt_io_in\[6\] ( soc mgmt_out_data[6] ) ( soc mgmt_in_data[6] ) ( gpio_control_in\[6\] mgmt_gpio_out ) ( gpio_control_in\[6\] mgmt_gpio_in )
+ ROUTED met3 ( 3202080 1154770 0 ) ( 3202080 1155510 )
NEW met3 ( 3202080 1155510 ) ( 3225840 1155510 )
NEW met2 ( 3225840 1155510 ) ( 3225840 1155695 )
NEW met1 ( 3225840 1155695 ) ( 3251760 1155695 )
NEW met2 ( 3226800 244385 ) ( 3226800 1155695 )
NEW met2 ( 3251760 1155695 ) ( 3251760 1958595 )
NEW met3 ( 3398880 1958410 0 ) ( 3398880 1960630 )
NEW met3 ( 3398640 1960630 ) ( 3398880 1960630 )
NEW met2 ( 3398640 1960630 ) ( 3398640 1962850 )
NEW met3 ( 3398640 1962850 ) ( 3398880 1962850 )
NEW met3 ( 3398880 1962850 ) ( 3398880 1965810 0 )
NEW met2 ( 3398640 1958595 ) ( 3398640 1960630 )
NEW met1 ( 3251760 1958595 ) ( 3398640 1958595 )
NEW met2 ( 1114800 244385 ) ( 1114800 268250 0 )
NEW met1 ( 1114800 244385 ) ( 3226800 244385 )
NEW met1 ( 3226800 244385 ) M1M2_PR
NEW met2 ( 3225840 1155510 ) via2_FR
NEW met1 ( 3225840 1155695 ) M1M2_PR
NEW met1 ( 3251760 1155695 ) M1M2_PR
NEW met1 ( 3226800 1155695 ) M1M2_PR
NEW met1 ( 3251760 1958595 ) M1M2_PR
NEW met2 ( 3398640 1960630 ) via2_FR
NEW met2 ( 3398640 1962850 ) via2_FR
NEW met1 ( 3398640 1958595 ) M1M2_PR
NEW met1 ( 1114800 244385 ) M1M2_PR
NEW met1 ( 3226800 1155695 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- mgmt_io_in\[7\] ( soc mgmt_out_data[7] ) ( soc mgmt_in_data[7] ) ( gpio_control_in\[7\] mgmt_gpio_out ) ( gpio_control_in\[7\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 2399450 0 ) ( 3398880 2400190 )
NEW met3 ( 3398880 2400190 ) ( 3399120 2400190 )
NEW met2 ( 3399120 2400190 ) ( 3399120 2403890 )
NEW met3 ( 3398880 2403890 ) ( 3399120 2403890 )
NEW met3 ( 3398880 2403890 ) ( 3398880 2406850 0 )
NEW met2 ( 3399120 2390755 ) ( 3399120 2400190 )
NEW met2 ( 3251280 1187885 ) ( 3251280 2390755 )
NEW met1 ( 3189360 1187885 ) ( 3251280 1187885 )
NEW met1 ( 3251280 2390755 ) ( 3399120 2390755 )
NEW met2 ( 3187920 1167350 ) ( 3188640 1167350 0 )
NEW met3 ( 3185760 1167350 ) ( 3187920 1167350 )
NEW met2 ( 3188640 1167350 0 ) ( 3189360 1167350 )
NEW met4 ( 3185760 257890 ) ( 3185760 1167350 )
NEW met2 ( 3189360 1167350 ) ( 3189360 1187885 )
NEW met2 ( 1116720 257890 ) ( 1116720 268250 0 )
NEW met3 ( 1116720 257890 ) ( 3185760 257890 )
NEW met1 ( 3251280 1187885 ) M1M2_PR
NEW met1 ( 3251280 2390755 ) M1M2_PR
NEW met2 ( 3399120 2400190 ) via2_FR
NEW met2 ( 3399120 2403890 ) via2_FR
NEW met1 ( 3399120 2390755 ) M1M2_PR
NEW met3 ( 3185760 257890 ) M3M4_PR_M
NEW met1 ( 3189360 1187885 ) M1M2_PR
NEW met2 ( 3187920 1167350 ) via2_FR
NEW met3 ( 3185760 1167350 ) M3M4_PR_M
NEW met2 ( 1116720 257890 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[8\] ( soc mgmt_out_data[8] ) ( soc mgmt_in_data[8] ) ( gpio_control_in\[8\] mgmt_gpio_out ) ( gpio_control_in\[8\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 2619230 0 ) ( 3398880 2620710 )
NEW met3 ( 3398880 2620710 ) ( 3399120 2620710 )
NEW met2 ( 3399120 2620710 ) ( 3399120 2623670 )
NEW met3 ( 3398880 2623670 ) ( 3399120 2623670 )
NEW met3 ( 3398880 2623670 ) ( 3398880 2626630 0 )
NEW met2 ( 3399120 2606465 ) ( 3399120 2620710 )
NEW met2 ( 3250800 1188625 ) ( 3250800 2606465 )
NEW met1 ( 3186960 1188625 ) ( 3250800 1188625 )
NEW met1 ( 3250800 2606465 ) ( 3399120 2606465 )
NEW met2 ( 3185520 1167350 ) ( 3186720 1167350 0 )
NEW met2 ( 3185520 1167350 ) ( 3185520 1168090 )
NEW met3 ( 3184800 1168090 ) ( 3185520 1168090 )
NEW met2 ( 3186720 1168830 ) ( 3186960 1168830 )
NEW met2 ( 3186720 1167350 0 ) ( 3186720 1168830 )
NEW met4 ( 3184800 251970 ) ( 3184800 1168090 )
NEW met2 ( 3186960 1168830 ) ( 3186960 1188625 )
NEW met2 ( 1148400 244755 ) ( 1148400 251970 )
NEW met2 ( 1118640 244755 ) ( 1118640 268250 0 )
NEW met1 ( 1118640 244755 ) ( 1148400 244755 )
NEW met3 ( 1148400 251970 ) ( 3184800 251970 )
NEW met1 ( 3250800 1188625 ) M1M2_PR
NEW met1 ( 3250800 2606465 ) M1M2_PR
NEW met2 ( 3399120 2620710 ) via2_FR
NEW met2 ( 3399120 2623670 ) via2_FR
NEW met1 ( 3399120 2606465 ) M1M2_PR
NEW met3 ( 3184800 251970 ) M3M4_PR_M
NEW met1 ( 3186960 1188625 ) M1M2_PR
NEW met2 ( 3185520 1168090 ) via2_FR
NEW met3 ( 3184800 1168090 ) M3M4_PR_M
NEW met1 ( 1148400 244755 ) M1M2_PR
NEW met2 ( 1148400 251970 ) via2_FR
NEW met1 ( 1118640 244755 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[9\] ( soc mgmt_out_data[9] ) ( soc mgmt_in_data[9] ) ( gpio_control_in\[9\] mgmt_gpio_out ) ( gpio_control_in\[9\] mgmt_gpio_in )
+ ROUTED met3 ( 3201120 1152550 0 ) ( 3201120 1153290 )
NEW met3 ( 3201120 1153290 ) ( 3225840 1153290 )
NEW met2 ( 3225840 1153290 ) ( 3225840 1153475 )
NEW met1 ( 3225840 1153475 ) ( 3250320 1153475 )
NEW met2 ( 3225840 243645 ) ( 3225840 1153290 )
NEW met2 ( 3250320 1153475 ) ( 3250320 2836975 )
NEW met3 ( 3398880 2850850 ) ( 3399120 2850850 )
NEW met2 ( 3399120 2846410 ) ( 3399120 2850850 )
NEW met3 ( 3398880 2846410 ) ( 3399120 2846410 )
NEW met3 ( 3398880 2844190 0 ) ( 3398880 2846410 )
NEW met2 ( 3399120 2836975 ) ( 3399120 2846410 )
NEW met1 ( 3250320 2836975 ) ( 3399120 2836975 )
NEW met3 ( 3398880 2850850 ) ( 3398880 2851590 0 )
NEW met2 ( 1120560 243645 ) ( 1120560 268250 0 )
NEW met1 ( 1120560 243645 ) ( 3225840 243645 )
NEW met1 ( 3225840 243645 ) M1M2_PR
NEW met1 ( 3250320 2836975 ) M1M2_PR
NEW met2 ( 3225840 1153290 ) via2_FR
NEW met1 ( 3225840 1153475 ) M1M2_PR
NEW met1 ( 3250320 1153475 ) M1M2_PR
NEW met2 ( 3399120 2850850 ) via2_FR
NEW met2 ( 3399120 2846410 ) via2_FR
NEW met1 ( 3399120 2836975 ) M1M2_PR
NEW met1 ( 1120560 243645 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[0\] ( storage mgmt_rdata[0] ) ( soc mgmt_rdata[0] )
+ ROUTED met2 ( 807600 359455 ) ( 807600 374625 )
NEW met2 ( 721680 374625 ) ( 721680 387390 )
NEW met3 ( 704160 387390 0 ) ( 721680 387390 )
NEW met1 ( 721680 374625 ) ( 807600 374625 )
NEW met2 ( 1025040 347430 ) ( 1025040 359455 )
NEW met1 ( 807600 359455 ) ( 1025040 359455 )
NEW met3 ( 1025040 347430 ) ( 1052640 347430 0 )
NEW met1 ( 807600 374625 ) M1M2_PR
NEW met1 ( 807600 359455 ) M1M2_PR
NEW met1 ( 721680 374625 ) M1M2_PR
NEW met2 ( 721680 387390 ) via2_FR
NEW met2 ( 1025040 347430 ) via2_FR
NEW met1 ( 1025040 359455 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[10\] ( storage mgmt_rdata[10] ) ( soc mgmt_rdata[10] )
+ ROUTED met2 ( 756240 359825 ) ( 756240 447145 )
NEW met2 ( 1034160 349650 ) ( 1034160 359825 )
NEW met1 ( 756240 359825 ) ( 1034160 359825 )
NEW met3 ( 1034160 349650 ) ( 1052640 349650 0 )
NEW met3 ( 704160 448070 0 ) ( 720240 448070 )
NEW met2 ( 720240 447145 ) ( 720240 448070 )
NEW met1 ( 720240 447145 ) ( 756240 447145 )
NEW met1 ( 756240 447145 ) M1M2_PR
NEW met1 ( 756240 359825 ) M1M2_PR
NEW met2 ( 1034160 349650 ) via2_FR
NEW met1 ( 1034160 359825 ) M1M2_PR
NEW met2 ( 720240 448070 ) via2_FR
NEW met1 ( 720240 447145 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[11\] ( storage mgmt_rdata[11] ) ( soc mgmt_rdata[11] )
+ ROUTED met2 ( 828240 359085 ) ( 828240 446775 )
NEW met2 ( 1027440 352610 ) ( 1027440 359085 )
NEW met1 ( 828240 359085 ) ( 1027440 359085 )
NEW met3 ( 1027440 352610 ) ( 1052640 352610 0 )
NEW met2 ( 721680 446775 ) ( 721680 453990 )
NEW met3 ( 704160 453990 0 ) ( 721680 453990 )
NEW met1 ( 721680 446775 ) ( 828240 446775 )
NEW met1 ( 828240 446775 ) M1M2_PR
NEW met1 ( 828240 359085 ) M1M2_PR
NEW met2 ( 1027440 352610 ) via2_FR
NEW met1 ( 1027440 359085 ) M1M2_PR
NEW met1 ( 721680 446775 ) M1M2_PR
NEW met2 ( 721680 453990 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[12\] ( storage mgmt_rdata[12] ) ( soc mgmt_rdata[12] )
+ ROUTED met2 ( 842640 358715 ) ( 842640 446405 )
NEW met2 ( 1023120 355570 ) ( 1023120 358715 )
NEW met1 ( 842640 358715 ) ( 1023120 358715 )
NEW met3 ( 1023120 355570 ) ( 1052640 355570 0 )
NEW met2 ( 720720 446405 ) ( 720720 456950 )
NEW met3 ( 704160 456950 ) ( 720720 456950 )
NEW met3 ( 704160 456950 ) ( 704160 459540 0 )
NEW met1 ( 720720 446405 ) ( 842640 446405 )
NEW met1 ( 842640 446405 ) M1M2_PR
NEW met1 ( 842640 358715 ) M1M2_PR
NEW met2 ( 1023120 355570 ) via2_FR
NEW met1 ( 1023120 358715 ) M1M2_PR
NEW met1 ( 720720 446405 ) M1M2_PR
NEW met2 ( 720720 456950 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[13\] ( storage mgmt_rdata[13] ) ( soc mgmt_rdata[13] )
+ ROUTED met2 ( 858000 358345 ) ( 858000 460835 )
NEW met2 ( 1022640 358345 ) ( 1022640 358530 )
NEW met1 ( 858000 358345 ) ( 1022640 358345 )
NEW met3 ( 1052640 358160 0 ) ( 1052640 358530 )
NEW met3 ( 1022640 358530 ) ( 1052640 358530 )
NEW met3 ( 704160 463610 ) ( 704160 465460 0 )
NEW met3 ( 704160 463610 ) ( 720240 463610 )
NEW met2 ( 720240 460835 ) ( 720240 463610 )
NEW met1 ( 720240 460835 ) ( 858000 460835 )
NEW met1 ( 858000 460835 ) M1M2_PR
NEW met1 ( 858000 358345 ) M1M2_PR
NEW met1 ( 1022640 358345 ) M1M2_PR
NEW met2 ( 1022640 358530 ) via2_FR
NEW met2 ( 720240 463610 ) via2_FR
NEW met1 ( 720240 460835 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[14\] ( storage mgmt_rdata[14] ) ( soc mgmt_rdata[14] )
+ ROUTED met2 ( 770160 374255 ) ( 770160 461205 )
NEW met2 ( 1023600 360750 ) ( 1023600 374255 )
NEW met1 ( 770160 374255 ) ( 1023600 374255 )
NEW met3 ( 1023600 360750 ) ( 1052640 360750 0 )
NEW met2 ( 720720 461205 ) ( 720720 471750 )
NEW met3 ( 704160 471750 0 ) ( 720720 471750 )
NEW met1 ( 720720 461205 ) ( 770160 461205 )
NEW met1 ( 770160 461205 ) M1M2_PR
NEW met1 ( 770160 374255 ) M1M2_PR
NEW met2 ( 1023600 360750 ) via2_FR
NEW met1 ( 1023600 374255 ) M1M2_PR
NEW met1 ( 720720 461205 ) M1M2_PR
NEW met2 ( 720720 471750 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[15\] ( storage mgmt_rdata[15] ) ( soc mgmt_rdata[15] )
+ ROUTED met2 ( 872400 373885 ) ( 872400 475635 )
NEW met2 ( 1034160 363710 ) ( 1034160 373885 )
NEW met1 ( 872400 373885 ) ( 1034160 373885 )
NEW met3 ( 1034160 363710 ) ( 1052640 363710 0 )
NEW met2 ( 720240 475635 ) ( 720240 477670 )
NEW met3 ( 704160 477670 0 ) ( 720240 477670 )
NEW met1 ( 720240 475635 ) ( 872400 475635 )
NEW met1 ( 872400 373885 ) M1M2_PR
NEW met1 ( 872400 475635 ) M1M2_PR
NEW met2 ( 1034160 363710 ) via2_FR
NEW met1 ( 1034160 373885 ) M1M2_PR
NEW met1 ( 720240 475635 ) M1M2_PR
NEW met2 ( 720240 477670 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[16\] ( storage mgmt_rdata[16] ) ( soc mgmt_rdata[16] )
+ ROUTED met2 ( 886800 373515 ) ( 886800 475265 )
NEW met2 ( 1023120 368150 ) ( 1023120 373515 )
NEW met1 ( 886800 373515 ) ( 1023120 373515 )
NEW met3 ( 1052640 366300 0 ) ( 1052640 368150 )
NEW met3 ( 1023120 368150 ) ( 1052640 368150 )
NEW met3 ( 704160 481370 ) ( 704160 483960 0 )
NEW met3 ( 704160 481370 ) ( 720720 481370 )
NEW met2 ( 720720 475265 ) ( 720720 481370 )
NEW met1 ( 720720 475265 ) ( 886800 475265 )
NEW met1 ( 886800 373515 ) M1M2_PR
NEW met1 ( 886800 475265 ) M1M2_PR
NEW met2 ( 1023120 368150 ) via2_FR
NEW met1 ( 1023120 373515 ) M1M2_PR
NEW met2 ( 720720 481370 ) via2_FR
NEW met1 ( 720720 475265 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[17\] ( storage mgmt_rdata[17] ) ( soc mgmt_rdata[17] )
+ ROUTED met2 ( 900720 373145 ) ( 900720 490435 )
NEW met2 ( 1028880 368890 ) ( 1028880 373145 )
NEW met1 ( 900720 373145 ) ( 1028880 373145 )
NEW met3 ( 1028880 368890 ) ( 1052640 368890 0 )
NEW met2 ( 720240 490250 ) ( 720240 490435 )
NEW met3 ( 704160 490250 0 ) ( 720240 490250 )
NEW met1 ( 720240 490435 ) ( 900720 490435 )
NEW met1 ( 900720 373145 ) M1M2_PR
NEW met1 ( 900720 490435 ) M1M2_PR
NEW met2 ( 1028880 368890 ) via2_FR
NEW met1 ( 1028880 373145 ) M1M2_PR
NEW met1 ( 720240 490435 ) M1M2_PR
NEW met2 ( 720240 490250 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[18\] ( storage mgmt_rdata[18] ) ( soc mgmt_rdata[18] )
+ ROUTED met2 ( 915120 372775 ) ( 915120 490065 )
NEW met2 ( 1022640 371850 ) ( 1022640 372775 )
NEW met1 ( 915120 372775 ) ( 1022640 372775 )
NEW met3 ( 1022640 371850 ) ( 1052640 371850 0 )
NEW met2 ( 720720 490065 ) ( 720720 495430 )
NEW met3 ( 704160 495430 0 ) ( 720720 495430 )
NEW met1 ( 720720 490065 ) ( 915120 490065 )
NEW met1 ( 915120 372775 ) M1M2_PR
NEW met1 ( 915120 490065 ) M1M2_PR
NEW met2 ( 1022640 371850 ) via2_FR
NEW met1 ( 1022640 372775 ) M1M2_PR
NEW met1 ( 720720 490065 ) M1M2_PR
NEW met2 ( 720720 495430 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[19\] ( storage mgmt_rdata[19] ) ( soc mgmt_rdata[19] )
+ ROUTED met2 ( 721680 489695 ) ( 721680 499130 )
NEW met3 ( 704160 499130 ) ( 721680 499130 )
NEW met3 ( 704160 499130 ) ( 704160 501720 0 )
NEW met1 ( 721680 489695 ) ( 1040880 489695 )
NEW met2 ( 1040880 265475 ) ( 1040880 489695 )
NEW met2 ( 1078800 265475 ) ( 1078800 266955 )
NEW met2 ( 1078800 266955 ) ( 1079040 266955 )
NEW met2 ( 1079040 266955 ) ( 1079040 268250 0 )
NEW met1 ( 1040880 265475 ) ( 1078800 265475 )
NEW met1 ( 721680 489695 ) M1M2_PR
NEW met2 ( 721680 499130 ) via2_FR
NEW met1 ( 1040880 489695 ) M1M2_PR
NEW met1 ( 1040880 265475 ) M1M2_PR
NEW met1 ( 1078800 265475 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[1\] ( storage mgmt_rdata[1] ) ( soc mgmt_rdata[1] )
+ ROUTED met1 ( 721680 389425 ) ( 755760 389425 )
NEW met2 ( 721680 389425 ) ( 721680 393310 )
NEW met3 ( 704160 393310 0 ) ( 721680 393310 )
NEW met2 ( 755760 262145 ) ( 755760 389425 )
NEW met2 ( 1080720 262145 ) ( 1080720 268250 0 )
NEW met1 ( 755760 262145 ) ( 1080720 262145 )
NEW met1 ( 755760 262145 ) M1M2_PR
NEW met1 ( 755760 389425 ) M1M2_PR
NEW met1 ( 721680 389425 ) M1M2_PR
NEW met2 ( 721680 393310 ) via2_FR
NEW met1 ( 1080720 262145 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[20\] ( storage mgmt_rdata[20] ) ( soc mgmt_rdata[20] )
+ ROUTED met2 ( 785520 388685 ) ( 785520 507825 )
NEW met2 ( 1023600 376290 ) ( 1023600 387205 )
NEW met1 ( 949680 387205 ) ( 1023600 387205 )
NEW met2 ( 949680 387205 ) ( 949680 388685 )
NEW met1 ( 785520 388685 ) ( 949680 388685 )
NEW met3 ( 1052640 374440 0 ) ( 1052640 376290 )
NEW met3 ( 1023600 376290 ) ( 1052640 376290 )
NEW met2 ( 720240 507825 ) ( 720240 508010 )
NEW met3 ( 704160 508010 0 ) ( 720240 508010 )
NEW met1 ( 720240 507825 ) ( 785520 507825 )
NEW met1 ( 785520 388685 ) M1M2_PR
NEW met1 ( 785520 507825 ) M1M2_PR
NEW met2 ( 1023600 376290 ) via2_FR
NEW met1 ( 1023600 387205 ) M1M2_PR
NEW met1 ( 949680 387205 ) M1M2_PR
NEW met1 ( 949680 388685 ) M1M2_PR
NEW met1 ( 720240 507825 ) M1M2_PR
NEW met2 ( 720240 508010 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[21\] ( storage mgmt_rdata[21] ) ( soc mgmt_rdata[21] )
+ ROUTED met2 ( 738960 238465 ) ( 738960 504125 )
NEW met2 ( 720720 504125 ) ( 720720 513930 )
NEW met3 ( 704160 513930 0 ) ( 720720 513930 )
NEW met1 ( 720720 504125 ) ( 738960 504125 )
NEW met2 ( 1082640 238465 ) ( 1082640 268250 0 )
NEW met1 ( 738960 238465 ) ( 1082640 238465 )
NEW met1 ( 738960 238465 ) M1M2_PR
NEW met1 ( 738960 504125 ) M1M2_PR
NEW met1 ( 720720 504125 ) M1M2_PR
NEW met2 ( 720720 513930 ) via2_FR
NEW met1 ( 1082640 238465 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[22\] ( storage mgmt_rdata[22] ) ( soc mgmt_rdata[22] )
+ ROUTED met2 ( 799920 388315 ) ( 799920 521145 )
NEW met2 ( 1032720 377030 ) ( 1032720 388315 )
NEW met1 ( 799920 388315 ) ( 1032720 388315 )
NEW met3 ( 1032720 377030 ) ( 1052640 377030 0 )
NEW met3 ( 704160 519850 0 ) ( 724080 519850 )
NEW met2 ( 724080 519850 ) ( 724080 521145 )
NEW met1 ( 724080 521145 ) ( 799920 521145 )
NEW met1 ( 799920 388315 ) M1M2_PR
NEW met1 ( 799920 521145 ) M1M2_PR
NEW met2 ( 1032720 377030 ) via2_FR
NEW met1 ( 1032720 388315 ) M1M2_PR
NEW met2 ( 724080 519850 ) via2_FR
NEW met1 ( 724080 521145 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[23\] ( storage mgmt_rdata[23] ) ( soc mgmt_rdata[23] )
+ ROUTED met3 ( 704160 523550 ) ( 704160 526140 0 )
NEW met3 ( 704160 523550 ) ( 720720 523550 )
NEW met2 ( 720720 518555 ) ( 720720 523550 )
NEW met2 ( 1084560 251785 ) ( 1084560 268250 0 )
NEW met1 ( 1041360 251785 ) ( 1084560 251785 )
NEW met1 ( 720720 518555 ) ( 1041360 518555 )
NEW met2 ( 1041360 251785 ) ( 1041360 518555 )
NEW met2 ( 720720 523550 ) via2_FR
NEW met1 ( 720720 518555 ) M1M2_PR
NEW met1 ( 1084560 251785 ) M1M2_PR
NEW met1 ( 1041360 251785 ) M1M2_PR
NEW met1 ( 1041360 518555 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[24\] ( storage mgmt_rdata[24] ) ( soc mgmt_rdata[24] )
+ ROUTED met2 ( 756720 262885 ) ( 756720 518925 )
NEW met3 ( 704160 529470 ) ( 704160 532060 0 )
NEW met3 ( 704160 529470 ) ( 721680 529470 )
NEW met2 ( 721680 518925 ) ( 721680 529470 )
NEW met1 ( 721680 518925 ) ( 756720 518925 )
NEW met2 ( 1086480 262885 ) ( 1086480 268250 0 )
NEW met1 ( 756720 262885 ) ( 1086480 262885 )
NEW met1 ( 756720 262885 ) M1M2_PR
NEW met1 ( 756720 518925 ) M1M2_PR
NEW met2 ( 721680 529470 ) via2_FR
NEW met1 ( 721680 518925 ) M1M2_PR
NEW met1 ( 1086480 262885 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[25\] ( storage mgmt_rdata[25] ) ( soc mgmt_rdata[25] )
+ ROUTED met2 ( 814320 387945 ) ( 814320 532985 )
NEW met2 ( 733680 532985 ) ( 733680 537610 )
NEW met3 ( 704160 537610 0 ) ( 733680 537610 )
NEW met1 ( 733680 532985 ) ( 814320 532985 )
NEW met2 ( 1022640 379990 ) ( 1022640 387945 )
NEW met1 ( 814320 387945 ) ( 1022640 387945 )
NEW met3 ( 1022640 379990 ) ( 1052640 379990 0 )
NEW met1 ( 814320 387945 ) M1M2_PR
NEW met1 ( 814320 532985 ) M1M2_PR
NEW met1 ( 733680 532985 ) M1M2_PR
NEW met2 ( 733680 537610 ) via2_FR
NEW met2 ( 1022640 379990 ) via2_FR
NEW met1 ( 1022640 387945 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[26\] ( storage mgmt_rdata[26] ) ( soc mgmt_rdata[26] )
+ ROUTED met1 ( 722160 533355 ) ( 739440 533355 )
NEW met2 ( 722160 533355 ) ( 722160 541310 )
NEW met3 ( 704160 541310 ) ( 722160 541310 )
NEW met3 ( 704160 541310 ) ( 704160 543890 0 )
NEW met2 ( 739440 238095 ) ( 739440 533355 )
NEW met2 ( 1088400 238095 ) ( 1088400 268250 )
NEW met2 ( 1088160 268250 0 ) ( 1088400 268250 )
NEW met1 ( 739440 238095 ) ( 1088400 238095 )
NEW met1 ( 739440 238095 ) M1M2_PR
NEW met1 ( 739440 533355 ) M1M2_PR
NEW met1 ( 722160 533355 ) M1M2_PR
NEW met2 ( 722160 541310 ) via2_FR
NEW met1 ( 1088400 238095 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[27\] ( storage mgmt_rdata[27] ) ( soc mgmt_rdata[27] )
+ ROUTED met3 ( 704160 548710 ) ( 704160 549820 0 )
NEW met3 ( 704160 548710 ) ( 733680 548710 )
NEW met2 ( 733680 547415 ) ( 733680 548710 )
NEW met1 ( 733680 547415 ) ( 929520 547415 )
NEW met2 ( 929520 387575 ) ( 929520 547415 )
NEW met2 ( 1029840 384430 ) ( 1029840 387575 )
NEW met1 ( 929520 387575 ) ( 1029840 387575 )
NEW met3 ( 1052640 382580 0 ) ( 1052640 384430 )
NEW met3 ( 1029840 384430 ) ( 1052640 384430 )
NEW met1 ( 929520 387575 ) M1M2_PR
NEW met2 ( 733680 548710 ) via2_FR
NEW met1 ( 733680 547415 ) M1M2_PR
NEW met1 ( 929520 547415 ) M1M2_PR
NEW met2 ( 1029840 384430 ) via2_FR
NEW met1 ( 1029840 387575 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[28\] ( storage mgmt_rdata[28] ) ( soc mgmt_rdata[28] )
+ ROUTED met1 ( 722160 547785 ) ( 739920 547785 )
NEW met2 ( 722160 547785 ) ( 722160 556110 )
NEW met3 ( 704160 556110 0 ) ( 722160 556110 )
NEW met2 ( 739920 237725 ) ( 739920 547785 )
NEW met2 ( 1089840 237725 ) ( 1089840 266770 )
NEW met2 ( 1089840 266770 ) ( 1090080 266770 )
NEW met2 ( 1090080 266770 ) ( 1090080 268250 0 )
NEW met1 ( 739920 237725 ) ( 1089840 237725 )
NEW met1 ( 739920 237725 ) M1M2_PR
NEW met1 ( 739920 547785 ) M1M2_PR
NEW met1 ( 722160 547785 ) M1M2_PR
NEW met2 ( 722160 556110 ) via2_FR
NEW met1 ( 1089840 237725 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[29\] ( storage mgmt_rdata[29] ) ( soc mgmt_rdata[29] )
+ ROUTED met1 ( 733680 561845 ) ( 740400 561845 )
NEW met2 ( 733680 561845 ) ( 733680 562030 )
NEW met3 ( 704160 562030 0 ) ( 733680 562030 )
NEW met2 ( 740400 237355 ) ( 740400 561845 )
NEW met2 ( 1091760 237355 ) ( 1091760 268250 0 )
NEW met1 ( 740400 237355 ) ( 1091760 237355 )
NEW met1 ( 740400 237355 ) M1M2_PR
NEW met1 ( 740400 561845 ) M1M2_PR
NEW met1 ( 733680 561845 ) M1M2_PR
NEW met2 ( 733680 562030 ) via2_FR
NEW met1 ( 1091760 237355 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[2\] ( storage mgmt_rdata[2] ) ( soc mgmt_rdata[2] )
+ ROUTED met3 ( 704160 397010 ) ( 704160 399600 0 )
NEW met3 ( 704160 397010 ) ( 733680 397010 )
NEW met2 ( 733680 389055 ) ( 733680 397010 )
NEW met2 ( 1023120 385170 ) ( 1023120 388685 )
NEW met1 ( 950160 388685 ) ( 1023120 388685 )
NEW met1 ( 950160 388685 ) ( 950160 389055 )
NEW met1 ( 733680 389055 ) ( 950160 389055 )
NEW met3 ( 1023120 385170 ) ( 1052640 385170 0 )
NEW met2 ( 733680 397010 ) via2_FR
NEW met1 ( 733680 389055 ) M1M2_PR
NEW met2 ( 1023120 385170 ) via2_FR
NEW met1 ( 1023120 388685 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[30\] ( storage mgmt_rdata[30] ) ( soc mgmt_rdata[30] )
+ ROUTED met1 ( 722160 562215 ) ( 740880 562215 )
NEW met2 ( 722160 562215 ) ( 722160 565730 )
NEW met3 ( 704160 565730 ) ( 722160 565730 )
NEW met3 ( 704160 565730 ) ( 704160 568320 0 )
NEW met2 ( 740880 236985 ) ( 740880 562215 )
NEW met2 ( 1093680 236985 ) ( 1093680 268250 0 )
NEW met1 ( 740880 236985 ) ( 1093680 236985 )
NEW met1 ( 740880 236985 ) M1M2_PR
NEW met1 ( 740880 562215 ) M1M2_PR
NEW met1 ( 722160 562215 ) M1M2_PR
NEW met2 ( 722160 565730 ) via2_FR
NEW met1 ( 1093680 236985 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[31\] ( storage mgmt_rdata[31] ) ( soc mgmt_rdata[31] )
+ ROUTED met3 ( 704160 570910 ) ( 704160 573810 0 )
NEW met3 ( 704160 570910 ) ( 733680 570910 )
NEW met2 ( 733680 563325 ) ( 733680 570910 )
NEW met1 ( 733680 563325 ) ( 958320 563325 )
NEW met1 ( 958320 386835 ) ( 1024080 386835 )
NEW met2 ( 1024080 386835 ) ( 1024080 388130 )
NEW met2 ( 958320 386835 ) ( 958320 563325 )
NEW met3 ( 1024080 388130 ) ( 1052640 388130 0 )
NEW met2 ( 733680 570910 ) via2_FR
NEW met1 ( 733680 563325 ) M1M2_PR
NEW met1 ( 958320 563325 ) M1M2_PR
NEW met1 ( 958320 386835 ) M1M2_PR
NEW met1 ( 1024080 386835 ) M1M2_PR
NEW met2 ( 1024080 388130 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[32\] ( storage mgmt_rdata[32] ) ( soc mgmt_rdata[32] )
+ ROUTED met1 ( 734640 577755 ) ( 748560 577755 )
NEW met2 ( 734640 577755 ) ( 734640 579790 )
NEW met3 ( 704160 579790 0 ) ( 734640 579790 )
NEW met2 ( 748560 238835 ) ( 748560 577755 )
NEW met2 ( 1095600 238835 ) ( 1095600 268250 0 )
NEW met1 ( 748560 238835 ) ( 1095600 238835 )
NEW met1 ( 748560 238835 ) M1M2_PR
NEW met1 ( 748560 577755 ) M1M2_PR
NEW met1 ( 734640 577755 ) M1M2_PR
NEW met2 ( 734640 579790 ) via2_FR
NEW met1 ( 1095600 238835 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[33\] ( storage mgmt_rdata[33] ) ( soc mgmt_rdata[33] )
+ ROUTED met3 ( 704160 583490 ) ( 704160 586050 0 )
NEW met3 ( 704160 583490 ) ( 733680 583490 )
NEW met2 ( 733680 576275 ) ( 733680 583490 )
NEW met1 ( 733680 576275 ) ( 1041840 576275 )
NEW met2 ( 1097520 248085 ) ( 1097520 268250 0 )
NEW met1 ( 1041840 248085 ) ( 1097520 248085 )
NEW met2 ( 1041840 248085 ) ( 1041840 576275 )
NEW met2 ( 733680 583490 ) via2_FR
NEW met1 ( 733680 576275 ) M1M2_PR
NEW met1 ( 1041840 576275 ) M1M2_PR
NEW met1 ( 1097520 248085 ) M1M2_PR
NEW met1 ( 1041840 248085 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[34\] ( storage mgmt_rdata[34] ) ( soc mgmt_rdata[34] )
+ ROUTED met3 ( 704160 592370 0 ) ( 733680 592370 )
NEW met2 ( 733680 591445 ) ( 733680 592370 )
NEW met1 ( 733680 591445 ) ( 943920 591445 )
NEW met2 ( 943920 401635 ) ( 943920 591445 )
NEW met2 ( 1050960 390720 ) ( 1050960 401635 )
NEW met3 ( 1050960 390720 ) ( 1052640 390720 0 )
NEW met1 ( 943920 401635 ) ( 1050960 401635 )
NEW met2 ( 733680 592370 ) via2_FR
NEW met1 ( 733680 591445 ) M1M2_PR
NEW met1 ( 943920 591445 ) M1M2_PR
NEW met1 ( 943920 401635 ) M1M2_PR
NEW met1 ( 1050960 401635 ) M1M2_PR
NEW met2 ( 1050960 390720 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[35\] ( storage mgmt_rdata[35] ) ( soc mgmt_rdata[35] )
+ ROUTED met2 ( 731760 590705 ) ( 731760 595330 )
NEW met3 ( 704160 595330 ) ( 731760 595330 )
NEW met3 ( 704160 595330 ) ( 704160 598150 0 )
NEW met1 ( 731760 590705 ) ( 1042320 590705 )
NEW met2 ( 1099200 267695 ) ( 1099200 268250 0 )
NEW met1 ( 1096080 267695 ) ( 1099200 267695 )
NEW met2 ( 1096080 267695 ) ( 1096080 269545 )
NEW met1 ( 1042320 269545 ) ( 1096080 269545 )
NEW met2 ( 1042320 269545 ) ( 1042320 590705 )
NEW met1 ( 731760 590705 ) M1M2_PR
NEW met2 ( 731760 595330 ) via2_FR
NEW met1 ( 1042320 590705 ) M1M2_PR
NEW met1 ( 1099200 267695 ) M1M2_PR
NEW met1 ( 1096080 267695 ) M1M2_PR
NEW met1 ( 1096080 269545 ) M1M2_PR
NEW met1 ( 1042320 269545 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[36\] ( storage mgmt_rdata[36] ) ( soc mgmt_rdata[36] )
+ ROUTED met2 ( 733680 592925 ) ( 733680 604210 )
NEW met3 ( 704160 604210 0 ) ( 733680 604210 )
NEW met1 ( 733680 592925 ) ( 972720 592925 )
NEW met1 ( 972720 402005 ) ( 1023600 402005 )
NEW met2 ( 1023600 393310 ) ( 1023600 402005 )
NEW met2 ( 972720 402005 ) ( 972720 592925 )
NEW met3 ( 1023600 393310 ) ( 1052640 393310 0 )
NEW met1 ( 733680 592925 ) M1M2_PR
NEW met2 ( 733680 604210 ) via2_FR
NEW met1 ( 972720 592925 ) M1M2_PR
NEW met1 ( 972720 402005 ) M1M2_PR
NEW met1 ( 1023600 402005 ) M1M2_PR
NEW met2 ( 1023600 393310 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[37\] ( storage mgmt_rdata[37] ) ( soc mgmt_rdata[37] )
+ ROUTED met1 ( 734640 605505 ) ( 748080 605505 )
NEW met2 ( 734640 605505 ) ( 734640 607170 )
NEW met3 ( 704160 607170 ) ( 734640 607170 )
NEW met3 ( 704160 607170 ) ( 704160 609760 0 )
NEW met2 ( 748080 239575 ) ( 748080 605505 )
NEW met2 ( 1100880 239575 ) ( 1100880 266770 )
NEW met2 ( 1100880 266770 ) ( 1101120 266770 )
NEW met2 ( 1101120 266770 ) ( 1101120 268250 0 )
NEW met1 ( 748080 239575 ) ( 1100880 239575 )
NEW met1 ( 748080 239575 ) M1M2_PR
NEW met1 ( 748080 605505 ) M1M2_PR
NEW met1 ( 734640 605505 ) M1M2_PR
NEW met2 ( 734640 607170 ) via2_FR
NEW met1 ( 1100880 239575 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[38\] ( storage mgmt_rdata[38] ) ( soc mgmt_rdata[38] )
+ ROUTED met3 ( 704160 613090 ) ( 704160 615680 0 )
NEW met3 ( 704160 613090 ) ( 733680 613090 )
NEW met2 ( 733680 605135 ) ( 733680 613090 )
NEW met1 ( 733680 605135 ) ( 1042800 605135 )
NEW met2 ( 1102800 244385 ) ( 1102800 268250 0 )
NEW met1 ( 1042800 244385 ) ( 1102800 244385 )
NEW met2 ( 1042800 244385 ) ( 1042800 605135 )
NEW met2 ( 733680 613090 ) via2_FR
NEW met1 ( 733680 605135 ) M1M2_PR
NEW met1 ( 1042800 605135 ) M1M2_PR
NEW met1 ( 1102800 244385 ) M1M2_PR
NEW met1 ( 1042800 244385 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[39\] ( storage mgmt_rdata[39] ) ( soc mgmt_rdata[39] )
+ ROUTED met2 ( 733680 620305 ) ( 733680 621970 )
NEW met3 ( 704160 621970 0 ) ( 733680 621970 )
NEW met1 ( 733680 620305 ) ( 987120 620305 )
NEW met1 ( 987120 402375 ) ( 1023120 402375 )
NEW met2 ( 1023120 396270 ) ( 1023120 402375 )
NEW met2 ( 987120 402375 ) ( 987120 620305 )
NEW met3 ( 1023120 396270 ) ( 1052640 396270 0 )
NEW met1 ( 987120 620305 ) M1M2_PR
NEW met1 ( 733680 620305 ) M1M2_PR
NEW met2 ( 733680 621970 ) via2_FR
NEW met1 ( 987120 402375 ) M1M2_PR
NEW met1 ( 1023120 402375 ) M1M2_PR
NEW met2 ( 1023120 396270 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[3\] ( storage mgmt_rdata[3] ) ( soc mgmt_rdata[3] )
+ ROUTED met3 ( 704160 403670 ) ( 722160 403670 )
NEW met3 ( 704160 403670 ) ( 704160 405850 0 )
NEW met2 ( 722160 260295 ) ( 722160 403670 )
NEW met2 ( 1061040 260295 ) ( 1061040 263255 )
NEW met1 ( 1061040 263255 ) ( 1104720 263255 )
NEW met2 ( 1104720 263255 ) ( 1104720 268250 0 )
NEW met1 ( 722160 260295 ) ( 1061040 260295 )
NEW met2 ( 722160 403670 ) via2_FR
NEW met1 ( 722160 260295 ) M1M2_PR
NEW met1 ( 1061040 260295 ) M1M2_PR
NEW met1 ( 1061040 263255 ) M1M2_PR
NEW met1 ( 1104720 263255 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[40\] ( storage mgmt_rdata[40] ) ( soc mgmt_rdata[40] )
+ ROUTED met3 ( 704160 625670 ) ( 704160 628210 0 )
NEW met3 ( 704160 625670 ) ( 731760 625670 )
NEW met2 ( 731760 619565 ) ( 731760 625670 )
NEW met1 ( 731760 619565 ) ( 1001520 619565 )
NEW met1 ( 1001520 402745 ) ( 1022640 402745 )
NEW met2 ( 1022640 400710 ) ( 1022640 402745 )
NEW met2 ( 1001520 402745 ) ( 1001520 619565 )
NEW met3 ( 1052640 398860 0 ) ( 1052640 400710 )
NEW met3 ( 1022640 400710 ) ( 1052640 400710 )
NEW met1 ( 1001520 619565 ) M1M2_PR
NEW met2 ( 731760 625670 ) via2_FR
NEW met1 ( 731760 619565 ) M1M2_PR
NEW met1 ( 1001520 402745 ) M1M2_PR
NEW met1 ( 1022640 402745 ) M1M2_PR
NEW met2 ( 1022640 400710 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[41\] ( storage mgmt_rdata[41] ) ( soc mgmt_rdata[41] )
+ ROUTED met1 ( 735600 634365 ) ( 747600 634365 )
NEW met2 ( 735600 634365 ) ( 735600 634550 )
NEW met2 ( 747600 239205 ) ( 747600 634365 )
NEW met3 ( 704160 634550 0 ) ( 735600 634550 )
NEW met2 ( 1106640 239205 ) ( 1106640 268250 0 )
NEW met1 ( 747600 239205 ) ( 1106640 239205 )
NEW met1 ( 747600 239205 ) M1M2_PR
NEW met1 ( 747600 634365 ) M1M2_PR
NEW met1 ( 735600 634365 ) M1M2_PR
NEW met2 ( 735600 634550 ) via2_FR
NEW met1 ( 1106640 239205 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[42\] ( storage mgmt_rdata[42] ) ( soc mgmt_rdata[42] )
+ ROUTED met3 ( 704160 637510 ) ( 704160 640450 0 )
NEW met3 ( 704160 637510 ) ( 731760 637510 )
NEW met2 ( 731760 633625 ) ( 731760 637510 )
NEW met2 ( 1108560 243645 ) ( 1108560 268250 0 )
NEW met1 ( 1043280 243645 ) ( 1108560 243645 )
NEW met1 ( 731760 633625 ) ( 1043280 633625 )
NEW met2 ( 1043280 243645 ) ( 1043280 633625 )
NEW met2 ( 731760 637510 ) via2_FR
NEW met1 ( 731760 633625 ) M1M2_PR
NEW met1 ( 1108560 243645 ) M1M2_PR
NEW met1 ( 1043280 243645 ) M1M2_PR
NEW met1 ( 1043280 633625 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[43\] ( storage mgmt_rdata[43] ) ( soc mgmt_rdata[43] )
+ ROUTED met1 ( 1015920 403115 ) ( 1026480 403115 )
NEW met2 ( 1026480 401450 ) ( 1026480 403115 )
NEW met2 ( 1015920 403115 ) ( 1015920 633995 )
NEW met3 ( 1026480 401450 ) ( 1052640 401450 0 )
NEW met2 ( 733680 633995 ) ( 733680 646390 )
NEW met3 ( 704160 646390 0 ) ( 733680 646390 )
NEW met1 ( 733680 633995 ) ( 1015920 633995 )
NEW met1 ( 1015920 403115 ) M1M2_PR
NEW met1 ( 1026480 403115 ) M1M2_PR
NEW met2 ( 1026480 401450 ) via2_FR
NEW met1 ( 1015920 633995 ) M1M2_PR
NEW met1 ( 733680 633995 ) M1M2_PR
NEW met2 ( 733680 646390 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[44\] ( storage mgmt_rdata[44] ) ( soc mgmt_rdata[44] )
+ ROUTED met3 ( 704160 650090 ) ( 704160 651940 0 )
NEW met3 ( 704160 650090 ) ( 721200 650090 )
NEW met2 ( 721200 648055 ) ( 721200 650090 )
NEW met2 ( 1045200 244015 ) ( 1045200 287490 )
NEW met2 ( 1045200 287490 ) ( 1046160 287490 )
NEW met1 ( 721200 648055 ) ( 1046160 648055 )
NEW met2 ( 1046160 287490 ) ( 1046160 648055 )
NEW met2 ( 1110480 244015 ) ( 1110480 268250 )
NEW met2 ( 1110240 268250 0 ) ( 1110480 268250 )
NEW met1 ( 1045200 244015 ) ( 1110480 244015 )
NEW met2 ( 721200 650090 ) via2_FR
NEW met1 ( 721200 648055 ) M1M2_PR
NEW met1 ( 1045200 244015 ) M1M2_PR
NEW met1 ( 1046160 648055 ) M1M2_PR
NEW met1 ( 1110480 244015 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[45\] ( storage mgmt_rdata[45] ) ( soc mgmt_rdata[45] )
+ ROUTED met2 ( 757200 417545 ) ( 757200 648425 )
NEW met2 ( 1031280 404410 ) ( 1031280 417545 )
NEW met1 ( 757200 417545 ) ( 1031280 417545 )
NEW met3 ( 1031280 404410 ) ( 1052640 404410 0 )
NEW met3 ( 704160 655270 ) ( 704160 657860 0 )
NEW met3 ( 704160 655270 ) ( 722640 655270 )
NEW met2 ( 722640 648425 ) ( 722640 655270 )
NEW met1 ( 722640 648425 ) ( 757200 648425 )
NEW met1 ( 757200 417545 ) M1M2_PR
NEW met1 ( 757200 648425 ) M1M2_PR
NEW met2 ( 1031280 404410 ) via2_FR
NEW met1 ( 1031280 417545 ) M1M2_PR
NEW met2 ( 722640 655270 ) via2_FR
NEW met1 ( 722640 648425 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[46\] ( storage mgmt_rdata[46] ) ( soc mgmt_rdata[46] )
+ ROUTED met2 ( 722640 662855 ) ( 722640 664150 )
NEW met3 ( 704160 664150 0 ) ( 722640 664150 )
NEW met2 ( 1044720 243275 ) ( 1044720 288230 )
NEW met2 ( 1044720 288230 ) ( 1045200 288230 )
NEW met1 ( 722640 662855 ) ( 1045200 662855 )
NEW met2 ( 1045200 288230 ) ( 1045200 662855 )
NEW met2 ( 1111920 243275 ) ( 1111920 266770 )
NEW met2 ( 1111920 266770 ) ( 1112160 266770 )
NEW met2 ( 1112160 266770 ) ( 1112160 268250 0 )
NEW met1 ( 1044720 243275 ) ( 1111920 243275 )
NEW met1 ( 722640 662855 ) M1M2_PR
NEW met2 ( 722640 664150 ) via2_FR
NEW met1 ( 1044720 243275 ) M1M2_PR
NEW met1 ( 1045200 662855 ) M1M2_PR
NEW met1 ( 1111920 243275 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[47\] ( storage mgmt_rdata[47] ) ( soc mgmt_rdata[47] )
+ ROUTED met2 ( 721200 663595 ) ( 721200 670070 )
NEW met3 ( 704160 670070 0 ) ( 721200 670070 )
NEW met2 ( 1113840 242535 ) ( 1113840 268250 0 )
NEW met1 ( 1044240 242535 ) ( 1113840 242535 )
NEW met2 ( 1044240 242535 ) ( 1044240 299885 )
NEW met1 ( 1044240 299885 ) ( 1045680 299885 )
NEW met1 ( 721200 663595 ) ( 1045680 663595 )
NEW met2 ( 1045680 299885 ) ( 1045680 663595 )
NEW met1 ( 721200 663595 ) M1M2_PR
NEW met2 ( 721200 670070 ) via2_FR
NEW met1 ( 1113840 242535 ) M1M2_PR
NEW met1 ( 1044240 242535 ) M1M2_PR
NEW met1 ( 1044240 299885 ) M1M2_PR
NEW met1 ( 1045680 299885 ) M1M2_PR
NEW met1 ( 1045680 663595 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[48\] ( storage mgmt_rdata[48] ) ( soc mgmt_rdata[48] )
+ ROUTED met2 ( 828720 417175 ) ( 828720 663225 )
NEW met2 ( 1033680 407370 ) ( 1033680 417175 )
NEW met1 ( 828720 417175 ) ( 1033680 417175 )
NEW met3 ( 1033680 407370 ) ( 1052640 407370 0 )
NEW met3 ( 704160 673770 ) ( 704160 676360 0 )
NEW met3 ( 704160 673770 ) ( 721200 673770 )
NEW met2 ( 721200 670995 ) ( 721200 673770 )
NEW met1 ( 721200 670995 ) ( 734160 670995 )
NEW met2 ( 734160 663225 ) ( 734160 670995 )
NEW met1 ( 734160 663225 ) ( 828720 663225 )
NEW met1 ( 828720 417175 ) M1M2_PR
NEW met1 ( 828720 663225 ) M1M2_PR
NEW met2 ( 1033680 407370 ) via2_FR
NEW met1 ( 1033680 417175 ) M1M2_PR
NEW met2 ( 721200 673770 ) via2_FR
NEW met1 ( 721200 670995 ) M1M2_PR
NEW met1 ( 734160 670995 ) M1M2_PR
NEW met1 ( 734160 663225 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[49\] ( storage mgmt_rdata[49] ) ( soc mgmt_rdata[49] )
+ ROUTED met1 ( 734640 679875 ) ( 747120 679875 )
NEW met2 ( 734640 679875 ) ( 734640 680430 )
NEW met2 ( 747120 240315 ) ( 747120 679875 )
NEW met3 ( 704160 680430 ) ( 704160 682610 0 )
NEW met3 ( 704160 680430 ) ( 734640 680430 )
NEW met2 ( 1115760 240315 ) ( 1115760 268250 0 )
NEW met1 ( 747120 240315 ) ( 1115760 240315 )
NEW met1 ( 747120 240315 ) M1M2_PR
NEW met1 ( 747120 679875 ) M1M2_PR
NEW met1 ( 734640 679875 ) M1M2_PR
NEW met2 ( 734640 680430 ) via2_FR
NEW met1 ( 1115760 240315 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[4\] ( storage mgmt_rdata[4] ) ( soc mgmt_rdata[4] )
+ ROUTED met2 ( 733680 410515 ) ( 733680 411810 )
NEW met3 ( 704160 411810 0 ) ( 733680 411810 )
NEW met2 ( 1022640 409590 ) ( 1022640 410515 )
NEW met1 ( 733680 410515 ) ( 1022640 410515 )
NEW met3 ( 1022640 409590 ) ( 1052640 409590 0 )
NEW met1 ( 733680 410515 ) M1M2_PR
NEW met2 ( 733680 411810 ) via2_FR
NEW met2 ( 1022640 409590 ) via2_FR
NEW met1 ( 1022640 410515 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[50\] ( storage mgmt_rdata[50] ) ( soc mgmt_rdata[50] )
+ ROUTED met1 ( 734640 681355 ) ( 746640 681355 )
NEW met2 ( 734640 681355 ) ( 734640 687830 )
NEW met2 ( 746640 239945 ) ( 746640 681355 )
NEW met3 ( 704160 687830 0 ) ( 734640 687830 )
NEW met2 ( 1117680 239945 ) ( 1117680 268250 0 )
NEW met1 ( 746640 239945 ) ( 1117680 239945 )
NEW met1 ( 746640 239945 ) M1M2_PR
NEW met1 ( 746640 681355 ) M1M2_PR
NEW met1 ( 734640 681355 ) M1M2_PR
NEW met2 ( 734640 687830 ) via2_FR
NEW met1 ( 1117680 239945 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[51\] ( storage mgmt_rdata[51] ) ( soc mgmt_rdata[51] )
+ ROUTED met2 ( 719280 691345 ) ( 719280 691530 )
NEW met3 ( 704160 691530 ) ( 719280 691530 )
NEW met3 ( 704160 691530 ) ( 704160 694120 0 )
NEW met2 ( 1119600 241795 ) ( 1119600 268250 0 )
NEW met1 ( 1043760 241795 ) ( 1119600 241795 )
NEW met2 ( 1043760 241795 ) ( 1043760 300810 )
NEW met2 ( 1043760 300810 ) ( 1044720 300810 )
NEW met1 ( 719280 691345 ) ( 1044720 691345 )
NEW met2 ( 1044720 300810 ) ( 1044720 691345 )
NEW met1 ( 719280 691345 ) M1M2_PR
NEW met2 ( 719280 691530 ) via2_FR
NEW met1 ( 1119600 241795 ) M1M2_PR
NEW met1 ( 1043760 241795 ) M1M2_PR
NEW met1 ( 1044720 691345 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[52\] ( storage mgmt_rdata[52] ) ( soc mgmt_rdata[52] )
+ ROUTED met2 ( 843120 416805 ) ( 843120 691715 )
NEW met2 ( 1028880 412550 ) ( 1028880 416805 )
NEW met1 ( 843120 416805 ) ( 1028880 416805 )
NEW met3 ( 1028880 412550 ) ( 1052640 412550 0 )
NEW met3 ( 704160 697450 ) ( 704160 700040 0 )
NEW met3 ( 704160 697450 ) ( 722640 697450 )
NEW met2 ( 722640 691715 ) ( 722640 697450 )
NEW met1 ( 722640 691715 ) ( 843120 691715 )
NEW met1 ( 843120 416805 ) M1M2_PR
NEW met1 ( 843120 691715 ) M1M2_PR
NEW met2 ( 1028880 412550 ) via2_FR
NEW met1 ( 1028880 416805 ) M1M2_PR
NEW met2 ( 722640 697450 ) via2_FR
NEW met1 ( 722640 691715 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[53\] ( storage mgmt_rdata[53] ) ( soc mgmt_rdata[53] )
+ ROUTED met1 ( 719760 633625 ) ( 721200 633625 )
NEW met2 ( 719760 633625 ) ( 719760 650645 )
NEW met1 ( 719760 650645 ) ( 721200 650645 )
NEW met2 ( 721200 650645 ) ( 721200 662855 )
NEW met1 ( 720720 662855 ) ( 721200 662855 )
NEW met1 ( 720720 662855 ) ( 720720 663965 )
NEW met1 ( 720720 663965 ) ( 722640 663965 )
NEW met1 ( 722640 663965 ) ( 722640 664705 )
NEW met2 ( 722640 664705 ) ( 722640 690975 )
NEW met1 ( 719760 690975 ) ( 722640 690975 )
NEW met2 ( 719760 690975 ) ( 719760 706330 )
NEW met3 ( 704160 706330 0 ) ( 719760 706330 )
NEW met2 ( 721200 251045 ) ( 721200 633625 )
NEW met1 ( 1086000 251045 ) ( 1086000 251415 )
NEW met1 ( 721200 251045 ) ( 1086000 251045 )
NEW met2 ( 1121520 251415 ) ( 1121520 268250 )
NEW met2 ( 1121280 268250 0 ) ( 1121520 268250 )
NEW met1 ( 1086000 251415 ) ( 1121520 251415 )
NEW met1 ( 721200 251045 ) M1M2_PR
NEW met1 ( 721200 633625 ) M1M2_PR
NEW met1 ( 719760 633625 ) M1M2_PR
NEW met1 ( 719760 650645 ) M1M2_PR
NEW met1 ( 721200 650645 ) M1M2_PR
NEW met1 ( 721200 662855 ) M1M2_PR
NEW met1 ( 722640 664705 ) M1M2_PR
NEW met1 ( 722640 690975 ) M1M2_PR
NEW met1 ( 719760 690975 ) M1M2_PR
NEW met2 ( 719760 706330 ) via2_FR
NEW met1 ( 1121520 251415 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[54\] ( storage mgmt_rdata[54] ) ( soc mgmt_rdata[54] )
+ ROUTED met2 ( 857520 416435 ) ( 857520 705775 )
NEW met2 ( 1022640 415510 ) ( 1022640 416435 )
NEW met1 ( 857520 416435 ) ( 1022640 416435 )
NEW met3 ( 1022640 415510 ) ( 1052640 415510 0 )
NEW met2 ( 721200 705775 ) ( 721200 712250 )
NEW met3 ( 704160 712250 0 ) ( 721200 712250 )
NEW met1 ( 721200 705775 ) ( 857520 705775 )
NEW met1 ( 857520 416435 ) M1M2_PR
NEW met1 ( 857520 705775 ) M1M2_PR
NEW met2 ( 1022640 415510 ) via2_FR
NEW met1 ( 1022640 416435 ) M1M2_PR
NEW met1 ( 721200 705775 ) M1M2_PR
NEW met2 ( 721200 712250 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[55\] ( storage mgmt_rdata[55] ) ( soc mgmt_rdata[55] )
+ ROUTED met2 ( 746160 240685 ) ( 746160 712805 )
NEW met3 ( 704160 715950 ) ( 704160 718540 0 )
NEW met3 ( 704160 715950 ) ( 721200 715950 )
NEW met2 ( 721200 712805 ) ( 721200 715950 )
NEW met1 ( 721200 712805 ) ( 746160 712805 )
NEW met2 ( 1122960 240685 ) ( 1122960 266770 )
NEW met2 ( 1122960 266770 ) ( 1123200 266770 )
NEW met2 ( 1123200 266770 ) ( 1123200 268250 0 )
NEW met1 ( 746160 240685 ) ( 1122960 240685 )
NEW met1 ( 746160 240685 ) M1M2_PR
NEW met1 ( 746160 712805 ) M1M2_PR
NEW met2 ( 721200 715950 ) via2_FR
NEW met1 ( 721200 712805 ) M1M2_PR
NEW met1 ( 1122960 240685 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[56\] ( storage mgmt_rdata[56] ) ( soc mgmt_rdata[56] )
+ ROUTED met2 ( 721200 720205 ) ( 721200 721130 )
NEW met3 ( 704160 721130 ) ( 721200 721130 )
NEW met3 ( 704160 721130 ) ( 704160 723950 0 )
NEW met2 ( 1124880 233655 ) ( 1124880 268250 0 )
NEW met1 ( 1039440 233655 ) ( 1124880 233655 )
NEW met2 ( 1039440 233655 ) ( 1039440 301365 )
NEW met1 ( 1039440 301365 ) ( 1044240 301365 )
NEW met1 ( 721200 720205 ) ( 1044240 720205 )
NEW met2 ( 1044240 301365 ) ( 1044240 720205 )
NEW met1 ( 721200 720205 ) M1M2_PR
NEW met2 ( 721200 721130 ) via2_FR
NEW met1 ( 1124880 233655 ) M1M2_PR
NEW met1 ( 1039440 233655 ) M1M2_PR
NEW met1 ( 1039440 301365 ) M1M2_PR
NEW met1 ( 1044240 301365 ) M1M2_PR
NEW met1 ( 1044240 720205 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[57\] ( storage mgmt_rdata[57] ) ( soc mgmt_rdata[57] )
+ ROUTED met2 ( 770640 431975 ) ( 770640 721685 )
NEW met2 ( 1023600 417730 ) ( 1023600 431975 )
NEW met1 ( 770640 431975 ) ( 1023600 431975 )
NEW met3 ( 1023600 417730 ) ( 1052640 417730 0 )
NEW met2 ( 721200 721685 ) ( 721200 730010 )
NEW met3 ( 704160 730010 0 ) ( 721200 730010 )
NEW met1 ( 721200 721685 ) ( 770640 721685 )
NEW met1 ( 770640 431975 ) M1M2_PR
NEW met1 ( 770640 721685 ) M1M2_PR
NEW met2 ( 1023600 417730 ) via2_FR
NEW met1 ( 1023600 431975 ) M1M2_PR
NEW met1 ( 721200 721685 ) M1M2_PR
NEW met2 ( 721200 730010 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[58\] ( storage mgmt_rdata[58] ) ( soc mgmt_rdata[58] )
+ ROUTED met1 ( 722640 574795 ) ( 722640 575905 )
NEW met3 ( 704160 735190 ) ( 721200 735190 )
NEW met3 ( 704160 735190 ) ( 704160 736300 0 )
NEW met1 ( 721200 730565 ) ( 731760 730565 )
NEW met2 ( 731760 647685 ) ( 731760 730565 )
NEW met1 ( 722640 647685 ) ( 731760 647685 )
NEW met2 ( 721200 730565 ) ( 721200 735190 )
NEW met2 ( 722640 575905 ) ( 722640 647685 )
NEW met2 ( 1040880 248825 ) ( 1040880 251785 )
NEW met1 ( 1040880 248825 ) ( 1126800 248825 )
NEW met2 ( 1126800 248825 ) ( 1126800 268250 0 )
NEW met1 ( 722640 251785 ) ( 1040880 251785 )
NEW met1 ( 722640 431605 ) ( 722640 432345 )
NEW met2 ( 722640 251785 ) ( 722640 431605 )
NEW met2 ( 722640 432345 ) ( 722640 574795 )
NEW met1 ( 722640 574795 ) M1M2_PR
NEW met1 ( 722640 575905 ) M1M2_PR
NEW met2 ( 721200 735190 ) via2_FR
NEW met1 ( 722640 251785 ) M1M2_PR
NEW met1 ( 721200 730565 ) M1M2_PR
NEW met1 ( 731760 730565 ) M1M2_PR
NEW met1 ( 731760 647685 ) M1M2_PR
NEW met1 ( 722640 647685 ) M1M2_PR
NEW met1 ( 1040880 251785 ) M1M2_PR
NEW met1 ( 1040880 248825 ) M1M2_PR
NEW met1 ( 1126800 248825 ) M1M2_PR
NEW met1 ( 722640 431605 ) M1M2_PR
NEW met1 ( 722640 432345 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[59\] ( storage mgmt_rdata[59] ) ( soc mgmt_rdata[59] )
+ ROUTED met2 ( 871920 431605 ) ( 871920 734635 )
NEW met2 ( 1033200 420690 ) ( 1033200 431605 )
NEW met1 ( 871920 431605 ) ( 1033200 431605 )
NEW met3 ( 704160 739630 ) ( 704160 742220 0 )
NEW met3 ( 704160 739630 ) ( 722640 739630 )
NEW met2 ( 722640 734635 ) ( 722640 739630 )
NEW met1 ( 722640 734635 ) ( 871920 734635 )
NEW met3 ( 1033200 420690 ) ( 1052640 420690 0 )
NEW met1 ( 871920 431605 ) M1M2_PR
NEW met1 ( 871920 734635 ) M1M2_PR
NEW met2 ( 1033200 420690 ) via2_FR
NEW met1 ( 1033200 431605 ) M1M2_PR
NEW met2 ( 722640 739630 ) via2_FR
NEW met1 ( 722640 734635 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[5\] ( storage mgmt_rdata[5] ) ( soc mgmt_rdata[5] )
+ ROUTED met3 ( 704160 417730 ) ( 723120 417730 )
NEW met3 ( 704160 417730 ) ( 704160 418090 0 )
NEW met2 ( 723120 259925 ) ( 723120 417730 )
NEW met2 ( 1128720 260665 ) ( 1128720 268250 0 )
NEW met1 ( 1061520 259925 ) ( 1061520 260665 )
NEW met1 ( 723120 259925 ) ( 1061520 259925 )
NEW met1 ( 1061520 260665 ) ( 1128720 260665 )
NEW met2 ( 723120 417730 ) via2_FR
NEW met1 ( 723120 259925 ) M1M2_PR
NEW met1 ( 1128720 260665 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[60\] ( storage mgmt_rdata[60] ) ( soc mgmt_rdata[60] )
+ ROUTED met2 ( 1130640 241055 ) ( 1130640 268250 0 )
NEW met1 ( 745680 241055 ) ( 1130640 241055 )
NEW met3 ( 704160 748510 0 ) ( 745680 748510 )
NEW met2 ( 745680 241055 ) ( 745680 748510 )
NEW met1 ( 745680 241055 ) M1M2_PR
NEW met1 ( 1130640 241055 ) M1M2_PR
NEW met2 ( 745680 748510 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[61\] ( storage mgmt_rdata[61] ) ( soc mgmt_rdata[61] )
+ ROUTED met2 ( 886320 431235 ) ( 886320 749065 )
NEW met2 ( 1023120 423650 ) ( 1023120 431235 )
NEW met1 ( 886320 431235 ) ( 1023120 431235 )
NEW met2 ( 720240 749065 ) ( 720240 754430 )
NEW met3 ( 704160 754430 0 ) ( 720240 754430 )
NEW met1 ( 720240 749065 ) ( 886320 749065 )
NEW met3 ( 1023120 423650 ) ( 1052640 423650 0 )
NEW met1 ( 886320 431235 ) M1M2_PR
NEW met1 ( 886320 749065 ) M1M2_PR
NEW met2 ( 1023120 423650 ) via2_FR
NEW met1 ( 1023120 431235 ) M1M2_PR
NEW met1 ( 720240 749065 ) M1M2_PR
NEW met2 ( 720240 754430 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[62\] ( storage mgmt_rdata[62] ) ( soc mgmt_rdata[62] )
+ ROUTED met2 ( 745200 233285 ) ( 745200 749435 )
NEW met3 ( 704160 758130 ) ( 704160 760720 0 )
NEW met3 ( 704160 758130 ) ( 720720 758130 )
NEW met2 ( 720720 749435 ) ( 720720 758130 )
NEW met1 ( 720720 749435 ) ( 745200 749435 )
NEW met2 ( 1132560 233285 ) ( 1132560 268250 )
NEW met2 ( 1132320 268250 0 ) ( 1132560 268250 )
NEW met1 ( 745200 233285 ) ( 1132560 233285 )
NEW met1 ( 745200 233285 ) M1M2_PR
NEW met1 ( 745200 749435 ) M1M2_PR
NEW met2 ( 720720 758130 ) via2_FR
NEW met1 ( 720720 749435 ) M1M2_PR
NEW met1 ( 1132560 233285 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[63\] ( storage mgmt_rdata[63] ) ( soc mgmt_rdata[63] )
+ ROUTED met2 ( 900240 430865 ) ( 900240 763865 )
NEW met2 ( 1027920 425870 ) ( 1027920 430865 )
NEW met1 ( 900240 430865 ) ( 1027920 430865 )
NEW met3 ( 704160 764790 ) ( 704160 766250 0 )
NEW met3 ( 704160 764790 ) ( 720240 764790 )
NEW met2 ( 720240 763865 ) ( 720240 764790 )
NEW met1 ( 720240 763865 ) ( 900240 763865 )
NEW met3 ( 1027920 425870 ) ( 1052640 425870 0 )
NEW met1 ( 900240 430865 ) M1M2_PR
NEW met1 ( 900240 763865 ) M1M2_PR
NEW met2 ( 1027920 425870 ) via2_FR
NEW met1 ( 1027920 430865 ) M1M2_PR
NEW met2 ( 720240 764790 ) via2_FR
NEW met1 ( 720240 763865 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[6\] ( storage mgmt_rdata[6] ) ( soc mgmt_rdata[6] )
+ ROUTED met3 ( 704160 420690 ) ( 731760 420690 )
NEW met3 ( 704160 420690 ) ( 704160 423280 0 )
NEW met1 ( 730320 346135 ) ( 731760 346135 )
NEW met2 ( 731760 346135 ) ( 731760 420690 )
NEW met2 ( 730320 251415 ) ( 730320 346135 )
NEW met2 ( 1039920 248455 ) ( 1039920 251415 )
NEW met1 ( 1039920 248455 ) ( 1133040 248455 )
NEW met2 ( 1133040 248455 ) ( 1133040 268250 )
NEW met2 ( 1133040 268250 ) ( 1134240 268250 0 )
NEW met1 ( 730320 251415 ) ( 1039920 251415 )
NEW met2 ( 731760 420690 ) via2_FR
NEW met1 ( 730320 346135 ) M1M2_PR
NEW met1 ( 731760 346135 ) M1M2_PR
NEW met1 ( 730320 251415 ) M1M2_PR
NEW met1 ( 1039920 251415 ) M1M2_PR
NEW met1 ( 1039920 248455 ) M1M2_PR
NEW met1 ( 1133040 248455 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[7\] ( storage mgmt_rdata[7] ) ( soc mgmt_rdata[7] )
+ ROUTED met2 ( 733680 424945 ) ( 733680 429570 )
NEW met3 ( 704160 429570 0 ) ( 733680 429570 )
NEW met2 ( 1022640 424945 ) ( 1022640 428830 )
NEW met1 ( 733680 424945 ) ( 1022640 424945 )
NEW met3 ( 1022640 428830 ) ( 1052640 428830 0 )
NEW met1 ( 733680 424945 ) M1M2_PR
NEW met2 ( 733680 429570 ) via2_FR
NEW met1 ( 1022640 424945 ) M1M2_PR
NEW met2 ( 1022640 428830 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[8\] ( storage mgmt_rdata[8] ) ( soc mgmt_rdata[8] )
+ ROUTED met2 ( 732720 388130 ) ( 733680 388130 )
NEW met2 ( 733680 260665 ) ( 733680 388130 )
NEW met3 ( 704160 435490 0 ) ( 732720 435490 )
NEW met2 ( 732720 388130 ) ( 732720 435490 )
NEW met2 ( 1135920 261035 ) ( 1135920 268250 0 )
NEW met2 ( 806640 260665 ) ( 806640 260850 )
NEW met3 ( 806640 260850 ) ( 906960 260850 )
NEW met2 ( 906960 260665 ) ( 906960 260850 )
NEW met1 ( 733680 260665 ) ( 806640 260665 )
NEW met1 ( 1058160 260665 ) ( 1058160 261035 )
NEW met1 ( 906960 260665 ) ( 1058160 260665 )
NEW met1 ( 1058160 261035 ) ( 1135920 261035 )
NEW met1 ( 733680 260665 ) M1M2_PR
NEW met2 ( 732720 435490 ) via2_FR
NEW met1 ( 1135920 261035 ) M1M2_PR
NEW met1 ( 806640 260665 ) M1M2_PR
NEW met2 ( 806640 260850 ) via2_FR
NEW met2 ( 906960 260850 ) via2_FR
NEW met1 ( 906960 260665 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[9\] ( storage mgmt_rdata[9] ) ( soc mgmt_rdata[9] )
+ ROUTED met3 ( 720720 374810 ) ( 732720 374810 )
NEW met2 ( 1137840 259555 ) ( 1137840 268250 0 )
NEW met2 ( 732720 259555 ) ( 732720 374810 )
NEW met3 ( 704160 439190 ) ( 720720 439190 )
NEW met3 ( 704160 439190 ) ( 704160 441780 0 )
NEW met2 ( 720720 374810 ) ( 720720 439190 )
NEW met1 ( 1066800 259555 ) ( 1066800 259925 )
NEW met1 ( 1066800 259925 ) ( 1067760 259925 )
NEW met1 ( 1067760 259555 ) ( 1067760 259925 )
NEW met1 ( 1067760 259555 ) ( 1137840 259555 )
NEW met1 ( 732720 259555 ) ( 1066800 259555 )
NEW met2 ( 732720 374810 ) via2_FR
NEW met2 ( 720720 374810 ) via2_FR
NEW met1 ( 1137840 259555 ) M1M2_PR
NEW met1 ( 732720 259555 ) M1M2_PR
NEW met2 ( 720720 439190 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[0\] ( storage mgmt_rdata_ro[0] ) ( soc mgmt_rdata_ro[0] )
+ ROUTED met2 ( 914640 430495 ) ( 914640 763495 )
NEW met2 ( 1022640 430495 ) ( 1022640 431790 )
NEW met1 ( 914640 430495 ) ( 1022640 430495 )
NEW met2 ( 720720 763495 ) ( 720720 772190 )
NEW met3 ( 704160 772190 0 ) ( 720720 772190 )
NEW met1 ( 720720 763495 ) ( 914640 763495 )
NEW met3 ( 1022640 431790 ) ( 1052640 431790 0 )
NEW met1 ( 914640 430495 ) M1M2_PR
NEW met1 ( 914640 763495 ) M1M2_PR
NEW met1 ( 1022640 430495 ) M1M2_PR
NEW met2 ( 1022640 431790 ) via2_FR
NEW met1 ( 720720 763495 ) M1M2_PR
NEW met2 ( 720720 772190 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[10\] ( storage mgmt_rdata_ro[10] ) ( soc mgmt_rdata_ro[10] )
+ ROUTED met2 ( 1139760 233655 ) ( 1139760 268250 0 )
NEW met2 ( 720240 820845 ) ( 720240 832870 )
NEW met3 ( 704160 832870 0 ) ( 720240 832870 )
NEW met1 ( 720240 820845 ) ( 1043760 820845 )
NEW met1 ( 1038960 301735 ) ( 1043760 301735 )
NEW met2 ( 1038960 231435 ) ( 1038960 301735 )
NEW met1 ( 1038960 231435 ) ( 1125360 231435 )
NEW met2 ( 1125360 231435 ) ( 1125360 233655 )
NEW met1 ( 1125360 233655 ) ( 1139760 233655 )
NEW met2 ( 1043760 301735 ) ( 1043760 820845 )
NEW met1 ( 1139760 233655 ) M1M2_PR
NEW met1 ( 720240 820845 ) M1M2_PR
NEW met2 ( 720240 832870 ) via2_FR
NEW met1 ( 1043760 820845 ) M1M2_PR
NEW met1 ( 1043760 301735 ) M1M2_PR
NEW met1 ( 1038960 301735 ) M1M2_PR
NEW met1 ( 1038960 231435 ) M1M2_PR
NEW met1 ( 1125360 231435 ) M1M2_PR
NEW met1 ( 1125360 233655 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[11\] ( storage mgmt_rdata_ro[11] ) ( soc mgmt_rdata_ro[11] )
+ ROUTED met2 ( 785040 446035 ) ( 785040 836385 )
NEW met2 ( 734160 836385 ) ( 734160 838050 )
NEW met3 ( 704160 838050 0 ) ( 734160 838050 )
NEW met1 ( 734160 836385 ) ( 785040 836385 )
NEW met2 ( 1023600 434010 ) ( 1023600 446035 )
NEW met1 ( 785040 446035 ) ( 1023600 446035 )
NEW met3 ( 1023600 434010 ) ( 1052640 434010 0 )
NEW met1 ( 785040 446035 ) M1M2_PR
NEW met1 ( 785040 836385 ) M1M2_PR
NEW met1 ( 734160 836385 ) M1M2_PR
NEW met2 ( 734160 838050 ) via2_FR
NEW met2 ( 1023600 434010 ) via2_FR
NEW met1 ( 1023600 446035 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[12\] ( storage mgmt_rdata_ro[12] ) ( soc mgmt_rdata_ro[12] )
+ ROUTED met3 ( 704160 841750 ) ( 725040 841750 )
NEW met3 ( 704160 841750 ) ( 704160 844340 0 )
NEW met2 ( 1141680 253265 ) ( 1141680 268250 0 )
NEW met2 ( 725040 328190 ) ( 725520 328190 )
NEW met2 ( 725520 253265 ) ( 725520 328190 )
NEW met2 ( 725040 328190 ) ( 725040 841750 )
NEW met1 ( 725520 253265 ) ( 1141680 253265 )
NEW met2 ( 725040 841750 ) via2_FR
NEW met1 ( 1141680 253265 ) M1M2_PR
NEW met1 ( 725520 253265 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[13\] ( storage mgmt_rdata_ro[13] ) ( soc mgmt_rdata_ro[13] )
+ ROUTED met3 ( 704160 850630 0 ) ( 725520 850630 )
NEW met2 ( 1142160 252155 ) ( 1142160 268250 )
NEW met2 ( 1142160 268250 ) ( 1143360 268250 0 )
NEW met1 ( 725520 328745 ) ( 727440 328745 )
NEW met2 ( 727440 252155 ) ( 727440 328745 )
NEW met2 ( 725520 328745 ) ( 725520 850630 )
NEW met1 ( 727440 252155 ) ( 1142160 252155 )
NEW met2 ( 725520 850630 ) via2_FR
NEW met1 ( 1142160 252155 ) M1M2_PR
NEW met1 ( 725520 328745 ) M1M2_PR
NEW met1 ( 727440 328745 ) M1M2_PR
NEW met1 ( 727440 252155 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[14\] ( storage mgmt_rdata_ro[14] ) ( soc mgmt_rdata_ro[14] )
+ ROUTED met2 ( 799440 445665 ) ( 799440 856365 )
NEW met2 ( 734160 856365 ) ( 734160 856550 )
NEW met3 ( 704160 856550 0 ) ( 734160 856550 )
NEW met1 ( 734160 856365 ) ( 799440 856365 )
NEW met2 ( 1026480 436970 ) ( 1026480 445665 )
NEW met1 ( 799440 445665 ) ( 1026480 445665 )
NEW met3 ( 1026480 436970 ) ( 1052640 436970 0 )
NEW met1 ( 799440 445665 ) M1M2_PR
NEW met1 ( 799440 856365 ) M1M2_PR
NEW met1 ( 734160 856365 ) M1M2_PR
NEW met2 ( 734160 856550 ) via2_FR
NEW met2 ( 1026480 436970 ) via2_FR
NEW met1 ( 1026480 445665 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[15\] ( storage mgmt_rdata_ro[15] ) ( soc mgmt_rdata_ro[15] )
+ ROUTED met3 ( 704160 860250 ) ( 724560 860250 )
NEW met3 ( 704160 860250 ) ( 704160 862810 0 )
NEW met2 ( 1144080 253635 ) ( 1144080 268250 )
NEW met2 ( 1144080 268250 ) ( 1145280 268250 0 )
NEW met2 ( 724560 253635 ) ( 724560 860250 )
NEW met1 ( 724560 253635 ) ( 1144080 253635 )
NEW met2 ( 724560 860250 ) via2_FR
NEW met1 ( 1144080 253635 ) M1M2_PR
NEW met1 ( 724560 253635 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[16\] ( storage mgmt_rdata_ro[16] ) ( soc mgmt_rdata_ro[16] )
+ ROUTED met2 ( 813840 445295 ) ( 813840 864135 )
NEW met3 ( 704160 866910 ) ( 704160 868760 0 )
NEW met3 ( 704160 866910 ) ( 734160 866910 )
NEW met2 ( 734160 864135 ) ( 734160 866910 )
NEW met1 ( 734160 864135 ) ( 813840 864135 )
NEW met2 ( 1023120 439930 ) ( 1023120 445295 )
NEW met1 ( 813840 445295 ) ( 1023120 445295 )
NEW met3 ( 1023120 439930 ) ( 1052640 439930 0 )
NEW met1 ( 813840 445295 ) M1M2_PR
NEW met1 ( 813840 864135 ) M1M2_PR
NEW met2 ( 734160 866910 ) via2_FR
NEW met1 ( 734160 864135 ) M1M2_PR
NEW met2 ( 1023120 439930 ) via2_FR
NEW met1 ( 1023120 445295 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[17\] ( storage mgmt_rdata_ro[17] ) ( soc mgmt_rdata_ro[17] )
+ ROUTED met3 ( 704160 872090 ) ( 726000 872090 )
NEW met3 ( 704160 872090 ) ( 704160 874910 0 )
NEW met2 ( 1146960 252525 ) ( 1146960 268250 0 )
NEW met2 ( 726000 252525 ) ( 726000 872090 )
NEW met1 ( 726000 252525 ) ( 1146960 252525 )
NEW met2 ( 726000 872090 ) via2_FR
NEW met1 ( 1146960 252525 ) M1M2_PR
NEW met1 ( 726000 252525 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[18\] ( storage mgmt_rdata_ro[18] ) ( soc mgmt_rdata_ro[18] )
+ ROUTED met3 ( 704160 880230 0 ) ( 726480 880230 )
NEW met2 ( 1148880 252895 ) ( 1148880 268250 0 )
NEW met2 ( 726480 252895 ) ( 726480 880230 )
NEW met1 ( 726480 252895 ) ( 1148880 252895 )
NEW met2 ( 726480 880230 ) via2_FR
NEW met1 ( 1148880 252895 ) M1M2_PR
NEW met1 ( 726480 252895 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[19\] ( storage mgmt_rdata_ro[19] ) ( soc mgmt_rdata_ro[19] )
+ ROUTED met3 ( 704160 883930 ) ( 704160 886520 0 )
NEW met3 ( 704160 883930 ) ( 734160 883930 )
NEW met2 ( 734160 878565 ) ( 734160 883930 )
NEW met1 ( 734160 878565 ) ( 929040 878565 )
NEW met2 ( 929040 444925 ) ( 929040 878565 )
NEW met2 ( 1022640 442150 ) ( 1022640 444925 )
NEW met1 ( 929040 444925 ) ( 1022640 444925 )
NEW met3 ( 1022640 442150 ) ( 1052640 442150 0 )
NEW met1 ( 929040 444925 ) M1M2_PR
NEW met2 ( 734160 883930 ) via2_FR
NEW met1 ( 734160 878565 ) M1M2_PR
NEW met1 ( 929040 878565 ) M1M2_PR
NEW met2 ( 1022640 442150 ) via2_FR
NEW met1 ( 1022640 444925 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[1\] ( storage mgmt_rdata_ro[1] ) ( soc mgmt_rdata_ro[1] )
+ ROUTED met2 ( 744720 234395 ) ( 744720 778665 )
NEW met2 ( 1150800 234395 ) ( 1150800 268250 0 )
NEW met3 ( 704160 778490 0 ) ( 704160 778850 )
NEW met3 ( 704160 778850 ) ( 720240 778850 )
NEW met2 ( 720240 778665 ) ( 720240 778850 )
NEW met1 ( 720240 778665 ) ( 744720 778665 )
NEW met1 ( 744720 234395 ) ( 1150800 234395 )
NEW met1 ( 744720 234395 ) M1M2_PR
NEW met1 ( 744720 778665 ) M1M2_PR
NEW met1 ( 1150800 234395 ) M1M2_PR
NEW met2 ( 720240 778850 ) via2_FR
NEW met1 ( 720240 778665 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[20\] ( storage mgmt_rdata_ro[20] ) ( soc mgmt_rdata_ro[20] )
+ ROUTED met2 ( 734160 892810 ) ( 734160 892995 )
NEW met3 ( 704160 892810 0 ) ( 734160 892810 )
NEW met1 ( 957840 444555 ) ( 1024080 444555 )
NEW met2 ( 1024080 444555 ) ( 1024080 445110 )
NEW met1 ( 734160 892995 ) ( 957840 892995 )
NEW met2 ( 957840 444555 ) ( 957840 892995 )
NEW met3 ( 1024080 445110 ) ( 1052640 445110 0 )
NEW met1 ( 734160 892995 ) M1M2_PR
NEW met2 ( 734160 892810 ) via2_FR
NEW met1 ( 957840 444555 ) M1M2_PR
NEW met1 ( 1024080 444555 ) M1M2_PR
NEW met2 ( 1024080 445110 ) via2_FR
NEW met1 ( 957840 892995 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[21\] ( storage mgmt_rdata_ro[21] ) ( soc mgmt_rdata_ro[21] )
+ ROUTED met1 ( 726480 893365 ) ( 743280 893365 )
NEW met2 ( 726480 893365 ) ( 726480 898730 )
NEW met3 ( 704160 898730 0 ) ( 726480 898730 )
NEW met2 ( 743280 232545 ) ( 743280 893365 )
NEW met2 ( 1152720 232545 ) ( 1152720 268250 0 )
NEW met1 ( 743280 232545 ) ( 1152720 232545 )
NEW met1 ( 743280 232545 ) M1M2_PR
NEW met1 ( 743280 893365 ) M1M2_PR
NEW met1 ( 726480 893365 ) M1M2_PR
NEW met2 ( 726480 898730 ) via2_FR
NEW met1 ( 1152720 232545 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[22\] ( storage mgmt_rdata_ro[22] ) ( soc mgmt_rdata_ro[22] )
+ ROUTED met2 ( 734640 778110 ) ( 734640 779590 )
NEW met2 ( 734640 323010 ) ( 734640 325230 )
NEW met2 ( 734640 330410 ) ( 734640 332630 )
NEW met2 ( 734160 332630 ) ( 734640 332630 )
NEW met3 ( 704160 902430 ) ( 723600 902430 )
NEW met3 ( 704160 902430 ) ( 704160 904970 0 )
NEW met2 ( 1153200 254005 ) ( 1153200 268250 )
NEW met2 ( 1153200 268250 ) ( 1154400 268250 0 )
NEW met1 ( 723600 818625 ) ( 734160 818625 )
NEW met2 ( 734160 779590 ) ( 734160 818625 )
NEW met2 ( 723600 818625 ) ( 723600 902430 )
NEW met2 ( 734160 778110 ) ( 734640 778110 )
NEW met2 ( 734160 779590 ) ( 734640 779590 )
NEW met2 ( 734160 254005 ) ( 734160 323010 )
NEW met2 ( 734160 325230 ) ( 734160 330410 )
NEW met2 ( 734160 323010 ) ( 734640 323010 )
NEW met2 ( 734160 325230 ) ( 734640 325230 )
NEW met2 ( 734160 330410 ) ( 734640 330410 )
NEW met3 ( 733920 662670 ) ( 734160 662670 )
NEW met4 ( 733920 662670 ) ( 733920 672290 )
NEW met3 ( 733920 672290 ) ( 734160 672290 )
NEW met2 ( 734160 332630 ) ( 734160 662670 )
NEW met2 ( 734160 672290 ) ( 734160 778110 )
NEW met1 ( 734160 254005 ) ( 1153200 254005 )
NEW met2 ( 723600 902430 ) via2_FR
NEW met1 ( 1153200 254005 ) M1M2_PR
NEW met1 ( 723600 818625 ) M1M2_PR
NEW met1 ( 734160 818625 ) M1M2_PR
NEW met1 ( 734160 254005 ) M1M2_PR
NEW met2 ( 734160 662670 ) via2_FR
NEW met3 ( 733920 662670 ) M3M4_PR_M
NEW met3 ( 733920 672290 ) M3M4_PR_M
NEW met2 ( 734160 672290 ) via2_FR
NEW met3 ( 733920 662670 ) RECT ( -380 -150 0 150 )
NEW met3 ( 733920 672290 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mgmt_rdata_ro\[23\] ( storage mgmt_rdata_ro[23] ) ( soc mgmt_rdata_ro[23] )
+ ROUTED met3 ( 704160 909090 ) ( 704160 910940 0 )
NEW met3 ( 704160 909090 ) ( 734160 909090 )
NEW met2 ( 734160 907425 ) ( 734160 909090 )
NEW met1 ( 943440 458985 ) ( 1024080 458985 )
NEW met2 ( 1024080 448070 ) ( 1024080 458985 )
NEW met1 ( 734160 907425 ) ( 943440 907425 )
NEW met2 ( 943440 458985 ) ( 943440 907425 )
NEW met3 ( 1024080 448070 ) ( 1052640 448070 0 )
NEW met2 ( 734160 909090 ) via2_FR
NEW met1 ( 734160 907425 ) M1M2_PR
NEW met1 ( 943440 458985 ) M1M2_PR
NEW met1 ( 1024080 458985 ) M1M2_PR
NEW met2 ( 1024080 448070 ) via2_FR
NEW met1 ( 943440 907425 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[24\] ( storage mgmt_rdata_ro[24] ) ( soc mgmt_rdata_ro[24] )
+ ROUTED met1 ( 733200 387205 ) ( 733200 388685 )
NEW met3 ( 704160 916490 0 ) ( 733200 916490 )
NEW met2 ( 1155120 254375 ) ( 1155120 268250 )
NEW met2 ( 1155120 268250 ) ( 1156320 268250 0 )
NEW met2 ( 733200 254375 ) ( 733200 387205 )
NEW met2 ( 733200 388685 ) ( 733200 916490 )
NEW met1 ( 733200 254375 ) ( 1155120 254375 )
NEW met1 ( 733200 387205 ) M1M2_PR
NEW met1 ( 733200 388685 ) M1M2_PR
NEW met2 ( 733200 916490 ) via2_FR
NEW met1 ( 1155120 254375 ) M1M2_PR
NEW met1 ( 733200 254375 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[25\] ( storage mgmt_rdata_ro[25] ) ( soc mgmt_rdata_ro[25] )
+ ROUTED met2 ( 734160 921855 ) ( 734160 922410 )
NEW met3 ( 704160 922410 0 ) ( 734160 922410 )
NEW met1 ( 972240 459355 ) ( 1023120 459355 )
NEW met2 ( 1023120 450290 ) ( 1023120 459355 )
NEW met1 ( 734160 921855 ) ( 972240 921855 )
NEW met2 ( 972240 459355 ) ( 972240 921855 )
NEW met3 ( 1023120 450290 ) ( 1052640 450290 0 )
NEW met1 ( 734160 921855 ) M1M2_PR
NEW met2 ( 734160 922410 ) via2_FR
NEW met1 ( 972240 459355 ) M1M2_PR
NEW met1 ( 1023120 459355 ) M1M2_PR
NEW met2 ( 1023120 450290 ) via2_FR
NEW met1 ( 972240 921855 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[26\] ( storage mgmt_rdata_ro[26] ) ( soc mgmt_rdata_ro[26] )
+ ROUTED met1 ( 722640 922225 ) ( 742800 922225 )
NEW met2 ( 722640 922225 ) ( 722640 926110 )
NEW met3 ( 704160 926110 ) ( 722640 926110 )
NEW met3 ( 704160 926110 ) ( 704160 928700 0 )
NEW met2 ( 742800 234025 ) ( 742800 922225 )
NEW met2 ( 1158000 234025 ) ( 1158000 268250 0 )
NEW met1 ( 742800 234025 ) ( 1158000 234025 )
NEW met1 ( 742800 234025 ) M1M2_PR
NEW met1 ( 742800 922225 ) M1M2_PR
NEW met1 ( 722640 922225 ) M1M2_PR
NEW met2 ( 722640 926110 ) via2_FR
NEW met1 ( 1158000 234025 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[27\] ( storage mgmt_rdata_ro[27] ) ( soc mgmt_rdata_ro[27] )
+ ROUTED met3 ( 704160 932030 ) ( 732240 932030 )
NEW met3 ( 704160 932030 ) ( 704160 934620 0 )
NEW met2 ( 1159920 254745 ) ( 1159920 268250 0 )
NEW met2 ( 732240 254745 ) ( 732240 932030 )
NEW met1 ( 732240 254745 ) ( 1159920 254745 )
NEW met2 ( 732240 932030 ) via2_FR
NEW met1 ( 1159920 254745 ) M1M2_PR
NEW met1 ( 732240 254745 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[28\] ( storage mgmt_rdata_ro[28] ) ( soc mgmt_rdata_ro[28] )
+ ROUTED met1 ( 986640 459725 ) ( 1023600 459725 )
NEW met2 ( 1023600 453250 ) ( 1023600 459725 )
NEW met2 ( 986640 459725 ) ( 986640 936285 )
NEW met2 ( 732240 936285 ) ( 732240 940910 )
NEW met3 ( 704160 940910 0 ) ( 732240 940910 )
NEW met1 ( 732240 936285 ) ( 986640 936285 )
NEW met3 ( 1023600 453250 ) ( 1052640 453250 0 )
NEW met1 ( 986640 936285 ) M1M2_PR
NEW met1 ( 986640 459725 ) M1M2_PR
NEW met1 ( 1023600 459725 ) M1M2_PR
NEW met2 ( 1023600 453250 ) via2_FR
NEW met1 ( 732240 936285 ) M1M2_PR
NEW met2 ( 732240 940910 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[29\] ( storage mgmt_rdata_ro[29] ) ( soc mgmt_rdata_ro[29] )
+ ROUTED met2 ( 1161840 255485 ) ( 1161840 268250 0 )
NEW met3 ( 704160 946830 0 ) ( 730800 946830 )
NEW met2 ( 730800 255485 ) ( 730800 946830 )
NEW met1 ( 730800 255485 ) ( 1161840 255485 )
NEW met1 ( 1161840 255485 ) M1M2_PR
NEW met2 ( 730800 946830 ) via2_FR
NEW met1 ( 730800 255485 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[2\] ( storage mgmt_rdata_ro[2] ) ( soc mgmt_rdata_ro[2] )
+ ROUTED met1 ( 1001040 460095 ) ( 1022640 460095 )
NEW met2 ( 1022640 456210 ) ( 1022640 460095 )
NEW met2 ( 1001040 460095 ) ( 1001040 778295 )
NEW met3 ( 704160 781810 ) ( 704160 784400 0 )
NEW met3 ( 704160 781810 ) ( 720720 781810 )
NEW met2 ( 720720 778295 ) ( 720720 781810 )
NEW met1 ( 720720 778295 ) ( 1001040 778295 )
NEW met3 ( 1022640 456210 ) ( 1052640 456210 0 )
NEW met1 ( 1001040 778295 ) M1M2_PR
NEW met1 ( 1001040 460095 ) M1M2_PR
NEW met1 ( 1022640 460095 ) M1M2_PR
NEW met2 ( 1022640 456210 ) via2_FR
NEW met2 ( 720720 781810 ) via2_FR
NEW met1 ( 720720 778295 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[30\] ( storage mgmt_rdata_ro[30] ) ( soc mgmt_rdata_ro[30] )
+ ROUTED met2 ( 1163760 255115 ) ( 1163760 268250 0 )
NEW met3 ( 704160 950530 ) ( 731280 950530 )
NEW met3 ( 704160 950530 ) ( 704160 952380 0 )
NEW met2 ( 731280 255115 ) ( 731280 950530 )
NEW met1 ( 731280 255115 ) ( 1163760 255115 )
NEW met1 ( 1163760 255115 ) M1M2_PR
NEW met2 ( 731280 950530 ) via2_FR
NEW met1 ( 731280 255115 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[31\] ( storage mgmt_rdata_ro[31] ) ( soc mgmt_rdata_ro[31] )
+ ROUTED met1 ( 727440 370185 ) ( 730320 370185 )
NEW met2 ( 1164240 249565 ) ( 1164240 268250 )
NEW met2 ( 1164240 268250 ) ( 1165440 268250 0 )
NEW met3 ( 704160 958670 0 ) ( 730320 958670 )
NEW met1 ( 727440 329485 ) ( 731760 329485 )
NEW met2 ( 731760 249565 ) ( 731760 329485 )
NEW met2 ( 727440 329485 ) ( 727440 370185 )
NEW met2 ( 730320 370185 ) ( 730320 958670 )
NEW met1 ( 731760 249565 ) ( 1164240 249565 )
NEW met1 ( 727440 370185 ) M1M2_PR
NEW met1 ( 730320 370185 ) M1M2_PR
NEW met1 ( 1164240 249565 ) M1M2_PR
NEW met2 ( 730320 958670 ) via2_FR
NEW met1 ( 727440 329485 ) M1M2_PR
NEW met1 ( 731760 329485 ) M1M2_PR
NEW met1 ( 731760 249565 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[3\] ( storage mgmt_rdata_ro[3] ) ( soc mgmt_rdata_ro[3] )
+ ROUTED met1 ( 1015440 460465 ) ( 1023120 460465 )
NEW met2 ( 1023120 459910 ) ( 1023120 460465 )
NEW met2 ( 1015440 460465 ) ( 1015440 777925 )
NEW met2 ( 721200 777925 ) ( 721200 790690 )
NEW met3 ( 704160 790690 0 ) ( 721200 790690 )
NEW met1 ( 721200 777925 ) ( 1015440 777925 )
NEW met3 ( 1052640 458800 0 ) ( 1052640 459910 )
NEW met3 ( 1023120 459910 ) ( 1052640 459910 )
NEW met1 ( 1015440 777925 ) M1M2_PR
NEW met1 ( 1015440 460465 ) M1M2_PR
NEW met1 ( 1023120 460465 ) M1M2_PR
NEW met2 ( 1023120 459910 ) via2_FR
NEW met1 ( 721200 777925 ) M1M2_PR
NEW met2 ( 721200 790690 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[4\] ( storage mgmt_rdata_ro[4] ) ( soc mgmt_rdata_ro[4] )
+ ROUTED met2 ( 744240 235505 ) ( 744240 792355 )
NEW met2 ( 1166640 235505 ) ( 1166640 268250 )
NEW met2 ( 1166640 268250 ) ( 1167360 268250 0 )
NEW met2 ( 720240 792355 ) ( 720240 796610 )
NEW met3 ( 704160 796610 0 ) ( 720240 796610 )
NEW met1 ( 720240 792355 ) ( 744240 792355 )
NEW met1 ( 744240 235505 ) ( 1166640 235505 )
NEW met1 ( 744240 235505 ) M1M2_PR
NEW met1 ( 744240 792355 ) M1M2_PR
NEW met1 ( 1166640 235505 ) M1M2_PR
NEW met1 ( 720240 792355 ) M1M2_PR
NEW met2 ( 720240 796610 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[5\] ( storage mgmt_rdata_ro[5] ) ( soc mgmt_rdata_ro[5] )
+ ROUTED met2 ( 1031760 461390 ) ( 1031760 474895 )
NEW met2 ( 720240 735190 ) ( 720720 735190 )
NEW met2 ( 720720 735190 ) ( 720720 735930 )
NEW met2 ( 720720 735930 ) ( 721200 735930 )
NEW met2 ( 721200 735930 ) ( 721200 772930 )
NEW met2 ( 720240 772930 ) ( 721200 772930 )
NEW met2 ( 720240 772930 ) ( 720240 778110 )
NEW met2 ( 719760 778110 ) ( 720240 778110 )
NEW met2 ( 719760 778110 ) ( 719760 779590 )
NEW met2 ( 719760 779590 ) ( 720240 779590 )
NEW met2 ( 720240 779590 ) ( 720240 782550 )
NEW met2 ( 720240 782550 ) ( 720720 782550 )
NEW met2 ( 720720 782550 ) ( 720720 799570 )
NEW met3 ( 704160 799570 ) ( 720720 799570 )
NEW met3 ( 704160 799570 ) ( 704160 802160 0 )
NEW met1 ( 720240 523365 ) ( 720240 524475 )
NEW met1 ( 720240 523365 ) ( 732720 523365 )
NEW met2 ( 732720 474895 ) ( 732720 523365 )
NEW met1 ( 732720 474895 ) ( 1031760 474895 )
NEW met2 ( 720240 524475 ) ( 720240 735190 )
NEW met3 ( 1031760 461390 ) ( 1052640 461390 0 )
NEW met2 ( 1031760 461390 ) via2_FR
NEW met1 ( 1031760 474895 ) M1M2_PR
NEW met2 ( 720720 799570 ) via2_FR
NEW met1 ( 720240 524475 ) M1M2_PR
NEW met1 ( 732720 523365 ) M1M2_PR
NEW met1 ( 732720 474895 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[6\] ( storage mgmt_rdata_ro[6] ) ( soc mgmt_rdata_ro[6] )
+ ROUTED met2 ( 1169040 256225 ) ( 1169040 268250 0 )
NEW met3 ( 704160 806970 ) ( 724080 806970 )
NEW met3 ( 704160 806970 ) ( 704160 808410 0 )
NEW met1 ( 720240 330965 ) ( 723600 330965 )
NEW met2 ( 720240 314685 ) ( 720240 330965 )
NEW met1 ( 720240 314685 ) ( 721680 314685 )
NEW met3 ( 721680 518370 ) ( 722400 518370 )
NEW met4 ( 722400 518370 ) ( 722400 532430 )
NEW met3 ( 722400 532430 ) ( 723600 532430 )
NEW met2 ( 723600 684130 ) ( 724080 684130 )
NEW met2 ( 723600 532430 ) ( 723600 684130 )
NEW met2 ( 724080 684130 ) ( 724080 806970 )
NEW met1 ( 1087440 256225 ) ( 1087440 256595 )
NEW met1 ( 1087440 256225 ) ( 1169040 256225 )
NEW met2 ( 721680 256595 ) ( 721680 314685 )
NEW met1 ( 721680 256595 ) ( 1087440 256595 )
NEW met1 ( 719760 500055 ) ( 721680 500055 )
NEW met2 ( 719760 403485 ) ( 719760 500055 )
NEW met1 ( 719760 403485 ) ( 723600 403485 )
NEW met2 ( 721680 500055 ) ( 721680 518370 )
NEW met2 ( 723600 330965 ) ( 723600 403485 )
NEW met1 ( 1169040 256225 ) M1M2_PR
NEW met2 ( 724080 806970 ) via2_FR
NEW met1 ( 723600 330965 ) M1M2_PR
NEW met1 ( 720240 330965 ) M1M2_PR
NEW met1 ( 720240 314685 ) M1M2_PR
NEW met1 ( 721680 314685 ) M1M2_PR
NEW met2 ( 721680 518370 ) via2_FR
NEW met3 ( 722400 518370 ) M3M4_PR_M
NEW met3 ( 722400 532430 ) M3M4_PR_M
NEW met2 ( 723600 532430 ) via2_FR
NEW met1 ( 721680 256595 ) M1M2_PR
NEW met1 ( 721680 500055 ) M1M2_PR
NEW met1 ( 719760 500055 ) M1M2_PR
NEW met1 ( 719760 403485 ) M1M2_PR
NEW met1 ( 723600 403485 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[7\] ( storage mgmt_rdata_ro[7] ) ( soc mgmt_rdata_ro[7] )
+ ROUTED met2 ( 743760 232915 ) ( 743760 806415 )
NEW met2 ( 1170960 232915 ) ( 1170960 268250 0 )
NEW met2 ( 720240 806415 ) ( 720240 814370 )
NEW met3 ( 704160 814370 0 ) ( 720240 814370 )
NEW met1 ( 720240 806415 ) ( 743760 806415 )
NEW met1 ( 743760 232915 ) ( 1170960 232915 )
NEW met1 ( 743760 232915 ) M1M2_PR
NEW met1 ( 743760 806415 ) M1M2_PR
NEW met1 ( 1170960 232915 ) M1M2_PR
NEW met1 ( 720240 806415 ) M1M2_PR
NEW met2 ( 720240 814370 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[8\] ( storage mgmt_rdata_ro[8] ) ( soc mgmt_rdata_ro[8] )
+ ROUTED met1 ( 718800 575535 ) ( 720720 575535 )
NEW met2 ( 1034640 464350 ) ( 1034640 474525 )
NEW met1 ( 719760 734635 ) ( 720720 734635 )
NEW met1 ( 719760 734635 ) ( 719760 736115 )
NEW met1 ( 719760 736115 ) ( 723600 736115 )
NEW met2 ( 723600 736115 ) ( 723600 818070 )
NEW met3 ( 704160 818070 ) ( 723600 818070 )
NEW met3 ( 704160 818070 ) ( 704160 820650 0 )
NEW met1 ( 718800 516705 ) ( 723120 516705 )
NEW met2 ( 723120 474525 ) ( 723120 516705 )
NEW met2 ( 718800 516705 ) ( 718800 575535 )
NEW met1 ( 723120 474525 ) ( 1034640 474525 )
NEW met2 ( 720720 575535 ) ( 720720 734635 )
NEW met3 ( 1034640 464350 ) ( 1052640 464350 0 )
NEW met1 ( 718800 575535 ) M1M2_PR
NEW met1 ( 720720 575535 ) M1M2_PR
NEW met2 ( 1034640 464350 ) via2_FR
NEW met1 ( 1034640 474525 ) M1M2_PR
NEW met1 ( 720720 734635 ) M1M2_PR
NEW met1 ( 723600 736115 ) M1M2_PR
NEW met2 ( 723600 818070 ) via2_FR
NEW met1 ( 718800 516705 ) M1M2_PR
NEW met1 ( 723120 516705 ) M1M2_PR
NEW met1 ( 723120 474525 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[9\] ( storage mgmt_rdata_ro[9] ) ( soc mgmt_rdata_ro[9] )
+ ROUTED met2 ( 1172880 250675 ) ( 1172880 268250 0 )
NEW met3 ( 704160 823990 ) ( 722640 823990 )
NEW met3 ( 704160 823990 ) ( 704160 826580 0 )
NEW met3 ( 724080 331150 ) ( 724320 331150 )
NEW met4 ( 724320 323010 ) ( 724320 331150 )
NEW met3 ( 724320 323010 ) ( 725040 323010 )
NEW met2 ( 725040 250675 ) ( 725040 323010 )
NEW met2 ( 723600 432530 ) ( 724080 432530 )
NEW met2 ( 723600 432530 ) ( 723600 531690 )
NEW met2 ( 723600 531690 ) ( 724080 531690 )
NEW met2 ( 724080 331150 ) ( 724080 432530 )
NEW met1 ( 723600 684685 ) ( 724080 684685 )
NEW met1 ( 724080 683575 ) ( 724080 684685 )
NEW met2 ( 724080 531690 ) ( 724080 683575 )
NEW met1 ( 725040 250675 ) ( 1172880 250675 )
NEW met1 ( 720240 748325 ) ( 722640 748325 )
NEW met2 ( 720240 735745 ) ( 720240 748325 )
NEW met1 ( 720240 735745 ) ( 723600 735745 )
NEW met1 ( 723600 735375 ) ( 723600 735745 )
NEW met2 ( 722640 748325 ) ( 722640 823990 )
NEW met2 ( 723600 684685 ) ( 723600 735375 )
NEW met1 ( 1172880 250675 ) M1M2_PR
NEW met2 ( 722640 823990 ) via2_FR
NEW met2 ( 724080 331150 ) via2_FR
NEW met3 ( 724320 331150 ) M3M4_PR_M
NEW met3 ( 724320 323010 ) M3M4_PR_M
NEW met2 ( 725040 323010 ) via2_FR
NEW met1 ( 725040 250675 ) M1M2_PR
NEW met1 ( 723600 684685 ) M1M2_PR
NEW met1 ( 724080 683575 ) M1M2_PR
NEW met1 ( 722640 748325 ) M1M2_PR
NEW met1 ( 720240 748325 ) M1M2_PR
NEW met1 ( 720240 735745 ) M1M2_PR
NEW met1 ( 723600 735375 ) M1M2_PR
NEW met3 ( 724080 331150 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mgmt_wdata\[0\] ( storage mgmt_wdata[0] ) ( soc mgmt_wdata[0] )
+ ROUTED met2 ( 756240 474155 ) ( 756240 950715 )
NEW met2 ( 1023120 468790 ) ( 1023120 474155 )
NEW met1 ( 756240 474155 ) ( 1023120 474155 )
NEW met2 ( 720240 950715 ) ( 720240 964590 )
NEW met3 ( 704160 964590 0 ) ( 720240 964590 )
NEW met1 ( 720240 950715 ) ( 756240 950715 )
NEW met3 ( 1052640 466940 0 ) ( 1052640 468790 )
NEW met3 ( 1023120 468790 ) ( 1052640 468790 )
NEW met1 ( 756240 474155 ) M1M2_PR
NEW met1 ( 756240 950715 ) M1M2_PR
NEW met2 ( 1023120 468790 ) via2_FR
NEW met1 ( 1023120 474155 ) M1M2_PR
NEW met1 ( 720240 950715 ) M1M2_PR
NEW met2 ( 720240 964590 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[10\] ( storage mgmt_wdata[10] ) ( soc mgmt_wdata[10] )
+ ROUTED met2 ( 1174800 250305 ) ( 1174800 268250 0 )
NEW met3 ( 704160 1025270 0 ) ( 729360 1025270 )
NEW met2 ( 729360 250305 ) ( 729360 1025270 )
NEW met1 ( 729360 250305 ) ( 1174800 250305 )
NEW met1 ( 1174800 250305 ) M1M2_PR
NEW met2 ( 729360 1025270 ) via2_FR
NEW met1 ( 729360 250305 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[11\] ( storage mgmt_wdata[11] ) ( soc mgmt_wdata[11] )
+ ROUTED met2 ( 742320 242905 ) ( 742320 1022495 )
NEW met2 ( 1175280 242905 ) ( 1175280 268250 )
NEW met2 ( 1175280 268250 ) ( 1176480 268250 0 )
NEW met3 ( 704160 1028230 ) ( 704160 1030770 0 )
NEW met3 ( 704160 1028230 ) ( 720240 1028230 )
NEW met2 ( 720240 1022495 ) ( 720240 1028230 )
NEW met1 ( 720240 1022495 ) ( 742320 1022495 )
NEW met1 ( 742320 242905 ) ( 1175280 242905 )
NEW met1 ( 742320 242905 ) M1M2_PR
NEW met1 ( 742320 1022495 ) M1M2_PR
NEW met1 ( 1175280 242905 ) M1M2_PR
NEW met2 ( 720240 1028230 ) via2_FR
NEW met1 ( 720240 1022495 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[12\] ( storage mgmt_wdata[12] ) ( soc mgmt_wdata[12] )
+ ROUTED met2 ( 828240 473785 ) ( 828240 1037295 )
NEW met2 ( 1029360 469530 ) ( 1029360 473785 )
NEW met1 ( 828240 473785 ) ( 1029360 473785 )
NEW met3 ( 704160 1037110 0 ) ( 720240 1037110 )
NEW met2 ( 720240 1037110 ) ( 720240 1037295 )
NEW met1 ( 720240 1037295 ) ( 828240 1037295 )
NEW met3 ( 1029360 469530 ) ( 1052640 469530 0 )
NEW met1 ( 828240 473785 ) M1M2_PR
NEW met1 ( 828240 1037295 ) M1M2_PR
NEW met2 ( 1029360 469530 ) via2_FR
NEW met1 ( 1029360 473785 ) M1M2_PR
NEW met2 ( 720240 1037110 ) via2_FR
NEW met1 ( 720240 1037295 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[13\] ( storage mgmt_wdata[13] ) ( soc mgmt_wdata[13] )
+ ROUTED met2 ( 1177200 255855 ) ( 1177200 268250 )
NEW met2 ( 1177200 268250 ) ( 1178400 268250 0 )
NEW met3 ( 704160 1040070 ) ( 728400 1040070 )
NEW met3 ( 704160 1040070 ) ( 704160 1043010 0 )
NEW met2 ( 728400 256225 ) ( 728400 1040070 )
NEW met1 ( 1086960 255855 ) ( 1086960 256225 )
NEW met1 ( 728400 256225 ) ( 1086960 256225 )
NEW met1 ( 1086960 255855 ) ( 1177200 255855 )
NEW met1 ( 1177200 255855 ) M1M2_PR
NEW met1 ( 728400 256225 ) M1M2_PR
NEW met2 ( 728400 1040070 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[14\] ( storage mgmt_wdata[14] ) ( soc mgmt_wdata[14] )
+ ROUTED met2 ( 842640 473415 ) ( 842640 1036925 )
NEW met2 ( 1028880 472490 ) ( 1028880 473415 )
NEW met1 ( 842640 473415 ) ( 1028880 473415 )
NEW met2 ( 720720 1036925 ) ( 720720 1048950 )
NEW met3 ( 704160 1048950 0 ) ( 720720 1048950 )
NEW met1 ( 720720 1036925 ) ( 842640 1036925 )
NEW met3 ( 1028880 472490 ) ( 1052640 472490 0 )
NEW met1 ( 842640 473415 ) M1M2_PR
NEW met1 ( 842640 1036925 ) M1M2_PR
NEW met2 ( 1028880 472490 ) via2_FR
NEW met1 ( 1028880 473415 ) M1M2_PR
NEW met1 ( 720720 1036925 ) M1M2_PR
NEW met2 ( 720720 1048950 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[15\] ( storage mgmt_wdata[15] ) ( soc mgmt_wdata[15] )
+ ROUTED met2 ( 1180080 251045 ) ( 1180080 268250 0 )
NEW met3 ( 704160 1052650 ) ( 728880 1052650 )
NEW met3 ( 704160 1052650 ) ( 704160 1055240 0 )
NEW met2 ( 728880 255855 ) ( 728880 1052650 )
NEW met2 ( 1086480 251045 ) ( 1086480 255855 )
NEW met1 ( 728880 255855 ) ( 1086480 255855 )
NEW met1 ( 1086480 251045 ) ( 1180080 251045 )
NEW met1 ( 1180080 251045 ) M1M2_PR
NEW met1 ( 728880 255855 ) M1M2_PR
NEW met2 ( 728880 1052650 ) via2_FR
NEW met1 ( 1086480 255855 ) M1M2_PR
NEW met1 ( 1086480 251045 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[16\] ( storage mgmt_wdata[16] ) ( soc mgmt_wdata[16] )
+ ROUTED met2 ( 1182000 257335 ) ( 1182000 268250 0 )
NEW met3 ( 704160 1058570 ) ( 727920 1058570 )
NEW met3 ( 704160 1058570 ) ( 704160 1061160 0 )
NEW met2 ( 727920 257335 ) ( 727920 1058570 )
NEW met1 ( 727920 257335 ) ( 1182000 257335 )
NEW met1 ( 1182000 257335 ) M1M2_PR
NEW met1 ( 727920 257335 ) M1M2_PR
NEW met2 ( 727920 1058570 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[17\] ( storage mgmt_wdata[17] ) ( soc mgmt_wdata[17] )
+ ROUTED met2 ( 857040 473045 ) ( 857040 1066525 )
NEW met2 ( 1022640 473045 ) ( 1022640 473230 )
NEW met1 ( 857040 473045 ) ( 1022640 473045 )
NEW met2 ( 732240 1066525 ) ( 732240 1066710 )
NEW met3 ( 704160 1066710 0 ) ( 732240 1066710 )
NEW met1 ( 732240 1066525 ) ( 857040 1066525 )
NEW met3 ( 1052640 473230 ) ( 1052640 475080 0 )
NEW met3 ( 1022640 473230 ) ( 1052640 473230 )
NEW met1 ( 857040 473045 ) M1M2_PR
NEW met1 ( 857040 1066525 ) M1M2_PR
NEW met1 ( 1022640 473045 ) M1M2_PR
NEW met2 ( 1022640 473230 ) via2_FR
NEW met1 ( 732240 1066525 ) M1M2_PR
NEW met2 ( 732240 1066710 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[18\] ( storage mgmt_wdata[18] ) ( soc mgmt_wdata[18] )
+ ROUTED met2 ( 741360 231065 ) ( 741360 1065785 )
NEW met2 ( 1183920 231065 ) ( 1183920 268250 0 )
NEW met2 ( 720240 1065785 ) ( 720240 1072630 )
NEW met3 ( 704160 1072630 0 ) ( 720240 1072630 )
NEW met1 ( 720240 1065785 ) ( 741360 1065785 )
NEW met1 ( 741360 231065 ) ( 1183920 231065 )
NEW met1 ( 741360 231065 ) M1M2_PR
NEW met1 ( 741360 1065785 ) M1M2_PR
NEW met1 ( 1183920 231065 ) M1M2_PR
NEW met1 ( 720240 1065785 ) M1M2_PR
NEW met2 ( 720240 1072630 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[19\] ( storage mgmt_wdata[19] ) ( soc mgmt_wdata[19] )
+ ROUTED met2 ( 770160 489325 ) ( 770160 1066155 )
NEW met2 ( 1032720 477670 ) ( 1032720 489325 )
NEW met1 ( 770160 489325 ) ( 1032720 489325 )
NEW met3 ( 704160 1076330 ) ( 704160 1078920 0 )
NEW met3 ( 704160 1076330 ) ( 720720 1076330 )
NEW met2 ( 720720 1066155 ) ( 720720 1076330 )
NEW met1 ( 720720 1066155 ) ( 770160 1066155 )
NEW met3 ( 1032720 477670 ) ( 1052640 477670 0 )
NEW met1 ( 770160 1066155 ) M1M2_PR
NEW met1 ( 770160 489325 ) M1M2_PR
NEW met2 ( 1032720 477670 ) via2_FR
NEW met1 ( 1032720 489325 ) M1M2_PR
NEW met2 ( 720720 1076330 ) via2_FR
NEW met1 ( 720720 1066155 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[1\] ( storage mgmt_wdata[1] ) ( soc mgmt_wdata[1] )
+ ROUTED met2 ( 1185840 256965 ) ( 1185840 268250 0 )
NEW met3 ( 704160 968290 ) ( 729840 968290 )
NEW met3 ( 704160 968290 ) ( 704160 970880 0 )
NEW met2 ( 729840 256965 ) ( 729840 968290 )
NEW met1 ( 729840 256965 ) ( 1185840 256965 )
NEW met1 ( 1185840 256965 ) M1M2_PR
NEW met2 ( 729840 968290 ) via2_FR
NEW met1 ( 729840 256965 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[20\] ( storage mgmt_wdata[20] ) ( soc mgmt_wdata[20] )
+ ROUTED met1 ( 721680 370925 ) ( 727440 370925 )
NEW met2 ( 1186320 249935 ) ( 1186320 268250 )
NEW met2 ( 1186320 268250 ) ( 1187520 268250 0 )
NEW met1 ( 720720 325045 ) ( 721680 325045 )
NEW met2 ( 720720 249935 ) ( 720720 325045 )
NEW met2 ( 721680 325045 ) ( 721680 370925 )
NEW met3 ( 704160 1082250 ) ( 727440 1082250 )
NEW met3 ( 704160 1082250 ) ( 704160 1085170 0 )
NEW met2 ( 727440 370925 ) ( 727440 1082250 )
NEW met1 ( 720720 249935 ) ( 1186320 249935 )
NEW met1 ( 721680 370925 ) M1M2_PR
NEW met1 ( 727440 370925 ) M1M2_PR
NEW met1 ( 1186320 249935 ) M1M2_PR
NEW met1 ( 721680 325045 ) M1M2_PR
NEW met1 ( 720720 325045 ) M1M2_PR
NEW met1 ( 720720 249935 ) M1M2_PR
NEW met2 ( 727440 1082250 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[21\] ( storage mgmt_wdata[21] ) ( soc mgmt_wdata[21] )
+ ROUTED met2 ( 871440 488585 ) ( 871440 1080215 )
NEW met2 ( 1026480 480630 ) ( 1026480 488585 )
NEW met1 ( 871440 488585 ) ( 1026480 488585 )
NEW met2 ( 720240 1080215 ) ( 720240 1091130 )
NEW met3 ( 704160 1091130 0 ) ( 720240 1091130 )
NEW met1 ( 720240 1080215 ) ( 871440 1080215 )
NEW met3 ( 1026480 480630 ) ( 1052640 480630 0 )
NEW met1 ( 871440 488585 ) M1M2_PR
NEW met1 ( 871440 1080215 ) M1M2_PR
NEW met2 ( 1026480 480630 ) via2_FR
NEW met1 ( 1026480 488585 ) M1M2_PR
NEW met1 ( 720240 1080215 ) M1M2_PR
NEW met2 ( 720240 1091130 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[22\] ( storage mgmt_wdata[22] ) ( soc mgmt_wdata[22] )
+ ROUTED met2 ( 1188240 257705 ) ( 1188240 268250 )
NEW met2 ( 1188240 268250 ) ( 1189440 268250 0 )
NEW met3 ( 704160 1094830 ) ( 726960 1094830 )
NEW met3 ( 704160 1094830 ) ( 704160 1097410 0 )
NEW met2 ( 726960 257705 ) ( 726960 1094830 )
NEW met1 ( 726960 257705 ) ( 1188240 257705 )
NEW met1 ( 1188240 257705 ) M1M2_PR
NEW met1 ( 726960 257705 ) M1M2_PR
NEW met2 ( 726960 1094830 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[23\] ( storage mgmt_wdata[23] ) ( soc mgmt_wdata[23] )
+ ROUTED met2 ( 885840 488215 ) ( 885840 1094645 )
NEW met2 ( 1030800 485070 ) ( 1030800 488215 )
NEW met1 ( 885840 488215 ) ( 1030800 488215 )
NEW met3 ( 704160 1100750 ) ( 704160 1103340 0 )
NEW met3 ( 704160 1100750 ) ( 720240 1100750 )
NEW met2 ( 720240 1094645 ) ( 720240 1100750 )
NEW met1 ( 720240 1094645 ) ( 885840 1094645 )
NEW met3 ( 1052640 483220 0 ) ( 1052640 485070 )
NEW met3 ( 1030800 485070 ) ( 1052640 485070 )
NEW met1 ( 885840 488215 ) M1M2_PR
NEW met1 ( 885840 1094645 ) M1M2_PR
NEW met2 ( 1030800 485070 ) via2_FR
NEW met1 ( 1030800 488215 ) M1M2_PR
NEW met2 ( 720240 1100750 ) via2_FR
NEW met1 ( 720240 1094645 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[24\] ( storage mgmt_wdata[24] ) ( soc mgmt_wdata[24] )
+ ROUTED met2 ( 1191120 256410 ) ( 1191120 268250 0 )
NEW met3 ( 704160 1108890 0 ) ( 728160 1108890 )
NEW met4 ( 728160 256410 ) ( 728160 1108890 )
NEW met3 ( 728160 256410 ) ( 1191120 256410 )
NEW met2 ( 1191120 256410 ) via2_FR
NEW met3 ( 728160 256410 ) M3M4_PR_M
NEW met3 ( 728160 1108890 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_wdata\[25\] ( storage mgmt_wdata[25] ) ( soc mgmt_wdata[25] )
+ ROUTED met2 ( 899760 236615 ) ( 899760 1109445 )
NEW met2 ( 1193040 236615 ) ( 1193040 268250 0 )
NEW met2 ( 720240 1109445 ) ( 720240 1114810 )
NEW met3 ( 704160 1114810 0 ) ( 720240 1114810 )
NEW met1 ( 720240 1109445 ) ( 899760 1109445 )
NEW met1 ( 899760 236615 ) ( 1193040 236615 )
NEW met1 ( 899760 236615 ) M1M2_PR
NEW met1 ( 899760 1109445 ) M1M2_PR
NEW met1 ( 1193040 236615 ) M1M2_PR
NEW met1 ( 720240 1109445 ) M1M2_PR
NEW met2 ( 720240 1114810 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[26\] ( storage mgmt_wdata[26] ) ( soc mgmt_wdata[26] )
+ ROUTED met2 ( 914160 487845 ) ( 914160 1109075 )
NEW met2 ( 1022640 485810 ) ( 1022640 487845 )
NEW met1 ( 914160 487845 ) ( 1022640 487845 )
NEW met3 ( 704160 1118510 ) ( 704160 1121100 0 )
NEW met3 ( 704160 1118510 ) ( 720720 1118510 )
NEW met2 ( 720720 1109075 ) ( 720720 1118510 )
NEW met1 ( 720720 1109075 ) ( 914160 1109075 )
NEW met3 ( 1022640 485810 ) ( 1052640 485810 0 )
NEW met1 ( 914160 487845 ) M1M2_PR
NEW met1 ( 914160 1109075 ) M1M2_PR
NEW met2 ( 1022640 485810 ) via2_FR
NEW met1 ( 1022640 487845 ) M1M2_PR
NEW met2 ( 720720 1118510 ) via2_FR
NEW met1 ( 720720 1109075 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[27\] ( storage mgmt_wdata[27] ) ( soc mgmt_wdata[27] )
+ ROUTED met2 ( 1000560 235135 ) ( 1000560 1123505 )
NEW met2 ( 1194960 235135 ) ( 1194960 268250 0 )
NEW met3 ( 704160 1125170 ) ( 704160 1127330 0 )
NEW met3 ( 704160 1125170 ) ( 720240 1125170 )
NEW met2 ( 720240 1123505 ) ( 720240 1125170 )
NEW met1 ( 720240 1123505 ) ( 1000560 1123505 )
NEW met1 ( 1000560 235135 ) ( 1194960 235135 )
NEW met1 ( 1000560 235135 ) M1M2_PR
NEW met1 ( 1000560 1123505 ) M1M2_PR
NEW met1 ( 1194960 235135 ) M1M2_PR
NEW met2 ( 720240 1125170 ) via2_FR
NEW met1 ( 720240 1123505 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[28\] ( storage mgmt_wdata[28] ) ( soc mgmt_wdata[28] )
+ ROUTED met2 ( 784560 488955 ) ( 784560 1132015 )
NEW met2 ( 1022640 488770 ) ( 1022640 488955 )
NEW met1 ( 784560 488955 ) ( 1022640 488955 )
NEW met2 ( 720240 1132015 ) ( 720240 1133310 )
NEW met3 ( 704160 1133310 0 ) ( 720240 1133310 )
NEW met1 ( 720240 1132015 ) ( 784560 1132015 )
NEW met3 ( 1022640 488770 ) ( 1052640 488770 0 )
NEW met1 ( 784560 488955 ) M1M2_PR
NEW met1 ( 784560 1132015 ) M1M2_PR
NEW met2 ( 1022640 488770 ) via2_FR
NEW met1 ( 1022640 488955 ) M1M2_PR
NEW met1 ( 720240 1132015 ) M1M2_PR
NEW met2 ( 720240 1133310 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[29\] ( storage mgmt_wdata[29] ) ( soc mgmt_wdata[29] )
+ ROUTED met3 ( 704160 1138490 ) ( 704160 1139570 0 )
NEW met3 ( 704160 1138490 ) ( 734160 1138490 )
NEW met2 ( 734160 1137935 ) ( 734160 1138490 )
NEW met2 ( 1014960 235875 ) ( 1014960 1137935 )
NEW met2 ( 1196880 235875 ) ( 1196880 268250 0 )
NEW met1 ( 734160 1137935 ) ( 1014960 1137935 )
NEW met1 ( 1014960 235875 ) ( 1196880 235875 )
NEW met2 ( 734160 1138490 ) via2_FR
NEW met1 ( 734160 1137935 ) M1M2_PR
NEW met1 ( 1014960 1137935 ) M1M2_PR
NEW met1 ( 1014960 235875 ) M1M2_PR
NEW met1 ( 1196880 235875 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[2\] ( storage mgmt_wdata[2] ) ( soc mgmt_wdata[2] )
+ ROUTED met1 ( 719280 575905 ) ( 721680 575905 )
NEW met2 ( 1023600 493210 ) ( 1023600 503755 )
NEW met3 ( 704160 974210 ) ( 721680 974210 )
NEW met3 ( 704160 974210 ) ( 704160 976800 0 )
NEW met1 ( 719280 532615 ) ( 733680 532615 )
NEW met1 ( 733680 532245 ) ( 733680 532615 )
NEW met2 ( 733680 503755 ) ( 733680 532245 )
NEW met2 ( 719280 532615 ) ( 719280 575905 )
NEW met1 ( 733680 503755 ) ( 1023600 503755 )
NEW met2 ( 721680 575905 ) ( 721680 974210 )
NEW met3 ( 1052640 491360 0 ) ( 1052640 493210 )
NEW met3 ( 1023600 493210 ) ( 1052640 493210 )
NEW met1 ( 721680 575905 ) M1M2_PR
NEW met1 ( 719280 575905 ) M1M2_PR
NEW met2 ( 1023600 493210 ) via2_FR
NEW met1 ( 1023600 503755 ) M1M2_PR
NEW met2 ( 721680 974210 ) via2_FR
NEW met1 ( 719280 532615 ) M1M2_PR
NEW met1 ( 733680 532245 ) M1M2_PR
NEW met1 ( 733680 503755 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[30\] ( storage mgmt_wdata[30] ) ( soc mgmt_wdata[30] )
+ ROUTED met3 ( 704160 1145150 0 ) ( 734160 1145150 )
NEW met2 ( 734160 1143855 ) ( 734160 1145150 )
NEW met1 ( 734160 1143855 ) ( 798960 1143855 )
NEW met2 ( 798960 231805 ) ( 798960 1143855 )
NEW met2 ( 1197360 231805 ) ( 1197360 268250 )
NEW met2 ( 1197360 268250 ) ( 1198560 268250 0 )
NEW met1 ( 798960 231805 ) ( 1197360 231805 )
NEW met1 ( 798960 231805 ) M1M2_PR
NEW met2 ( 734160 1145150 ) via2_FR
NEW met1 ( 734160 1143855 ) M1M2_PR
NEW met1 ( 798960 1143855 ) M1M2_PR
NEW met1 ( 1197360 231805 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[31\] ( storage mgmt_wdata[31] ) ( soc mgmt_wdata[31] )
+ ROUTED met2 ( 733680 1138305 ) ( 733680 1151070 )
NEW met3 ( 704160 1151070 0 ) ( 733680 1151070 )
NEW met1 ( 733680 1138305 ) ( 813360 1138305 )
NEW met2 ( 813360 230695 ) ( 813360 1138305 )
NEW met2 ( 1199280 230695 ) ( 1199280 268250 )
NEW met2 ( 1199280 268250 ) ( 1200480 268250 0 )
NEW met1 ( 813360 230695 ) ( 1199280 230695 )
NEW met1 ( 813360 230695 ) M1M2_PR
NEW met1 ( 733680 1138305 ) M1M2_PR
NEW met2 ( 733680 1151070 ) via2_FR
NEW met1 ( 813360 1138305 ) M1M2_PR
NEW met1 ( 1199280 230695 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[3\] ( storage mgmt_wdata[3] ) ( soc mgmt_wdata[3] )
+ ROUTED met1 ( 722160 574795 ) ( 722160 575905 )
NEW met1 ( 720720 574795 ) ( 722160 574795 )
NEW met2 ( 1034640 493950 ) ( 1034640 503385 )
NEW met3 ( 704160 983090 0 ) ( 722160 983090 )
NEW met2 ( 720240 524105 ) ( 720720 524105 )
NEW met2 ( 720240 522070 ) ( 720240 524105 )
NEW met2 ( 719760 522070 ) ( 720240 522070 )
NEW met2 ( 719760 519850 ) ( 719760 522070 )
NEW met2 ( 719760 519850 ) ( 720240 519850 )
NEW met2 ( 720240 508750 ) ( 720240 519850 )
NEW met2 ( 719760 508750 ) ( 720240 508750 )
NEW met2 ( 719760 507270 ) ( 719760 508750 )
NEW met2 ( 719760 507270 ) ( 720240 507270 )
NEW met2 ( 720240 503385 ) ( 720240 507270 )
NEW met2 ( 720720 524105 ) ( 720720 574795 )
NEW met1 ( 720240 503385 ) ( 1034640 503385 )
NEW met2 ( 722160 575905 ) ( 722160 983090 )
NEW met3 ( 1034640 493950 ) ( 1052640 493950 0 )
NEW met1 ( 722160 575905 ) M1M2_PR
NEW met1 ( 720720 574795 ) M1M2_PR
NEW met2 ( 1034640 493950 ) via2_FR
NEW met1 ( 1034640 503385 ) M1M2_PR
NEW met2 ( 722160 983090 ) via2_FR
NEW met1 ( 720240 503385 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[4\] ( storage mgmt_wdata[4] ) ( soc mgmt_wdata[4] )
+ ROUTED met2 ( 928560 236245 ) ( 928560 979205 )
NEW met2 ( 1202160 236245 ) ( 1202160 268250 0 )
NEW met2 ( 720240 979205 ) ( 720240 989010 )
NEW met3 ( 704160 989010 0 ) ( 720240 989010 )
NEW met1 ( 720240 979205 ) ( 928560 979205 )
NEW met1 ( 928560 236245 ) ( 1202160 236245 )
NEW met1 ( 928560 236245 ) M1M2_PR
NEW met1 ( 928560 979205 ) M1M2_PR
NEW met1 ( 1202160 236245 ) M1M2_PR
NEW met1 ( 720240 979205 ) M1M2_PR
NEW met2 ( 720240 989010 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[5\] ( storage mgmt_wdata[5] ) ( soc mgmt_wdata[5] )
+ ROUTED met1 ( 957360 502275 ) ( 1024080 502275 )
NEW met2 ( 1024080 496910 ) ( 1024080 502275 )
NEW met2 ( 957360 502275 ) ( 957360 994005 )
NEW met3 ( 704160 994930 0 ) ( 720240 994930 )
NEW met2 ( 720240 994005 ) ( 720240 994930 )
NEW met1 ( 720240 994005 ) ( 957360 994005 )
NEW met3 ( 1024080 496910 ) ( 1052640 496910 0 )
NEW met1 ( 957360 994005 ) M1M2_PR
NEW met1 ( 957360 502275 ) M1M2_PR
NEW met1 ( 1024080 502275 ) M1M2_PR
NEW met2 ( 1024080 496910 ) via2_FR
NEW met2 ( 720240 994930 ) via2_FR
NEW met1 ( 720240 994005 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[6\] ( storage mgmt_wdata[6] ) ( soc mgmt_wdata[6] )
+ ROUTED met2 ( 971760 234765 ) ( 971760 993635 )
NEW met2 ( 1204080 234765 ) ( 1204080 268250 0 )
NEW met2 ( 731280 993635 ) ( 731280 997890 )
NEW met3 ( 704160 997890 ) ( 731280 997890 )
NEW met3 ( 704160 997890 ) ( 704160 1000710 0 )
NEW met1 ( 731280 993635 ) ( 971760 993635 )
NEW met1 ( 971760 234765 ) ( 1204080 234765 )
NEW met1 ( 971760 993635 ) M1M2_PR
NEW met1 ( 971760 234765 ) M1M2_PR
NEW met1 ( 1204080 234765 ) M1M2_PR
NEW met1 ( 731280 993635 ) M1M2_PR
NEW met2 ( 731280 997890 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[7\] ( storage mgmt_wdata[7] ) ( soc mgmt_wdata[7] )
+ ROUTED met2 ( 942960 242165 ) ( 942960 994375 )
NEW met2 ( 1206000 242165 ) ( 1206000 268250 0 )
NEW met2 ( 720720 994375 ) ( 720720 1006770 )
NEW met3 ( 704160 1006770 0 ) ( 720720 1006770 )
NEW met1 ( 720720 994375 ) ( 942960 994375 )
NEW met1 ( 942960 242165 ) ( 1206000 242165 )
NEW met1 ( 942960 994375 ) M1M2_PR
NEW met1 ( 942960 242165 ) M1M2_PR
NEW met1 ( 1206000 242165 ) M1M2_PR
NEW met1 ( 720720 994375 ) M1M2_PR
NEW met2 ( 720720 1006770 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[8\] ( storage mgmt_wdata[8] ) ( soc mgmt_wdata[8] )
+ ROUTED met3 ( 721680 575350 ) ( 723120 575350 )
NEW met2 ( 1023120 501350 ) ( 1023120 503015 )
NEW met3 ( 704160 1010470 ) ( 723120 1010470 )
NEW met3 ( 704160 1010470 ) ( 704160 1013060 0 )
NEW met2 ( 721680 530210 ) ( 722160 530210 )
NEW met2 ( 722160 503015 ) ( 722160 530210 )
NEW met2 ( 721680 530210 ) ( 721680 575350 )
NEW met1 ( 722160 503015 ) ( 1023120 503015 )
NEW met2 ( 723120 575350 ) ( 723120 1010470 )
NEW met3 ( 1052640 499500 0 ) ( 1052640 501350 )
NEW met3 ( 1023120 501350 ) ( 1052640 501350 )
NEW met2 ( 723120 575350 ) via2_FR
NEW met2 ( 721680 575350 ) via2_FR
NEW met2 ( 1023120 501350 ) via2_FR
NEW met1 ( 1023120 503015 ) M1M2_PR
NEW met2 ( 723120 1010470 ) via2_FR
NEW met1 ( 722160 503015 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[9\] ( storage mgmt_wdata[9] ) ( soc mgmt_wdata[9] )
+ ROUTED met2 ( 986160 241425 ) ( 986160 1008065 )
NEW met2 ( 1207920 241425 ) ( 1207920 268250 0 )
NEW met3 ( 704160 1017130 ) ( 704160 1018980 0 )
NEW met3 ( 704160 1017130 ) ( 720240 1017130 )
NEW met2 ( 720240 1008065 ) ( 720240 1017130 )
NEW met1 ( 720240 1008065 ) ( 986160 1008065 )
NEW met1 ( 986160 241425 ) ( 1207920 241425 )
NEW met1 ( 986160 1008065 ) M1M2_PR
NEW met1 ( 986160 241425 ) M1M2_PR
NEW met1 ( 1207920 241425 ) M1M2_PR
NEW met2 ( 720240 1017130 ) via2_FR
NEW met1 ( 720240 1008065 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen\[0\] ( storage mgmt_wen[0] ) ( soc mgmt_wen[0] )
+ ROUTED met2 ( 734160 1152735 ) ( 734160 1156990 )
NEW met3 ( 704160 1156990 0 ) ( 734160 1156990 )
NEW met1 ( 734160 1152735 ) ( 755760 1152735 )
NEW met2 ( 755760 502645 ) ( 755760 1152735 )
NEW met2 ( 1022640 502090 ) ( 1022640 502645 )
NEW met1 ( 755760 502645 ) ( 1022640 502645 )
NEW met3 ( 1022640 502090 ) ( 1052640 502090 0 )
NEW met1 ( 755760 502645 ) M1M2_PR
NEW met1 ( 734160 1152735 ) M1M2_PR
NEW met2 ( 734160 1156990 ) via2_FR
NEW met1 ( 755760 1152735 ) M1M2_PR
NEW met2 ( 1022640 502090 ) via2_FR
NEW met1 ( 1022640 502645 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen\[1\] ( storage mgmt_wen[1] ) ( soc mgmt_wen[1] )
+ ROUTED met3 ( 704160 1160690 ) ( 704160 1163280 0 )
NEW met3 ( 704160 1160690 ) ( 722160 1160690 )
NEW met2 ( 722160 1152365 ) ( 722160 1160690 )
NEW met1 ( 722160 1152365 ) ( 827760 1152365 )
NEW met2 ( 827760 240870 ) ( 827760 1152365 )
NEW met2 ( 1209840 240870 ) ( 1209840 268250 )
NEW met2 ( 1209600 268250 0 ) ( 1209840 268250 )
NEW met3 ( 827760 240870 ) ( 1209840 240870 )
NEW met2 ( 827760 240870 ) via2_FR
NEW met2 ( 722160 1160690 ) via2_FR
NEW met1 ( 722160 1152365 ) M1M2_PR
NEW met1 ( 827760 1152365 ) M1M2_PR
NEW met2 ( 1209840 240870 ) via2_FR
+ USE SIGNAL ;
- mgmt_wen_mask\[0\] ( storage mgmt_wen_mask[0] ) ( soc mgmt_wen_mask[0] )
+ ROUTED met3 ( 704160 1168090 ) ( 704160 1169200 0 )
NEW met3 ( 704160 1168090 ) ( 734160 1168090 )
NEW met2 ( 734160 1166425 ) ( 734160 1168090 )
NEW met2 ( 1210320 243090 ) ( 1210320 268250 )
NEW met2 ( 1210320 268250 ) ( 1211520 268250 0 )
NEW met1 ( 734160 1166425 ) ( 856560 1166425 )
NEW met2 ( 856560 243090 ) ( 856560 1166425 )
NEW met3 ( 856560 243090 ) ( 1210320 243090 )
NEW met2 ( 856560 243090 ) via2_FR
NEW met2 ( 734160 1168090 ) via2_FR
NEW met1 ( 734160 1166425 ) M1M2_PR
NEW met2 ( 1210320 243090 ) via2_FR
NEW met1 ( 856560 1166425 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[1\] ( storage mgmt_wen_mask[1] ) ( soc mgmt_wen_mask[1] )
+ ROUTED met1 ( 731280 589965 ) ( 731760 589965 )
NEW met1 ( 731280 589965 ) ( 731280 591075 )
NEW met1 ( 731280 591075 ) ( 731760 591075 )
NEW met1 ( 731760 591075 ) ( 731760 595885 )
NEW met2 ( 731760 595885 ) ( 731760 618825 )
NEW met1 ( 731760 618825 ) ( 734640 618825 )
NEW met2 ( 734640 618825 ) ( 734640 671550 )
NEW met1 ( 723120 575905 ) ( 731760 575905 )
NEW met1 ( 723120 574795 ) ( 723120 575905 )
NEW met2 ( 731760 575905 ) ( 731760 589965 )
NEW met3 ( 704160 1175490 0 ) ( 732240 1175490 )
NEW met2 ( 1031280 505050 ) ( 1031280 517445 )
NEW met2 ( 723120 517445 ) ( 723120 574795 )
NEW met1 ( 723120 517445 ) ( 1031280 517445 )
NEW met1 ( 733680 671735 ) ( 733680 672845 )
NEW met1 ( 733680 671735 ) ( 734160 671735 )
NEW met2 ( 734160 671550 ) ( 734160 671735 )
NEW met2 ( 734160 671550 ) ( 734640 671550 )
NEW met3 ( 1031280 505050 ) ( 1052640 505050 0 )
NEW met1 ( 732240 1067265 ) ( 733680 1067265 )
NEW met2 ( 732240 1067265 ) ( 732240 1175490 )
NEW met2 ( 733680 672845 ) ( 733680 1067265 )
NEW met1 ( 731760 589965 ) M1M2_PR
NEW met1 ( 731760 595885 ) M1M2_PR
NEW met1 ( 731760 618825 ) M1M2_PR
NEW met1 ( 734640 618825 ) M1M2_PR
NEW met1 ( 731760 575905 ) M1M2_PR
NEW met1 ( 723120 574795 ) M1M2_PR
NEW met2 ( 732240 1175490 ) via2_FR
NEW met2 ( 1031280 505050 ) via2_FR
NEW met1 ( 1031280 517445 ) M1M2_PR
NEW met1 ( 723120 517445 ) M1M2_PR
NEW met1 ( 733680 672845 ) M1M2_PR
NEW met1 ( 734160 671735 ) M1M2_PR
NEW met1 ( 732240 1067265 ) M1M2_PR
NEW met1 ( 733680 1067265 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[2\] ( storage mgmt_wen_mask[2] ) ( soc mgmt_wen_mask[2] )
+ ROUTED met3 ( 704160 1181050 0 ) ( 704160 1181410 )
NEW met3 ( 704160 1181410 ) ( 734160 1181410 )
NEW met2 ( 734160 1181225 ) ( 734160 1181410 )
NEW met2 ( 1213200 239390 ) ( 1213200 268250 0 )
NEW met1 ( 734160 1181225 ) ( 842160 1181225 )
NEW met2 ( 842160 239390 ) ( 842160 1181225 )
NEW met3 ( 842160 239390 ) ( 1213200 239390 )
NEW met2 ( 842160 239390 ) via2_FR
NEW met2 ( 734160 1181410 ) via2_FR
NEW met1 ( 734160 1181225 ) M1M2_PR
NEW met2 ( 1213200 239390 ) via2_FR
NEW met1 ( 842160 1181225 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[3\] ( storage mgmt_wen_mask[3] ) ( soc mgmt_wen_mask[3] )
+ ROUTED met3 ( 732720 576090 ) ( 732960 576090 )
NEW met1 ( 720240 878195 ) ( 732720 878195 )
NEW met1 ( 727920 1180855 ) ( 732240 1180855 )
NEW met2 ( 727920 1180855 ) ( 727920 1184370 )
NEW met3 ( 704160 1184370 ) ( 727920 1184370 )
NEW met3 ( 704160 1184370 ) ( 704160 1186960 0 )
NEW met2 ( 734640 518185 ) ( 734640 524105 )
NEW met1 ( 730800 1176045 ) ( 732240 1176045 )
NEW met2 ( 732240 1176045 ) ( 732240 1180855 )
NEW met2 ( 1033680 508010 ) ( 1033680 518185 )
NEW met1 ( 734640 518185 ) ( 1033680 518185 )
NEW met1 ( 720240 833425 ) ( 732720 833425 )
NEW met2 ( 720240 833425 ) ( 720240 878195 )
NEW met2 ( 732720 524105 ) ( 732720 576090 )
NEW met1 ( 732720 524105 ) ( 734640 524105 )
NEW met3 ( 732720 633810 ) ( 732960 633810 )
NEW met2 ( 732720 633810 ) ( 732720 833425 )
NEW met4 ( 732960 576090 ) ( 732960 633810 )
NEW met3 ( 1033680 508010 ) ( 1052640 508010 0 )
NEW met1 ( 730800 1108705 ) ( 731280 1108705 )
NEW met2 ( 731280 1058015 ) ( 731280 1108705 )
NEW met1 ( 731280 1058015 ) ( 732720 1058015 )
NEW met2 ( 730800 1108705 ) ( 730800 1176045 )
NEW met2 ( 732720 878195 ) ( 732720 1058015 )
NEW met2 ( 732720 576090 ) via2_FR
NEW met3 ( 732960 576090 ) M3M4_PR_M
NEW met1 ( 720240 878195 ) M1M2_PR
NEW met1 ( 732720 878195 ) M1M2_PR
NEW met1 ( 732240 1180855 ) M1M2_PR
NEW met1 ( 727920 1180855 ) M1M2_PR
NEW met2 ( 727920 1184370 ) via2_FR
NEW met1 ( 734640 524105 ) M1M2_PR
NEW met1 ( 734640 518185 ) M1M2_PR
NEW met1 ( 730800 1176045 ) M1M2_PR
NEW met1 ( 732240 1176045 ) M1M2_PR
NEW met2 ( 1033680 508010 ) via2_FR
NEW met1 ( 1033680 518185 ) M1M2_PR
NEW met1 ( 720240 833425 ) M1M2_PR
NEW met1 ( 732720 833425 ) M1M2_PR
NEW met1 ( 732720 524105 ) M1M2_PR
NEW met2 ( 732720 633810 ) via2_FR
NEW met3 ( 732960 633810 ) M3M4_PR_M
NEW met1 ( 730800 1108705 ) M1M2_PR
NEW met1 ( 731280 1108705 ) M1M2_PR
NEW met1 ( 731280 1058015 ) M1M2_PR
NEW met1 ( 732720 1058015 ) M1M2_PR
NEW met3 ( 732720 576090 ) RECT ( -380 -150 0 150 )
NEW met3 ( 732720 633810 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mgmt_wen_mask\[4\] ( storage mgmt_wen_mask[4] ) ( soc mgmt_wen_mask[4] )
+ ROUTED met2 ( 733680 1180855 ) ( 733680 1193250 )
NEW met3 ( 704160 1193250 0 ) ( 733680 1193250 )
NEW met2 ( 1215120 242350 ) ( 1215120 268250 0 )
NEW met1 ( 733680 1180855 ) ( 870960 1180855 )
NEW met2 ( 870960 242350 ) ( 870960 1180855 )
NEW met3 ( 870960 242350 ) ( 1215120 242350 )
NEW met2 ( 870960 242350 ) via2_FR
NEW met1 ( 733680 1180855 ) M1M2_PR
NEW met2 ( 733680 1193250 ) via2_FR
NEW met2 ( 1215120 242350 ) via2_FR
NEW met1 ( 870960 1180855 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[5\] ( storage mgmt_wen_mask[5] ) ( soc mgmt_wen_mask[5] )
+ ROUTED met3 ( 704160 1199170 0 ) ( 727200 1199170 )
NEW met2 ( 1217040 257150 ) ( 1217040 268250 0 )
NEW met4 ( 727200 257150 ) ( 727200 1199170 )
NEW met3 ( 727200 257150 ) ( 1217040 257150 )
NEW met3 ( 727200 1199170 ) M3M4_PR_M
NEW met2 ( 1217040 257150 ) via2_FR
NEW met3 ( 727200 257150 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_wen_mask\[6\] ( storage mgmt_wen_mask[6] ) ( soc mgmt_wen_mask[6] )
+ ROUTED met3 ( 704160 1202870 ) ( 731760 1202870 )
NEW met3 ( 704160 1202870 ) ( 704160 1205460 0 )
NEW met1 ( 731760 575165 ) ( 735120 575165 )
NEW met2 ( 735120 575165 ) ( 735120 674325 )
NEW met2 ( 1022640 510230 ) ( 1022640 517815 )
NEW met2 ( 731760 986050 ) ( 732240 986050 )
NEW met2 ( 731760 517815 ) ( 731760 575165 )
NEW met1 ( 731760 517815 ) ( 1022640 517815 )
NEW met1 ( 722640 731305 ) ( 731760 731305 )
NEW met2 ( 722640 704295 ) ( 722640 731305 )
NEW met1 ( 721200 704295 ) ( 722640 704295 )
NEW met2 ( 721200 674325 ) ( 721200 704295 )
NEW met2 ( 731760 731305 ) ( 731760 986050 )
NEW met1 ( 721200 674325 ) ( 735120 674325 )
NEW met1 ( 731760 1137565 ) ( 732720 1137565 )
NEW met2 ( 731760 1137565 ) ( 731760 1202870 )
NEW met3 ( 1022640 510230 ) ( 1052640 510230 0 )
NEW met2 ( 732240 1058570 ) ( 732720 1058570 )
NEW met2 ( 732720 1058570 ) ( 732720 1087985 )
NEW met1 ( 732720 1087985 ) ( 732720 1088725 )
NEW met2 ( 732240 986050 ) ( 732240 1058570 )
NEW met2 ( 732720 1088725 ) ( 732720 1137565 )
NEW met1 ( 735120 674325 ) M1M2_PR
NEW met2 ( 731760 1202870 ) via2_FR
NEW met1 ( 735120 575165 ) M1M2_PR
NEW met1 ( 731760 575165 ) M1M2_PR
NEW met2 ( 1022640 510230 ) via2_FR
NEW met1 ( 1022640 517815 ) M1M2_PR
NEW met1 ( 731760 517815 ) M1M2_PR
NEW met1 ( 731760 731305 ) M1M2_PR
NEW met1 ( 722640 731305 ) M1M2_PR
NEW met1 ( 722640 704295 ) M1M2_PR
NEW met1 ( 721200 704295 ) M1M2_PR
NEW met1 ( 721200 674325 ) M1M2_PR
NEW met1 ( 731760 1137565 ) M1M2_PR
NEW met1 ( 732720 1137565 ) M1M2_PR
NEW met1 ( 732720 1087985 ) M1M2_PR
NEW met1 ( 732720 1088725 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[7\] ( storage mgmt_wen_mask[7] ) ( soc mgmt_wen_mask[7] )
+ ROUTED met2 ( 1218960 241610 ) ( 1218960 268250 0 )
NEW met2 ( 885360 241610 ) ( 885360 1210085 )
NEW met3 ( 885360 241610 ) ( 1218960 241610 )
NEW met1 ( 806160 1210085 ) ( 806160 1210455 )
NEW met1 ( 789840 1210455 ) ( 806160 1210455 )
NEW met2 ( 789840 1210455 ) ( 789840 1211750 )
NEW met3 ( 704160 1211750 0 ) ( 789840 1211750 )
NEW met1 ( 806160 1210085 ) ( 885360 1210085 )
NEW met2 ( 885360 241610 ) via2_FR
NEW met2 ( 1218960 241610 ) via2_FR
NEW met1 ( 885360 1210085 ) M1M2_PR
NEW met1 ( 789840 1210455 ) M1M2_PR
NEW met2 ( 789840 1211750 ) via2_FR
+ USE SIGNAL ;
- mprj2_vcc_pwrgood ( soc mprj2_vcc_pwrgood ) ( mgmt_buffers user2_vcc_powergood )
+ ROUTED met2 ( 2057520 1202130 ) ( 2057520 1232470 0 )
NEW met2 ( 1187760 1191955 ) ( 1187760 1194915 )
NEW met2 ( 1340880 1187145 ) ( 1340880 1194915 )
NEW met1 ( 1340880 1187145 ) ( 1411440 1187145 )
NEW met2 ( 1411440 1187145 ) ( 1411440 1202130 )
NEW met2 ( 1081680 1167350 0 ) ( 1081680 1191955 )
NEW met1 ( 1081680 1191955 ) ( 1187760 1191955 )
NEW met1 ( 1187760 1194915 ) ( 1340880 1194915 )
NEW met3 ( 1411440 1202130 ) ( 2057520 1202130 )
NEW met2 ( 2057520 1202130 ) via2_FR
NEW met1 ( 1187760 1191955 ) M1M2_PR
NEW met1 ( 1187760 1194915 ) M1M2_PR
NEW met1 ( 1340880 1194915 ) M1M2_PR
NEW met1 ( 1340880 1187145 ) M1M2_PR
NEW met1 ( 1411440 1187145 ) M1M2_PR
NEW met2 ( 1411440 1202130 ) via2_FR
NEW met1 ( 1081680 1191955 ) M1M2_PR
+ USE SIGNAL ;
- mprj2_vdd_pwrgood ( soc mprj2_vdd_pwrgood ) ( mgmt_buffers user2_vdd_powergood )
+ ROUTED met2 ( 2059920 1188070 ) ( 2059920 1232470 0 )
NEW met2 ( 1079760 1167350 ) ( 1080000 1167350 0 )
NEW met2 ( 1079760 1167350 ) ( 1079760 1188070 )
NEW met3 ( 1079760 1188070 ) ( 2059920 1188070 )
NEW met2 ( 2059920 1188070 ) via2_FR
NEW met2 ( 1079760 1188070 ) via2_FR
+ USE SIGNAL ;
- mprj_ack_i_core ( soc mprj_ack_i ) ( mprj wbs_ack_o )
+ ROUTED met1 ( 341040 1364375 ) ( 345360 1364375 )
NEW met2 ( 341040 1364375 ) ( 341040 1389350 0 )
NEW met2 ( 345360 1216745 ) ( 345360 1364375 )
NEW met2 ( 1030800 1146630 ) ( 1030800 1216745 )
NEW met1 ( 345360 1216745 ) ( 1030800 1216745 )
NEW met3 ( 1030800 1146630 ) ( 1052640 1146630 0 )
NEW met1 ( 345360 1216745 ) M1M2_PR
NEW met1 ( 345360 1364375 ) M1M2_PR
NEW met1 ( 341040 1364375 ) M1M2_PR
NEW met2 ( 1030800 1146630 ) via2_FR
NEW met1 ( 1030800 1216745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[0\] ( soc mprj_adr_o[0] ) ( mgmt_buffers mprj_adr_o_core[0] )
+ ROUTED met2 ( 1799280 1167350 0 ) ( 1799280 1188995 )
NEW met2 ( 1904880 1188995 ) ( 1904880 1232470 0 )
NEW met1 ( 1799280 1188995 ) ( 1904880 1188995 )
NEW met1 ( 1799280 1188995 ) M1M2_PR
NEW met1 ( 1904880 1188995 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[10\] ( soc mprj_adr_o[10] ) ( mgmt_buffers mprj_adr_o_core[10] )
+ ROUTED met2 ( 1957200 1190290 ) ( 1957200 1232470 0 )
NEW met2 ( 1078080 1167350 0 ) ( 1079280 1167350 )
NEW met2 ( 1079280 1167350 ) ( 1079280 1190290 )
NEW met3 ( 1079280 1190290 ) ( 1957200 1190290 )
NEW met2 ( 1957200 1190290 ) via2_FR
NEW met2 ( 1079280 1190290 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[11\] ( soc mprj_adr_o[11] ) ( mgmt_buffers mprj_adr_o_core[11] )
+ ROUTED met2 ( 1801200 1167350 0 ) ( 1801200 1199725 )
NEW met2 ( 1960560 1197135 ) ( 1960560 1232470 )
NEW met2 ( 1960560 1232470 ) ( 1961760 1232470 0 )
NEW met2 ( 1893360 1197135 ) ( 1893360 1199725 )
NEW met1 ( 1801200 1199725 ) ( 1893360 1199725 )
NEW met1 ( 1893360 1197135 ) ( 1960560 1197135 )
NEW met1 ( 1801200 1199725 ) M1M2_PR
NEW met1 ( 1960560 1197135 ) M1M2_PR
NEW met1 ( 1893360 1199725 ) M1M2_PR
NEW met1 ( 1893360 1197135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[12\] ( soc mprj_adr_o[12] ) ( mgmt_buffers mprj_adr_o_core[12] )
+ ROUTED met2 ( 1965840 1189550 ) ( 1965840 1232470 0 )
NEW met2 ( 1076400 1167350 0 ) ( 1076400 1189550 )
NEW met3 ( 1076400 1189550 ) ( 1965840 1189550 )
NEW met2 ( 1965840 1189550 ) via2_FR
NEW met2 ( 1076400 1189550 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[13\] ( soc mprj_adr_o[13] ) ( mgmt_buffers mprj_adr_o_core[13] )
+ ROUTED met2 ( 1803120 1167350 0 ) ( 1803120 1200465 )
NEW met2 ( 1970640 1196395 ) ( 1970640 1232470 0 )
NEW met2 ( 1893840 1196395 ) ( 1893840 1200465 )
NEW met1 ( 1803120 1200465 ) ( 1893840 1200465 )
NEW met1 ( 1893840 1196395 ) ( 1970640 1196395 )
NEW met1 ( 1803120 1200465 ) M1M2_PR
NEW met1 ( 1970640 1196395 ) M1M2_PR
NEW met1 ( 1893840 1200465 ) M1M2_PR
NEW met1 ( 1893840 1196395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[14\] ( soc mprj_adr_o[14] ) ( mgmt_buffers mprj_adr_o_core[14] )
+ ROUTED met2 ( 1973520 1188810 ) ( 1973520 1232470 )
NEW met2 ( 1973520 1232470 ) ( 1974720 1232470 0 )
NEW met2 ( 1074480 1167350 0 ) ( 1074480 1188810 )
NEW met3 ( 1074480 1188810 ) ( 1973520 1188810 )
NEW met2 ( 1973520 1188810 ) via2_FR
NEW met2 ( 1074480 1188810 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[15\] ( soc mprj_adr_o[15] ) ( mgmt_buffers mprj_adr_o_core[15] )
+ ROUTED met2 ( 1805040 1167350 0 ) ( 1805040 1207495 )
NEW met2 ( 1979280 1209345 ) ( 1979280 1232470 0 )
NEW met1 ( 1884720 1207495 ) ( 1884720 1208975 )
NEW met1 ( 1884720 1208975 ) ( 1892400 1208975 )
NEW met1 ( 1892400 1208975 ) ( 1892400 1209345 )
NEW met1 ( 1805040 1207495 ) ( 1884720 1207495 )
NEW met1 ( 1892400 1209345 ) ( 1979280 1209345 )
NEW met1 ( 1805040 1207495 ) M1M2_PR
NEW met1 ( 1979280 1209345 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[16\] ( soc mprj_adr_o[16] ) ( mgmt_buffers mprj_adr_o_core[16] )
+ ROUTED met2 ( 1806720 1167350 0 ) ( 1807920 1167350 )
NEW met2 ( 1982160 1232470 ) ( 1983360 1232470 0 )
NEW met2 ( 1915440 1197505 ) ( 1916400 1197505 )
NEW met2 ( 1916400 1197505 ) ( 1916400 1198245 )
NEW met1 ( 1916400 1198245 ) ( 1982160 1198245 )
NEW met2 ( 1982160 1198245 ) ( 1982160 1232470 )
NEW met1 ( 1807920 1197135 ) ( 1843920 1197135 )
NEW met1 ( 1843920 1197135 ) ( 1843920 1197505 )
NEW met2 ( 1807920 1167350 ) ( 1807920 1197135 )
NEW met1 ( 1843920 1197505 ) ( 1915440 1197505 )
NEW met1 ( 1915440 1197505 ) M1M2_PR
NEW met1 ( 1916400 1198245 ) M1M2_PR
NEW met1 ( 1982160 1198245 ) M1M2_PR
NEW met1 ( 1807920 1197135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[17\] ( soc mprj_adr_o[17] ) ( mgmt_buffers mprj_adr_o_core[17] )
+ ROUTED met2 ( 1808640 1167350 0 ) ( 1809840 1167350 )
NEW met2 ( 1809840 1167350 ) ( 1809840 1207865 )
NEW met2 ( 1987440 1206385 ) ( 1987440 1232470 0 )
NEW met2 ( 1869840 1206385 ) ( 1869840 1207865 )
NEW met1 ( 1809840 1207865 ) ( 1869840 1207865 )
NEW met1 ( 1869840 1206385 ) ( 1987440 1206385 )
NEW met1 ( 1809840 1207865 ) M1M2_PR
NEW met1 ( 1987440 1206385 ) M1M2_PR
NEW met1 ( 1869840 1207865 ) M1M2_PR
NEW met1 ( 1869840 1206385 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[18\] ( soc mprj_adr_o[18] ) ( mgmt_buffers mprj_adr_o_core[18] )
+ ROUTED met2 ( 1810320 1167350 0 ) ( 1810320 1206385 )
NEW met2 ( 1992240 1205275 ) ( 1992240 1232470 0 )
NEW met2 ( 1867920 1205275 ) ( 1867920 1206385 )
NEW met1 ( 1810320 1206385 ) ( 1867920 1206385 )
NEW met1 ( 1867920 1205275 ) ( 1992240 1205275 )
NEW met1 ( 1810320 1206385 ) M1M2_PR
NEW met1 ( 1992240 1205275 ) M1M2_PR
NEW met1 ( 1867920 1206385 ) M1M2_PR
NEW met1 ( 1867920 1205275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[19\] ( soc mprj_adr_o[19] ) ( mgmt_buffers mprj_adr_o_core[19] )
+ ROUTED met2 ( 1812240 1167350 0 ) ( 1812240 1204905 )
NEW met2 ( 1995120 1204905 ) ( 1995120 1232470 )
NEW met2 ( 1995120 1232470 ) ( 1996320 1232470 0 )
NEW met1 ( 1812240 1204905 ) ( 1995120 1204905 )
NEW met1 ( 1812240 1204905 ) M1M2_PR
NEW met1 ( 1995120 1204905 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[1\] ( soc mprj_adr_o[1] ) ( mgmt_buffers mprj_adr_o_core[1] )
+ ROUTED met2 ( 1814160 1167350 0 ) ( 1814160 1189365 )
NEW met2 ( 1911600 1189365 ) ( 1911600 1232470 0 )
NEW met1 ( 1814160 1189365 ) ( 1911600 1189365 )
NEW met1 ( 1814160 1189365 ) M1M2_PR
NEW met1 ( 1911600 1189365 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[20\] ( soc mprj_adr_o[20] ) ( mgmt_buffers mprj_adr_o_core[20] )
+ ROUTED met2 ( 1816080 1167350 0 ) ( 1816080 1207125 )
NEW met2 ( 2000880 1207125 ) ( 2000880 1232470 0 )
NEW met1 ( 1816080 1207125 ) ( 2000880 1207125 )
NEW met1 ( 1816080 1207125 ) M1M2_PR
NEW met1 ( 2000880 1207125 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[21\] ( soc mprj_adr_o[21] ) ( mgmt_buffers mprj_adr_o_core[21] )
+ ROUTED met2 ( 1817760 1167350 0 ) ( 1818960 1167350 )
NEW met2 ( 1818960 1167350 ) ( 1818960 1206015 )
NEW met2 ( 2003760 1206015 ) ( 2003760 1232470 )
NEW met2 ( 2003760 1232470 ) ( 2004960 1232470 0 )
NEW met1 ( 1818960 1206015 ) ( 2003760 1206015 )
NEW met1 ( 1818960 1206015 ) M1M2_PR
NEW met1 ( 2003760 1206015 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[22\] ( soc mprj_adr_o[22] ) ( mgmt_buffers mprj_adr_o_core[22] )
+ ROUTED met2 ( 1819680 1167350 0 ) ( 1820880 1167350 )
NEW met2 ( 1820880 1167350 ) ( 1820880 1206755 )
NEW met2 ( 2009520 1206755 ) ( 2009520 1232470 0 )
NEW met1 ( 1820880 1206755 ) ( 2009520 1206755 )
NEW met1 ( 1820880 1206755 ) M1M2_PR
NEW met1 ( 2009520 1206755 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[23\] ( soc mprj_adr_o[23] ) ( mgmt_buffers mprj_adr_o_core[23] )
+ ROUTED met2 ( 1821360 1167350 0 ) ( 1821360 1205645 )
NEW met2 ( 2013840 1205645 ) ( 2013840 1232470 0 )
NEW met1 ( 1821360 1205645 ) ( 2013840 1205645 )
NEW met1 ( 1821360 1205645 ) M1M2_PR
NEW met1 ( 2013840 1205645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[24\] ( soc mprj_adr_o[24] ) ( mgmt_buffers mprj_adr_o_core[24] )
+ ROUTED met2 ( 1823280 1167350 0 ) ( 1823280 1203055 )
NEW met2 ( 2017200 1203055 ) ( 2017200 1232470 )
NEW met2 ( 2017200 1232470 ) ( 2018400 1232470 0 )
NEW met1 ( 1823280 1203055 ) ( 2017200 1203055 )
NEW met1 ( 1823280 1203055 ) M1M2_PR
NEW met1 ( 2017200 1203055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[25\] ( soc mprj_adr_o[25] ) ( mgmt_buffers mprj_adr_o_core[25] )
+ ROUTED met2 ( 1825200 1167350 0 ) ( 1825200 1203795 )
NEW met2 ( 2022480 1203795 ) ( 2022480 1232470 0 )
NEW met1 ( 1825200 1203795 ) ( 2022480 1203795 )
NEW met1 ( 1825200 1203795 ) M1M2_PR
NEW met1 ( 2022480 1203795 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[26\] ( soc mprj_adr_o[26] ) ( mgmt_buffers mprj_adr_o_core[26] )
+ ROUTED met2 ( 1827120 1167350 0 ) ( 1827120 1202685 )
NEW met2 ( 2026320 1202685 ) ( 2026320 1232470 )
NEW met2 ( 2026320 1232470 ) ( 2027040 1232470 0 )
NEW met1 ( 1827120 1202685 ) ( 2026320 1202685 )
NEW met1 ( 1827120 1202685 ) M1M2_PR
NEW met1 ( 2026320 1202685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[27\] ( soc mprj_adr_o[27] ) ( mgmt_buffers mprj_adr_o_core[27] )
+ ROUTED met2 ( 1828800 1167350 0 ) ( 1830000 1167350 )
NEW met2 ( 1830000 1167350 ) ( 1830000 1204535 )
NEW met2 ( 2030640 1204535 ) ( 2030640 1232470 )
NEW met2 ( 2030640 1232470 ) ( 2031360 1232470 0 )
NEW met1 ( 1830000 1204535 ) ( 2030640 1204535 )
NEW met1 ( 1830000 1204535 ) M1M2_PR
NEW met1 ( 2030640 1204535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[28\] ( soc mprj_adr_o[28] ) ( mgmt_buffers mprj_adr_o_core[28] )
+ ROUTED met2 ( 1830720 1167350 0 ) ( 1831920 1167350 )
NEW met2 ( 1831920 1167350 ) ( 1831920 1204165 )
NEW met2 ( 2035920 1204165 ) ( 2035920 1232470 0 )
NEW met1 ( 1831920 1204165 ) ( 2035920 1204165 )
NEW met1 ( 1831920 1204165 ) M1M2_PR
NEW met1 ( 2035920 1204165 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[29\] ( soc mprj_adr_o[29] ) ( mgmt_buffers mprj_adr_o_core[29] )
+ ROUTED met2 ( 1832400 1167350 0 ) ( 1832400 1202315 )
NEW met2 ( 2038800 1202315 ) ( 2038800 1232470 )
NEW met2 ( 2038800 1232470 ) ( 2040000 1232470 0 )
NEW met1 ( 1832400 1202315 ) ( 2038800 1202315 )
NEW met1 ( 1832400 1202315 ) M1M2_PR
NEW met1 ( 2038800 1202315 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[2\] ( soc mprj_adr_o[2] ) ( mgmt_buffers mprj_adr_o_core[2] )
+ ROUTED met2 ( 1834320 1167350 0 ) ( 1834320 1194545 )
NEW met1 ( 1880400 1194175 ) ( 1880400 1194545 )
NEW met1 ( 1880400 1194175 ) ( 1916880 1194175 )
NEW met1 ( 1834320 1194545 ) ( 1880400 1194545 )
NEW met1 ( 1916880 1231915 ) ( 1918080 1231915 )
NEW met2 ( 1918080 1231915 ) ( 1918080 1232470 0 )
NEW met2 ( 1916880 1194175 ) ( 1916880 1231915 )
NEW met1 ( 1834320 1194545 ) M1M2_PR
NEW met1 ( 1916880 1194175 ) M1M2_PR
NEW met1 ( 1916880 1231915 ) M1M2_PR
NEW met1 ( 1918080 1231915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[30\] ( soc mprj_adr_o[30] ) ( mgmt_buffers mprj_adr_o_core[30] )
+ ROUTED met2 ( 1836240 1167350 0 ) ( 1836240 1203425 )
NEW met2 ( 2044560 1203425 ) ( 2044560 1232470 0 )
NEW met1 ( 1836240 1203425 ) ( 2044560 1203425 )
NEW met1 ( 1836240 1203425 ) M1M2_PR
NEW met1 ( 2044560 1203425 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[31\] ( soc mprj_adr_o[31] ) ( mgmt_buffers mprj_adr_o_core[31] )
+ ROUTED met2 ( 2048880 1202870 ) ( 2048880 1232470 0 )
NEW met2 ( 1838160 1167350 0 ) ( 1838160 1202870 )
NEW met3 ( 1838160 1202870 ) ( 2048880 1202870 )
NEW met2 ( 2048880 1202870 ) via2_FR
NEW met2 ( 1838160 1202870 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[3\] ( soc mprj_adr_o[3] ) ( mgmt_buffers mprj_adr_o_core[3] )
+ ROUTED met2 ( 1839840 1167350 0 ) ( 1841040 1167350 )
NEW met2 ( 1841040 1167350 ) ( 1841040 1194175 )
NEW met2 ( 1879920 1192695 ) ( 1879920 1194175 )
NEW met1 ( 1879920 1192695 ) ( 1923120 1192695 )
NEW met2 ( 1923120 1192695 ) ( 1923120 1232470 )
NEW met2 ( 1923120 1232470 ) ( 1924560 1232470 0 )
NEW met1 ( 1841040 1194175 ) ( 1879920 1194175 )
NEW met1 ( 1841040 1194175 ) M1M2_PR
NEW met1 ( 1879920 1194175 ) M1M2_PR
NEW met1 ( 1879920 1192695 ) M1M2_PR
NEW met1 ( 1923120 1192695 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[4\] ( soc mprj_adr_o[4] ) ( mgmt_buffers mprj_adr_o_core[4] )
+ ROUTED met2 ( 1929840 1232470 ) ( 1931040 1232470 0 )
NEW met2 ( 1841760 1167350 0 ) ( 1842960 1167350 )
NEW met2 ( 1842960 1167350 ) ( 1842960 1172345 )
NEW met1 ( 1842960 1172345 ) ( 1900080 1172345 )
NEW met2 ( 1900080 1172345 ) ( 1900080 1185665 )
NEW met2 ( 1901040 1185665 ) ( 1901040 1186405 )
NEW met1 ( 1901040 1186405 ) ( 1929840 1186405 )
NEW met1 ( 1900080 1185665 ) ( 1901040 1185665 )
NEW met2 ( 1929840 1186405 ) ( 1929840 1232470 )
NEW met1 ( 1842960 1172345 ) M1M2_PR
NEW met1 ( 1900080 1172345 ) M1M2_PR
NEW met1 ( 1900080 1185665 ) M1M2_PR
NEW met1 ( 1901040 1185665 ) M1M2_PR
NEW met1 ( 1901040 1186405 ) M1M2_PR
NEW met1 ( 1929840 1186405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[5\] ( soc mprj_adr_o[5] ) ( mgmt_buffers mprj_adr_o_core[5] )
+ ROUTED met2 ( 1843440 1167350 0 ) ( 1843440 1193065 )
NEW met1 ( 1843440 1193065 ) ( 1935600 1193065 )
NEW met2 ( 1935600 1193065 ) ( 1935600 1232470 0 )
NEW met1 ( 1843440 1193065 ) M1M2_PR
NEW met1 ( 1935600 1193065 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[6\] ( soc mprj_adr_o[6] ) ( mgmt_buffers mprj_adr_o_core[6] )
+ ROUTED met2 ( 1938480 1232470 ) ( 1939680 1232470 0 )
NEW met2 ( 1938480 1186035 ) ( 1938480 1232470 )
NEW met2 ( 1845360 1167350 0 ) ( 1845360 1186405 )
NEW met1 ( 1845360 1186405 ) ( 1900560 1186405 )
NEW met1 ( 1900560 1186035 ) ( 1900560 1186405 )
NEW met1 ( 1900560 1186035 ) ( 1938480 1186035 )
NEW met1 ( 1938480 1186035 ) M1M2_PR
NEW met1 ( 1845360 1186405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[7\] ( soc mprj_adr_o[7] ) ( mgmt_buffers mprj_adr_o_core[7] )
+ ROUTED met2 ( 1944240 1192325 ) ( 1944240 1232470 0 )
NEW met2 ( 1847280 1167350 0 ) ( 1847280 1192325 )
NEW met1 ( 1847280 1192325 ) ( 1944240 1192325 )
NEW met1 ( 1944240 1192325 ) M1M2_PR
NEW met1 ( 1847280 1192325 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[8\] ( soc mprj_adr_o[8] ) ( mgmt_buffers mprj_adr_o_core[8] )
+ ROUTED met2 ( 1948560 1191215 ) ( 1948560 1232470 0 )
NEW met2 ( 1849200 1167350 0 ) ( 1849200 1191215 )
NEW met1 ( 1849200 1191215 ) ( 1948560 1191215 )
NEW met1 ( 1948560 1191215 ) M1M2_PR
NEW met1 ( 1849200 1191215 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[9\] ( soc mprj_adr_o[9] ) ( mgmt_buffers mprj_adr_o_core[9] )
+ ROUTED met2 ( 1951920 1191585 ) ( 1951920 1232470 )
NEW met2 ( 1951920 1232470 ) ( 1953120 1232470 0 )
NEW met2 ( 1850880 1167350 0 ) ( 1852080 1167350 )
NEW met2 ( 1852080 1167350 ) ( 1852080 1191585 )
NEW met1 ( 1852080 1191585 ) ( 1951920 1191585 )
NEW met1 ( 1951920 1191585 ) M1M2_PR
NEW met1 ( 1852080 1191585 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[0\] ( mprj wbs_adr_i[0] ) ( mgmt_buffers mprj_adr_o_user[0] )
+ ROUTED met2 ( 365040 1334590 ) ( 365040 1389350 0 )
NEW met2 ( 1912080 1324415 ) ( 1912080 1324970 )
NEW met2 ( 1912080 1324970 ) ( 1913520 1324970 0 )
NEW met2 ( 1642320 1334590 ) ( 1642320 1335885 )
NEW met1 ( 1642320 1335885 ) ( 1689840 1335885 )
NEW met2 ( 1689840 1335885 ) ( 1689840 1336995 )
NEW met3 ( 365040 1334590 ) ( 1642320 1334590 )
NEW met2 ( 1718640 1324415 ) ( 1718640 1336995 )
NEW met1 ( 1689840 1336995 ) ( 1718640 1336995 )
NEW met1 ( 1718640 1324415 ) ( 1912080 1324415 )
NEW met2 ( 365040 1334590 ) via2_FR
NEW met1 ( 1912080 1324415 ) M1M2_PR
NEW met2 ( 1642320 1334590 ) via2_FR
NEW met1 ( 1642320 1335885 ) M1M2_PR
NEW met1 ( 1689840 1335885 ) M1M2_PR
NEW met1 ( 1689840 1336995 ) M1M2_PR
NEW met1 ( 1718640 1336995 ) M1M2_PR
NEW met1 ( 1718640 1324415 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[10\] ( mprj wbs_adr_i[10] ) ( mgmt_buffers mprj_adr_o_user[10] )
+ ROUTED met2 ( 567600 1383430 ) ( 567600 1389350 0 )
NEW met2 ( 1964400 1325710 ) ( 1965840 1325710 0 )
NEW met2 ( 1876560 1383430 ) ( 1876560 1387685 )
NEW met1 ( 1876560 1387685 ) ( 1919280 1387685 )
NEW met2 ( 1919280 1371775 ) ( 1919280 1387685 )
NEW met1 ( 1919280 1371775 ) ( 1922160 1371775 )
NEW met2 ( 1922160 1369370 ) ( 1922160 1371775 )
NEW met2 ( 1922160 1369370 ) ( 1923120 1369370 )
NEW met2 ( 1923120 1369370 ) ( 1923120 1369925 )
NEW met1 ( 1923120 1369925 ) ( 1964400 1369925 )
NEW met3 ( 567600 1383430 ) ( 1876560 1383430 )
NEW met2 ( 1964400 1325710 ) ( 1964400 1369925 )
NEW met2 ( 567600 1383430 ) via2_FR
NEW met2 ( 1876560 1383430 ) via2_FR
NEW met1 ( 1876560 1387685 ) M1M2_PR
NEW met1 ( 1919280 1387685 ) M1M2_PR
NEW met1 ( 1919280 1371775 ) M1M2_PR
NEW met1 ( 1922160 1371775 ) M1M2_PR
NEW met1 ( 1923120 1369925 ) M1M2_PR
NEW met1 ( 1964400 1369925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[11\] ( mprj wbs_adr_i[11] ) ( mgmt_buffers mprj_adr_o_user[11] )
+ ROUTED met2 ( 584880 1339030 ) ( 584880 1389350 0 )
NEW met2 ( 1969200 1325710 ) ( 1970640 1325710 0 )
NEW met2 ( 1969200 1325710 ) ( 1969200 1339585 )
NEW met1 ( 1941360 1339585 ) ( 1941360 1340325 )
NEW met1 ( 1941360 1339585 ) ( 1969200 1339585 )
NEW met2 ( 1659600 1330705 ) ( 1659600 1335515 )
NEW met1 ( 1645200 1335515 ) ( 1659600 1335515 )
NEW met2 ( 1645200 1335515 ) ( 1645200 1339030 )
NEW met2 ( 1642320 1339030 ) ( 1645200 1339030 )
NEW met3 ( 584880 1339030 ) ( 1642320 1339030 )
NEW met2 ( 1863600 1339030 ) ( 1863600 1340325 )
NEW met1 ( 1863600 1340325 ) ( 1941360 1340325 )
NEW met2 ( 1749840 1330705 ) ( 1749840 1331445 )
NEW met1 ( 1749840 1331445 ) ( 1789200 1331445 )
NEW met2 ( 1789200 1331445 ) ( 1789200 1339030 )
NEW met1 ( 1659600 1330705 ) ( 1749840 1330705 )
NEW met3 ( 1789200 1339030 ) ( 1863600 1339030 )
NEW met2 ( 584880 1339030 ) via2_FR
NEW met1 ( 1969200 1339585 ) M1M2_PR
NEW met1 ( 1659600 1330705 ) M1M2_PR
NEW met1 ( 1659600 1335515 ) M1M2_PR
NEW met1 ( 1645200 1335515 ) M1M2_PR
NEW met2 ( 1642320 1339030 ) via2_FR
NEW met2 ( 1863600 1339030 ) via2_FR
NEW met1 ( 1863600 1340325 ) M1M2_PR
NEW met1 ( 1749840 1330705 ) M1M2_PR
NEW met1 ( 1749840 1331445 ) M1M2_PR
NEW met1 ( 1789200 1331445 ) M1M2_PR
NEW met2 ( 1789200 1339030 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[12\] ( mprj wbs_adr_i[12] ) ( mgmt_buffers mprj_adr_o_user[12] )
+ ROUTED met2 ( 602640 1384170 ) ( 602640 1389350 0 )
NEW met3 ( 1948560 1365670 ) ( 1973520 1365670 )
NEW met2 ( 1973520 1325710 ) ( 1974720 1325710 0 )
NEW met2 ( 1973520 1325710 ) ( 1973520 1365670 )
NEW met2 ( 1876080 1383615 ) ( 1876080 1384170 )
NEW met1 ( 1876080 1383615 ) ( 1900080 1383615 )
NEW met2 ( 1900080 1379915 ) ( 1900080 1383615 )
NEW met1 ( 1900080 1379915 ) ( 1917840 1379915 )
NEW met2 ( 1917840 1368630 ) ( 1917840 1379915 )
NEW met3 ( 1917840 1368630 ) ( 1948560 1368630 )
NEW met3 ( 602640 1384170 ) ( 1876080 1384170 )
NEW met2 ( 1948560 1365670 ) ( 1948560 1368630 )
NEW met2 ( 602640 1384170 ) via2_FR
NEW met2 ( 1948560 1365670 ) via2_FR
NEW met2 ( 1973520 1365670 ) via2_FR
NEW met2 ( 1876080 1384170 ) via2_FR
NEW met1 ( 1876080 1383615 ) M1M2_PR
NEW met1 ( 1900080 1383615 ) M1M2_PR
NEW met1 ( 1900080 1379915 ) M1M2_PR
NEW met1 ( 1917840 1379915 ) M1M2_PR
NEW met2 ( 1917840 1368630 ) via2_FR
NEW met2 ( 1948560 1368630 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[13\] ( mprj wbs_adr_i[13] ) ( mgmt_buffers mprj_adr_o_user[13] )
+ ROUTED met2 ( 620880 1357345 ) ( 620880 1389350 0 )
NEW met2 ( 2019600 1223590 ) ( 2019600 1230805 )
NEW met3 ( 2019600 1223590 ) ( 2020320 1223590 )
NEW met3 ( 1979280 1353830 ) ( 2020320 1353830 )
NEW met2 ( 1979280 1325710 0 ) ( 1979280 1353830 )
NEW met4 ( 2020320 1223590 ) ( 2020320 1353830 )
NEW met1 ( 620880 1357345 ) ( 1061040 1357345 )
NEW met1 ( 1943280 1235245 ) ( 1943280 1235985 )
NEW met1 ( 1943280 1235245 ) ( 1966800 1235245 )
NEW met1 ( 1966800 1233765 ) ( 1966800 1235245 )
NEW met2 ( 1966800 1230805 ) ( 1966800 1233765 )
NEW met1 ( 1966800 1230805 ) ( 2019600 1230805 )
NEW met2 ( 1061040 1235985 ) ( 1061040 1357345 )
NEW met1 ( 1061040 1235985 ) ( 1943280 1235985 )
NEW met1 ( 620880 1357345 ) M1M2_PR
NEW met1 ( 2019600 1230805 ) M1M2_PR
NEW met2 ( 2019600 1223590 ) via2_FR
NEW met3 ( 2020320 1223590 ) M3M4_PR_M
NEW met2 ( 1979280 1353830 ) via2_FR
NEW met3 ( 2020320 1353830 ) M3M4_PR_M
NEW met1 ( 1061040 1357345 ) M1M2_PR
NEW met1 ( 1966800 1233765 ) M1M2_PR
NEW met1 ( 1966800 1230805 ) M1M2_PR
NEW met1 ( 1061040 1235985 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[14\] ( mprj wbs_adr_i[14] ) ( mgmt_buffers mprj_adr_o_user[14] )
+ ROUTED met2 ( 976080 1354385 ) ( 976080 1356235 )
NEW met2 ( 1138320 1223590 ) ( 1138320 1223775 )
NEW met1 ( 1138320 1223775 ) ( 1140720 1223775 )
NEW met2 ( 1140720 1223775 ) ( 1140720 1225070 )
NEW met3 ( 1140720 1225070 ) ( 1237680 1225070 )
NEW met2 ( 1237680 1223590 ) ( 1237680 1225070 )
NEW met2 ( 1541040 1225070 ) ( 1541520 1225070 )
NEW met2 ( 1541520 1222110 ) ( 1541520 1225070 )
NEW met3 ( 1984560 1339770 ) ( 2002080 1339770 )
NEW met2 ( 1983360 1325710 0 ) ( 1984560 1325710 )
NEW met2 ( 1984560 1325710 ) ( 1984560 1339770 )
NEW met4 ( 2002080 1220630 ) ( 2002080 1339770 )
NEW met2 ( 638640 1356975 ) ( 638640 1389350 0 )
NEW met2 ( 885360 1354385 ) ( 885360 1356235 )
NEW met1 ( 885360 1354385 ) ( 976080 1354385 )
NEW met2 ( 1059600 1231730 ) ( 1060080 1231730 )
NEW met2 ( 1060080 1225625 ) ( 1060080 1231730 )
NEW met1 ( 1060080 1225625 ) ( 1137360 1225625 )
NEW met2 ( 1137360 1223590 ) ( 1137360 1225625 )
NEW met3 ( 1137360 1223590 ) ( 1138320 1223590 )
NEW met1 ( 976080 1356235 ) ( 1059600 1356235 )
NEW met2 ( 1238640 1223590 ) ( 1238640 1224515 )
NEW met3 ( 1237680 1223590 ) ( 1238640 1223590 )
NEW met2 ( 1540560 1224145 ) ( 1540560 1225070 )
NEW met2 ( 1540080 1225070 ) ( 1540560 1225070 )
NEW met3 ( 1540080 1225070 ) ( 1541040 1225070 )
NEW met3 ( 1643040 1222110 ) ( 1643040 1222850 )
NEW met3 ( 1541520 1222110 ) ( 1643040 1222110 )
NEW met2 ( 1845840 1220630 ) ( 1845840 1223590 )
NEW met3 ( 1845840 1220630 ) ( 2002080 1220630 )
NEW met2 ( 1059600 1231730 ) ( 1059600 1356235 )
NEW met2 ( 705840 1356975 ) ( 705840 1357715 )
NEW met1 ( 705840 1357715 ) ( 736080 1357715 )
NEW met2 ( 736080 1356235 ) ( 736080 1357715 )
NEW met1 ( 638640 1356975 ) ( 705840 1356975 )
NEW met1 ( 736080 1356235 ) ( 885360 1356235 )
NEW met2 ( 1341360 1223405 ) ( 1341360 1224515 )
NEW met1 ( 1341360 1223405 ) ( 1346640 1223405 )
NEW met1 ( 1346640 1223405 ) ( 1346640 1224145 )
NEW met1 ( 1238640 1224515 ) ( 1341360 1224515 )
NEW met1 ( 1346640 1224145 ) ( 1540560 1224145 )
NEW met2 ( 1742160 1222850 ) ( 1742160 1223590 )
NEW met2 ( 1742160 1223590 ) ( 1743120 1223590 )
NEW met3 ( 1643040 1222850 ) ( 1742160 1222850 )
NEW met3 ( 1743120 1223590 ) ( 1845840 1223590 )
NEW met1 ( 976080 1354385 ) M1M2_PR
NEW met1 ( 976080 1356235 ) M1M2_PR
NEW met2 ( 1138320 1223590 ) via2_FR
NEW met1 ( 1138320 1223775 ) M1M2_PR
NEW met1 ( 1140720 1223775 ) M1M2_PR
NEW met2 ( 1140720 1225070 ) via2_FR
NEW met2 ( 1237680 1225070 ) via2_FR
NEW met2 ( 1237680 1223590 ) via2_FR
NEW met2 ( 1541040 1225070 ) via2_FR
NEW met2 ( 1541520 1222110 ) via2_FR
NEW met3 ( 2002080 1220630 ) M3M4_PR_M
NEW met2 ( 1984560 1339770 ) via2_FR
NEW met3 ( 2002080 1339770 ) M3M4_PR_M
NEW met1 ( 638640 1356975 ) M1M2_PR
NEW met1 ( 885360 1356235 ) M1M2_PR
NEW met1 ( 885360 1354385 ) M1M2_PR
NEW met1 ( 1060080 1225625 ) M1M2_PR
NEW met1 ( 1137360 1225625 ) M1M2_PR
NEW met2 ( 1137360 1223590 ) via2_FR
NEW met1 ( 1059600 1356235 ) M1M2_PR
NEW met2 ( 1238640 1223590 ) via2_FR
NEW met1 ( 1238640 1224515 ) M1M2_PR
NEW met1 ( 1540560 1224145 ) M1M2_PR
NEW met2 ( 1540080 1225070 ) via2_FR
NEW met2 ( 1845840 1223590 ) via2_FR
NEW met2 ( 1845840 1220630 ) via2_FR
NEW met1 ( 705840 1356975 ) M1M2_PR
NEW met1 ( 705840 1357715 ) M1M2_PR
NEW met1 ( 736080 1357715 ) M1M2_PR
NEW met1 ( 736080 1356235 ) M1M2_PR
NEW met1 ( 1341360 1224515 ) M1M2_PR
NEW met1 ( 1341360 1223405 ) M1M2_PR
NEW met2 ( 1742160 1222850 ) via2_FR
NEW met2 ( 1743120 1223590 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[15\] ( mprj wbs_adr_i[15] ) ( mgmt_buffers mprj_adr_o_user[15] )
+ ROUTED met1 ( 947760 1355495 ) ( 947760 1355865 )
NEW met2 ( 1238160 1225070 ) ( 1238160 1225995 )
NEW met2 ( 1641360 1224515 ) ( 1641360 1225070 )
NEW met4 ( 1944480 1219890 ) ( 1944480 1225070 )
NEW met3 ( 1944480 1219890 ) ( 2016480 1219890 )
NEW met3 ( 2016240 1333110 ) ( 2016480 1333110 )
NEW met2 ( 2016240 1333110 ) ( 2016240 1334035 )
NEW met1 ( 1988880 1334035 ) ( 2016240 1334035 )
NEW met2 ( 1988880 1325710 ) ( 1988880 1334035 )
NEW met2 ( 1987440 1325710 0 ) ( 1988880 1325710 )
NEW met4 ( 2016480 1219890 ) ( 2016480 1333110 )
NEW met2 ( 656400 1356605 ) ( 656400 1389350 0 )
NEW met1 ( 885360 1355495 ) ( 885360 1355865 )
NEW met1 ( 885360 1355495 ) ( 947760 1355495 )
NEW met2 ( 1058640 1235430 ) ( 1059120 1235430 )
NEW met2 ( 1059120 1225995 ) ( 1059120 1235430 )
NEW met1 ( 1059120 1225995 ) ( 1238160 1225995 )
NEW met1 ( 947760 1355865 ) ( 1058640 1355865 )
NEW met2 ( 1238160 1225070 ) ( 1238640 1225070 )
NEW met2 ( 1642320 1222110 ) ( 1642320 1225070 )
NEW met2 ( 1642320 1222110 ) ( 1643760 1222110 )
NEW met3 ( 1641360 1225070 ) ( 1642320 1225070 )
NEW met2 ( 1943760 1225070 ) ( 1943760 1228955 )
NEW met3 ( 1943760 1225070 ) ( 1944480 1225070 )
NEW met2 ( 1058640 1235430 ) ( 1058640 1355865 )
NEW met2 ( 1439760 1225070 ) ( 1439760 1225810 )
NEW met2 ( 1439760 1225810 ) ( 1440720 1225810 )
NEW met2 ( 1440720 1225810 ) ( 1440720 1231175 )
NEW met3 ( 1238640 1225070 ) ( 1439760 1225070 )
NEW met1 ( 733680 1354755 ) ( 733680 1356605 )
NEW met1 ( 733680 1354755 ) ( 734640 1354755 )
NEW met1 ( 734640 1354755 ) ( 734640 1355865 )
NEW met1 ( 656400 1356605 ) ( 733680 1356605 )
NEW met1 ( 734640 1355865 ) ( 885360 1355865 )
NEW met2 ( 1842960 1222110 ) ( 1842960 1228955 )
NEW met3 ( 1643760 1222110 ) ( 1842960 1222110 )
NEW met1 ( 1842960 1228955 ) ( 1943760 1228955 )
NEW met2 ( 1537680 1231175 ) ( 1537680 1232655 )
NEW met1 ( 1537680 1232655 ) ( 1540080 1232655 )
NEW met1 ( 1540080 1232285 ) ( 1540080 1232655 )
NEW met1 ( 1540080 1232285 ) ( 1541040 1232285 )
NEW met1 ( 1541040 1231915 ) ( 1541040 1232285 )
NEW met1 ( 1541040 1231915 ) ( 1548240 1231915 )
NEW met2 ( 1548240 1224515 ) ( 1548240 1231915 )
NEW met1 ( 1440720 1231175 ) ( 1537680 1231175 )
NEW met1 ( 1548240 1224515 ) ( 1641360 1224515 )
NEW met1 ( 1238160 1225995 ) M1M2_PR
NEW met1 ( 1641360 1224515 ) M1M2_PR
NEW met2 ( 1641360 1225070 ) via2_FR
NEW met3 ( 1944480 1225070 ) M3M4_PR_M
NEW met3 ( 1944480 1219890 ) M3M4_PR_M
NEW met3 ( 2016480 1219890 ) M3M4_PR_M
NEW met3 ( 2016480 1333110 ) M3M4_PR_M
NEW met2 ( 2016240 1333110 ) via2_FR
NEW met1 ( 2016240 1334035 ) M1M2_PR
NEW met1 ( 1988880 1334035 ) M1M2_PR
NEW met1 ( 656400 1356605 ) M1M2_PR
NEW met1 ( 1059120 1225995 ) M1M2_PR
NEW met1 ( 1058640 1355865 ) M1M2_PR
NEW met2 ( 1238640 1225070 ) via2_FR
NEW met2 ( 1642320 1225070 ) via2_FR
NEW met2 ( 1643760 1222110 ) via2_FR
NEW met1 ( 1943760 1228955 ) M1M2_PR
NEW met2 ( 1943760 1225070 ) via2_FR
NEW met2 ( 1439760 1225070 ) via2_FR
NEW met1 ( 1440720 1231175 ) M1M2_PR
NEW met2 ( 1842960 1222110 ) via2_FR
NEW met1 ( 1842960 1228955 ) M1M2_PR
NEW met1 ( 1537680 1231175 ) M1M2_PR
NEW met1 ( 1537680 1232655 ) M1M2_PR
NEW met1 ( 1548240 1231915 ) M1M2_PR
NEW met1 ( 1548240 1224515 ) M1M2_PR
NEW met3 ( 2016480 1333110 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[16\] ( mprj wbs_adr_i[16] ) ( mgmt_buffers mprj_adr_o_user[16] )
+ ROUTED met2 ( 1137840 1222850 ) ( 1137840 1227845 )
NEW met2 ( 1639920 1223775 ) ( 1639920 1233950 )
NEW met2 ( 1842480 1222850 ) ( 1842480 1224515 )
NEW met4 ( 2003040 1333110 ) ( 2004960 1333110 )
NEW met3 ( 2002320 1333110 ) ( 2003040 1333110 )
NEW met2 ( 2001360 1333110 ) ( 2002320 1333110 )
NEW met2 ( 2001360 1332185 ) ( 2001360 1333110 )
NEW met1 ( 1995600 1332185 ) ( 2001360 1332185 )
NEW met2 ( 1995600 1330890 ) ( 1995600 1332185 )
NEW met2 ( 1993200 1330890 ) ( 1995600 1330890 )
NEW met2 ( 1993200 1325710 ) ( 1993200 1330890 )
NEW met2 ( 1992240 1325710 0 ) ( 1993200 1325710 )
NEW met2 ( 674160 1355125 ) ( 674160 1389350 0 )
NEW met1 ( 884880 1354015 ) ( 884880 1355495 )
NEW met3 ( 1060560 1222850 ) ( 1137840 1222850 )
NEW met2 ( 1240080 1224145 ) ( 1240080 1227845 )
NEW met1 ( 1137840 1227845 ) ( 1240080 1227845 )
NEW met2 ( 1642800 1224515 ) ( 1642800 1225810 )
NEW met2 ( 1641840 1225810 ) ( 1642800 1225810 )
NEW met2 ( 1641840 1225810 ) ( 1641840 1233950 )
NEW met3 ( 1639920 1233950 ) ( 1641840 1233950 )
NEW met1 ( 1642800 1224515 ) ( 1842480 1224515 )
NEW met2 ( 1844400 1222850 ) ( 1844400 1224145 )
NEW met3 ( 1842480 1222850 ) ( 1844400 1222850 )
NEW met2 ( 1060560 1222850 ) ( 1060560 1355495 )
NEW met2 ( 1461840 1224515 ) ( 1461840 1225070 )
NEW met2 ( 1942800 1223590 ) ( 1942800 1224145 )
NEW met3 ( 1942800 1223590 ) ( 2004000 1223590 )
NEW met4 ( 2004000 1223590 ) ( 2004960 1223590 )
NEW met1 ( 1844400 1224145 ) ( 1942800 1224145 )
NEW met4 ( 2004960 1223590 ) ( 2004960 1333110 )
NEW met1 ( 705840 1354015 ) ( 705840 1355125 )
NEW met1 ( 705840 1354015 ) ( 755760 1354015 )
NEW met2 ( 755760 1354015 ) ( 755760 1355495 )
NEW met1 ( 674160 1355125 ) ( 705840 1355125 )
NEW met1 ( 755760 1355495 ) ( 884880 1355495 )
NEW met1 ( 1341840 1224145 ) ( 1341840 1224515 )
NEW met1 ( 1240080 1224145 ) ( 1341840 1224145 )
NEW met1 ( 1341840 1224515 ) ( 1461840 1224515 )
NEW met2 ( 1545840 1223775 ) ( 1545840 1224515 )
NEW met1 ( 1539120 1224515 ) ( 1545840 1224515 )
NEW met2 ( 1539120 1224515 ) ( 1539120 1225070 )
NEW met3 ( 1461840 1225070 ) ( 1539120 1225070 )
NEW met1 ( 1545840 1223775 ) ( 1639920 1223775 )
NEW met2 ( 948240 1354015 ) ( 948240 1355495 )
NEW met1 ( 884880 1354015 ) ( 948240 1354015 )
NEW met1 ( 948240 1355495 ) ( 1060560 1355495 )
NEW met2 ( 1137840 1222850 ) via2_FR
NEW met1 ( 1137840 1227845 ) M1M2_PR
NEW met1 ( 1639920 1223775 ) M1M2_PR
NEW met2 ( 1639920 1233950 ) via2_FR
NEW met2 ( 1842480 1222850 ) via2_FR
NEW met1 ( 1842480 1224515 ) M1M2_PR
NEW met3 ( 2003040 1333110 ) M3M4_PR_M
NEW met2 ( 2002320 1333110 ) via2_FR
NEW met1 ( 2001360 1332185 ) M1M2_PR
NEW met1 ( 1995600 1332185 ) M1M2_PR
NEW met1 ( 674160 1355125 ) M1M2_PR
NEW met2 ( 1060560 1222850 ) via2_FR
NEW met1 ( 1060560 1355495 ) M1M2_PR
NEW met1 ( 1240080 1227845 ) M1M2_PR
NEW met1 ( 1240080 1224145 ) M1M2_PR
NEW met1 ( 1642800 1224515 ) M1M2_PR
NEW met2 ( 1641840 1233950 ) via2_FR
NEW met2 ( 1844400 1222850 ) via2_FR
NEW met1 ( 1844400 1224145 ) M1M2_PR
NEW met1 ( 1461840 1224515 ) M1M2_PR
NEW met2 ( 1461840 1225070 ) via2_FR
NEW met1 ( 1942800 1224145 ) M1M2_PR
NEW met2 ( 1942800 1223590 ) via2_FR
NEW met3 ( 2004000 1223590 ) M3M4_PR_M
NEW met1 ( 755760 1354015 ) M1M2_PR
NEW met1 ( 755760 1355495 ) M1M2_PR
NEW met1 ( 1545840 1223775 ) M1M2_PR
NEW met1 ( 1545840 1224515 ) M1M2_PR
NEW met1 ( 1539120 1224515 ) M1M2_PR
NEW met2 ( 1539120 1225070 ) via2_FR
NEW met1 ( 948240 1354015 ) M1M2_PR
NEW met1 ( 948240 1355495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[17\] ( mprj wbs_adr_i[17] ) ( mgmt_buffers mprj_adr_o_user[17] )
+ ROUTED met3 ( 939360 1236910 ) ( 939360 1237650 )
NEW met3 ( 1997520 1333110 ) ( 2000160 1333110 )
NEW met2 ( 1997520 1325710 ) ( 1997520 1333110 )
NEW met2 ( 1996320 1325710 0 ) ( 1997520 1325710 )
NEW met4 ( 2000160 1222850 ) ( 2000160 1333110 )
NEW met1 ( 691920 1364375 ) ( 704880 1364375 )
NEW met2 ( 691920 1364375 ) ( 691920 1389350 0 )
NEW met2 ( 704880 1237650 ) ( 704880 1364375 )
NEW met3 ( 704880 1237650 ) ( 939360 1237650 )
NEW met3 ( 1845600 1235430 ) ( 1845600 1236910 )
NEW met3 ( 1845600 1235430 ) ( 1846560 1235430 )
NEW met3 ( 1846560 1233950 ) ( 1846560 1235430 )
NEW met4 ( 1846560 1222850 ) ( 1846560 1233950 )
NEW met3 ( 939360 1236910 ) ( 1845600 1236910 )
NEW met3 ( 1846560 1222850 ) ( 2000160 1222850 )
NEW met2 ( 704880 1237650 ) via2_FR
NEW met3 ( 2000160 1222850 ) M3M4_PR_M
NEW met3 ( 2000160 1333110 ) M3M4_PR_M
NEW met2 ( 1997520 1333110 ) via2_FR
NEW met1 ( 704880 1364375 ) M1M2_PR
NEW met1 ( 691920 1364375 ) M1M2_PR
NEW met3 ( 1846560 1233950 ) M3M4_PR_M
NEW met3 ( 1846560 1222850 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[18\] ( mprj wbs_adr_i[18] ) ( mgmt_buffers mprj_adr_o_user[18] )
+ ROUTED met2 ( 784560 1236170 ) ( 784560 1238390 )
NEW met3 ( 719760 1238390 ) ( 784560 1238390 )
NEW met2 ( 938640 1225810 ) ( 940560 1225810 )
NEW met2 ( 940560 1225810 ) ( 940560 1236170 )
NEW met2 ( 940560 1236170 ) ( 941040 1236170 )
NEW met3 ( 2000880 1333110 ) ( 2001120 1333110 )
NEW met2 ( 2000880 1325710 0 ) ( 2000880 1333110 )
NEW met4 ( 2001120 1222110 ) ( 2001120 1333110 )
NEW met1 ( 710160 1364375 ) ( 719760 1364375 )
NEW met2 ( 710160 1364375 ) ( 710160 1389350 0 )
NEW met2 ( 719760 1238390 ) ( 719760 1364375 )
NEW met2 ( 858480 1225810 ) ( 858480 1236170 )
NEW met3 ( 784560 1236170 ) ( 858480 1236170 )
NEW met3 ( 858480 1225810 ) ( 938640 1225810 )
NEW met3 ( 1842720 1233950 ) ( 1842720 1236170 )
NEW met4 ( 1842720 1227105 ) ( 1842720 1233950 )
NEW met4 ( 1842720 1227105 ) ( 1843680 1227105 )
NEW met4 ( 1843680 1222110 ) ( 1843680 1227105 )
NEW met3 ( 941040 1236170 ) ( 1842720 1236170 )
NEW met3 ( 1843680 1222110 ) ( 2001120 1222110 )
NEW met2 ( 719760 1238390 ) via2_FR
NEW met2 ( 784560 1238390 ) via2_FR
NEW met2 ( 784560 1236170 ) via2_FR
NEW met2 ( 938640 1225810 ) via2_FR
NEW met2 ( 941040 1236170 ) via2_FR
NEW met3 ( 2001120 1222110 ) M3M4_PR_M
NEW met3 ( 2001120 1333110 ) M3M4_PR_M
NEW met2 ( 2000880 1333110 ) via2_FR
NEW met1 ( 719760 1364375 ) M1M2_PR
NEW met1 ( 710160 1364375 ) M1M2_PR
NEW met2 ( 858480 1236170 ) via2_FR
NEW met2 ( 858480 1225810 ) via2_FR
NEW met3 ( 1842720 1233950 ) M3M4_PR_M
NEW met3 ( 1843680 1222110 ) M3M4_PR_M
NEW met3 ( 2001120 1333110 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[19\] ( mprj wbs_adr_i[19] ) ( mgmt_buffers mprj_adr_o_user[19] )
+ ROUTED met3 ( 938400 1236170 ) ( 938400 1236910 )
NEW met3 ( 938400 1236170 ) ( 940320 1236170 )
NEW met3 ( 940320 1235430 ) ( 940320 1236170 )
NEW met3 ( 2006160 1333110 ) ( 2006880 1333110 )
NEW met2 ( 2006160 1325710 ) ( 2006160 1333110 )
NEW met2 ( 2004960 1325710 0 ) ( 2006160 1325710 )
NEW met4 ( 2006880 1235430 ) ( 2006880 1333110 )
NEW met1 ( 727920 1360305 ) ( 733680 1360305 )
NEW met2 ( 727920 1360305 ) ( 727920 1389350 0 )
NEW met2 ( 733680 1236910 ) ( 733680 1360305 )
NEW met3 ( 733680 1236910 ) ( 938400 1236910 )
NEW met3 ( 1840800 1233950 ) ( 1840800 1235430 )
NEW met3 ( 1840080 1233950 ) ( 1840800 1233950 )
NEW met2 ( 1840080 1230805 ) ( 1840080 1233950 )
NEW met1 ( 1840080 1230805 ) ( 1851120 1230805 )
NEW met2 ( 1851120 1230805 ) ( 1851120 1233950 )
NEW met3 ( 1851120 1233950 ) ( 1852320 1233950 )
NEW met3 ( 1852320 1233950 ) ( 1852320 1235430 )
NEW met3 ( 940320 1235430 ) ( 1840800 1235430 )
NEW met3 ( 1852320 1235430 ) ( 2006880 1235430 )
NEW met2 ( 733680 1236910 ) via2_FR
NEW met3 ( 2006880 1235430 ) M3M4_PR_M
NEW met3 ( 2006880 1333110 ) M3M4_PR_M
NEW met2 ( 2006160 1333110 ) via2_FR
NEW met1 ( 733680 1360305 ) M1M2_PR
NEW met1 ( 727920 1360305 ) M1M2_PR
NEW met2 ( 1840080 1233950 ) via2_FR
NEW met1 ( 1840080 1230805 ) M1M2_PR
NEW met1 ( 1851120 1230805 ) M1M2_PR
NEW met2 ( 1851120 1233950 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[1\] ( mprj wbs_adr_i[1] ) ( mgmt_buffers mprj_adr_o_user[1] )
+ ROUTED met2 ( 389040 1347910 ) ( 389040 1389350 0 )
NEW met2 ( 426480 1347725 ) ( 426480 1347910 )
NEW met1 ( 426480 1347725 ) ( 432720 1347725 )
NEW met2 ( 432720 1347170 ) ( 432720 1347725 )
NEW met3 ( 389040 1347910 ) ( 426480 1347910 )
NEW met4 ( 1713120 1348650 ) ( 1713120 1350315 )
NEW met2 ( 1842960 1347910 ) ( 1842960 1348650 )
NEW met2 ( 1842960 1347910 ) ( 1844400 1347910 )
NEW met2 ( 1844400 1347910 ) ( 1844400 1351425 )
NEW met2 ( 1844400 1351425 ) ( 1845360 1351425 )
NEW met3 ( 1713120 1348650 ) ( 1842960 1348650 )
NEW met4 ( 532320 1346985 ) ( 532320 1347170 )
NEW met4 ( 532320 1346985 ) ( 533280 1346985 )
NEW met4 ( 533280 1346985 ) ( 533280 1347170 )
NEW met3 ( 432720 1347170 ) ( 532320 1347170 )
NEW met2 ( 705840 1347910 ) ( 705840 1348650 )
NEW met2 ( 1918800 1325710 ) ( 1920480 1325710 0 )
NEW met2 ( 1918800 1325710 ) ( 1918800 1327930 )
NEW met2 ( 1918320 1327930 ) ( 1918800 1327930 )
NEW met2 ( 1918320 1327930 ) ( 1918320 1351425 )
NEW met1 ( 1845360 1351425 ) ( 1918320 1351425 )
NEW met2 ( 590640 1347170 ) ( 590640 1349390 )
NEW met3 ( 590640 1349390 ) ( 690720 1349390 )
NEW met4 ( 690720 1347910 ) ( 690720 1349390 )
NEW met3 ( 533280 1347170 ) ( 590640 1347170 )
NEW met3 ( 690720 1347910 ) ( 705840 1347910 )
NEW met3 ( 805920 1348650 ) ( 805920 1349390 )
NEW met3 ( 805920 1349390 ) ( 806880 1349390 )
NEW met3 ( 806880 1348650 ) ( 806880 1349390 )
NEW met3 ( 705840 1348650 ) ( 805920 1348650 )
NEW met4 ( 1615200 1348650 ) ( 1615200 1350315 )
NEW met5 ( 1615200 1350315 ) ( 1713120 1350315 )
NEW met2 ( 893040 1348650 ) ( 893040 1350870 )
NEW met3 ( 806880 1348650 ) ( 893040 1348650 )
NEW met2 ( 1497600 1349390 ) ( 1497600 1350130 )
NEW met2 ( 1497600 1350130 ) ( 1498800 1350130 )
NEW met2 ( 1498800 1348650 ) ( 1498800 1350130 )
NEW met3 ( 1498800 1348650 ) ( 1615200 1348650 )
NEW met2 ( 979440 1350870 ) ( 979440 1351610 )
NEW met2 ( 979440 1351610 ) ( 979920 1351610 )
NEW met2 ( 979920 1350130 ) ( 979920 1351610 )
NEW met3 ( 979920 1350130 ) ( 995040 1350130 )
NEW met3 ( 995040 1349390 ) ( 995040 1350130 )
NEW met3 ( 893040 1350870 ) ( 979440 1350870 )
NEW met2 ( 1382640 1349390 ) ( 1383120 1349390 )
NEW met2 ( 1383120 1349390 ) ( 1383120 1350870 )
NEW met3 ( 1383120 1350870 ) ( 1482960 1350870 )
NEW met2 ( 1482960 1349390 ) ( 1482960 1350870 )
NEW met3 ( 1482960 1349390 ) ( 1497600 1349390 )
NEW met2 ( 1080240 1349390 ) ( 1080240 1349575 )
NEW met3 ( 995040 1349390 ) ( 1080240 1349390 )
NEW met3 ( 1281840 1347170 ) ( 1281840 1347910 )
NEW met2 ( 1281840 1347170 ) ( 1282320 1347170 )
NEW met2 ( 1282320 1347170 ) ( 1282320 1353090 )
NEW met3 ( 1282320 1353090 ) ( 1381680 1353090 )
NEW met2 ( 1381680 1349390 ) ( 1381680 1353090 )
NEW met3 ( 1381680 1349390 ) ( 1382640 1349390 )
NEW met3 ( 1266960 1347910 ) ( 1266960 1348650 )
NEW met2 ( 1266960 1348650 ) ( 1266960 1349390 )
NEW met3 ( 1216560 1349390 ) ( 1266960 1349390 )
NEW met2 ( 1216560 1349390 ) ( 1216560 1349575 )
NEW met1 ( 1080240 1349575 ) ( 1216560 1349575 )
NEW met3 ( 1266960 1347910 ) ( 1281840 1347910 )
NEW met2 ( 389040 1347910 ) via2_FR
NEW met2 ( 426480 1347910 ) via2_FR
NEW met1 ( 426480 1347725 ) M1M2_PR
NEW met1 ( 432720 1347725 ) M1M2_PR
NEW met2 ( 432720 1347170 ) via2_FR
NEW met4 ( 1713120 1350315 ) via4_FR
NEW met3 ( 1713120 1348650 ) M3M4_PR_M
NEW met2 ( 1842960 1348650 ) via2_FR
NEW met1 ( 1845360 1351425 ) M1M2_PR
NEW met3 ( 532320 1347170 ) M3M4_PR_M
NEW met3 ( 533280 1347170 ) M3M4_PR_M
NEW met2 ( 705840 1347910 ) via2_FR
NEW met2 ( 705840 1348650 ) via2_FR
NEW met1 ( 1918320 1351425 ) M1M2_PR
NEW met2 ( 590640 1347170 ) via2_FR
NEW met2 ( 590640 1349390 ) via2_FR
NEW met3 ( 690720 1349390 ) M3M4_PR_M
NEW met3 ( 690720 1347910 ) M3M4_PR_M
NEW met3 ( 1615200 1348650 ) M3M4_PR_M
NEW met4 ( 1615200 1350315 ) via4_FR
NEW met2 ( 893040 1348650 ) via2_FR
NEW met2 ( 893040 1350870 ) via2_FR
NEW met2 ( 1497600 1349390 ) via2_FR
NEW met2 ( 1498800 1348650 ) via2_FR
NEW met2 ( 979440 1350870 ) via2_FR
NEW met2 ( 979920 1350130 ) via2_FR
NEW met2 ( 1382640 1349390 ) via2_FR
NEW met2 ( 1383120 1350870 ) via2_FR
NEW met2 ( 1482960 1350870 ) via2_FR
NEW met2 ( 1482960 1349390 ) via2_FR
NEW met2 ( 1080240 1349390 ) via2_FR
NEW met1 ( 1080240 1349575 ) M1M2_PR
NEW met2 ( 1281840 1347170 ) via2_FR
NEW met2 ( 1282320 1353090 ) via2_FR
NEW met2 ( 1381680 1353090 ) via2_FR
NEW met2 ( 1381680 1349390 ) via2_FR
NEW met2 ( 1266960 1348650 ) via2_FR
NEW met2 ( 1266960 1349390 ) via2_FR
NEW met2 ( 1216560 1349390 ) via2_FR
NEW met1 ( 1216560 1349575 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[20\] ( mprj wbs_adr_i[20] ) ( mgmt_buffers mprj_adr_o_user[20] )
+ ROUTED met2 ( 745680 1389350 0 ) ( 748080 1389350 )
NEW met2 ( 748080 1235430 ) ( 748080 1389350 )
NEW met4 ( 2003040 1221370 ) ( 2003040 1227105 )
NEW met4 ( 2003040 1227105 ) ( 2004000 1227105 )
NEW met2 ( 939600 1234875 ) ( 939600 1235430 )
NEW met1 ( 939600 1234875 ) ( 1007760 1234875 )
NEW met2 ( 1007760 1234690 ) ( 1007760 1234875 )
NEW met3 ( 748080 1235430 ) ( 939600 1235430 )
NEW met3 ( 2004000 1324785 ) ( 2008080 1324785 )
NEW met2 ( 2008080 1324785 ) ( 2008080 1324970 )
NEW met2 ( 2008080 1324970 ) ( 2009520 1324970 0 )
NEW met4 ( 2004000 1227105 ) ( 2004000 1324785 )
NEW met3 ( 1838880 1233950 ) ( 1838880 1234690 )
NEW met4 ( 1838880 1221370 ) ( 1838880 1233950 )
NEW met3 ( 1007760 1234690 ) ( 1838880 1234690 )
NEW met3 ( 1838880 1221370 ) ( 2003040 1221370 )
NEW met2 ( 748080 1235430 ) via2_FR
NEW met3 ( 2003040 1221370 ) M3M4_PR_M
NEW met2 ( 939600 1235430 ) via2_FR
NEW met1 ( 939600 1234875 ) M1M2_PR
NEW met1 ( 1007760 1234875 ) M1M2_PR
NEW met2 ( 1007760 1234690 ) via2_FR
NEW met3 ( 2004000 1324785 ) M3M4_PR_M
NEW met2 ( 2008080 1324785 ) via2_FR
NEW met3 ( 1838880 1233950 ) M3M4_PR_M
NEW met3 ( 1838880 1221370 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[21\] ( mprj wbs_adr_i[21] ) ( mgmt_buffers mprj_adr_o_user[21] )
+ ROUTED met1 ( 763440 1365485 ) ( 776880 1365485 )
NEW met2 ( 763440 1365485 ) ( 763440 1389350 0 )
NEW met2 ( 776880 1234690 ) ( 776880 1365485 )
NEW met2 ( 938640 1233210 ) ( 938640 1234690 )
NEW met2 ( 938640 1233210 ) ( 940080 1233210 )
NEW met2 ( 1743120 1233765 ) ( 1743120 1233950 )
NEW met3 ( 2007840 1333110 ) ( 2012400 1333110 )
NEW met2 ( 2012400 1325710 ) ( 2012400 1333110 )
NEW met2 ( 2012400 1325710 ) ( 2013840 1325710 0 )
NEW met3 ( 776880 1234690 ) ( 938640 1234690 )
NEW met2 ( 1740720 1233765 ) ( 1740720 1233950 )
NEW met1 ( 1740720 1233765 ) ( 1743120 1233765 )
NEW met2 ( 1058160 1233210 ) ( 1058160 1234135 )
NEW met1 ( 1058160 1234135 ) ( 1064400 1234135 )
NEW met1 ( 1064400 1233765 ) ( 1064400 1234135 )
NEW met3 ( 940080 1233210 ) ( 1058160 1233210 )
NEW met2 ( 1459920 1233025 ) ( 1459920 1233950 )
NEW met1 ( 1915920 1230805 ) ( 1915920 1231545 )
NEW met2 ( 1915920 1229325 ) ( 1915920 1230805 )
NEW met1 ( 1915920 1229325 ) ( 2007600 1229325 )
NEW met2 ( 2007600 1225070 ) ( 2007600 1229325 )
NEW met3 ( 2007600 1225070 ) ( 2009760 1225070 )
NEW met4 ( 2009760 1225070 ) ( 2009760 1237095 )
NEW met4 ( 2007840 1237095 ) ( 2009760 1237095 )
NEW met4 ( 2007840 1237095 ) ( 2007840 1333110 )
NEW met2 ( 1838160 1231545 ) ( 1838160 1233950 )
NEW met3 ( 1802400 1233950 ) ( 1838160 1233950 )
NEW met4 ( 1802400 1233765 ) ( 1802400 1233950 )
NEW met4 ( 1800480 1233765 ) ( 1802400 1233765 )
NEW met4 ( 1800480 1233765 ) ( 1800480 1233950 )
NEW met3 ( 1743120 1233950 ) ( 1800480 1233950 )
NEW met1 ( 1838160 1231545 ) ( 1915920 1231545 )
NEW met2 ( 1333200 1231915 ) ( 1333200 1233395 )
NEW met1 ( 1333200 1231915 ) ( 1338960 1231915 )
NEW met2 ( 1338960 1231730 ) ( 1338960 1231915 )
NEW met2 ( 1338960 1231730 ) ( 1340400 1231730 )
NEW met2 ( 1340400 1231730 ) ( 1340400 1233950 )
NEW met2 ( 1339920 1233950 ) ( 1340400 1233950 )
NEW met3 ( 1339920 1233950 ) ( 1459920 1233950 )
NEW met2 ( 1642800 1233395 ) ( 1642800 1233950 )
NEW met3 ( 1642800 1233950 ) ( 1740720 1233950 )
NEW met2 ( 1108080 1232655 ) ( 1108080 1233765 )
NEW met1 ( 1108080 1232655 ) ( 1148400 1232655 )
NEW met2 ( 1148400 1232655 ) ( 1148400 1233765 )
NEW met1 ( 1064400 1233765 ) ( 1108080 1233765 )
NEW met1 ( 1528080 1233025 ) ( 1528080 1233395 )
NEW met1 ( 1459920 1233025 ) ( 1528080 1233025 )
NEW met1 ( 1528080 1233395 ) ( 1642800 1233395 )
NEW met2 ( 1215600 1230805 ) ( 1215600 1233765 )
NEW met1 ( 1215600 1230805 ) ( 1241520 1230805 )
NEW met2 ( 1241520 1230805 ) ( 1241520 1233395 )
NEW met1 ( 1148400 1233765 ) ( 1215600 1233765 )
NEW met1 ( 1241520 1233395 ) ( 1333200 1233395 )
NEW met2 ( 776880 1234690 ) via2_FR
NEW met1 ( 776880 1365485 ) M1M2_PR
NEW met1 ( 763440 1365485 ) M1M2_PR
NEW met2 ( 938640 1234690 ) via2_FR
NEW met2 ( 940080 1233210 ) via2_FR
NEW met1 ( 1743120 1233765 ) M1M2_PR
NEW met2 ( 1743120 1233950 ) via2_FR
NEW met3 ( 2007840 1333110 ) M3M4_PR_M
NEW met2 ( 2012400 1333110 ) via2_FR
NEW met2 ( 1740720 1233950 ) via2_FR
NEW met1 ( 1740720 1233765 ) M1M2_PR
NEW met2 ( 1058160 1233210 ) via2_FR
NEW met1 ( 1058160 1234135 ) M1M2_PR
NEW met1 ( 1459920 1233025 ) M1M2_PR
NEW met2 ( 1459920 1233950 ) via2_FR
NEW met1 ( 1915920 1230805 ) M1M2_PR
NEW met1 ( 1915920 1229325 ) M1M2_PR
NEW met1 ( 2007600 1229325 ) M1M2_PR
NEW met2 ( 2007600 1225070 ) via2_FR
NEW met3 ( 2009760 1225070 ) M3M4_PR_M
NEW met1 ( 1838160 1231545 ) M1M2_PR
NEW met2 ( 1838160 1233950 ) via2_FR
NEW met3 ( 1802400 1233950 ) M3M4_PR_M
NEW met3 ( 1800480 1233950 ) M3M4_PR_M
NEW met1 ( 1333200 1233395 ) M1M2_PR
NEW met1 ( 1333200 1231915 ) M1M2_PR
NEW met1 ( 1338960 1231915 ) M1M2_PR
NEW met2 ( 1339920 1233950 ) via2_FR
NEW met1 ( 1642800 1233395 ) M1M2_PR
NEW met2 ( 1642800 1233950 ) via2_FR
NEW met1 ( 1108080 1233765 ) M1M2_PR
NEW met1 ( 1108080 1232655 ) M1M2_PR
NEW met1 ( 1148400 1232655 ) M1M2_PR
NEW met1 ( 1148400 1233765 ) M1M2_PR
NEW met1 ( 1215600 1233765 ) M1M2_PR
NEW met1 ( 1215600 1230805 ) M1M2_PR
NEW met1 ( 1241520 1230805 ) M1M2_PR
NEW met1 ( 1241520 1233395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[22\] ( mprj wbs_adr_i[22] ) ( mgmt_buffers mprj_adr_o_user[22] )
+ ROUTED met1 ( 781200 1365485 ) ( 791760 1365485 )
NEW met2 ( 781200 1365485 ) ( 781200 1389350 0 )
NEW met2 ( 791760 1226550 ) ( 791760 1365485 )
NEW met2 ( 1139760 1225255 ) ( 1139760 1233950 )
NEW met3 ( 1139760 1233950 ) ( 1232400 1233950 )
NEW met2 ( 1232400 1225255 ) ( 1232400 1233950 )
NEW met2 ( 1339440 1223590 ) ( 1339440 1223775 )
NEW met2 ( 1339440 1223590 ) ( 1339920 1223590 )
NEW met2 ( 1339920 1220815 ) ( 1339920 1223590 )
NEW met1 ( 1842960 1224145 ) ( 1842960 1224515 )
NEW met2 ( 2022000 1223405 ) ( 2022000 1223590 )
NEW met3 ( 2022000 1223590 ) ( 2023200 1223590 )
NEW met3 ( 2019600 1333110 ) ( 2023200 1333110 )
NEW met2 ( 2019600 1325710 ) ( 2019600 1333110 )
NEW met2 ( 2018400 1325710 0 ) ( 2019600 1325710 )
NEW met4 ( 2023200 1223590 ) ( 2023200 1333110 )
NEW met2 ( 934320 1225070 ) ( 934320 1227290 )
NEW met2 ( 934320 1225070 ) ( 935280 1225070 )
NEW met2 ( 1135440 1225070 ) ( 1135440 1225255 )
NEW met3 ( 935280 1225070 ) ( 1135440 1225070 )
NEW met1 ( 1135440 1225255 ) ( 1139760 1225255 )
NEW met2 ( 1240560 1223775 ) ( 1240560 1225255 )
NEW met1 ( 1232400 1225255 ) ( 1240560 1225255 )
NEW met1 ( 1240560 1223775 ) ( 1339440 1223775 )
NEW met2 ( 1489680 1220815 ) ( 1489680 1224330 )
NEW met2 ( 1489680 1224330 ) ( 1490640 1224330 )
NEW met2 ( 1490640 1223775 ) ( 1490640 1224330 )
NEW met1 ( 1339920 1220815 ) ( 1489680 1220815 )
NEW met2 ( 1643280 1224145 ) ( 1643280 1225070 )
NEW met3 ( 1643280 1225070 ) ( 1741200 1225070 )
NEW met2 ( 1741200 1224145 ) ( 1741200 1225070 )
NEW met1 ( 1741200 1224145 ) ( 1842960 1224145 )
NEW met2 ( 843120 1226550 ) ( 843120 1226735 )
NEW met1 ( 843120 1226735 ) ( 906480 1226735 )
NEW met2 ( 906480 1226735 ) ( 906480 1227290 )
NEW met3 ( 791760 1226550 ) ( 843120 1226550 )
NEW met3 ( 906480 1227290 ) ( 934320 1227290 )
NEW met2 ( 1949040 1223405 ) ( 1949040 1224515 )
NEW met1 ( 1842960 1224515 ) ( 1949040 1224515 )
NEW met1 ( 1949040 1223405 ) ( 2022000 1223405 )
NEW met1 ( 1545360 1223775 ) ( 1545360 1224145 )
NEW met1 ( 1490640 1223775 ) ( 1545360 1223775 )
NEW met1 ( 1545360 1224145 ) ( 1643280 1224145 )
NEW met2 ( 791760 1226550 ) via2_FR
NEW met1 ( 791760 1365485 ) M1M2_PR
NEW met1 ( 781200 1365485 ) M1M2_PR
NEW met1 ( 1139760 1225255 ) M1M2_PR
NEW met2 ( 1139760 1233950 ) via2_FR
NEW met2 ( 1232400 1233950 ) via2_FR
NEW met1 ( 1232400 1225255 ) M1M2_PR
NEW met1 ( 1339440 1223775 ) M1M2_PR
NEW met1 ( 1339920 1220815 ) M1M2_PR
NEW met1 ( 2022000 1223405 ) M1M2_PR
NEW met2 ( 2022000 1223590 ) via2_FR
NEW met3 ( 2023200 1223590 ) M3M4_PR_M
NEW met3 ( 2023200 1333110 ) M3M4_PR_M
NEW met2 ( 2019600 1333110 ) via2_FR
NEW met2 ( 934320 1227290 ) via2_FR
NEW met2 ( 935280 1225070 ) via2_FR
NEW met2 ( 1135440 1225070 ) via2_FR
NEW met1 ( 1135440 1225255 ) M1M2_PR
NEW met1 ( 1240560 1225255 ) M1M2_PR
NEW met1 ( 1240560 1223775 ) M1M2_PR
NEW met1 ( 1489680 1220815 ) M1M2_PR
NEW met1 ( 1490640 1223775 ) M1M2_PR
NEW met1 ( 1643280 1224145 ) M1M2_PR
NEW met2 ( 1643280 1225070 ) via2_FR
NEW met2 ( 1741200 1225070 ) via2_FR
NEW met1 ( 1741200 1224145 ) M1M2_PR
NEW met2 ( 843120 1226550 ) via2_FR
NEW met1 ( 843120 1226735 ) M1M2_PR
NEW met1 ( 906480 1226735 ) M1M2_PR
NEW met2 ( 906480 1227290 ) via2_FR
NEW met1 ( 1949040 1224515 ) M1M2_PR
NEW met1 ( 1949040 1223405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[23\] ( mprj wbs_adr_i[23] ) ( mgmt_buffers mprj_adr_o_user[23] )
+ ROUTED met3 ( 986400 1237650 ) ( 986400 1238390 )
NEW met3 ( 2023920 1333110 ) ( 2024160 1333110 )
NEW met2 ( 2023920 1325710 ) ( 2023920 1333110 )
NEW met2 ( 2022480 1325710 0 ) ( 2023920 1325710 )
NEW met4 ( 2024160 1237650 ) ( 2024160 1333110 )
NEW met3 ( 805680 1238390 ) ( 986400 1238390 )
NEW met3 ( 986400 1237650 ) ( 2024160 1237650 )
NEW met1 ( 799440 1349945 ) ( 805680 1349945 )
NEW met2 ( 799440 1349945 ) ( 799440 1389350 0 )
NEW met2 ( 805680 1238390 ) ( 805680 1349945 )
NEW met2 ( 805680 1238390 ) via2_FR
NEW met3 ( 2024160 1237650 ) M3M4_PR_M
NEW met3 ( 2024160 1333110 ) M3M4_PR_M
NEW met2 ( 2023920 1333110 ) via2_FR
NEW met1 ( 805680 1349945 ) M1M2_PR
NEW met1 ( 799440 1349945 ) M1M2_PR
NEW met3 ( 2024160 1333110 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[24\] ( mprj wbs_adr_i[24] ) ( mgmt_buffers mprj_adr_o_user[24] )
+ ROUTED met2 ( 817200 1389350 0 ) ( 820080 1389350 )
NEW met2 ( 820080 1229510 ) ( 820080 1389350 )
NEW met3 ( 939360 1233210 ) ( 939360 1233950 )
NEW met4 ( 1236960 1223590 ) ( 1236960 1227105 )
NEW met4 ( 1236960 1227105 ) ( 1237920 1227105 )
NEW met4 ( 1237920 1227105 ) ( 1237920 1233950 )
NEW met4 ( 2022240 1336995 ) ( 2026080 1336995 )
NEW met4 ( 2026080 1333110 ) ( 2026080 1336995 )
NEW met3 ( 2026080 1333110 ) ( 2026320 1333110 )
NEW met2 ( 2026320 1325710 ) ( 2026320 1333110 )
NEW met2 ( 2026320 1325710 ) ( 2027040 1325710 0 )
NEW met4 ( 2022240 1234690 ) ( 2022240 1336995 )
NEW met2 ( 885360 1229510 ) ( 885360 1233210 )
NEW met3 ( 820080 1229510 ) ( 885360 1229510 )
NEW met3 ( 885360 1233210 ) ( 939360 1233210 )
NEW met2 ( 1239120 1233025 ) ( 1239120 1233950 )
NEW met3 ( 1237920 1233950 ) ( 1239120 1233950 )
NEW met2 ( 1440240 1230805 ) ( 1440240 1232285 )
NEW met1 ( 1915440 1230805 ) ( 1915440 1231175 )
NEW met2 ( 1915440 1228585 ) ( 1915440 1230805 )
NEW met1 ( 1915440 1228585 ) ( 1964880 1228585 )
NEW met2 ( 1964880 1228585 ) ( 1964880 1233950 )
NEW met3 ( 1964880 1233950 ) ( 1965600 1233950 )
NEW met3 ( 1965600 1233950 ) ( 1965600 1234690 )
NEW met3 ( 1965600 1234690 ) ( 2022240 1234690 )
NEW met1 ( 1837680 1231175 ) ( 1837680 1231545 )
NEW met1 ( 1837680 1231175 ) ( 1915440 1231175 )
NEW met1 ( 1338960 1232655 ) ( 1338960 1233025 )
NEW met1 ( 1338960 1232655 ) ( 1339440 1232655 )
NEW met2 ( 1339440 1232470 ) ( 1339440 1232655 )
NEW met2 ( 1339440 1232470 ) ( 1339920 1232470 )
NEW met2 ( 1339920 1232285 ) ( 1339920 1232470 )
NEW met1 ( 1239120 1233025 ) ( 1338960 1233025 )
NEW met1 ( 1339920 1232285 ) ( 1440240 1232285 )
NEW met2 ( 1539600 1230805 ) ( 1539600 1231175 )
NEW met2 ( 1539600 1231175 ) ( 1541040 1231175 )
NEW met1 ( 1541040 1231175 ) ( 1548720 1231175 )
NEW met1 ( 1548720 1230805 ) ( 1548720 1231175 )
NEW met1 ( 1440240 1230805 ) ( 1539600 1230805 )
NEW met2 ( 1700880 1230805 ) ( 1700880 1233025 )
NEW met1 ( 1700880 1233025 ) ( 1750800 1233025 )
NEW met2 ( 1750800 1231545 ) ( 1750800 1233025 )
NEW met1 ( 1548720 1230805 ) ( 1700880 1230805 )
NEW met1 ( 1750800 1231545 ) ( 1837680 1231545 )
NEW met2 ( 1084560 1228585 ) ( 1084560 1233950 )
NEW met1 ( 1084560 1228585 ) ( 1141200 1228585 )
NEW met2 ( 1141200 1223590 ) ( 1141200 1228585 )
NEW met3 ( 939360 1233950 ) ( 1084560 1233950 )
NEW met3 ( 1141200 1223590 ) ( 1236960 1223590 )
NEW met2 ( 820080 1229510 ) via2_FR
NEW met3 ( 1236960 1223590 ) M3M4_PR_M
NEW met3 ( 1237920 1233950 ) M3M4_PR_M
NEW met3 ( 2022240 1234690 ) M3M4_PR_M
NEW met3 ( 2026080 1333110 ) M3M4_PR_M
NEW met2 ( 2026320 1333110 ) via2_FR
NEW met2 ( 885360 1229510 ) via2_FR
NEW met2 ( 885360 1233210 ) via2_FR
NEW met1 ( 1239120 1233025 ) M1M2_PR
NEW met2 ( 1239120 1233950 ) via2_FR
NEW met1 ( 1440240 1232285 ) M1M2_PR
NEW met1 ( 1440240 1230805 ) M1M2_PR
NEW met1 ( 1915440 1230805 ) M1M2_PR
NEW met1 ( 1915440 1228585 ) M1M2_PR
NEW met1 ( 1964880 1228585 ) M1M2_PR
NEW met2 ( 1964880 1233950 ) via2_FR
NEW met1 ( 1339440 1232655 ) M1M2_PR
NEW met1 ( 1339920 1232285 ) M1M2_PR
NEW met1 ( 1539600 1230805 ) M1M2_PR
NEW met1 ( 1541040 1231175 ) M1M2_PR
NEW met1 ( 1700880 1230805 ) M1M2_PR
NEW met1 ( 1700880 1233025 ) M1M2_PR
NEW met1 ( 1750800 1233025 ) M1M2_PR
NEW met1 ( 1750800 1231545 ) M1M2_PR
NEW met2 ( 1084560 1233950 ) via2_FR
NEW met1 ( 1084560 1228585 ) M1M2_PR
NEW met1 ( 1141200 1228585 ) M1M2_PR
NEW met2 ( 1141200 1223590 ) via2_FR
NEW met3 ( 2026080 1333110 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[25\] ( mprj wbs_adr_i[25] ) ( mgmt_buffers mprj_adr_o_user[25] )
+ ROUTED met2 ( 834480 1230250 ) ( 834480 1389350 0 )
NEW met3 ( 938400 1230990 ) ( 938400 1231730 )
NEW met2 ( 1945200 1225070 ) ( 1945200 1233395 )
NEW met3 ( 1945200 1225070 ) ( 2006880 1225070 )
NEW met4 ( 2006880 1225070 ) ( 2007840 1225070 )
NEW met4 ( 2007840 1225070 ) ( 2007840 1235430 )
NEW met3 ( 2030880 1333110 ) ( 2031120 1333110 )
NEW met2 ( 2031120 1325710 ) ( 2031120 1333110 )
NEW met2 ( 2031120 1325710 ) ( 2031360 1325710 0 )
NEW met3 ( 885600 1230250 ) ( 885600 1230990 )
NEW met3 ( 834480 1230250 ) ( 885600 1230250 )
NEW met3 ( 885600 1230990 ) ( 938400 1230990 )
NEW met2 ( 1057200 1223590 ) ( 1057200 1231730 )
NEW met3 ( 938400 1231730 ) ( 1057200 1231730 )
NEW met2 ( 1941360 1233395 ) ( 1941360 1233950 )
NEW met1 ( 1941360 1233395 ) ( 1945200 1233395 )
NEW met3 ( 2007840 1235430 ) ( 2030880 1235430 )
NEW met4 ( 2030880 1235430 ) ( 2030880 1333110 )
NEW met2 ( 1886160 1233395 ) ( 1886160 1233950 )
NEW met3 ( 1886160 1233950 ) ( 1941360 1233950 )
NEW met2 ( 1555920 1233765 ) ( 1555920 1233950 )
NEW met1 ( 1555920 1233765 ) ( 1555920 1235245 )
NEW met2 ( 1761840 1231175 ) ( 1761840 1233395 )
NEW met1 ( 1761840 1233395 ) ( 1886160 1233395 )
NEW met1 ( 1645200 1231175 ) ( 1645200 1231545 )
NEW met1 ( 1635600 1231545 ) ( 1645200 1231545 )
NEW met2 ( 1635600 1231545 ) ( 1635600 1233950 )
NEW met3 ( 1555920 1233950 ) ( 1635600 1233950 )
NEW met1 ( 1645200 1231175 ) ( 1761840 1231175 )
NEW met2 ( 1132080 1223590 ) ( 1132080 1233395 )
NEW met3 ( 1057200 1223590 ) ( 1132080 1223590 )
NEW met2 ( 1217040 1228585 ) ( 1217040 1233395 )
NEW met1 ( 1132080 1233395 ) ( 1217040 1233395 )
NEW met2 ( 1270320 1228585 ) ( 1270320 1233765 )
NEW met1 ( 1270320 1233765 ) ( 1333680 1233765 )
NEW met1 ( 1333680 1233395 ) ( 1333680 1233765 )
NEW met1 ( 1217040 1228585 ) ( 1270320 1228585 )
NEW met1 ( 1443120 1233395 ) ( 1443120 1234505 )
NEW met1 ( 1443120 1234505 ) ( 1448880 1234505 )
NEW met1 ( 1448880 1234135 ) ( 1448880 1234505 )
NEW met1 ( 1448880 1234135 ) ( 1449840 1234135 )
NEW met1 ( 1449840 1234135 ) ( 1449840 1235245 )
NEW met1 ( 1333680 1233395 ) ( 1443120 1233395 )
NEW met1 ( 1449840 1235245 ) ( 1555920 1235245 )
NEW met2 ( 834480 1230250 ) via2_FR
NEW met1 ( 1945200 1233395 ) M1M2_PR
NEW met2 ( 1945200 1225070 ) via2_FR
NEW met3 ( 2006880 1225070 ) M3M4_PR_M
NEW met3 ( 2007840 1235430 ) M3M4_PR_M
NEW met3 ( 2030880 1333110 ) M3M4_PR_M
NEW met2 ( 2031120 1333110 ) via2_FR
NEW met2 ( 1057200 1231730 ) via2_FR
NEW met2 ( 1057200 1223590 ) via2_FR
NEW met2 ( 1941360 1233950 ) via2_FR
NEW met1 ( 1941360 1233395 ) M1M2_PR
NEW met3 ( 2030880 1235430 ) M3M4_PR_M
NEW met1 ( 1886160 1233395 ) M1M2_PR
NEW met2 ( 1886160 1233950 ) via2_FR
NEW met2 ( 1555920 1233950 ) via2_FR
NEW met1 ( 1555920 1233765 ) M1M2_PR
NEW met1 ( 1761840 1231175 ) M1M2_PR
NEW met1 ( 1761840 1233395 ) M1M2_PR
NEW met1 ( 1635600 1231545 ) M1M2_PR
NEW met2 ( 1635600 1233950 ) via2_FR
NEW met2 ( 1132080 1223590 ) via2_FR
NEW met1 ( 1132080 1233395 ) M1M2_PR
NEW met1 ( 1217040 1233395 ) M1M2_PR
NEW met1 ( 1217040 1228585 ) M1M2_PR
NEW met1 ( 1270320 1228585 ) M1M2_PR
NEW met1 ( 1270320 1233765 ) M1M2_PR
NEW met3 ( 2030880 1333110 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[26\] ( mprj wbs_adr_i[26] ) ( mgmt_buffers mprj_adr_o_user[26] )
+ ROUTED met3 ( 939360 1230250 ) ( 939360 1230990 )
NEW met2 ( 1547280 1225070 ) ( 1547280 1233025 )
NEW met3 ( 2035680 1333110 ) ( 2035920 1333110 )
NEW met2 ( 2035920 1325710 0 ) ( 2035920 1333110 )
NEW met4 ( 2035680 1236170 ) ( 2035680 1333110 )
NEW met3 ( 863760 1228770 ) ( 886560 1228770 )
NEW met3 ( 886560 1228770 ) ( 886560 1230250 )
NEW met3 ( 886560 1230250 ) ( 939360 1230250 )
NEW met1 ( 852720 1360305 ) ( 863760 1360305 )
NEW met2 ( 852720 1360305 ) ( 852720 1389350 0 )
NEW met2 ( 863760 1228770 ) ( 863760 1360305 )
NEW met2 ( 1056720 1230990 ) ( 1056720 1231545 )
NEW met3 ( 939360 1230990 ) ( 1056720 1230990 )
NEW met2 ( 1540080 1233025 ) ( 1540080 1233950 )
NEW met1 ( 1540080 1233025 ) ( 1547280 1233025 )
NEW met1 ( 1849680 1233765 ) ( 1849680 1234505 )
NEW met2 ( 1849680 1233765 ) ( 1849680 1233950 )
NEW met3 ( 1849680 1233950 ) ( 1849680 1235430 )
NEW met3 ( 1849680 1235430 ) ( 1851360 1235430 )
NEW met3 ( 1851360 1235430 ) ( 1851360 1236170 )
NEW met3 ( 1851360 1236170 ) ( 2035680 1236170 )
NEW met2 ( 1344240 1223775 ) ( 1344240 1233025 )
NEW met1 ( 1340880 1223775 ) ( 1344240 1223775 )
NEW met1 ( 1340880 1223405 ) ( 1340880 1223775 )
NEW met1 ( 1296240 1223405 ) ( 1340880 1223405 )
NEW met2 ( 1296240 1223405 ) ( 1296240 1233950 )
NEW met2 ( 1634160 1223405 ) ( 1634160 1225070 )
NEW met1 ( 1634160 1223405 ) ( 1645200 1223405 )
NEW met2 ( 1645200 1223405 ) ( 1645200 1233395 )
NEW met3 ( 1547280 1225070 ) ( 1634160 1225070 )
NEW met2 ( 1141200 1231545 ) ( 1141200 1233765 )
NEW met1 ( 1141200 1233765 ) ( 1141200 1234135 )
NEW met1 ( 1056720 1231545 ) ( 1141200 1231545 )
NEW met2 ( 1696560 1231545 ) ( 1696560 1233395 )
NEW met1 ( 1696560 1231545 ) ( 1699440 1231545 )
NEW met2 ( 1699440 1231545 ) ( 1699440 1233025 )
NEW met1 ( 1699440 1233025 ) ( 1699920 1233025 )
NEW met1 ( 1699920 1233025 ) ( 1699920 1233395 )
NEW met1 ( 1699920 1233395 ) ( 1752240 1233395 )
NEW met1 ( 1752240 1233395 ) ( 1752240 1234505 )
NEW met1 ( 1645200 1233395 ) ( 1696560 1233395 )
NEW met1 ( 1752240 1234505 ) ( 1849680 1234505 )
NEW met2 ( 1242000 1229325 ) ( 1242000 1233950 )
NEW met1 ( 1230960 1229325 ) ( 1242000 1229325 )
NEW met2 ( 1230960 1229325 ) ( 1230960 1233765 )
NEW met1 ( 1217040 1233765 ) ( 1230960 1233765 )
NEW met1 ( 1217040 1233765 ) ( 1217040 1234135 )
NEW met1 ( 1141200 1234135 ) ( 1217040 1234135 )
NEW met3 ( 1242000 1233950 ) ( 1296240 1233950 )
NEW met2 ( 1460880 1233395 ) ( 1460880 1233950 )
NEW met3 ( 1460880 1233950 ) ( 1540080 1233950 )
NEW met2 ( 1404240 1230805 ) ( 1404240 1233025 )
NEW met1 ( 1404240 1230805 ) ( 1439280 1230805 )
NEW met2 ( 1439280 1224330 ) ( 1439280 1230805 )
NEW met2 ( 1439280 1224330 ) ( 1440240 1224330 )
NEW met2 ( 1440240 1224330 ) ( 1440240 1225070 )
NEW met2 ( 1440240 1225070 ) ( 1440720 1225070 )
NEW met3 ( 1440720 1225070 ) ( 1450320 1225070 )
NEW met2 ( 1450320 1225070 ) ( 1450320 1233395 )
NEW met1 ( 1344240 1233025 ) ( 1404240 1233025 )
NEW met1 ( 1450320 1233395 ) ( 1460880 1233395 )
NEW met1 ( 1547280 1233025 ) M1M2_PR
NEW met2 ( 1547280 1225070 ) via2_FR
NEW met3 ( 2035680 1236170 ) M3M4_PR_M
NEW met3 ( 2035680 1333110 ) M3M4_PR_M
NEW met2 ( 2035920 1333110 ) via2_FR
NEW met2 ( 863760 1228770 ) via2_FR
NEW met1 ( 863760 1360305 ) M1M2_PR
NEW met1 ( 852720 1360305 ) M1M2_PR
NEW met2 ( 1056720 1230990 ) via2_FR
NEW met1 ( 1056720 1231545 ) M1M2_PR
NEW met2 ( 1540080 1233950 ) via2_FR
NEW met1 ( 1540080 1233025 ) M1M2_PR
NEW met1 ( 1849680 1233765 ) M1M2_PR
NEW met2 ( 1849680 1233950 ) via2_FR
NEW met1 ( 1344240 1233025 ) M1M2_PR
NEW met1 ( 1344240 1223775 ) M1M2_PR
NEW met1 ( 1296240 1223405 ) M1M2_PR
NEW met2 ( 1296240 1233950 ) via2_FR
NEW met2 ( 1634160 1225070 ) via2_FR
NEW met1 ( 1634160 1223405 ) M1M2_PR
NEW met1 ( 1645200 1223405 ) M1M2_PR
NEW met1 ( 1645200 1233395 ) M1M2_PR
NEW met1 ( 1141200 1231545 ) M1M2_PR
NEW met1 ( 1141200 1233765 ) M1M2_PR
NEW met1 ( 1696560 1233395 ) M1M2_PR
NEW met1 ( 1696560 1231545 ) M1M2_PR
NEW met1 ( 1699440 1231545 ) M1M2_PR
NEW met1 ( 1699440 1233025 ) M1M2_PR
NEW met2 ( 1242000 1233950 ) via2_FR
NEW met1 ( 1242000 1229325 ) M1M2_PR
NEW met1 ( 1230960 1229325 ) M1M2_PR
NEW met1 ( 1230960 1233765 ) M1M2_PR
NEW met1 ( 1460880 1233395 ) M1M2_PR
NEW met2 ( 1460880 1233950 ) via2_FR
NEW met1 ( 1404240 1233025 ) M1M2_PR
NEW met1 ( 1404240 1230805 ) M1M2_PR
NEW met1 ( 1439280 1230805 ) M1M2_PR
NEW met2 ( 1440720 1225070 ) via2_FR
NEW met2 ( 1450320 1225070 ) via2_FR
NEW met1 ( 1450320 1233395 ) M1M2_PR
NEW met3 ( 2035680 1333110 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[27\] ( mprj wbs_adr_i[27] ) ( mgmt_buffers mprj_adr_o_user[27] )
+ ROUTED met2 ( 1842000 1225070 ) ( 1842000 1228030 )
NEW met2 ( 1842000 1228030 ) ( 1842480 1228030 )
NEW met2 ( 1842480 1228030 ) ( 1842480 1233025 )
NEW met1 ( 1950000 1232285 ) ( 1950000 1233025 )
NEW met1 ( 1950000 1232285 ) ( 2025840 1232285 )
NEW met2 ( 2025840 1225070 ) ( 2025840 1232285 )
NEW met3 ( 2025840 1225070 ) ( 2034720 1225070 )
NEW met3 ( 2034480 1333110 ) ( 2034720 1333110 )
NEW met2 ( 2034480 1332925 ) ( 2034480 1333110 )
NEW met1 ( 2034480 1332925 ) ( 2038800 1332925 )
NEW met2 ( 2038800 1325710 ) ( 2038800 1332925 )
NEW met2 ( 2038800 1325710 ) ( 2040000 1325710 0 )
NEW met4 ( 2034720 1225070 ) ( 2034720 1333110 )
NEW met3 ( 877680 1228030 ) ( 887520 1228030 )
NEW met3 ( 887520 1228030 ) ( 887520 1229510 )
NEW met1 ( 870480 1360305 ) ( 877680 1360305 )
NEW met2 ( 870480 1360305 ) ( 870480 1389350 0 )
NEW met2 ( 877680 1228030 ) ( 877680 1360305 )
NEW met2 ( 1238640 1231545 ) ( 1238640 1233025 )
NEW met2 ( 1845360 1225070 ) ( 1845360 1233025 )
NEW met3 ( 1845360 1225070 ) ( 1942800 1225070 )
NEW met2 ( 1942800 1225070 ) ( 1942800 1233025 )
NEW met1 ( 1842480 1233025 ) ( 1845360 1233025 )
NEW met1 ( 1942800 1233025 ) ( 1950000 1233025 )
NEW met3 ( 1053840 1229510 ) ( 1053840 1230250 )
NEW met3 ( 1053840 1230250 ) ( 1055760 1230250 )
NEW met2 ( 1055760 1230250 ) ( 1055760 1233395 )
NEW met3 ( 887520 1229510 ) ( 1053840 1229510 )
NEW met1 ( 1130640 1233025 ) ( 1130640 1233395 )
NEW met1 ( 1055760 1233395 ) ( 1130640 1233395 )
NEW met1 ( 1130640 1233025 ) ( 1238640 1233025 )
NEW met2 ( 1744560 1225070 ) ( 1744560 1233765 )
NEW met1 ( 1744560 1233765 ) ( 1744560 1234135 )
NEW met1 ( 1698960 1234135 ) ( 1744560 1234135 )
NEW met1 ( 1698960 1233025 ) ( 1698960 1234135 )
NEW met3 ( 1744560 1225070 ) ( 1842000 1225070 )
NEW met2 ( 1620240 1231545 ) ( 1620240 1233025 )
NEW met1 ( 1238640 1231545 ) ( 1620240 1231545 )
NEW met1 ( 1620240 1233025 ) ( 1698960 1233025 )
NEW met2 ( 1842000 1225070 ) via2_FR
NEW met1 ( 1842480 1233025 ) M1M2_PR
NEW met1 ( 2025840 1232285 ) M1M2_PR
NEW met2 ( 2025840 1225070 ) via2_FR
NEW met3 ( 2034720 1225070 ) M3M4_PR_M
NEW met3 ( 2034720 1333110 ) M3M4_PR_M
NEW met2 ( 2034480 1333110 ) via2_FR
NEW met1 ( 2034480 1332925 ) M1M2_PR
NEW met1 ( 2038800 1332925 ) M1M2_PR
NEW met2 ( 877680 1228030 ) via2_FR
NEW met1 ( 877680 1360305 ) M1M2_PR
NEW met1 ( 870480 1360305 ) M1M2_PR
NEW met1 ( 1238640 1233025 ) M1M2_PR
NEW met1 ( 1238640 1231545 ) M1M2_PR
NEW met1 ( 1845360 1233025 ) M1M2_PR
NEW met2 ( 1845360 1225070 ) via2_FR
NEW met2 ( 1942800 1225070 ) via2_FR
NEW met1 ( 1942800 1233025 ) M1M2_PR
NEW met2 ( 1055760 1230250 ) via2_FR
NEW met1 ( 1055760 1233395 ) M1M2_PR
NEW met2 ( 1744560 1225070 ) via2_FR
NEW met1 ( 1744560 1233765 ) M1M2_PR
NEW met1 ( 1620240 1231545 ) M1M2_PR
NEW met1 ( 1620240 1233025 ) M1M2_PR
NEW met3 ( 2034480 1333110 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[28\] ( mprj wbs_adr_i[28] ) ( mgmt_buffers mprj_adr_o_user[28] )
+ ROUTED met3 ( 2032800 1333110 ) ( 2033040 1333110 )
NEW met2 ( 2033040 1332185 ) ( 2033040 1333110 )
NEW met1 ( 2033040 1332185 ) ( 2043120 1332185 )
NEW met2 ( 2043120 1325710 ) ( 2043120 1332185 )
NEW met2 ( 2043120 1325710 ) ( 2044560 1325710 0 )
NEW met1 ( 888240 1360305 ) ( 892080 1360305 )
NEW met2 ( 888240 1360305 ) ( 888240 1389350 0 )
NEW met2 ( 892080 1228770 ) ( 892080 1360305 )
NEW met3 ( 1054560 1228770 ) ( 1054560 1229510 )
NEW met3 ( 1054560 1229510 ) ( 1055280 1229510 )
NEW met2 ( 1055280 1229510 ) ( 1055280 1233025 )
NEW met3 ( 892080 1228770 ) ( 1054560 1228770 )
NEW met4 ( 2031840 1236910 ) ( 2031840 1240425 )
NEW met4 ( 2031840 1240425 ) ( 2032800 1240425 )
NEW met4 ( 2032800 1240425 ) ( 2032800 1333110 )
NEW met2 ( 1847280 1232655 ) ( 1847280 1233950 )
NEW met3 ( 1847280 1233950 ) ( 1847280 1234690 )
NEW met3 ( 1847280 1234690 ) ( 1848480 1234690 )
NEW met3 ( 1848480 1234690 ) ( 1848480 1236910 )
NEW met3 ( 1848480 1236910 ) ( 2031840 1236910 )
NEW met2 ( 1337520 1232655 ) ( 1337520 1233765 )
NEW met1 ( 1337520 1233765 ) ( 1337520 1235245 )
NEW met1 ( 1337520 1235245 ) ( 1338960 1235245 )
NEW met1 ( 1338960 1234875 ) ( 1338960 1235245 )
NEW met2 ( 1130160 1230805 ) ( 1130160 1233025 )
NEW met1 ( 1130160 1230805 ) ( 1143600 1230805 )
NEW met2 ( 1143600 1230805 ) ( 1143600 1232285 )
NEW met1 ( 1055280 1233025 ) ( 1130160 1233025 )
NEW met2 ( 1737840 1230805 ) ( 1737840 1232285 )
NEW met1 ( 1737840 1230805 ) ( 1753200 1230805 )
NEW met2 ( 1753200 1230805 ) ( 1753200 1232655 )
NEW met1 ( 1753200 1232655 ) ( 1847280 1232655 )
NEW met1 ( 1239120 1232285 ) ( 1239120 1232655 )
NEW met1 ( 1143600 1232285 ) ( 1239120 1232285 )
NEW met1 ( 1239120 1232655 ) ( 1337520 1232655 )
NEW met1 ( 1550160 1233765 ) ( 1550160 1234875 )
NEW met2 ( 1550160 1232285 ) ( 1550160 1233765 )
NEW met1 ( 1550160 1232285 ) ( 1737840 1232285 )
NEW met1 ( 1442160 1233765 ) ( 1442160 1234875 )
NEW met2 ( 1442160 1233025 ) ( 1442160 1233765 )
NEW met1 ( 1442160 1233025 ) ( 1450800 1233025 )
NEW met2 ( 1450800 1233025 ) ( 1450800 1233765 )
NEW met1 ( 1450800 1233765 ) ( 1450800 1234875 )
NEW met1 ( 1338960 1234875 ) ( 1442160 1234875 )
NEW met1 ( 1450800 1234875 ) ( 1550160 1234875 )
NEW met3 ( 2032800 1333110 ) M3M4_PR_M
NEW met2 ( 2033040 1333110 ) via2_FR
NEW met1 ( 2033040 1332185 ) M1M2_PR
NEW met1 ( 2043120 1332185 ) M1M2_PR
NEW met2 ( 892080 1228770 ) via2_FR
NEW met1 ( 892080 1360305 ) M1M2_PR
NEW met1 ( 888240 1360305 ) M1M2_PR
NEW met2 ( 1055280 1229510 ) via2_FR
NEW met1 ( 1055280 1233025 ) M1M2_PR
NEW met3 ( 2031840 1236910 ) M3M4_PR_M
NEW met1 ( 1847280 1232655 ) M1M2_PR
NEW met2 ( 1847280 1233950 ) via2_FR
NEW met1 ( 1337520 1232655 ) M1M2_PR
NEW met1 ( 1337520 1233765 ) M1M2_PR
NEW met1 ( 1130160 1233025 ) M1M2_PR
NEW met1 ( 1130160 1230805 ) M1M2_PR
NEW met1 ( 1143600 1230805 ) M1M2_PR
NEW met1 ( 1143600 1232285 ) M1M2_PR
NEW met1 ( 1737840 1232285 ) M1M2_PR
NEW met1 ( 1737840 1230805 ) M1M2_PR
NEW met1 ( 1753200 1230805 ) M1M2_PR
NEW met1 ( 1753200 1232655 ) M1M2_PR
NEW met1 ( 1550160 1233765 ) M1M2_PR
NEW met1 ( 1550160 1232285 ) M1M2_PR
NEW met1 ( 1442160 1233765 ) M1M2_PR
NEW met1 ( 1442160 1233025 ) M1M2_PR
NEW met1 ( 1450800 1233025 ) M1M2_PR
NEW met1 ( 1450800 1233765 ) M1M2_PR
NEW met3 ( 2032800 1333110 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[29\] ( mprj wbs_adr_i[29] ) ( mgmt_buffers mprj_adr_o_user[29] )
+ ROUTED met1 ( 1751760 1233765 ) ( 1751760 1234505 )
NEW met2 ( 1751760 1232285 ) ( 1751760 1233765 )
NEW met2 ( 1053840 1228030 ) ( 1053840 1231915 )
NEW met3 ( 2047200 1333110 ) ( 2047440 1333110 )
NEW met2 ( 2047440 1325710 ) ( 2047440 1333110 )
NEW met2 ( 2047440 1325710 ) ( 2048880 1325710 0 )
NEW met2 ( 906480 1228770 ) ( 906960 1228770 )
NEW met2 ( 906960 1228030 ) ( 906960 1228770 )
NEW met2 ( 906480 1228770 ) ( 906480 1389350 0 )
NEW met3 ( 906960 1228030 ) ( 1053840 1228030 )
NEW met2 ( 1439280 1232655 ) ( 1439280 1233025 )
NEW met2 ( 1439280 1233025 ) ( 1440720 1233025 )
NEW met2 ( 1440720 1231915 ) ( 1440720 1233025 )
NEW met2 ( 2046000 1225070 ) ( 2046000 1231175 )
NEW met3 ( 2046000 1225070 ) ( 2047200 1225070 )
NEW met4 ( 2047200 1225070 ) ( 2047200 1333110 )
NEW met2 ( 1947600 1232285 ) ( 1947600 1233765 )
NEW met1 ( 1947600 1233765 ) ( 1965360 1233765 )
NEW met2 ( 1965360 1231175 ) ( 1965360 1233765 )
NEW met1 ( 1965360 1231175 ) ( 2046000 1231175 )
NEW met1 ( 1845360 1231915 ) ( 1845360 1232285 )
NEW met1 ( 1845360 1231915 ) ( 1846320 1231915 )
NEW met1 ( 1846320 1231915 ) ( 1846320 1232285 )
NEW met1 ( 1751760 1232285 ) ( 1845360 1232285 )
NEW met1 ( 1846320 1232285 ) ( 1947600 1232285 )
NEW met2 ( 1332720 1228215 ) ( 1332720 1231915 )
NEW met1 ( 1332720 1228215 ) ( 1346160 1228215 )
NEW met2 ( 1346160 1228215 ) ( 1346160 1232655 )
NEW met1 ( 1346160 1232655 ) ( 1439280 1232655 )
NEW met2 ( 1540560 1231915 ) ( 1540560 1232655 )
NEW met1 ( 1440720 1231915 ) ( 1540560 1231915 )
NEW met2 ( 1631760 1232655 ) ( 1631760 1233765 )
NEW met1 ( 1631760 1233765 ) ( 1631760 1234505 )
NEW met1 ( 1540560 1232655 ) ( 1631760 1232655 )
NEW met1 ( 1631760 1234505 ) ( 1751760 1234505 )
NEW met1 ( 1053840 1231915 ) ( 1332720 1231915 )
NEW met1 ( 1751760 1233765 ) M1M2_PR
NEW met1 ( 1751760 1232285 ) M1M2_PR
NEW met2 ( 1053840 1228030 ) via2_FR
NEW met1 ( 1053840 1231915 ) M1M2_PR
NEW met3 ( 2047200 1333110 ) M3M4_PR_M
NEW met2 ( 2047440 1333110 ) via2_FR
NEW met2 ( 906960 1228030 ) via2_FR
NEW met1 ( 1439280 1232655 ) M1M2_PR
NEW met1 ( 1440720 1231915 ) M1M2_PR
NEW met1 ( 2046000 1231175 ) M1M2_PR
NEW met2 ( 2046000 1225070 ) via2_FR
NEW met3 ( 2047200 1225070 ) M3M4_PR_M
NEW met1 ( 1947600 1232285 ) M1M2_PR
NEW met1 ( 1947600 1233765 ) M1M2_PR
NEW met1 ( 1965360 1233765 ) M1M2_PR
NEW met1 ( 1965360 1231175 ) M1M2_PR
NEW met1 ( 1332720 1231915 ) M1M2_PR
NEW met1 ( 1332720 1228215 ) M1M2_PR
NEW met1 ( 1346160 1228215 ) M1M2_PR
NEW met1 ( 1346160 1232655 ) M1M2_PR
NEW met1 ( 1540560 1231915 ) M1M2_PR
NEW met1 ( 1540560 1232655 ) M1M2_PR
NEW met1 ( 1631760 1232655 ) M1M2_PR
NEW met1 ( 1631760 1233765 ) M1M2_PR
NEW met3 ( 2047200 1333110 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[2\] ( mprj wbs_adr_i[2] ) ( mgmt_buffers mprj_adr_o_user[2] )
+ ROUTED met2 ( 413040 1392310 0 ) ( 414480 1392310 )
NEW met3 ( 414480 1392310 ) ( 421920 1392310 )
NEW met3 ( 421920 1392310 ) ( 421920 1393050 )
NEW met2 ( 1864560 1392495 ) ( 1864560 1393050 )
NEW met3 ( 421920 1393050 ) ( 1864560 1393050 )
NEW met2 ( 1925520 1325710 ) ( 1926960 1325710 0 )
NEW met2 ( 1873200 1379175 ) ( 1873200 1392495 )
NEW met1 ( 1873200 1379175 ) ( 1890000 1379175 )
NEW met2 ( 1890000 1379175 ) ( 1890000 1379730 )
NEW met2 ( 1890000 1379730 ) ( 1890960 1379730 )
NEW met2 ( 1890960 1376770 ) ( 1890960 1379730 )
NEW met2 ( 1890960 1376770 ) ( 1896240 1376770 )
NEW met2 ( 1896240 1372145 ) ( 1896240 1376770 )
NEW met1 ( 1896240 1372145 ) ( 1925520 1372145 )
NEW met1 ( 1864560 1392495 ) ( 1873200 1392495 )
NEW met2 ( 1925520 1325710 ) ( 1925520 1372145 )
NEW met2 ( 414480 1392310 ) via2_FR
NEW met2 ( 1864560 1393050 ) via2_FR
NEW met1 ( 1864560 1392495 ) M1M2_PR
NEW met1 ( 1873200 1392495 ) M1M2_PR
NEW met1 ( 1873200 1379175 ) M1M2_PR
NEW met1 ( 1890000 1379175 ) M1M2_PR
NEW met1 ( 1896240 1372145 ) M1M2_PR
NEW met1 ( 1925520 1372145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[30\] ( mprj wbs_adr_i[30] ) ( mgmt_buffers mprj_adr_o_user[30] )
+ ROUTED met2 ( 2035440 1225070 ) ( 2035440 1225995 )
NEW met3 ( 2035440 1225070 ) ( 2045280 1225070 )
NEW met1 ( 923760 1356235 ) ( 935280 1356235 )
NEW met2 ( 923760 1356235 ) ( 923760 1389350 0 )
NEW met2 ( 935280 1227290 ) ( 935280 1356235 )
NEW met2 ( 1052880 1227290 ) ( 1052880 1232285 )
NEW met3 ( 935280 1227290 ) ( 1052880 1227290 )
NEW met3 ( 2045280 1333110 ) ( 2045520 1333110 )
NEW met2 ( 2045520 1332925 ) ( 2045520 1333110 )
NEW met1 ( 2045520 1332925 ) ( 2051760 1332925 )
NEW met2 ( 2051760 1325710 ) ( 2051760 1332925 )
NEW met2 ( 2051760 1325710 ) ( 2053440 1325710 0 )
NEW met4 ( 2045280 1225070 ) ( 2045280 1333110 )
NEW met2 ( 1439760 1231915 ) ( 1439760 1232655 )
NEW met1 ( 1439760 1232655 ) ( 1463280 1232655 )
NEW met2 ( 1463280 1232655 ) ( 1463280 1233765 )
NEW met1 ( 1463280 1233765 ) ( 1463280 1234135 )
NEW met2 ( 1949040 1225995 ) ( 1949040 1232655 )
NEW met1 ( 1949040 1225995 ) ( 2035440 1225995 )
NEW met2 ( 1842000 1229695 ) ( 1842000 1231915 )
NEW met1 ( 1842000 1229695 ) ( 1848720 1229695 )
NEW met2 ( 1848720 1229695 ) ( 1848720 1232655 )
NEW met1 ( 1848720 1232655 ) ( 1949040 1232655 )
NEW met1 ( 1339440 1231915 ) ( 1339440 1232285 )
NEW met1 ( 1339440 1231915 ) ( 1439760 1231915 )
NEW met1 ( 1548720 1233765 ) ( 1548720 1234135 )
NEW met2 ( 1548720 1231915 ) ( 1548720 1233765 )
NEW met1 ( 1463280 1234135 ) ( 1548720 1234135 )
NEW met2 ( 1141680 1231545 ) ( 1141680 1232285 )
NEW met1 ( 1141680 1231545 ) ( 1149840 1231545 )
NEW met2 ( 1149840 1231545 ) ( 1149840 1232655 )
NEW met1 ( 1052880 1232285 ) ( 1141680 1232285 )
NEW met1 ( 1548720 1231915 ) ( 1842000 1231915 )
NEW met2 ( 1216080 1229695 ) ( 1216080 1232655 )
NEW met1 ( 1216080 1229695 ) ( 1241040 1229695 )
NEW met2 ( 1241040 1229695 ) ( 1241040 1232285 )
NEW met1 ( 1149840 1232655 ) ( 1216080 1232655 )
NEW met1 ( 1241040 1232285 ) ( 1339440 1232285 )
NEW met3 ( 2045280 1225070 ) M3M4_PR_M
NEW met2 ( 2035440 1225070 ) via2_FR
NEW met1 ( 2035440 1225995 ) M1M2_PR
NEW met2 ( 935280 1227290 ) via2_FR
NEW met1 ( 935280 1356235 ) M1M2_PR
NEW met1 ( 923760 1356235 ) M1M2_PR
NEW met2 ( 1052880 1227290 ) via2_FR
NEW met1 ( 1052880 1232285 ) M1M2_PR
NEW met3 ( 2045280 1333110 ) M3M4_PR_M
NEW met2 ( 2045520 1333110 ) via2_FR
NEW met1 ( 2045520 1332925 ) M1M2_PR
NEW met1 ( 2051760 1332925 ) M1M2_PR
NEW met1 ( 1439760 1231915 ) M1M2_PR
NEW met1 ( 1439760 1232655 ) M1M2_PR
NEW met1 ( 1463280 1232655 ) M1M2_PR
NEW met1 ( 1463280 1233765 ) M1M2_PR
NEW met1 ( 1949040 1232655 ) M1M2_PR
NEW met1 ( 1949040 1225995 ) M1M2_PR
NEW met1 ( 1842000 1231915 ) M1M2_PR
NEW met1 ( 1842000 1229695 ) M1M2_PR
NEW met1 ( 1848720 1229695 ) M1M2_PR
NEW met1 ( 1848720 1232655 ) M1M2_PR
NEW met1 ( 1548720 1233765 ) M1M2_PR
NEW met1 ( 1548720 1231915 ) M1M2_PR
NEW met1 ( 1141680 1232285 ) M1M2_PR
NEW met1 ( 1141680 1231545 ) M1M2_PR
NEW met1 ( 1149840 1231545 ) M1M2_PR
NEW met1 ( 1149840 1232655 ) M1M2_PR
NEW met1 ( 1216080 1232655 ) M1M2_PR
NEW met1 ( 1216080 1229695 ) M1M2_PR
NEW met1 ( 1241040 1229695 ) M1M2_PR
NEW met1 ( 1241040 1232285 ) M1M2_PR
NEW met3 ( 2045280 1333110 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[31\] ( mprj wbs_adr_i[31] ) ( mgmt_buffers mprj_adr_o_user[31] )
+ ROUTED met2 ( 942000 1337550 ) ( 942000 1389350 0 )
NEW met2 ( 1944240 1339215 ) ( 1944240 1341250 )
NEW met2 ( 1944240 1341250 ) ( 1945200 1341250 )
NEW met2 ( 1945200 1341250 ) ( 1945200 1353645 )
NEW met2 ( 1339440 1337550 ) ( 1339440 1337735 )
NEW met1 ( 1339440 1337735 ) ( 1439760 1337735 )
NEW met2 ( 1439760 1333850 ) ( 1439760 1337735 )
NEW met2 ( 1742640 1334590 ) ( 1743120 1334590 )
NEW met2 ( 1743120 1334590 ) ( 1743120 1335885 )
NEW met1 ( 1743120 1335885 ) ( 1744080 1335885 )
NEW met1 ( 1744080 1335885 ) ( 1744080 1336255 )
NEW met1 ( 1744080 1336255 ) ( 1795440 1336255 )
NEW met2 ( 1795440 1336255 ) ( 1795440 1339215 )
NEW met1 ( 1795440 1339215 ) ( 1944240 1339215 )
NEW met1 ( 1945200 1353645 ) ( 2057520 1353645 )
NEW met3 ( 942000 1337550 ) ( 1339440 1337550 )
NEW met4 ( 1642080 1333665 ) ( 1642080 1333850 )
NEW met4 ( 1642080 1333665 ) ( 1643040 1333665 )
NEW met4 ( 1643040 1333665 ) ( 1643040 1335330 )
NEW met3 ( 1643040 1335330 ) ( 1740960 1335330 )
NEW met3 ( 1740960 1334590 ) ( 1740960 1335330 )
NEW met3 ( 1439760 1333850 ) ( 1642080 1333850 )
NEW met3 ( 1740960 1334590 ) ( 1742640 1334590 )
NEW met2 ( 2057520 1325710 0 ) ( 2057520 1353645 )
NEW met2 ( 942000 1337550 ) via2_FR
NEW met1 ( 1795440 1339215 ) M1M2_PR
NEW met1 ( 1944240 1339215 ) M1M2_PR
NEW met1 ( 1945200 1353645 ) M1M2_PR
NEW met2 ( 1339440 1337550 ) via2_FR
NEW met1 ( 1339440 1337735 ) M1M2_PR
NEW met1 ( 1439760 1337735 ) M1M2_PR
NEW met2 ( 1439760 1333850 ) via2_FR
NEW met2 ( 1742640 1334590 ) via2_FR
NEW met1 ( 1743120 1335885 ) M1M2_PR
NEW met1 ( 1795440 1336255 ) M1M2_PR
NEW met1 ( 2057520 1353645 ) M1M2_PR
NEW met3 ( 1642080 1333850 ) M3M4_PR_M
NEW met3 ( 1643040 1335330 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[3\] ( mprj wbs_adr_i[3] ) ( mgmt_buffers mprj_adr_o_user[3] )
+ ROUTED met1 ( 1981200 1233395 ) ( 1981200 1236355 )
NEW met1 ( 1980720 1233395 ) ( 1981200 1233395 )
NEW met1 ( 1980720 1232655 ) ( 1980720 1233395 )
NEW met2 ( 436080 1356790 ) ( 436080 1389350 0 )
NEW met3 ( 436080 1356790 ) ( 1059120 1356790 )
NEW met2 ( 1933200 1325710 0 ) ( 1933200 1361970 )
NEW met2 ( 1059120 1236355 ) ( 1059120 1356790 )
NEW met1 ( 1059120 1236355 ) ( 1981200 1236355 )
NEW met2 ( 2022000 1225070 ) ( 2022000 1232655 )
NEW met3 ( 2022000 1225070 ) ( 2025120 1225070 )
NEW met4 ( 2025120 1225070 ) ( 2025120 1243755 )
NEW met4 ( 2025120 1243755 ) ( 2027040 1243755 )
NEW met1 ( 1980720 1232655 ) ( 2022000 1232655 )
NEW met3 ( 1933200 1361970 ) ( 2027040 1361970 )
NEW met4 ( 2027040 1243755 ) ( 2027040 1361970 )
NEW met2 ( 436080 1356790 ) via2_FR
NEW met2 ( 1059120 1356790 ) via2_FR
NEW met2 ( 1933200 1361970 ) via2_FR
NEW met1 ( 1059120 1236355 ) M1M2_PR
NEW met1 ( 2022000 1232655 ) M1M2_PR
NEW met2 ( 2022000 1225070 ) via2_FR
NEW met3 ( 2025120 1225070 ) M3M4_PR_M
NEW met3 ( 2027040 1361970 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[4\] ( mprj wbs_adr_i[4] ) ( mgmt_buffers mprj_adr_o_user[4] )
+ ROUTED met1 ( 1353360 1332185 ) ( 1439760 1332185 )
NEW met2 ( 1439760 1332185 ) ( 1439760 1333110 )
NEW met2 ( 1353360 1332185 ) ( 1353360 1357530 )
NEW met2 ( 460080 1357530 ) ( 460080 1389350 0 )
NEW met3 ( 460080 1357530 ) ( 1353360 1357530 )
NEW met2 ( 1844400 1339955 ) ( 1844400 1342545 )
NEW met1 ( 1844400 1342545 ) ( 1938480 1342545 )
NEW met2 ( 1642800 1332555 ) ( 1642800 1333110 )
NEW met1 ( 1642800 1332555 ) ( 1694160 1332555 )
NEW met2 ( 1694160 1332555 ) ( 1694160 1337365 )
NEW met3 ( 1439760 1333110 ) ( 1642800 1333110 )
NEW met2 ( 1938480 1325710 ) ( 1939680 1325710 0 )
NEW met2 ( 1938480 1325710 ) ( 1938480 1342545 )
NEW met2 ( 1742640 1336625 ) ( 1742640 1337365 )
NEW met1 ( 1742640 1336625 ) ( 1793520 1336625 )
NEW met2 ( 1793520 1336625 ) ( 1793520 1339955 )
NEW met1 ( 1694160 1337365 ) ( 1742640 1337365 )
NEW met1 ( 1793520 1339955 ) ( 1844400 1339955 )
NEW met2 ( 1353360 1357530 ) via2_FR
NEW met1 ( 1353360 1332185 ) M1M2_PR
NEW met1 ( 1439760 1332185 ) M1M2_PR
NEW met2 ( 1439760 1333110 ) via2_FR
NEW met2 ( 460080 1357530 ) via2_FR
NEW met1 ( 1844400 1339955 ) M1M2_PR
NEW met1 ( 1844400 1342545 ) M1M2_PR
NEW met1 ( 1938480 1342545 ) M1M2_PR
NEW met2 ( 1642800 1333110 ) via2_FR
NEW met1 ( 1642800 1332555 ) M1M2_PR
NEW met1 ( 1694160 1332555 ) M1M2_PR
NEW met1 ( 1694160 1337365 ) M1M2_PR
NEW met1 ( 1742640 1337365 ) M1M2_PR
NEW met1 ( 1742640 1336625 ) M1M2_PR
NEW met1 ( 1793520 1336625 ) M1M2_PR
NEW met1 ( 1793520 1339955 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[5\] ( mprj wbs_adr_i[5] ) ( mgmt_buffers mprj_adr_o_user[5] )
+ ROUTED met2 ( 478320 1356050 ) ( 478320 1389350 0 )
NEW met3 ( 478320 1356050 ) ( 1060080 1356050 )
NEW met1 ( 1893360 1233765 ) ( 1893360 1235615 )
NEW met2 ( 1893360 1231915 ) ( 1893360 1233765 )
NEW met1 ( 1916400 1231175 ) ( 1916400 1231915 )
NEW met1 ( 1916400 1231175 ) ( 1960080 1231175 )
NEW met2 ( 1960080 1229695 ) ( 1960080 1231175 )
NEW met1 ( 1960080 1229695 ) ( 2004720 1229695 )
NEW met2 ( 2004720 1223590 ) ( 2004720 1229695 )
NEW met3 ( 2004720 1223590 ) ( 2005920 1223590 )
NEW met1 ( 1893360 1231915 ) ( 1916400 1231915 )
NEW met3 ( 2005680 1341990 ) ( 2005920 1341990 )
NEW met2 ( 2005680 1339215 ) ( 2005680 1341990 )
NEW met1 ( 1945680 1339215 ) ( 2005680 1339215 )
NEW met2 ( 1945680 1325710 ) ( 1945680 1339215 )
NEW met2 ( 1944240 1325710 0 ) ( 1945680 1325710 )
NEW met4 ( 2005920 1223590 ) ( 2005920 1341990 )
NEW met2 ( 1060080 1235615 ) ( 1060080 1356050 )
NEW met1 ( 1060080 1235615 ) ( 1893360 1235615 )
NEW met2 ( 478320 1356050 ) via2_FR
NEW met2 ( 1060080 1356050 ) via2_FR
NEW met1 ( 1893360 1233765 ) M1M2_PR
NEW met1 ( 1893360 1231915 ) M1M2_PR
NEW met1 ( 1960080 1231175 ) M1M2_PR
NEW met1 ( 1960080 1229695 ) M1M2_PR
NEW met1 ( 2004720 1229695 ) M1M2_PR
NEW met2 ( 2004720 1223590 ) via2_FR
NEW met3 ( 2005920 1223590 ) M3M4_PR_M
NEW met3 ( 2005920 1341990 ) M3M4_PR_M
NEW met2 ( 2005680 1341990 ) via2_FR
NEW met1 ( 2005680 1339215 ) M1M2_PR
NEW met1 ( 1945680 1339215 ) M1M2_PR
NEW met1 ( 1060080 1235615 ) M1M2_PR
NEW met3 ( 2005920 1341990 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[6\] ( mprj wbs_adr_i[6] ) ( mgmt_buffers mprj_adr_o_user[6] )
+ ROUTED met2 ( 496080 1361045 ) ( 496080 1389350 0 )
NEW met2 ( 1851120 1356235 ) ( 1851120 1361045 )
NEW met1 ( 496080 1361045 ) ( 1851120 1361045 )
NEW met2 ( 1946640 1325710 ) ( 1948560 1325710 0 )
NEW met2 ( 1946640 1325710 ) ( 1946640 1343285 )
NEW met2 ( 1946640 1343285 ) ( 1947120 1343285 )
NEW met2 ( 1947120 1343285 ) ( 1947120 1356235 )
NEW met1 ( 1851120 1356235 ) ( 1947120 1356235 )
NEW met1 ( 496080 1361045 ) M1M2_PR
NEW met1 ( 1851120 1361045 ) M1M2_PR
NEW met1 ( 1851120 1356235 ) M1M2_PR
NEW met1 ( 1947120 1356235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[7\] ( mprj wbs_adr_i[7] ) ( mgmt_buffers mprj_adr_o_user[7] )
+ ROUTED met1 ( 1981680 1233395 ) ( 1981680 1236725 )
NEW met1 ( 1981680 1233395 ) ( 2019120 1233395 )
NEW met2 ( 2019120 1222850 ) ( 2019120 1233395 )
NEW met3 ( 2019120 1222850 ) ( 2019360 1222850 )
NEW met3 ( 1954320 1358270 ) ( 2019360 1358270 )
NEW met2 ( 1953120 1325710 0 ) ( 1954320 1325710 )
NEW met2 ( 1954320 1325710 ) ( 1954320 1358270 )
NEW met4 ( 2019360 1222850 ) ( 2019360 1358270 )
NEW met2 ( 513360 1367705 ) ( 513360 1389350 0 )
NEW met1 ( 513360 1367705 ) ( 1058160 1367705 )
NEW met2 ( 1058160 1236725 ) ( 1058160 1367705 )
NEW met1 ( 1058160 1236725 ) ( 1981680 1236725 )
NEW met1 ( 2019120 1233395 ) M1M2_PR
NEW met2 ( 2019120 1222850 ) via2_FR
NEW met3 ( 2019360 1222850 ) M3M4_PR_M
NEW met2 ( 1954320 1358270 ) via2_FR
NEW met3 ( 2019360 1358270 ) M3M4_PR_M
NEW met1 ( 513360 1367705 ) M1M2_PR
NEW met1 ( 1058160 1367705 ) M1M2_PR
NEW met1 ( 1058160 1236725 ) M1M2_PR
NEW met3 ( 2019120 1222850 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[8\] ( mprj wbs_adr_i[8] ) ( mgmt_buffers mprj_adr_o_user[8] )
+ ROUTED met1 ( 953520 1358825 ) ( 953520 1359195 )
NEW met1 ( 953520 1358825 ) ( 1029360 1358825 )
NEW met1 ( 2016720 1233765 ) ( 2016720 1237095 )
NEW met2 ( 2016720 1225070 ) ( 2016720 1233765 )
NEW met3 ( 2016720 1225070 ) ( 2021280 1225070 )
NEW met3 ( 1957200 1359010 ) ( 2021280 1359010 )
NEW met2 ( 1957200 1325710 0 ) ( 1957200 1359010 )
NEW met4 ( 2021280 1225070 ) ( 2021280 1359010 )
NEW met2 ( 531600 1359195 ) ( 531600 1389350 0 )
NEW met1 ( 531600 1359195 ) ( 953520 1359195 )
NEW met2 ( 1029360 1237095 ) ( 1029360 1358825 )
NEW met1 ( 1029360 1237095 ) ( 2016720 1237095 )
NEW met1 ( 1029360 1358825 ) M1M2_PR
NEW met1 ( 2016720 1233765 ) M1M2_PR
NEW met2 ( 2016720 1225070 ) via2_FR
NEW met3 ( 2021280 1225070 ) M3M4_PR_M
NEW met2 ( 1957200 1359010 ) via2_FR
NEW met3 ( 2021280 1359010 ) M3M4_PR_M
NEW met1 ( 531600 1359195 ) M1M2_PR
NEW met1 ( 1029360 1237095 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[9\] ( mprj wbs_adr_i[9] ) ( mgmt_buffers mprj_adr_o_user[9] )
+ ROUTED met2 ( 549360 1358825 ) ( 549360 1389350 0 )
NEW met2 ( 953040 1356975 ) ( 953040 1358825 )
NEW met1 ( 953040 1356975 ) ( 1035120 1356975 )
NEW met2 ( 1035120 1333110 ) ( 1035120 1356975 )
NEW met2 ( 1438320 1331815 ) ( 1438320 1333110 )
NEW met2 ( 1960560 1325710 ) ( 1961760 1325710 0 )
NEW met2 ( 1960560 1325710 ) ( 1960560 1354015 )
NEW met1 ( 549360 1358825 ) ( 953040 1358825 )
NEW met1 ( 1893360 1354015 ) ( 1893360 1354385 )
NEW met1 ( 1483920 1354385 ) ( 1893360 1354385 )
NEW met1 ( 1893360 1354015 ) ( 1960560 1354015 )
NEW met3 ( 1035120 1333110 ) ( 1438320 1333110 )
NEW met1 ( 1438320 1331815 ) ( 1483920 1331815 )
NEW met2 ( 1483920 1331815 ) ( 1483920 1354385 )
NEW met2 ( 1035120 1333110 ) via2_FR
NEW met1 ( 549360 1358825 ) M1M2_PR
NEW met1 ( 953040 1358825 ) M1M2_PR
NEW met1 ( 953040 1356975 ) M1M2_PR
NEW met1 ( 1035120 1356975 ) M1M2_PR
NEW met1 ( 1960560 1354015 ) M1M2_PR
NEW met1 ( 1438320 1331815 ) M1M2_PR
NEW met2 ( 1438320 1333110 ) via2_FR
NEW met1 ( 1483920 1354385 ) M1M2_PR
NEW met1 ( 1483920 1331815 ) M1M2_PR
+ USE SIGNAL ;
- mprj_clock ( mprj wb_clk_i ) ( mgmt_buffers user_clock )
+ ROUTED met2 ( 329520 1366225 ) ( 329520 1389350 0 )
NEW met1 ( 329520 1366225 ) ( 1062000 1366225 )
NEW met2 ( 1062000 1325710 0 ) ( 1062000 1366225 )
NEW met1 ( 329520 1366225 ) M1M2_PR
NEW met1 ( 1062000 1366225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_clock2 ( mprj user_clock2 ) ( mgmt_buffers user_clock2 )
+ ROUTED met2 ( 3243600 1367890 ) ( 3243600 1389350 0 )
NEW met2 ( 1776240 1353830 ) ( 1776240 1355865 )
NEW met3 ( 1063920 1353830 ) ( 1776240 1353830 )
NEW met2 ( 1850160 1355865 ) ( 1850160 1357345 )
NEW met1 ( 1850160 1357345 ) ( 1916400 1357345 )
NEW met2 ( 1916400 1357345 ) ( 1916400 1367890 )
NEW met1 ( 1776240 1355865 ) ( 1850160 1355865 )
NEW met3 ( 1916400 1367890 ) ( 3243600 1367890 )
NEW met2 ( 1063920 1325710 0 ) ( 1063920 1353830 )
NEW met2 ( 3243600 1367890 ) via2_FR
NEW met2 ( 1776240 1353830 ) via2_FR
NEW met1 ( 1776240 1355865 ) M1M2_PR
NEW met2 ( 1063920 1353830 ) via2_FR
NEW met1 ( 1850160 1355865 ) M1M2_PR
NEW met1 ( 1850160 1357345 ) M1M2_PR
NEW met1 ( 1916400 1357345 ) M1M2_PR
NEW met2 ( 1916400 1367890 ) via2_FR
+ USE SIGNAL ;
- mprj_cyc_o_core ( soc mprj_cyc_o ) ( mgmt_buffers mprj_cyc_o_core )
+ ROUTED met3 ( 1050960 1144410 ) ( 1052640 1144410 0 )
NEW met2 ( 1050960 1144410 ) ( 1050960 1174010 )
NEW met2 ( 1897200 1174010 ) ( 1897200 1232470 )
NEW met2 ( 1897200 1232470 ) ( 1898400 1232470 0 )
NEW met3 ( 1050960 1174010 ) ( 1897200 1174010 )
NEW met2 ( 1050960 1144410 ) via2_FR
NEW met2 ( 1050960 1174010 ) via2_FR
NEW met2 ( 1897200 1174010 ) via2_FR
+ USE SIGNAL ;
- mprj_cyc_o_user ( mprj wbs_cyc_i ) ( mgmt_buffers mprj_cyc_o_user )
+ ROUTED met2 ( 347280 1361230 ) ( 347280 1389350 0 )
NEW met3 ( 1874400 1360490 ) ( 1874400 1361230 )
NEW met3 ( 1874400 1360490 ) ( 1909200 1360490 )
NEW met2 ( 1909200 1345690 ) ( 1909200 1360490 )
NEW met2 ( 1908240 1345690 ) ( 1909200 1345690 )
NEW met2 ( 1908240 1325710 ) ( 1908240 1345690 )
NEW met2 ( 1907040 1325710 0 ) ( 1908240 1325710 )
NEW met3 ( 347280 1361230 ) ( 1874400 1361230 )
NEW met2 ( 347280 1361230 ) via2_FR
NEW met2 ( 1909200 1360490 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[0\] ( soc mprj_dat_i[0] ) ( mprj wbs_dat_o[0] )
+ ROUTED met1 ( 377040 1364375 ) ( 388560 1364375 )
NEW met2 ( 377040 1364375 ) ( 377040 1389350 0 )
NEW met2 ( 388560 1217115 ) ( 388560 1364375 )
NEW met2 ( 1026000 1141450 ) ( 1026000 1217115 )
NEW met1 ( 388560 1217115 ) ( 1026000 1217115 )
NEW met3 ( 1026000 1141450 ) ( 1052640 1141450 0 )
NEW met1 ( 388560 1217115 ) M1M2_PR
NEW met1 ( 388560 1364375 ) M1M2_PR
NEW met1 ( 377040 1364375 ) M1M2_PR
NEW met2 ( 1026000 1141450 ) via2_FR
NEW met1 ( 1026000 1217115 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[10\] ( soc mprj_dat_i[10] ) ( mprj wbs_dat_o[10] )
+ ROUTED met1 ( 579120 1364375 ) ( 590160 1364375 )
NEW met2 ( 579120 1364375 ) ( 579120 1389350 0 )
NEW met2 ( 590160 1220815 ) ( 590160 1364375 )
NEW met2 ( 1022640 1138490 ) ( 1022640 1220815 )
NEW met1 ( 590160 1220815 ) ( 1022640 1220815 )
NEW met3 ( 1022640 1138490 ) ( 1052640 1138490 0 )
NEW met1 ( 590160 1220815 ) M1M2_PR
NEW met1 ( 590160 1364375 ) M1M2_PR
NEW met1 ( 579120 1364375 ) M1M2_PR
NEW met2 ( 1022640 1138490 ) via2_FR
NEW met1 ( 1022640 1220815 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[11\] ( soc mprj_dat_i[11] ) ( mprj wbs_dat_o[11] )
+ ROUTED met1 ( 596880 1364375 ) ( 604560 1364375 )
NEW met2 ( 596880 1364375 ) ( 596880 1389350 0 )
NEW met2 ( 604560 1221185 ) ( 604560 1364375 )
NEW met3 ( 1028400 1136270 ) ( 1052640 1136270 0 )
NEW met1 ( 604560 1221185 ) ( 1028400 1221185 )
NEW met2 ( 1028400 1136270 ) ( 1028400 1221185 )
NEW met1 ( 604560 1221185 ) M1M2_PR
NEW met1 ( 604560 1364375 ) M1M2_PR
NEW met1 ( 596880 1364375 ) M1M2_PR
NEW met2 ( 1028400 1136270 ) via2_FR
NEW met1 ( 1028400 1221185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[12\] ( soc mprj_dat_i[12] ) ( mprj wbs_dat_o[12] )
+ ROUTED met1 ( 614640 1364375 ) ( 618960 1364375 )
NEW met2 ( 614640 1364375 ) ( 614640 1389350 0 )
NEW met2 ( 618960 1221555 ) ( 618960 1364375 )
NEW met1 ( 618960 1221555 ) ( 1025520 1221555 )
NEW met2 ( 1024560 1159950 ) ( 1025520 1159950 )
NEW met2 ( 1024560 1133310 ) ( 1024560 1159950 )
NEW met3 ( 1024560 1133310 ) ( 1052640 1133310 0 )
NEW met2 ( 1025520 1159950 ) ( 1025520 1221555 )
NEW met1 ( 618960 1221555 ) M1M2_PR
NEW met1 ( 618960 1364375 ) M1M2_PR
NEW met1 ( 614640 1364375 ) M1M2_PR
NEW met1 ( 1025520 1221555 ) M1M2_PR
NEW met2 ( 1024560 1133310 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[13\] ( soc mprj_dat_i[13] ) ( mprj wbs_dat_o[13] )
+ ROUTED met2 ( 632880 1389350 0 ) ( 633360 1389350 )
NEW met2 ( 633360 1221925 ) ( 633360 1389350 )
NEW met2 ( 1026480 1130350 ) ( 1026480 1221925 )
NEW met1 ( 633360 1221925 ) ( 1026480 1221925 )
NEW met3 ( 1026480 1130350 ) ( 1052640 1130350 0 )
NEW met1 ( 633360 1221925 ) M1M2_PR
NEW met1 ( 1026480 1221925 ) M1M2_PR
NEW met2 ( 1026480 1130350 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[14\] ( soc mprj_dat_i[14] ) ( mprj wbs_dat_o[14] )
+ ROUTED met2 ( 1027440 1128130 ) ( 1027440 1222295 )
NEW met1 ( 650640 1364375 ) ( 662160 1364375 )
NEW met2 ( 650640 1364375 ) ( 650640 1389350 0 )
NEW met2 ( 662160 1222295 ) ( 662160 1364375 )
NEW met1 ( 662160 1222295 ) ( 1027440 1222295 )
NEW met3 ( 1027440 1128130 ) ( 1052640 1128130 0 )
NEW met1 ( 662160 1222295 ) M1M2_PR
NEW met1 ( 1027440 1222295 ) M1M2_PR
NEW met2 ( 1027440 1128130 ) via2_FR
NEW met1 ( 662160 1364375 ) M1M2_PR
NEW met1 ( 650640 1364375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[15\] ( soc mprj_dat_i[15] ) ( mprj wbs_dat_o[15] )
+ ROUTED met2 ( 1035120 1125170 ) ( 1035120 1222665 )
NEW met1 ( 667920 1364375 ) ( 676560 1364375 )
NEW met2 ( 667920 1364375 ) ( 667920 1389350 0 )
NEW met2 ( 676560 1222665 ) ( 676560 1364375 )
NEW met1 ( 676560 1222665 ) ( 1035120 1222665 )
NEW met3 ( 1035120 1125170 ) ( 1052640 1125170 0 )
NEW met1 ( 676560 1222665 ) M1M2_PR
NEW met1 ( 1035120 1222665 ) M1M2_PR
NEW met2 ( 1035120 1125170 ) via2_FR
NEW met1 ( 676560 1364375 ) M1M2_PR
NEW met1 ( 667920 1364375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[16\] ( soc mprj_dat_i[16] ) ( mprj wbs_dat_o[16] )
+ ROUTED met1 ( 686160 1364375 ) ( 690960 1364375 )
NEW met2 ( 686160 1364375 ) ( 686160 1389350 0 )
NEW met2 ( 690960 1223035 ) ( 690960 1364375 )
NEW met3 ( 1028880 1122210 ) ( 1052640 1122210 0 )
NEW met1 ( 690960 1223035 ) ( 1028880 1223035 )
NEW met2 ( 1028880 1122210 ) ( 1028880 1223035 )
NEW met1 ( 690960 1223035 ) M1M2_PR
NEW met2 ( 1028880 1122210 ) via2_FR
NEW met1 ( 690960 1364375 ) M1M2_PR
NEW met1 ( 686160 1364375 ) M1M2_PR
NEW met1 ( 1028880 1223035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[17\] ( soc mprj_dat_i[17] ) ( mprj wbs_dat_o[17] )
+ ROUTED met2 ( 1022640 1119990 ) ( 1022640 1123135 )
NEW met2 ( 703920 1389350 0 ) ( 705360 1389350 )
NEW met2 ( 705360 1123135 ) ( 705360 1389350 )
NEW met1 ( 705360 1123135 ) ( 1022640 1123135 )
NEW met3 ( 1022640 1119990 ) ( 1052640 1119990 0 )
NEW met2 ( 1022640 1119990 ) via2_FR
NEW met1 ( 1022640 1123135 ) M1M2_PR
NEW met1 ( 705360 1123135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[18\] ( soc mprj_dat_i[18] ) ( mprj wbs_dat_o[18] )
+ ROUTED met2 ( 733200 1230990 ) ( 734160 1230990 )
NEW met2 ( 1027440 1117030 ) ( 1027440 1122765 )
NEW met1 ( 722160 1366595 ) ( 734160 1366595 )
NEW met2 ( 722160 1366595 ) ( 722160 1389350 0 )
NEW met2 ( 734160 1230990 ) ( 734160 1366595 )
NEW met2 ( 733200 1122765 ) ( 733200 1230990 )
NEW met1 ( 733200 1122765 ) ( 1027440 1122765 )
NEW met3 ( 1027440 1117030 ) ( 1052640 1117030 0 )
NEW met2 ( 1027440 1117030 ) via2_FR
NEW met1 ( 1027440 1122765 ) M1M2_PR
NEW met1 ( 734160 1366595 ) M1M2_PR
NEW met1 ( 722160 1366595 ) M1M2_PR
NEW met1 ( 733200 1122765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[19\] ( soc mprj_dat_i[19] ) ( mprj wbs_dat_o[19] )
+ ROUTED met1 ( 739920 1365485 ) ( 748560 1365485 )
NEW met2 ( 739920 1365485 ) ( 739920 1389350 0 )
NEW met2 ( 748560 1122395 ) ( 748560 1365485 )
NEW met2 ( 1023120 1114070 ) ( 1023120 1122395 )
NEW met1 ( 748560 1122395 ) ( 1023120 1122395 )
NEW met3 ( 1023120 1114070 ) ( 1052640 1114070 0 )
NEW met1 ( 748560 1122395 ) M1M2_PR
NEW met1 ( 748560 1365485 ) M1M2_PR
NEW met1 ( 739920 1365485 ) M1M2_PR
NEW met2 ( 1023120 1114070 ) via2_FR
NEW met1 ( 1023120 1122395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[1\] ( soc mprj_dat_i[1] ) ( mprj wbs_dat_o[1] )
+ ROUTED met2 ( 401040 1389350 0 ) ( 402960 1389350 )
NEW met2 ( 402960 1217485 ) ( 402960 1389350 )
NEW met2 ( 1034640 1111850 ) ( 1034640 1217485 )
NEW met1 ( 402960 1217485 ) ( 1034640 1217485 )
NEW met3 ( 1034640 1111850 ) ( 1052640 1111850 0 )
NEW met1 ( 402960 1217485 ) M1M2_PR
NEW met1 ( 1034640 1217485 ) M1M2_PR
NEW met2 ( 1034640 1111850 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[20\] ( soc mprj_dat_i[20] ) ( mprj wbs_dat_o[20] )
+ ROUTED met1 ( 757200 1356975 ) ( 762960 1356975 )
NEW met2 ( 757200 1356975 ) ( 757200 1389350 0 )
NEW met2 ( 762960 1122025 ) ( 762960 1356975 )
NEW met2 ( 1032240 1108890 ) ( 1032240 1122025 )
NEW met1 ( 762960 1122025 ) ( 1032240 1122025 )
NEW met3 ( 1032240 1108890 ) ( 1052640 1108890 0 )
NEW met1 ( 762960 1122025 ) M1M2_PR
NEW met1 ( 762960 1356975 ) M1M2_PR
NEW met1 ( 757200 1356975 ) M1M2_PR
NEW met2 ( 1032240 1108890 ) via2_FR
NEW met1 ( 1032240 1122025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[21\] ( soc mprj_dat_i[21] ) ( mprj wbs_dat_o[21] )
+ ROUTED met2 ( 775440 1389350 0 ) ( 777360 1389350 )
NEW met2 ( 777360 1108705 ) ( 777360 1389350 )
NEW met2 ( 1022640 1105930 ) ( 1022640 1108705 )
NEW met1 ( 777360 1108705 ) ( 1022640 1108705 )
NEW met3 ( 1022640 1105930 ) ( 1052640 1105930 0 )
NEW met1 ( 777360 1108705 ) M1M2_PR
NEW met2 ( 1022640 1105930 ) via2_FR
NEW met1 ( 1022640 1108705 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[22\] ( soc mprj_dat_i[22] ) ( mprj wbs_dat_o[22] )
+ ROUTED met2 ( 1025040 1105190 ) ( 1025040 1108335 )
NEW met1 ( 806160 1108335 ) ( 1025040 1108335 )
NEW met3 ( 1052640 1103340 0 ) ( 1052640 1105190 )
NEW met3 ( 1025040 1105190 ) ( 1052640 1105190 )
NEW met3 ( 805920 1210270 ) ( 806160 1210270 )
NEW met3 ( 805920 1210270 ) ( 805920 1211750 )
NEW met3 ( 805920 1211750 ) ( 806160 1211750 )
NEW met2 ( 806160 1108335 ) ( 806160 1210270 )
NEW met1 ( 793200 1322565 ) ( 806160 1322565 )
NEW met2 ( 793200 1322565 ) ( 793200 1389350 0 )
NEW met2 ( 806160 1211750 ) ( 806160 1322565 )
NEW met1 ( 806160 1108335 ) M1M2_PR
NEW met2 ( 1025040 1105190 ) via2_FR
NEW met1 ( 1025040 1108335 ) M1M2_PR
NEW met2 ( 806160 1210270 ) via2_FR
NEW met2 ( 806160 1211750 ) via2_FR
NEW met1 ( 806160 1322565 ) M1M2_PR
NEW met1 ( 793200 1322565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[23\] ( soc mprj_dat_i[23] ) ( mprj wbs_dat_o[23] )
+ ROUTED met1 ( 810960 1365485 ) ( 820560 1365485 )
NEW met2 ( 810960 1365485 ) ( 810960 1389350 0 )
NEW met2 ( 820560 1107965 ) ( 820560 1365485 )
NEW met2 ( 1026960 1100750 ) ( 1026960 1107965 )
NEW met1 ( 820560 1107965 ) ( 1026960 1107965 )
NEW met3 ( 1026960 1100750 ) ( 1052640 1100750 0 )
NEW met1 ( 820560 1107965 ) M1M2_PR
NEW met1 ( 820560 1365485 ) M1M2_PR
NEW met1 ( 810960 1365485 ) M1M2_PR
NEW met2 ( 1026960 1100750 ) via2_FR
NEW met1 ( 1026960 1107965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[24\] ( soc mprj_dat_i[24] ) ( mprj wbs_dat_o[24] )
+ ROUTED met1 ( 829200 1360305 ) ( 834960 1360305 )
NEW met2 ( 829200 1360305 ) ( 829200 1389350 0 )
NEW met2 ( 834960 1107595 ) ( 834960 1360305 )
NEW met2 ( 1034640 1097790 ) ( 1034640 1107595 )
NEW met1 ( 834960 1107595 ) ( 1034640 1107595 )
NEW met3 ( 1034640 1097790 ) ( 1052640 1097790 0 )
NEW met1 ( 834960 1107595 ) M1M2_PR
NEW met1 ( 834960 1360305 ) M1M2_PR
NEW met1 ( 829200 1360305 ) M1M2_PR
NEW met2 ( 1034640 1097790 ) via2_FR
NEW met1 ( 1034640 1107595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[25\] ( soc mprj_dat_i[25] ) ( mprj wbs_dat_o[25] )
+ ROUTED met2 ( 1023120 1097050 ) ( 1023120 1107225 )
NEW met1 ( 849360 1107225 ) ( 1023120 1107225 )
NEW met2 ( 846480 1389350 0 ) ( 849360 1389350 )
NEW met2 ( 849360 1107225 ) ( 849360 1389350 )
NEW met3 ( 1052640 1095200 0 ) ( 1052640 1097050 )
NEW met3 ( 1023120 1097050 ) ( 1052640 1097050 )
NEW met1 ( 849360 1107225 ) M1M2_PR
NEW met2 ( 1023120 1097050 ) via2_FR
NEW met1 ( 1023120 1107225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[26\] ( soc mprj_dat_i[26] ) ( mprj wbs_dat_o[26] )
+ ROUTED met2 ( 1022640 1092610 ) ( 1022640 1094275 )
NEW met1 ( 878160 1094275 ) ( 1022640 1094275 )
NEW met1 ( 864720 1359565 ) ( 878160 1359565 )
NEW met2 ( 864720 1359565 ) ( 864720 1389350 0 )
NEW met2 ( 878160 1094275 ) ( 878160 1359565 )
NEW met3 ( 1022640 1092610 ) ( 1052640 1092610 0 )
NEW met1 ( 878160 1094275 ) M1M2_PR
NEW met2 ( 1022640 1092610 ) via2_FR
NEW met1 ( 1022640 1094275 ) M1M2_PR
NEW met1 ( 878160 1359565 ) M1M2_PR
NEW met1 ( 864720 1359565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[27\] ( soc mprj_dat_i[27] ) ( mprj wbs_dat_o[27] )
+ ROUTED met2 ( 1023120 1089650 ) ( 1023120 1093905 )
NEW met1 ( 892560 1093905 ) ( 1023120 1093905 )
NEW met1 ( 882480 1359565 ) ( 892560 1359565 )
NEW met2 ( 882480 1359565 ) ( 882480 1389350 0 )
NEW met2 ( 892560 1093905 ) ( 892560 1359565 )
NEW met3 ( 1023120 1089650 ) ( 1052640 1089650 0 )
NEW met1 ( 892560 1093905 ) M1M2_PR
NEW met2 ( 1023120 1089650 ) via2_FR
NEW met1 ( 1023120 1093905 ) M1M2_PR
NEW met1 ( 892560 1359565 ) M1M2_PR
NEW met1 ( 882480 1359565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[28\] ( soc mprj_dat_i[28] ) ( mprj wbs_dat_o[28] )
+ ROUTED met2 ( 1023600 1088910 ) ( 1023600 1093535 )
NEW met1 ( 906960 1093535 ) ( 1023600 1093535 )
NEW met1 ( 900240 1360305 ) ( 906960 1360305 )
NEW met2 ( 900240 1360305 ) ( 900240 1389350 0 )
NEW met3 ( 1052640 1087060 0 ) ( 1052640 1088910 )
NEW met3 ( 1023600 1088910 ) ( 1052640 1088910 )
NEW met1 ( 906960 1227475 ) ( 906960 1229325 )
NEW met2 ( 906960 1093535 ) ( 906960 1227475 )
NEW met2 ( 906960 1229325 ) ( 906960 1360305 )
NEW met1 ( 906960 1093535 ) M1M2_PR
NEW met2 ( 1023600 1088910 ) via2_FR
NEW met1 ( 1023600 1093535 ) M1M2_PR
NEW met1 ( 906960 1360305 ) M1M2_PR
NEW met1 ( 900240 1360305 ) M1M2_PR
NEW met1 ( 906960 1227475 ) M1M2_PR
NEW met1 ( 906960 1229325 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[29\] ( soc mprj_dat_i[29] ) ( mprj wbs_dat_o[29] )
+ ROUTED met2 ( 1026960 1084470 ) ( 1026960 1093165 )
NEW met1 ( 921360 1093165 ) ( 1026960 1093165 )
NEW met2 ( 918000 1389350 0 ) ( 921360 1389350 )
NEW met2 ( 921360 1093165 ) ( 921360 1389350 )
NEW met3 ( 1026960 1084470 ) ( 1052640 1084470 0 )
NEW met1 ( 921360 1093165 ) M1M2_PR
NEW met2 ( 1026960 1084470 ) via2_FR
NEW met1 ( 1026960 1093165 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[2\] ( soc mprj_dat_i[2] ) ( mprj wbs_dat_o[2] )
+ ROUTED met1 ( 424560 1360675 ) ( 431760 1360675 )
NEW met2 ( 424560 1360675 ) ( 424560 1389350 0 )
NEW met2 ( 431760 1217855 ) ( 431760 1360675 )
NEW met2 ( 1033680 1081510 ) ( 1033680 1217855 )
NEW met1 ( 431760 1217855 ) ( 1033680 1217855 )
NEW met3 ( 1033680 1081510 ) ( 1052640 1081510 0 )
NEW met1 ( 431760 1217855 ) M1M2_PR
NEW met1 ( 431760 1360675 ) M1M2_PR
NEW met1 ( 424560 1360675 ) M1M2_PR
NEW met1 ( 1033680 1217855 ) M1M2_PR
NEW met2 ( 1033680 1081510 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[30\] ( soc mprj_dat_i[30] ) ( mprj wbs_dat_o[30] )
+ ROUTED met2 ( 1024080 1079290 ) ( 1024080 1079845 )
NEW met1 ( 935760 1079845 ) ( 1024080 1079845 )
NEW met2 ( 935760 1079845 ) ( 935760 1389350 0 )
NEW met3 ( 1052640 1078920 0 ) ( 1052640 1079290 )
NEW met3 ( 1024080 1079290 ) ( 1052640 1079290 )
NEW met1 ( 935760 1079845 ) M1M2_PR
NEW met2 ( 1024080 1079290 ) via2_FR
NEW met1 ( 1024080 1079845 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[31\] ( soc mprj_dat_i[31] ) ( mprj wbs_dat_o[31] )
+ ROUTED met1 ( 954000 1359195 ) ( 964560 1359195 )
NEW met2 ( 954000 1359195 ) ( 954000 1389350 0 )
NEW met1 ( 964560 1079475 ) ( 1022640 1079475 )
NEW met2 ( 1022640 1076330 ) ( 1022640 1079475 )
NEW met2 ( 964560 1079475 ) ( 964560 1359195 )
NEW met3 ( 1022640 1076330 ) ( 1052640 1076330 0 )
NEW met1 ( 964560 1359195 ) M1M2_PR
NEW met1 ( 954000 1359195 ) M1M2_PR
NEW met1 ( 964560 1079475 ) M1M2_PR
NEW met1 ( 1022640 1079475 ) M1M2_PR
NEW met2 ( 1022640 1076330 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[3\] ( soc mprj_dat_i[3] ) ( mprj wbs_dat_o[3] )
+ ROUTED met2 ( 1030320 1145890 ) ( 1030320 1218225 )
NEW met2 ( 1030320 1145890 ) ( 1030800 1145890 )
NEW met1 ( 1030800 1123135 ) ( 1030800 1124245 )
NEW met2 ( 1030800 1073370 ) ( 1030800 1123135 )
NEW met2 ( 1030800 1124245 ) ( 1030800 1145890 )
NEW met1 ( 448080 1360305 ) ( 460560 1360305 )
NEW met2 ( 448080 1360305 ) ( 448080 1389350 0 )
NEW met2 ( 460560 1218225 ) ( 460560 1360305 )
NEW met1 ( 460560 1218225 ) ( 1030320 1218225 )
NEW met3 ( 1030800 1073370 ) ( 1052640 1073370 0 )
NEW met1 ( 1030320 1218225 ) M1M2_PR
NEW met1 ( 1030800 1124245 ) M1M2_PR
NEW met1 ( 1030800 1123135 ) M1M2_PR
NEW met2 ( 1030800 1073370 ) via2_FR
NEW met1 ( 460560 1218225 ) M1M2_PR
NEW met1 ( 460560 1360305 ) M1M2_PR
NEW met1 ( 448080 1360305 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[4\] ( soc mprj_dat_i[4] ) ( mprj wbs_dat_o[4] )
+ ROUTED met2 ( 1031760 1072630 ) ( 1031760 1218595 )
NEW met2 ( 472080 1389350 0 ) ( 474960 1389350 )
NEW met2 ( 474960 1218595 ) ( 474960 1389350 )
NEW met1 ( 474960 1218595 ) ( 1031760 1218595 )
NEW met3 ( 1052640 1070780 0 ) ( 1052640 1072630 )
NEW met3 ( 1031760 1072630 ) ( 1052640 1072630 )
NEW met1 ( 1031760 1218595 ) M1M2_PR
NEW met2 ( 1031760 1072630 ) via2_FR
NEW met1 ( 474960 1218595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[5\] ( soc mprj_dat_i[5] ) ( mprj wbs_dat_o[5] )
+ ROUTED met2 ( 1032720 1068190 ) ( 1032720 1218965 )
NEW met1 ( 490320 1364375 ) ( 503760 1364375 )
NEW met2 ( 490320 1364375 ) ( 490320 1389350 0 )
NEW met3 ( 1032720 1068190 ) ( 1052640 1068190 0 )
NEW met1 ( 500880 1264845 ) ( 503760 1264845 )
NEW met2 ( 500880 1218965 ) ( 500880 1264845 )
NEW met2 ( 503760 1264845 ) ( 503760 1364375 )
NEW met1 ( 500880 1218965 ) ( 1032720 1218965 )
NEW met1 ( 1032720 1218965 ) M1M2_PR
NEW met2 ( 1032720 1068190 ) via2_FR
NEW met1 ( 503760 1364375 ) M1M2_PR
NEW met1 ( 490320 1364375 ) M1M2_PR
NEW met1 ( 503760 1264845 ) M1M2_PR
NEW met1 ( 500880 1264845 ) M1M2_PR
NEW met1 ( 500880 1218965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[6\] ( soc mprj_dat_i[6] ) ( mprj wbs_dat_o[6] )
+ ROUTED met2 ( 1033200 1065230 ) ( 1033200 1219335 )
NEW met1 ( 507600 1364375 ) ( 518160 1364375 )
NEW met2 ( 507600 1364375 ) ( 507600 1389350 0 )
NEW met2 ( 518160 1219335 ) ( 518160 1364375 )
NEW met1 ( 518160 1219335 ) ( 1033200 1219335 )
NEW met3 ( 1033200 1065230 ) ( 1052640 1065230 0 )
NEW met1 ( 1033200 1219335 ) M1M2_PR
NEW met2 ( 1033200 1065230 ) via2_FR
NEW met1 ( 518160 1219335 ) M1M2_PR
NEW met1 ( 518160 1364375 ) M1M2_PR
NEW met1 ( 507600 1364375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[7\] ( soc mprj_dat_i[7] ) ( mprj wbs_dat_o[7] )
+ ROUTED met2 ( 1023120 1127390 ) ( 1024560 1127390 )
NEW met2 ( 1024560 1064490 ) ( 1024560 1127390 )
NEW met2 ( 1023120 1127390 ) ( 1023120 1219705 )
NEW met1 ( 525360 1363265 ) ( 532560 1363265 )
NEW met2 ( 525360 1363265 ) ( 525360 1389350 0 )
NEW met2 ( 532560 1219705 ) ( 532560 1363265 )
NEW met1 ( 532560 1219705 ) ( 1023120 1219705 )
NEW met3 ( 1052640 1062640 0 ) ( 1052640 1064490 )
NEW met3 ( 1024560 1064490 ) ( 1052640 1064490 )
NEW met1 ( 1023120 1219705 ) M1M2_PR
NEW met2 ( 1024560 1064490 ) via2_FR
NEW met1 ( 532560 1219705 ) M1M2_PR
NEW met1 ( 532560 1363265 ) M1M2_PR
NEW met1 ( 525360 1363265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[8\] ( soc mprj_dat_i[8] ) ( mprj wbs_dat_o[8] )
+ ROUTED met2 ( 543600 1389350 0 ) ( 546960 1389350 )
NEW met2 ( 546960 1220075 ) ( 546960 1389350 )
NEW met2 ( 1031280 1060050 ) ( 1031280 1220075 )
NEW met1 ( 546960 1220075 ) ( 1031280 1220075 )
NEW met3 ( 1031280 1060050 ) ( 1052640 1060050 0 )
NEW met1 ( 546960 1220075 ) M1M2_PR
NEW met1 ( 1031280 1220075 ) M1M2_PR
NEW met2 ( 1031280 1060050 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[9\] ( soc mprj_dat_i[9] ) ( mprj wbs_dat_o[9] )
+ ROUTED met2 ( 561360 1220445 ) ( 561360 1389350 0 )
NEW met1 ( 1032240 1122765 ) ( 1034160 1122765 )
NEW met2 ( 1034160 1057090 ) ( 1034160 1122765 )
NEW met2 ( 1032240 1122765 ) ( 1032240 1220445 )
NEW met1 ( 561360 1220445 ) ( 1032240 1220445 )
NEW met3 ( 1034160 1057090 ) ( 1052640 1057090 0 )
NEW met1 ( 561360 1220445 ) M1M2_PR
NEW met1 ( 1032240 1220445 ) M1M2_PR
NEW met1 ( 1032240 1122765 ) M1M2_PR
NEW met1 ( 1034160 1122765 ) M1M2_PR
NEW met2 ( 1034160 1057090 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_core\[0\] ( soc mprj_dat_o[0] ) ( mgmt_buffers mprj_dat_o_core[0] )
+ ROUTED met2 ( 1852800 1167350 0 ) ( 1854000 1167350 )
NEW met2 ( 1854000 1167350 ) ( 1854000 1184185 )
NEW met1 ( 1854000 1184185 ) ( 1905840 1184185 )
NEW met2 ( 1905840 1184185 ) ( 1905840 1232470 )
NEW met2 ( 1905840 1232470 ) ( 1907040 1232470 0 )
NEW met1 ( 1854000 1184185 ) M1M2_PR
NEW met1 ( 1905840 1184185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[10\] ( soc mprj_dat_o[10] ) ( mgmt_buffers mprj_dat_o_core[10] )
+ ROUTED met2 ( 1959600 1190845 ) ( 1959600 1232470 0 )
NEW met2 ( 1854480 1167350 0 ) ( 1854480 1190845 )
NEW met1 ( 1854480 1190845 ) ( 1959600 1190845 )
NEW met1 ( 1959600 1190845 ) M1M2_PR
NEW met1 ( 1854480 1190845 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[11\] ( soc mprj_dat_o[11] ) ( mgmt_buffers mprj_dat_o_core[11] )
+ ROUTED met2 ( 1962480 1190105 ) ( 1962480 1232470 )
NEW met2 ( 1962480 1232470 ) ( 1963680 1232470 0 )
NEW met2 ( 1856400 1167350 0 ) ( 1856400 1190105 )
NEW met1 ( 1856400 1190105 ) ( 1962480 1190105 )
NEW met1 ( 1962480 1190105 ) M1M2_PR
NEW met1 ( 1856400 1190105 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[12\] ( soc mprj_dat_o[12] ) ( mgmt_buffers mprj_dat_o_core[12] )
+ ROUTED met2 ( 1968240 1191955 ) ( 1968240 1232470 0 )
NEW met2 ( 1858320 1167350 0 ) ( 1858320 1191955 )
NEW met1 ( 1858320 1191955 ) ( 1968240 1191955 )
NEW met1 ( 1968240 1191955 ) M1M2_PR
NEW met1 ( 1858320 1191955 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[13\] ( soc mprj_dat_o[13] ) ( mgmt_buffers mprj_dat_o_core[13] )
+ ROUTED met2 ( 1971120 1190475 ) ( 1971120 1232470 )
NEW met2 ( 1971120 1232470 ) ( 1972320 1232470 0 )
NEW met2 ( 1860240 1167350 0 ) ( 1860240 1190475 )
NEW met1 ( 1860240 1190475 ) ( 1971120 1190475 )
NEW met1 ( 1971120 1190475 ) M1M2_PR
NEW met1 ( 1860240 1190475 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[14\] ( soc mprj_dat_o[14] ) ( mgmt_buffers mprj_dat_o_core[14] )
+ ROUTED met2 ( 1861920 1167350 0 ) ( 1863120 1167350 )
NEW met2 ( 1863120 1167350 ) ( 1863120 1193435 )
NEW met2 ( 1915440 1193250 ) ( 1915440 1193435 )
NEW met3 ( 1915440 1193250 ) ( 1976880 1193250 )
NEW met1 ( 1863120 1193435 ) ( 1915440 1193435 )
NEW met2 ( 1976880 1193250 ) ( 1976880 1232470 0 )
NEW met1 ( 1863120 1193435 ) M1M2_PR
NEW met1 ( 1915440 1193435 ) M1M2_PR
NEW met2 ( 1915440 1193250 ) via2_FR
NEW met2 ( 1976880 1193250 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_core\[15\] ( soc mprj_dat_o[15] ) ( mgmt_buffers mprj_dat_o_core[15] )
+ ROUTED met2 ( 1981200 1187145 ) ( 1981200 1232470 0 )
NEW met2 ( 1863840 1167350 0 ) ( 1865040 1167350 )
NEW met2 ( 1865040 1167350 ) ( 1865040 1187145 )
NEW met1 ( 1865040 1187145 ) ( 1981200 1187145 )
NEW met1 ( 1981200 1187145 ) M1M2_PR
NEW met1 ( 1865040 1187145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[16\] ( soc mprj_dat_o[16] ) ( mgmt_buffers mprj_dat_o_core[16] )
+ ROUTED met2 ( 1984560 1196025 ) ( 1984560 1232470 )
NEW met2 ( 1984560 1232470 ) ( 1985760 1232470 0 )
NEW met2 ( 1865520 1167350 0 ) ( 1865520 1200835 )
NEW met1 ( 1865520 1200835 ) ( 1934160 1200835 )
NEW met2 ( 1934160 1196025 ) ( 1934160 1200835 )
NEW met1 ( 1934160 1196025 ) ( 1984560 1196025 )
NEW met1 ( 1984560 1196025 ) M1M2_PR
NEW met1 ( 1865520 1200835 ) M1M2_PR
NEW met1 ( 1934160 1200835 ) M1M2_PR
NEW met1 ( 1934160 1196025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[17\] ( soc mprj_dat_o[17] ) ( mgmt_buffers mprj_dat_o_core[17] )
+ ROUTED met2 ( 1989840 1187515 ) ( 1989840 1232470 0 )
NEW met2 ( 1867440 1167350 0 ) ( 1867440 1187515 )
NEW met1 ( 1867440 1187515 ) ( 1989840 1187515 )
NEW met1 ( 1989840 1187515 ) M1M2_PR
NEW met1 ( 1867440 1187515 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[18\] ( soc mprj_dat_o[18] ) ( mgmt_buffers mprj_dat_o_core[18] )
+ ROUTED met2 ( 1993200 1232470 ) ( 1994400 1232470 0 )
NEW met2 ( 1869360 1167350 0 ) ( 1869360 1197875 )
NEW met1 ( 1869360 1197875 ) ( 1993200 1197875 )
NEW met2 ( 1993200 1197875 ) ( 1993200 1232470 )
NEW met1 ( 1869360 1197875 ) M1M2_PR
NEW met1 ( 1993200 1197875 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[19\] ( soc mprj_dat_o[19] ) ( mgmt_buffers mprj_dat_o_core[19] )
+ ROUTED met2 ( 1998480 1187885 ) ( 1998480 1232470 0 )
NEW met2 ( 1871280 1167350 0 ) ( 1871280 1187885 )
NEW met1 ( 1871280 1187885 ) ( 1998480 1187885 )
NEW met1 ( 1998480 1187885 ) M1M2_PR
NEW met1 ( 1871280 1187885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[1\] ( soc mprj_dat_o[1] ) ( mgmt_buffers mprj_dat_o_core[1] )
+ ROUTED met2 ( 1872960 1167350 0 ) ( 1874160 1167350 )
NEW met2 ( 1874160 1167350 ) ( 1874160 1198245 )
NEW met1 ( 1874160 1198245 ) ( 1913520 1198245 )
NEW met2 ( 1913520 1198245 ) ( 1913520 1232470 0 )
NEW met1 ( 1874160 1198245 ) M1M2_PR
NEW met1 ( 1913520 1198245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[20\] ( soc mprj_dat_o[20] ) ( mgmt_buffers mprj_dat_o_core[20] )
+ ROUTED met2 ( 1874880 1167350 0 ) ( 1876080 1167350 )
NEW met2 ( 1876080 1167350 ) ( 1876080 1195285 )
NEW met1 ( 1876080 1195285 ) ( 2003280 1195285 )
NEW met2 ( 2003280 1195285 ) ( 2003280 1232470 0 )
NEW met1 ( 1876080 1195285 ) M1M2_PR
NEW met1 ( 2003280 1195285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[21\] ( soc mprj_dat_o[21] ) ( mgmt_buffers mprj_dat_o_core[21] )
+ ROUTED met2 ( 2006160 1189735 ) ( 2006160 1232470 )
NEW met2 ( 2006160 1232470 ) ( 2007360 1232470 0 )
NEW met2 ( 1876560 1167350 0 ) ( 1876560 1189735 )
NEW met1 ( 1876560 1189735 ) ( 2006160 1189735 )
NEW met1 ( 2006160 1189735 ) M1M2_PR
NEW met1 ( 1876560 1189735 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[22\] ( soc mprj_dat_o[22] ) ( mgmt_buffers mprj_dat_o_core[22] )
+ ROUTED met2 ( 1878480 1167350 0 ) ( 1878480 1199355 )
NEW met1 ( 1878480 1199355 ) ( 1893840 1199355 )
NEW met1 ( 1893840 1199355 ) ( 1893840 1199725 )
NEW met2 ( 1915440 1199725 ) ( 1915440 1199910 )
NEW met3 ( 1915440 1199910 ) ( 2011920 1199910 )
NEW met1 ( 1893840 1199725 ) ( 1915440 1199725 )
NEW met2 ( 2011920 1199910 ) ( 2011920 1232470 0 )
NEW met1 ( 1878480 1199355 ) M1M2_PR
NEW met1 ( 1915440 1199725 ) M1M2_PR
NEW met2 ( 1915440 1199910 ) via2_FR
NEW met2 ( 2011920 1199910 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_core\[23\] ( soc mprj_dat_o[23] ) ( mgmt_buffers mprj_dat_o_core[23] )
+ ROUTED met2 ( 2016240 1201205 ) ( 2016240 1232470 )
NEW met2 ( 2016000 1232470 0 ) ( 2016240 1232470 )
NEW met2 ( 1880400 1167350 0 ) ( 1880400 1198615 )
NEW met1 ( 1880400 1198615 ) ( 1935120 1198615 )
NEW met2 ( 1935120 1198615 ) ( 1935120 1201205 )
NEW met1 ( 1935120 1201205 ) ( 2016240 1201205 )
NEW met1 ( 2016240 1201205 ) M1M2_PR
NEW met1 ( 1880400 1198615 ) M1M2_PR
NEW met1 ( 1935120 1198615 ) M1M2_PR
NEW met1 ( 1935120 1201205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[24\] ( soc mprj_dat_o[24] ) ( mgmt_buffers mprj_dat_o_core[24] )
+ ROUTED met2 ( 2020560 1200835 ) ( 2020560 1232470 0 )
NEW met2 ( 1882320 1167350 0 ) ( 1882320 1201205 )
NEW met1 ( 1882320 1201205 ) ( 1934640 1201205 )
NEW met1 ( 1934640 1200835 ) ( 1934640 1201205 )
NEW met1 ( 1934640 1200835 ) ( 2020560 1200835 )
NEW met1 ( 2020560 1200835 ) M1M2_PR
NEW met1 ( 1882320 1201205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[25\] ( soc mprj_dat_o[25] ) ( mgmt_buffers mprj_dat_o_core[25] )
+ ROUTED met2 ( 2024880 1208605 ) ( 2024880 1232470 0 )
NEW met2 ( 1884000 1167350 0 ) ( 1885200 1167350 )
NEW met2 ( 1885200 1167350 ) ( 1885200 1208605 )
NEW met1 ( 1885200 1208605 ) ( 2024880 1208605 )
NEW met1 ( 2024880 1208605 ) M1M2_PR
NEW met1 ( 1885200 1208605 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[26\] ( soc mprj_dat_o[26] ) ( mgmt_buffers mprj_dat_o_core[26] )
+ ROUTED met2 ( 2027760 1200095 ) ( 2027760 1232470 )
NEW met2 ( 2027760 1232470 ) ( 2028960 1232470 0 )
NEW met1 ( 1886160 1200095 ) ( 2027760 1200095 )
NEW met2 ( 1885920 1167350 0 ) ( 1885920 1168830 )
NEW met2 ( 1885920 1168830 ) ( 1886160 1168830 )
NEW met2 ( 1886160 1168830 ) ( 1886160 1200095 )
NEW met1 ( 2027760 1200095 ) M1M2_PR
NEW met1 ( 1886160 1200095 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[27\] ( soc mprj_dat_o[27] ) ( mgmt_buffers mprj_dat_o_core[27] )
+ ROUTED met2 ( 2033520 1196765 ) ( 2033520 1232470 0 )
NEW met2 ( 1887600 1167350 0 ) ( 1887600 1195655 )
NEW met1 ( 1887600 1195655 ) ( 1933680 1195655 )
NEW met2 ( 1933680 1195655 ) ( 1933680 1196765 )
NEW met1 ( 1933680 1196765 ) ( 2033520 1196765 )
NEW met1 ( 2033520 1196765 ) M1M2_PR
NEW met1 ( 1887600 1195655 ) M1M2_PR
NEW met1 ( 1933680 1195655 ) M1M2_PR
NEW met1 ( 1933680 1196765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[28\] ( soc mprj_dat_o[28] ) ( mgmt_buffers mprj_dat_o_core[28] )
+ ROUTED met2 ( 2037840 1201575 ) ( 2037840 1232470 0 )
NEW met2 ( 1889520 1167350 0 ) ( 1889520 1201575 )
NEW met1 ( 1889520 1201575 ) ( 2037840 1201575 )
NEW met1 ( 2037840 1201575 ) M1M2_PR
NEW met1 ( 1889520 1201575 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[29\] ( soc mprj_dat_o[29] ) ( mgmt_buffers mprj_dat_o_core[29] )
+ ROUTED met2 ( 2041200 1201945 ) ( 2041200 1232470 )
NEW met2 ( 2041200 1232470 ) ( 2042400 1232470 0 )
NEW met2 ( 1891440 1167350 0 ) ( 1891440 1201945 )
NEW met1 ( 1891440 1201945 ) ( 2041200 1201945 )
NEW met1 ( 2041200 1201945 ) M1M2_PR
NEW met1 ( 1891440 1201945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[2\] ( soc mprj_dat_o[2] ) ( mgmt_buffers mprj_dat_o_core[2] )
+ ROUTED met2 ( 1893360 1167350 0 ) ( 1893360 1196025 )
NEW met1 ( 1893360 1196025 ) ( 1919280 1196025 )
NEW met2 ( 1919280 1196025 ) ( 1919280 1232470 )
NEW met2 ( 1919280 1232470 ) ( 1920480 1232470 0 )
NEW met1 ( 1893360 1196025 ) M1M2_PR
NEW met1 ( 1919280 1196025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[30\] ( soc mprj_dat_o[30] ) ( mgmt_buffers mprj_dat_o_core[30] )
+ ROUTED met2 ( 2046480 1208975 ) ( 2046480 1232470 0 )
NEW met2 ( 1895040 1167350 0 ) ( 1896240 1167350 )
NEW met2 ( 1896240 1167350 ) ( 1896240 1208975 )
NEW met1 ( 1896240 1208975 ) ( 2046480 1208975 )
NEW met1 ( 2046480 1208975 ) M1M2_PR
NEW met1 ( 1896240 1208975 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[31\] ( soc mprj_dat_o[31] ) ( mgmt_buffers mprj_dat_o_core[31] )
+ ROUTED met2 ( 2049840 1208235 ) ( 2049840 1232470 )
NEW met2 ( 2049840 1232470 ) ( 2051040 1232470 0 )
NEW met2 ( 1896960 1167350 0 ) ( 1898160 1167350 )
NEW met2 ( 1898160 1167350 ) ( 1898160 1208235 )
NEW met1 ( 1898160 1208235 ) ( 2049840 1208235 )
NEW met1 ( 2049840 1208235 ) M1M2_PR
NEW met1 ( 1898160 1208235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[3\] ( soc mprj_dat_o[3] ) ( mgmt_buffers mprj_dat_o_core[3] )
+ ROUTED met2 ( 1898640 1167350 0 ) ( 1898640 1193805 )
NEW met1 ( 1898640 1193805 ) ( 1926960 1193805 )
NEW met2 ( 1926960 1193805 ) ( 1926960 1232470 0 )
NEW met1 ( 1898640 1193805 ) M1M2_PR
NEW met1 ( 1926960 1193805 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[4\] ( soc mprj_dat_o[4] ) ( mgmt_buffers mprj_dat_o_core[4] )
+ ROUTED met2 ( 1900560 1167350 0 ) ( 1900560 1196765 )
NEW met1 ( 1900560 1196765 ) ( 1933200 1196765 )
NEW met2 ( 1933200 1196765 ) ( 1933200 1232470 0 )
NEW met1 ( 1900560 1196765 ) M1M2_PR
NEW met1 ( 1933200 1196765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[5\] ( soc mprj_dat_o[5] ) ( mgmt_buffers mprj_dat_o_core[5] )
+ ROUTED met2 ( 1902480 1167350 0 ) ( 1902480 1194915 )
NEW met1 ( 1902480 1194915 ) ( 1938000 1194915 )
NEW met2 ( 1938000 1194915 ) ( 1938000 1232470 0 )
NEW met1 ( 1902480 1194915 ) M1M2_PR
NEW met1 ( 1938000 1194915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[6\] ( soc mprj_dat_o[6] ) ( mgmt_buffers mprj_dat_o_core[6] )
+ ROUTED met2 ( 1904400 1167350 0 ) ( 1904400 1199355 )
NEW met1 ( 1904400 1199355 ) ( 1940880 1199355 )
NEW met2 ( 1940880 1199355 ) ( 1940880 1232470 )
NEW met2 ( 1940880 1232470 ) ( 1942080 1232470 0 )
NEW met1 ( 1904400 1199355 ) M1M2_PR
NEW met1 ( 1940880 1199355 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[7\] ( soc mprj_dat_o[7] ) ( mgmt_buffers mprj_dat_o_core[7] )
+ ROUTED met2 ( 1946160 1194545 ) ( 1946160 1232470 0 )
NEW met2 ( 1906080 1167350 0 ) ( 1907280 1167350 )
NEW met2 ( 1907280 1167350 ) ( 1907280 1194545 )
NEW met1 ( 1907280 1194545 ) ( 1946160 1194545 )
NEW met1 ( 1946160 1194545 ) M1M2_PR
NEW met1 ( 1907280 1194545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[8\] ( soc mprj_dat_o[8] ) ( mgmt_buffers mprj_dat_o_core[8] )
+ ROUTED met2 ( 1949520 1232470 ) ( 1950720 1232470 0 )
NEW met2 ( 1908000 1167350 0 ) ( 1909200 1167350 )
NEW met2 ( 1909200 1167350 ) ( 1909200 1200465 )
NEW met2 ( 1915440 1200465 ) ( 1915440 1200650 )
NEW met3 ( 1915440 1200650 ) ( 1949520 1200650 )
NEW met1 ( 1909200 1200465 ) ( 1915440 1200465 )
NEW met2 ( 1949520 1200650 ) ( 1949520 1232470 )
NEW met1 ( 1909200 1200465 ) M1M2_PR
NEW met1 ( 1915440 1200465 ) M1M2_PR
NEW met2 ( 1915440 1200650 ) via2_FR
NEW met2 ( 1949520 1200650 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_core\[9\] ( soc mprj_dat_o[9] ) ( mgmt_buffers mprj_dat_o_core[9] )
+ ROUTED met2 ( 1954800 1183445 ) ( 1954800 1232470 0 )
NEW met2 ( 1909680 1167350 0 ) ( 1909680 1183445 )
NEW met1 ( 1909680 1183445 ) ( 1954800 1183445 )
NEW met1 ( 1954800 1183445 ) M1M2_PR
NEW met1 ( 1909680 1183445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[0\] ( mprj wbs_dat_i[0] ) ( mgmt_buffers mprj_dat_o_user[0] )
+ ROUTED met2 ( 370800 1360490 ) ( 370800 1389350 0 )
NEW met3 ( 1873440 1359750 ) ( 1873440 1360490 )
NEW met3 ( 370800 1360490 ) ( 1873440 1360490 )
NEW met2 ( 1917360 1325710 ) ( 1917360 1359750 )
NEW met2 ( 1915920 1325710 0 ) ( 1917360 1325710 )
NEW met3 ( 1873440 1359750 ) ( 1917360 1359750 )
NEW met2 ( 370800 1360490 ) via2_FR
NEW met2 ( 1917360 1359750 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[10\] ( mprj wbs_dat_i[10] ) ( mgmt_buffers mprj_dat_o_user[10] )
+ ROUTED met1 ( 590160 1362525 ) ( 590160 1363265 )
NEW met1 ( 573360 1363265 ) ( 590160 1363265 )
NEW met2 ( 573360 1363265 ) ( 573360 1389350 0 )
NEW met1 ( 1945680 1366965 ) ( 1945680 1367335 )
NEW met1 ( 1945680 1366965 ) ( 1968240 1366965 )
NEW met2 ( 1968240 1325710 0 ) ( 1968240 1366965 )
NEW met2 ( 1895280 1362525 ) ( 1895280 1362895 )
NEW met2 ( 1895280 1362895 ) ( 1895760 1362895 )
NEW met2 ( 1895760 1362895 ) ( 1895760 1367335 )
NEW met1 ( 590160 1362525 ) ( 1895280 1362525 )
NEW met1 ( 1895760 1367335 ) ( 1945680 1367335 )
NEW met1 ( 573360 1363265 ) M1M2_PR
NEW met1 ( 1968240 1366965 ) M1M2_PR
NEW met1 ( 1895280 1362525 ) M1M2_PR
NEW met1 ( 1895760 1367335 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[11\] ( mprj wbs_dat_i[11] ) ( mgmt_buffers mprj_dat_o_user[11] )
+ ROUTED met2 ( 590640 1362895 ) ( 590640 1389350 0 )
NEW met2 ( 1971120 1325710 ) ( 1972320 1325710 0 )
NEW met2 ( 1971120 1325710 ) ( 1971120 1364745 )
NEW met2 ( 1893360 1362895 ) ( 1893360 1364375 )
NEW met2 ( 1893360 1364375 ) ( 1893840 1364375 )
NEW met2 ( 1893840 1364375 ) ( 1893840 1364745 )
NEW met1 ( 590640 1362895 ) ( 1893360 1362895 )
NEW met1 ( 1893840 1364745 ) ( 1971120 1364745 )
NEW met1 ( 590640 1362895 ) M1M2_PR
NEW met1 ( 1971120 1364745 ) M1M2_PR
NEW met1 ( 1893360 1362895 ) M1M2_PR
NEW met1 ( 1893840 1364745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[12\] ( mprj wbs_dat_i[12] ) ( mgmt_buffers mprj_dat_o_user[12] )
+ ROUTED met2 ( 608880 1358270 ) ( 608880 1389350 0 )
NEW met2 ( 734640 1353830 ) ( 734640 1358270 )
NEW met3 ( 734640 1353830 ) ( 834000 1353830 )
NEW met2 ( 834000 1353830 ) ( 834000 1358270 )
NEW met2 ( 936240 1358270 ) ( 936240 1367890 )
NEW met3 ( 936240 1367890 ) ( 1036560 1367890 )
NEW met2 ( 1036560 1358270 ) ( 1036560 1367890 )
NEW met2 ( 1137840 1355310 ) ( 1137840 1358270 )
NEW met3 ( 1137840 1355310 ) ( 1238160 1355310 )
NEW met2 ( 1238160 1355310 ) ( 1238160 1358270 )
NEW met2 ( 1339440 1356790 ) ( 1339440 1358270 )
NEW met2 ( 1976880 1325710 0 ) ( 1976880 1364930 )
NEW met3 ( 608880 1358270 ) ( 734640 1358270 )
NEW met3 ( 834000 1358270 ) ( 936240 1358270 )
NEW met3 ( 1036560 1358270 ) ( 1137840 1358270 )
NEW met3 ( 1238160 1358270 ) ( 1339440 1358270 )
NEW met2 ( 1613040 1356050 ) ( 1613040 1357530 )
NEW met3 ( 1613040 1356050 ) ( 1639920 1356050 )
NEW met2 ( 1639920 1356050 ) ( 1639920 1358270 )
NEW met2 ( 1639920 1358270 ) ( 1640880 1358270 )
NEW met2 ( 1640880 1357345 ) ( 1640880 1358270 )
NEW met1 ( 1640880 1357345 ) ( 1645200 1357345 )
NEW met2 ( 1645200 1357345 ) ( 1645200 1358270 )
NEW met2 ( 1612560 1356790 ) ( 1612560 1357530 )
NEW met3 ( 1339440 1356790 ) ( 1612560 1356790 )
NEW met2 ( 1612560 1357530 ) ( 1613040 1357530 )
NEW met4 ( 1895520 1358270 ) ( 1895520 1360305 )
NEW met4 ( 1895520 1360305 ) ( 1898400 1360305 )
NEW met4 ( 1898400 1360305 ) ( 1898400 1364930 )
NEW met3 ( 1645200 1358270 ) ( 1895520 1358270 )
NEW met3 ( 1898400 1364930 ) ( 1976880 1364930 )
NEW met2 ( 608880 1358270 ) via2_FR
NEW met2 ( 734640 1358270 ) via2_FR
NEW met2 ( 734640 1353830 ) via2_FR
NEW met2 ( 834000 1353830 ) via2_FR
NEW met2 ( 834000 1358270 ) via2_FR
NEW met2 ( 936240 1358270 ) via2_FR
NEW met2 ( 936240 1367890 ) via2_FR
NEW met2 ( 1036560 1367890 ) via2_FR
NEW met2 ( 1036560 1358270 ) via2_FR
NEW met2 ( 1137840 1358270 ) via2_FR
NEW met2 ( 1137840 1355310 ) via2_FR
NEW met2 ( 1238160 1355310 ) via2_FR
NEW met2 ( 1238160 1358270 ) via2_FR
NEW met2 ( 1339440 1358270 ) via2_FR
NEW met2 ( 1339440 1356790 ) via2_FR
NEW met2 ( 1976880 1364930 ) via2_FR
NEW met2 ( 1613040 1356050 ) via2_FR
NEW met2 ( 1639920 1356050 ) via2_FR
NEW met1 ( 1640880 1357345 ) M1M2_PR
NEW met1 ( 1645200 1357345 ) M1M2_PR
NEW met2 ( 1645200 1358270 ) via2_FR
NEW met2 ( 1612560 1356790 ) via2_FR
NEW met3 ( 1895520 1358270 ) M3M4_PR_M
NEW met3 ( 1898400 1364930 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_dat_o_user\[13\] ( mprj wbs_dat_i[13] ) ( mgmt_buffers mprj_dat_o_user[13] )
+ ROUTED met2 ( 626640 1363265 ) ( 626640 1389350 0 )
NEW met2 ( 1944720 1358270 ) ( 1944720 1358455 )
NEW met1 ( 1944720 1358455 ) ( 1981200 1358455 )
NEW met2 ( 1981200 1325710 0 ) ( 1981200 1358455 )
NEW met2 ( 1943280 1358270 ) ( 1943280 1358825 )
NEW met3 ( 1943280 1358270 ) ( 1944720 1358270 )
NEW met2 ( 1890000 1362155 ) ( 1890000 1363265 )
NEW met2 ( 1890000 1362155 ) ( 1890960 1362155 )
NEW met2 ( 1890960 1358825 ) ( 1890960 1362155 )
NEW met1 ( 626640 1363265 ) ( 1890000 1363265 )
NEW met1 ( 1890960 1358825 ) ( 1943280 1358825 )
NEW met1 ( 626640 1363265 ) M1M2_PR
NEW met2 ( 1944720 1358270 ) via2_FR
NEW met1 ( 1944720 1358455 ) M1M2_PR
NEW met1 ( 1981200 1358455 ) M1M2_PR
NEW met2 ( 1943280 1358270 ) via2_FR
NEW met1 ( 1943280 1358825 ) M1M2_PR
NEW met1 ( 1890000 1363265 ) M1M2_PR
NEW met1 ( 1890960 1358825 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[14\] ( mprj wbs_dat_i[14] ) ( mgmt_buffers mprj_dat_o_user[14] )
+ ROUTED met2 ( 1985520 1325710 ) ( 1985760 1325710 0 )
NEW met2 ( 1985520 1325710 ) ( 1985520 1365855 )
NEW met2 ( 644880 1363635 ) ( 644880 1389350 0 )
NEW met2 ( 1892880 1363635 ) ( 1892880 1365855 )
NEW met2 ( 1892880 1365855 ) ( 1893840 1365855 )
NEW met1 ( 1893840 1365855 ) ( 1985520 1365855 )
NEW met2 ( 802800 1362710 ) ( 802800 1363635 )
NEW met2 ( 802800 1362710 ) ( 806160 1362710 )
NEW met2 ( 806160 1362710 ) ( 806160 1363635 )
NEW met1 ( 644880 1363635 ) ( 802800 1363635 )
NEW met1 ( 806160 1363635 ) ( 1892880 1363635 )
NEW met1 ( 1985520 1365855 ) M1M2_PR
NEW met1 ( 644880 1363635 ) M1M2_PR
NEW met1 ( 1892880 1363635 ) M1M2_PR
NEW met1 ( 1893840 1365855 ) M1M2_PR
NEW met1 ( 802800 1363635 ) M1M2_PR
NEW met1 ( 806160 1363635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[15\] ( mprj wbs_dat_i[15] ) ( mgmt_buffers mprj_dat_o_user[15] )
+ ROUTED met2 ( 1541520 1339955 ) ( 1541520 1347725 )
NEW met1 ( 1541520 1347725 ) ( 1584240 1347725 )
NEW met2 ( 1584240 1347725 ) ( 1584240 1355865 )
NEW met1 ( 1584240 1355865 ) ( 1636080 1355865 )
NEW met2 ( 1636080 1354015 ) ( 1636080 1355865 )
NEW met2 ( 1438320 1333665 ) ( 1438320 1333850 )
NEW met2 ( 662640 1333850 ) ( 662640 1389350 0 )
NEW met1 ( 1483440 1339955 ) ( 1541520 1339955 )
NEW met3 ( 662640 1333850 ) ( 1438320 1333850 )
NEW met1 ( 1438320 1333665 ) ( 1483440 1333665 )
NEW met2 ( 1483440 1333665 ) ( 1483440 1339955 )
NEW met2 ( 1864560 1354015 ) ( 1864560 1355495 )
NEW met1 ( 1636080 1354015 ) ( 1864560 1354015 )
NEW met2 ( 1989840 1325710 0 ) ( 1990320 1325710 )
NEW met2 ( 1990320 1325710 ) ( 1990320 1355865 )
NEW met1 ( 1951440 1355865 ) ( 1990320 1355865 )
NEW met1 ( 1951440 1355495 ) ( 1951440 1355865 )
NEW met1 ( 1864560 1355495 ) ( 1951440 1355495 )
NEW met2 ( 662640 1333850 ) via2_FR
NEW met1 ( 1541520 1339955 ) M1M2_PR
NEW met1 ( 1541520 1347725 ) M1M2_PR
NEW met1 ( 1584240 1347725 ) M1M2_PR
NEW met1 ( 1584240 1355865 ) M1M2_PR
NEW met1 ( 1636080 1355865 ) M1M2_PR
NEW met1 ( 1636080 1354015 ) M1M2_PR
NEW met1 ( 1438320 1333665 ) M1M2_PR
NEW met2 ( 1438320 1333850 ) via2_FR
NEW met1 ( 1483440 1339955 ) M1M2_PR
NEW met1 ( 1483440 1333665 ) M1M2_PR
NEW met1 ( 1864560 1354015 ) M1M2_PR
NEW met1 ( 1864560 1355495 ) M1M2_PR
NEW met1 ( 1990320 1355865 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[16\] ( mprj wbs_dat_i[16] ) ( mgmt_buffers mprj_dat_o_user[16] )
+ ROUTED met2 ( 679920 1364005 ) ( 679920 1389350 0 )
NEW met2 ( 1994400 1325710 0 ) ( 1995600 1325710 )
NEW met2 ( 1995600 1325710 ) ( 1995600 1326450 )
NEW met2 ( 1995600 1326450 ) ( 1996080 1326450 )
NEW met2 ( 1996080 1326450 ) ( 1996080 1361415 )
NEW met1 ( 1959600 1361415 ) ( 1996080 1361415 )
NEW met1 ( 1959600 1361415 ) ( 1959600 1361785 )
NEW met2 ( 1852080 1361415 ) ( 1852080 1364005 )
NEW met1 ( 1852080 1361415 ) ( 1893360 1361415 )
NEW met1 ( 1893360 1361415 ) ( 1893360 1361785 )
NEW met1 ( 679920 1364005 ) ( 1852080 1364005 )
NEW met1 ( 1893360 1361785 ) ( 1959600 1361785 )
NEW met1 ( 679920 1364005 ) M1M2_PR
NEW met1 ( 1996080 1361415 ) M1M2_PR
NEW met1 ( 1852080 1364005 ) M1M2_PR
NEW met1 ( 1852080 1361415 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[17\] ( mprj wbs_dat_i[17] ) ( mgmt_buffers mprj_dat_o_user[17] )
+ ROUTED met1 ( 1956240 1361045 ) ( 1956240 1361415 )
NEW met1 ( 1956240 1361045 ) ( 1998480 1361045 )
NEW met2 ( 1998480 1325710 0 ) ( 1998480 1361045 )
NEW met2 ( 698160 1365115 ) ( 698160 1389350 0 )
NEW met1 ( 1815600 1364745 ) ( 1815600 1365115 )
NEW met1 ( 1815600 1365115 ) ( 1897680 1365115 )
NEW met2 ( 1897680 1361415 ) ( 1897680 1365115 )
NEW met1 ( 1897680 1361415 ) ( 1956240 1361415 )
NEW met1 ( 733200 1364375 ) ( 733200 1365115 )
NEW met1 ( 733200 1364375 ) ( 794640 1364375 )
NEW met1 ( 794640 1364375 ) ( 794640 1364745 )
NEW met1 ( 698160 1365115 ) ( 733200 1365115 )
NEW met2 ( 1397040 1364745 ) ( 1397040 1364930 )
NEW met2 ( 1397040 1364930 ) ( 1398000 1364930 )
NEW met2 ( 1398000 1364745 ) ( 1398000 1364930 )
NEW met1 ( 794640 1364745 ) ( 1397040 1364745 )
NEW met1 ( 1398000 1364745 ) ( 1815600 1364745 )
NEW met1 ( 1998480 1361045 ) M1M2_PR
NEW met1 ( 698160 1365115 ) M1M2_PR
NEW met1 ( 1897680 1365115 ) M1M2_PR
NEW met1 ( 1897680 1361415 ) M1M2_PR
NEW met1 ( 1397040 1364745 ) M1M2_PR
NEW met1 ( 1398000 1364745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[18\] ( mprj wbs_dat_i[18] ) ( mgmt_buffers mprj_dat_o_user[18] )
+ ROUTED met1 ( 1953840 1360675 ) ( 1953840 1361045 )
NEW met1 ( 1953840 1360675 ) ( 2003280 1360675 )
NEW met2 ( 2003280 1325710 0 ) ( 2003280 1360675 )
NEW met2 ( 806160 1364190 ) ( 806160 1364375 )
NEW met2 ( 803760 1364190 ) ( 806160 1364190 )
NEW met2 ( 803760 1364190 ) ( 803760 1368075 )
NEW met1 ( 715920 1368075 ) ( 803760 1368075 )
NEW met2 ( 715920 1368075 ) ( 715920 1389350 0 )
NEW met2 ( 1890960 1364375 ) ( 1891440 1364375 )
NEW met2 ( 1891440 1362895 ) ( 1891440 1364375 )
NEW met2 ( 1891440 1362895 ) ( 1892400 1362895 )
NEW met2 ( 1892400 1360675 ) ( 1892400 1362895 )
NEW met2 ( 1892400 1360675 ) ( 1893360 1360675 )
NEW met2 ( 1893360 1360675 ) ( 1893360 1361045 )
NEW met1 ( 1893360 1361045 ) ( 1893840 1361045 )
NEW met1 ( 1893840 1361045 ) ( 1893840 1361415 )
NEW met1 ( 1893840 1361415 ) ( 1896720 1361415 )
NEW met1 ( 1896720 1361045 ) ( 1896720 1361415 )
NEW met1 ( 806160 1364375 ) ( 1890960 1364375 )
NEW met1 ( 1896720 1361045 ) ( 1953840 1361045 )
NEW met1 ( 2003280 1360675 ) M1M2_PR
NEW met1 ( 806160 1364375 ) M1M2_PR
NEW met1 ( 803760 1368075 ) M1M2_PR
NEW met1 ( 715920 1368075 ) M1M2_PR
NEW met1 ( 1890960 1364375 ) M1M2_PR
NEW met1 ( 1893360 1361045 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[19\] ( mprj wbs_dat_i[19] ) ( mgmt_buffers mprj_dat_o_user[19] )
+ ROUTED met1 ( 1953360 1360305 ) ( 1953360 1360675 )
NEW met1 ( 1953360 1360305 ) ( 2006640 1360305 )
NEW met2 ( 2006640 1325710 ) ( 2007360 1325710 0 )
NEW met2 ( 2006640 1325710 ) ( 2006640 1360305 )
NEW met2 ( 733680 1364745 ) ( 733680 1389350 0 )
NEW met2 ( 1512240 1358270 ) ( 1512240 1365115 )
NEW met2 ( 794160 1364745 ) ( 794160 1368445 )
NEW met1 ( 794160 1368445 ) ( 891600 1368445 )
NEW met2 ( 891600 1364930 ) ( 891600 1368445 )
NEW met2 ( 891600 1364930 ) ( 892560 1364930 )
NEW met2 ( 892560 1364930 ) ( 892560 1365115 )
NEW met1 ( 733680 1364745 ) ( 794160 1364745 )
NEW met1 ( 892560 1365115 ) ( 1512240 1365115 )
NEW met4 ( 1620960 1353645 ) ( 1620960 1358270 )
NEW met5 ( 1620960 1353645 ) ( 1655520 1353645 )
NEW met4 ( 1655520 1353645 ) ( 1655520 1356050 )
NEW met3 ( 1655520 1356050 ) ( 1698960 1356050 )
NEW met2 ( 1698960 1356050 ) ( 1698960 1365115 )
NEW met3 ( 1512240 1358270 ) ( 1620960 1358270 )
NEW met2 ( 1814640 1365115 ) ( 1816080 1365115 )
NEW met2 ( 1816080 1364745 ) ( 1816080 1365115 )
NEW met1 ( 1816080 1364745 ) ( 1891440 1364745 )
NEW met1 ( 1891440 1364375 ) ( 1891440 1364745 )
NEW met1 ( 1891440 1364375 ) ( 1894800 1364375 )
NEW met2 ( 1894800 1361045 ) ( 1894800 1364375 )
NEW met1 ( 1894800 1361045 ) ( 1896240 1361045 )
NEW met1 ( 1896240 1360675 ) ( 1896240 1361045 )
NEW met1 ( 1896240 1360675 ) ( 1953360 1360675 )
NEW met1 ( 1698960 1365115 ) ( 1814640 1365115 )
NEW met1 ( 2006640 1360305 ) M1M2_PR
NEW met1 ( 733680 1364745 ) M1M2_PR
NEW met1 ( 1512240 1365115 ) M1M2_PR
NEW met2 ( 1512240 1358270 ) via2_FR
NEW met1 ( 794160 1364745 ) M1M2_PR
NEW met1 ( 794160 1368445 ) M1M2_PR
NEW met1 ( 891600 1368445 ) M1M2_PR
NEW met1 ( 892560 1365115 ) M1M2_PR
NEW met3 ( 1620960 1358270 ) M3M4_PR_M
NEW met4 ( 1620960 1353645 ) via4_FR
NEW met4 ( 1655520 1353645 ) via4_FR
NEW met3 ( 1655520 1356050 ) M3M4_PR_M
NEW met2 ( 1698960 1356050 ) via2_FR
NEW met1 ( 1698960 1365115 ) M1M2_PR
NEW met1 ( 1814640 1365115 ) M1M2_PR
NEW met1 ( 1816080 1364745 ) M1M2_PR
NEW met1 ( 1894800 1364375 ) M1M2_PR
NEW met1 ( 1894800 1361045 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[1\] ( mprj wbs_dat_i[1] ) ( mgmt_buffers mprj_dat_o_user[1] )
+ ROUTED met2 ( 394800 1363450 ) ( 394800 1389350 0 )
NEW met2 ( 783600 1355310 ) ( 783600 1363450 )
NEW met2 ( 986160 1355310 ) ( 986160 1363450 )
NEW met2 ( 1187760 1356790 ) ( 1187760 1364190 )
NEW met3 ( 394800 1363450 ) ( 783600 1363450 )
NEW met2 ( 886320 1355310 ) ( 886320 1363450 )
NEW met3 ( 783600 1355310 ) ( 886320 1355310 )
NEW met3 ( 886320 1363450 ) ( 986160 1363450 )
NEW met3 ( 1086240 1355310 ) ( 1086240 1356790 )
NEW met3 ( 986160 1355310 ) ( 1086240 1355310 )
NEW met3 ( 1086240 1356790 ) ( 1187760 1356790 )
NEW met3 ( 1241760 1363450 ) ( 1241760 1364190 )
NEW met3 ( 1187760 1364190 ) ( 1241760 1364190 )
NEW met2 ( 1922160 1325710 0 ) ( 1922160 1365670 )
NEW met2 ( 1843440 1363450 ) ( 1843440 1367335 )
NEW met1 ( 1843440 1367335 ) ( 1845840 1367335 )
NEW met2 ( 1845840 1367150 ) ( 1845840 1367335 )
NEW met3 ( 1845840 1367150 ) ( 1896240 1367150 )
NEW met2 ( 1896240 1364930 ) ( 1896240 1367150 )
NEW met3 ( 1896240 1364930 ) ( 1897440 1364930 )
NEW met3 ( 1897440 1364930 ) ( 1897440 1365670 )
NEW met3 ( 1241760 1363450 ) ( 1843440 1363450 )
NEW met3 ( 1897440 1365670 ) ( 1922160 1365670 )
NEW met2 ( 394800 1363450 ) via2_FR
NEW met2 ( 783600 1363450 ) via2_FR
NEW met2 ( 783600 1355310 ) via2_FR
NEW met2 ( 986160 1363450 ) via2_FR
NEW met2 ( 986160 1355310 ) via2_FR
NEW met2 ( 1187760 1356790 ) via2_FR
NEW met2 ( 1187760 1364190 ) via2_FR
NEW met2 ( 886320 1355310 ) via2_FR
NEW met2 ( 886320 1363450 ) via2_FR
NEW met2 ( 1922160 1365670 ) via2_FR
NEW met2 ( 1843440 1363450 ) via2_FR
NEW met1 ( 1843440 1367335 ) M1M2_PR
NEW met1 ( 1845840 1367335 ) M1M2_PR
NEW met2 ( 1845840 1367150 ) via2_FR
NEW met2 ( 1896240 1367150 ) via2_FR
NEW met2 ( 1896240 1364930 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[20\] ( mprj wbs_dat_i[20] ) ( mgmt_buffers mprj_dat_o_user[20] )
+ ROUTED met2 ( 821520 1360305 ) ( 821520 1365855 )
NEW met1 ( 751440 1360305 ) ( 821520 1360305 )
NEW met2 ( 751440 1360305 ) ( 751440 1389350 0 )
NEW met2 ( 2011920 1325710 0 ) ( 2011920 1363265 )
NEW met2 ( 1891920 1363265 ) ( 1891920 1365855 )
NEW met1 ( 1891920 1363265 ) ( 1893840 1363265 )
NEW met1 ( 1893840 1362895 ) ( 1893840 1363265 )
NEW met1 ( 1893840 1362895 ) ( 1895760 1362895 )
NEW met1 ( 1895760 1362895 ) ( 1895760 1363265 )
NEW met1 ( 821520 1365855 ) ( 1891920 1365855 )
NEW met1 ( 1895760 1363265 ) ( 2011920 1363265 )
NEW met1 ( 821520 1365855 ) M1M2_PR
NEW met1 ( 821520 1360305 ) M1M2_PR
NEW met1 ( 751440 1360305 ) M1M2_PR
NEW met1 ( 2011920 1363265 ) M1M2_PR
NEW met1 ( 1891920 1365855 ) M1M2_PR
NEW met1 ( 1891920 1363265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[21\] ( mprj wbs_dat_i[21] ) ( mgmt_buffers mprj_dat_o_user[21] )
+ ROUTED met1 ( 821040 1365485 ) ( 821040 1365855 )
NEW met1 ( 806640 1365855 ) ( 821040 1365855 )
NEW met2 ( 806640 1365855 ) ( 806640 1366410 )
NEW met2 ( 2016000 1325710 0 ) ( 2016720 1325710 )
NEW met2 ( 2016720 1325710 ) ( 2016720 1365485 )
NEW met2 ( 1815120 1365485 ) ( 1815120 1365670 )
NEW met2 ( 1815120 1365670 ) ( 1817040 1365670 )
NEW met2 ( 1817040 1365485 ) ( 1817040 1365670 )
NEW met1 ( 821040 1365485 ) ( 1815120 1365485 )
NEW met1 ( 1817040 1365485 ) ( 2016720 1365485 )
NEW met2 ( 806160 1366410 ) ( 806160 1372885 )
NEW met1 ( 769200 1372885 ) ( 806160 1372885 )
NEW met2 ( 769200 1372885 ) ( 769200 1389350 0 )
NEW met2 ( 806160 1366410 ) ( 806640 1366410 )
NEW met1 ( 806640 1365855 ) M1M2_PR
NEW met1 ( 2016720 1365485 ) M1M2_PR
NEW met1 ( 1815120 1365485 ) M1M2_PR
NEW met1 ( 1817040 1365485 ) M1M2_PR
NEW met1 ( 806160 1372885 ) M1M2_PR
NEW met1 ( 769200 1372885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[22\] ( mprj wbs_dat_i[22] ) ( mgmt_buffers mprj_dat_o_user[22] )
+ ROUTED met2 ( 787440 1366595 ) ( 787440 1389350 0 )
NEW met2 ( 2020560 1325710 0 ) ( 2020560 1366595 )
NEW met1 ( 787440 1366595 ) ( 2020560 1366595 )
NEW met1 ( 787440 1366595 ) M1M2_PR
NEW met1 ( 2020560 1366595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[23\] ( mprj wbs_dat_i[23] ) ( mgmt_buffers mprj_dat_o_user[23] )
+ ROUTED met2 ( 805200 1366965 ) ( 805200 1389350 0 )
NEW met2 ( 1945200 1362710 ) ( 1945200 1366965 )
NEW met3 ( 1945200 1362710 ) ( 1950000 1362710 )
NEW met2 ( 1950000 1362525 ) ( 1950000 1362710 )
NEW met1 ( 1950000 1362525 ) ( 1955280 1362525 )
NEW met1 ( 1955280 1362525 ) ( 1955280 1362895 )
NEW met1 ( 1955280 1362895 ) ( 2024880 1362895 )
NEW met2 ( 2024880 1325710 0 ) ( 2024880 1362895 )
NEW met2 ( 1890000 1366965 ) ( 1890000 1367150 )
NEW met2 ( 1890000 1367150 ) ( 1891920 1367150 )
NEW met2 ( 1891920 1366965 ) ( 1891920 1367150 )
NEW met1 ( 805200 1366965 ) ( 1890000 1366965 )
NEW met1 ( 1891920 1366965 ) ( 1945200 1366965 )
NEW met1 ( 805200 1366965 ) M1M2_PR
NEW met1 ( 1945200 1366965 ) M1M2_PR
NEW met2 ( 1945200 1362710 ) via2_FR
NEW met2 ( 1950000 1362710 ) via2_FR
NEW met1 ( 1950000 1362525 ) M1M2_PR
NEW met1 ( 2024880 1362895 ) M1M2_PR
NEW met1 ( 1890000 1366965 ) M1M2_PR
NEW met1 ( 1891920 1366965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[24\] ( mprj wbs_dat_i[24] ) ( mgmt_buffers mprj_dat_o_user[24] )
+ ROUTED met2 ( 822960 1359935 ) ( 822960 1389350 0 )
NEW met2 ( 2027760 1325710 ) ( 2028960 1325710 0 )
NEW met2 ( 2027760 1325710 ) ( 2027760 1359935 )
NEW met1 ( 822960 1359935 ) ( 2027760 1359935 )
NEW met1 ( 822960 1359935 ) M1M2_PR
NEW met1 ( 2027760 1359935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[25\] ( mprj wbs_dat_i[25] ) ( mgmt_buffers mprj_dat_o_user[25] )
+ ROUTED met2 ( 1952880 1354385 ) ( 1952880 1360305 )
NEW met1 ( 1952880 1354385 ) ( 2033520 1354385 )
NEW met2 ( 2033520 1325710 0 ) ( 2033520 1354385 )
NEW met2 ( 907440 1356605 ) ( 907440 1360305 )
NEW met1 ( 840720 1356605 ) ( 907440 1356605 )
NEW met2 ( 840720 1356605 ) ( 840720 1389350 0 )
NEW met1 ( 907440 1360305 ) ( 1952880 1360305 )
NEW met1 ( 1952880 1360305 ) M1M2_PR
NEW met1 ( 1952880 1354385 ) M1M2_PR
NEW met1 ( 2033520 1354385 ) M1M2_PR
NEW met1 ( 907440 1360305 ) M1M2_PR
NEW met1 ( 907440 1356605 ) M1M2_PR
NEW met1 ( 840720 1356605 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[26\] ( mprj wbs_dat_i[26] ) ( mgmt_buffers mprj_dat_o_user[26] )
+ ROUTED met2 ( 1944240 1359565 ) ( 1944240 1364375 )
NEW met1 ( 1944240 1364375 ) ( 1962960 1364375 )
NEW met1 ( 1962960 1364005 ) ( 1962960 1364375 )
NEW met1 ( 1962960 1364005 ) ( 2037840 1364005 )
NEW met2 ( 2037840 1325710 0 ) ( 2037840 1364005 )
NEW met2 ( 897360 1358455 ) ( 897360 1359565 )
NEW met1 ( 858480 1358455 ) ( 897360 1358455 )
NEW met2 ( 858480 1358455 ) ( 858480 1389350 0 )
NEW met1 ( 897360 1359565 ) ( 1944240 1359565 )
NEW met1 ( 1944240 1359565 ) M1M2_PR
NEW met1 ( 1944240 1364375 ) M1M2_PR
NEW met1 ( 2037840 1364005 ) M1M2_PR
NEW met1 ( 897360 1359565 ) M1M2_PR
NEW met1 ( 897360 1358455 ) M1M2_PR
NEW met1 ( 858480 1358455 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[27\] ( mprj wbs_dat_i[27] ) ( mgmt_buffers mprj_dat_o_user[27] )
+ ROUTED met2 ( 1944240 1358455 ) ( 1944240 1359010 )
NEW met2 ( 1944240 1359010 ) ( 1944720 1359010 )
NEW met2 ( 1944720 1359010 ) ( 1944720 1359565 )
NEW met1 ( 1944720 1359565 ) ( 2041200 1359565 )
NEW met2 ( 2041200 1325710 ) ( 2042400 1325710 0 )
NEW met2 ( 2041200 1325710 ) ( 2041200 1359565 )
NEW met1 ( 905520 1358085 ) ( 905520 1358455 )
NEW met1 ( 876720 1358085 ) ( 905520 1358085 )
NEW met2 ( 876720 1358085 ) ( 876720 1389350 0 )
NEW met1 ( 905520 1358455 ) ( 1944240 1358455 )
NEW met1 ( 1944240 1358455 ) M1M2_PR
NEW met1 ( 1944720 1359565 ) M1M2_PR
NEW met1 ( 2041200 1359565 ) M1M2_PR
NEW met1 ( 876720 1358085 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[28\] ( mprj wbs_dat_i[28] ) ( mgmt_buffers mprj_dat_o_user[28] )
+ ROUTED met1 ( 911760 1357715 ) ( 911760 1358085 )
NEW met1 ( 894480 1357715 ) ( 911760 1357715 )
NEW met2 ( 894480 1357715 ) ( 894480 1389350 0 )
NEW met1 ( 911760 1358085 ) ( 2046480 1358085 )
NEW met2 ( 2046480 1325710 0 ) ( 2046480 1358085 )
NEW met1 ( 894480 1357715 ) M1M2_PR
NEW met1 ( 2046480 1358085 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[29\] ( mprj wbs_dat_i[29] ) ( mgmt_buffers mprj_dat_o_user[29] )
+ ROUTED met2 ( 912240 1357715 ) ( 912240 1389350 0 )
NEW met1 ( 912240 1357715 ) ( 2049840 1357715 )
NEW met2 ( 2049840 1325710 ) ( 2051040 1325710 0 )
NEW met2 ( 2049840 1325710 ) ( 2049840 1357715 )
NEW met1 ( 912240 1357715 ) M1M2_PR
NEW met1 ( 2049840 1357715 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[2\] ( mprj wbs_dat_i[2] ) ( mgmt_buffers mprj_dat_o_user[2] )
+ ROUTED met2 ( 418320 1366410 ) ( 418320 1389350 0 )
NEW met2 ( 785040 1354570 ) ( 785040 1366410 )
NEW met2 ( 988080 1354570 ) ( 988080 1366410 )
NEW met2 ( 1188720 1356790 ) ( 1188720 1366410 )
NEW met3 ( 418320 1366410 ) ( 785040 1366410 )
NEW met2 ( 888720 1354570 ) ( 888720 1366410 )
NEW met3 ( 785040 1354570 ) ( 888720 1354570 )
NEW met3 ( 888720 1366410 ) ( 988080 1366410 )
NEW met2 ( 1086960 1354570 ) ( 1086960 1366410 )
NEW met3 ( 988080 1354570 ) ( 1086960 1354570 )
NEW met3 ( 1086960 1366410 ) ( 1188720 1366410 )
NEW met2 ( 1253040 1356790 ) ( 1253040 1366410 )
NEW met3 ( 1188720 1356790 ) ( 1253040 1356790 )
NEW met3 ( 1918320 1359750 ) ( 1927920 1359750 )
NEW met2 ( 1927920 1325710 ) ( 1929120 1325710 0 )
NEW met2 ( 1927920 1325710 ) ( 1927920 1359750 )
NEW met4 ( 1890720 1366410 ) ( 1890720 1367890 )
NEW met3 ( 1890720 1367890 ) ( 1890960 1367890 )
NEW met3 ( 1253040 1366410 ) ( 1890720 1366410 )
NEW met1 ( 1890960 1368815 ) ( 1918320 1368815 )
NEW met2 ( 1890960 1367890 ) ( 1890960 1368815 )
NEW met2 ( 1918320 1359750 ) ( 1918320 1368815 )
NEW met2 ( 418320 1366410 ) via2_FR
NEW met2 ( 785040 1366410 ) via2_FR
NEW met2 ( 785040 1354570 ) via2_FR
NEW met2 ( 988080 1366410 ) via2_FR
NEW met2 ( 988080 1354570 ) via2_FR
NEW met2 ( 1188720 1366410 ) via2_FR
NEW met2 ( 1188720 1356790 ) via2_FR
NEW met2 ( 888720 1354570 ) via2_FR
NEW met2 ( 888720 1366410 ) via2_FR
NEW met2 ( 1086960 1354570 ) via2_FR
NEW met2 ( 1086960 1366410 ) via2_FR
NEW met2 ( 1253040 1356790 ) via2_FR
NEW met2 ( 1253040 1366410 ) via2_FR
NEW met2 ( 1918320 1359750 ) via2_FR
NEW met2 ( 1927920 1359750 ) via2_FR
NEW met3 ( 1890720 1366410 ) M3M4_PR_M
NEW met3 ( 1890720 1367890 ) M3M4_PR_M
NEW met2 ( 1890960 1367890 ) via2_FR
NEW met1 ( 1890960 1368815 ) M1M2_PR
NEW met1 ( 1918320 1368815 ) M1M2_PR
NEW met3 ( 1890960 1367890 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_dat_o_user\[30\] ( mprj wbs_dat_i[30] ) ( mgmt_buffers mprj_dat_o_user[30] )
+ ROUTED met2 ( 930000 1356605 ) ( 930000 1389350 0 )
NEW met1 ( 930000 1356605 ) ( 2055120 1356605 )
NEW met2 ( 2055120 1325710 0 ) ( 2055120 1356605 )
NEW met1 ( 930000 1356605 ) M1M2_PR
NEW met1 ( 2055120 1356605 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[31\] ( mprj wbs_dat_i[31] ) ( mgmt_buffers mprj_dat_o_user[31] )
+ ROUTED met2 ( 1035600 1355125 ) ( 1035600 1356975 )
NEW met1 ( 1035600 1356975 ) ( 2059920 1356975 )
NEW met2 ( 2059920 1325710 0 ) ( 2059920 1356975 )
NEW met2 ( 947760 1355125 ) ( 947760 1389350 0 )
NEW met1 ( 947760 1355125 ) ( 1035600 1355125 )
NEW met1 ( 1035600 1356975 ) M1M2_PR
NEW met1 ( 1035600 1355125 ) M1M2_PR
NEW met1 ( 2059920 1356975 ) M1M2_PR
NEW met1 ( 947760 1355125 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[3\] ( mprj wbs_dat_i[3] ) ( mgmt_buffers mprj_dat_o_user[3] )
+ ROUTED met2 ( 784560 1363450 ) ( 784560 1367150 )
NEW met2 ( 972720 1353830 ) ( 972720 1367150 )
NEW met2 ( 1188240 1356050 ) ( 1188240 1367150 )
NEW met2 ( 442320 1367150 ) ( 442320 1389350 0 )
NEW met3 ( 442320 1367150 ) ( 784560 1367150 )
NEW met2 ( 885360 1363450 ) ( 885360 1367150 )
NEW met3 ( 784560 1363450 ) ( 885360 1363450 )
NEW met3 ( 885360 1367150 ) ( 972720 1367150 )
NEW met2 ( 1062960 1353830 ) ( 1062960 1367150 )
NEW met3 ( 972720 1353830 ) ( 1062960 1353830 )
NEW met3 ( 1062960 1367150 ) ( 1188240 1367150 )
NEW met2 ( 1288560 1356050 ) ( 1288560 1367150 )
NEW met3 ( 1188240 1356050 ) ( 1288560 1356050 )
NEW met3 ( 1919760 1362710 ) ( 1935600 1362710 )
NEW met2 ( 1935600 1325710 0 ) ( 1935600 1362710 )
NEW met3 ( 1844880 1367150 ) ( 1844880 1367890 )
NEW met3 ( 1844880 1367890 ) ( 1890000 1367890 )
NEW met3 ( 1288560 1367150 ) ( 1844880 1367150 )
NEW met1 ( 1890000 1371405 ) ( 1919760 1371405 )
NEW met2 ( 1890000 1367890 ) ( 1890000 1371405 )
NEW met2 ( 1919760 1362710 ) ( 1919760 1371405 )
NEW met2 ( 784560 1367150 ) via2_FR
NEW met2 ( 784560 1363450 ) via2_FR
NEW met2 ( 972720 1367150 ) via2_FR
NEW met2 ( 972720 1353830 ) via2_FR
NEW met2 ( 1188240 1367150 ) via2_FR
NEW met2 ( 1188240 1356050 ) via2_FR
NEW met2 ( 442320 1367150 ) via2_FR
NEW met2 ( 885360 1363450 ) via2_FR
NEW met2 ( 885360 1367150 ) via2_FR
NEW met2 ( 1062960 1353830 ) via2_FR
NEW met2 ( 1062960 1367150 ) via2_FR
NEW met2 ( 1288560 1356050 ) via2_FR
NEW met2 ( 1288560 1367150 ) via2_FR
NEW met2 ( 1919760 1362710 ) via2_FR
NEW met2 ( 1935600 1362710 ) via2_FR
NEW met2 ( 1890000 1367890 ) via2_FR
NEW met1 ( 1890000 1371405 ) M1M2_PR
NEW met1 ( 1919760 1371405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[4\] ( mprj wbs_dat_i[4] ) ( mgmt_buffers mprj_dat_o_user[4] )
+ ROUTED met2 ( 466320 1359750 ) ( 466320 1389350 0 )
NEW met2 ( 1872720 1359750 ) ( 1872720 1361230 )
NEW met2 ( 1872720 1361230 ) ( 1875120 1361230 )
NEW met3 ( 1875120 1361230 ) ( 1896720 1361230 )
NEW met2 ( 1896720 1358270 ) ( 1896720 1361230 )
NEW met3 ( 1896720 1358270 ) ( 1940400 1358270 )
NEW met3 ( 466320 1359750 ) ( 1872720 1359750 )
NEW met2 ( 1940400 1325710 ) ( 1942080 1325710 0 )
NEW met2 ( 1940400 1325710 ) ( 1940400 1358270 )
NEW met2 ( 466320 1359750 ) via2_FR
NEW met2 ( 1872720 1359750 ) via2_FR
NEW met2 ( 1875120 1361230 ) via2_FR
NEW met2 ( 1896720 1361230 ) via2_FR
NEW met2 ( 1896720 1358270 ) via2_FR
NEW met2 ( 1940400 1358270 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[5\] ( mprj wbs_dat_i[5] ) ( mgmt_buffers mprj_dat_o_user[5] )
+ ROUTED met2 ( 484080 1359010 ) ( 484080 1389350 0 )
NEW met2 ( 1946160 1325710 0 ) ( 1946160 1359010 )
NEW met3 ( 484080 1359010 ) ( 1946160 1359010 )
NEW met2 ( 484080 1359010 ) via2_FR
NEW met2 ( 1946160 1359010 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[6\] ( mprj wbs_dat_i[6] ) ( mgmt_buffers mprj_dat_o_user[6] )
+ ROUTED met2 ( 1949520 1325710 ) ( 1950720 1325710 0 )
NEW met2 ( 1949520 1325710 ) ( 1949520 1362525 )
NEW met2 ( 501840 1360675 ) ( 501840 1389350 0 )
NEW met2 ( 1895760 1360675 ) ( 1895760 1362525 )
NEW met2 ( 1895760 1362525 ) ( 1896720 1362525 )
NEW met1 ( 501840 1360675 ) ( 1895760 1360675 )
NEW met1 ( 1896720 1362525 ) ( 1949520 1362525 )
NEW met1 ( 1949520 1362525 ) M1M2_PR
NEW met1 ( 501840 1360675 ) M1M2_PR
NEW met1 ( 1895760 1360675 ) M1M2_PR
NEW met1 ( 1896720 1362525 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[7\] ( mprj wbs_dat_i[7] ) ( mgmt_buffers mprj_dat_o_user[7] )
+ ROUTED met1 ( 582960 1361785 ) ( 582960 1362155 )
NEW met2 ( 1954800 1325710 0 ) ( 1954800 1362895 )
NEW met2 ( 519600 1362155 ) ( 519600 1389350 0 )
NEW met1 ( 519600 1362155 ) ( 582960 1362155 )
NEW met1 ( 1892880 1361785 ) ( 1892880 1362155 )
NEW met1 ( 1892880 1362155 ) ( 1896240 1362155 )
NEW met1 ( 1896240 1362155 ) ( 1896240 1362895 )
NEW met1 ( 582960 1361785 ) ( 1892880 1361785 )
NEW met1 ( 1896240 1362895 ) ( 1954800 1362895 )
NEW met1 ( 1954800 1362895 ) M1M2_PR
NEW met1 ( 519600 1362155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[8\] ( mprj wbs_dat_i[8] ) ( mgmt_buffers mprj_dat_o_user[8] )
+ ROUTED met2 ( 537360 1361415 ) ( 537360 1389350 0 )
NEW met2 ( 1958640 1325710 ) ( 1959600 1325710 0 )
NEW met2 ( 1958640 1325710 ) ( 1958640 1363635 )
NEW met1 ( 1851600 1361045 ) ( 1851600 1361415 )
NEW met1 ( 1851600 1361045 ) ( 1892880 1361045 )
NEW met2 ( 1892880 1361045 ) ( 1892880 1362525 )
NEW met2 ( 1892880 1362525 ) ( 1894320 1362525 )
NEW met2 ( 1894320 1362525 ) ( 1894320 1363265 )
NEW met1 ( 1894320 1363265 ) ( 1895280 1363265 )
NEW met1 ( 1895280 1363265 ) ( 1895280 1363635 )
NEW met1 ( 537360 1361415 ) ( 1851600 1361415 )
NEW met1 ( 1895280 1363635 ) ( 1958640 1363635 )
NEW met1 ( 537360 1361415 ) M1M2_PR
NEW met1 ( 1958640 1363635 ) M1M2_PR
NEW met1 ( 1892880 1361045 ) M1M2_PR
NEW met1 ( 1894320 1363265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[9\] ( mprj wbs_dat_i[9] ) ( mgmt_buffers mprj_dat_o_user[9] )
+ ROUTED met1 ( 589200 1362155 ) ( 589200 1362525 )
NEW met1 ( 555600 1362525 ) ( 589200 1362525 )
NEW met2 ( 555600 1362525 ) ( 555600 1389350 0 )
NEW met2 ( 1962480 1325710 ) ( 1963680 1325710 0 )
NEW met2 ( 1962480 1325710 ) ( 1962480 1364005 )
NEW met2 ( 1865520 1362155 ) ( 1865520 1364005 )
NEW met1 ( 1865520 1364005 ) ( 1893360 1364005 )
NEW met1 ( 1893360 1363635 ) ( 1893360 1364005 )
NEW met1 ( 1893360 1363635 ) ( 1894800 1363635 )
NEW met1 ( 1894800 1363635 ) ( 1894800 1364005 )
NEW met1 ( 1894800 1364005 ) ( 1895760 1364005 )
NEW met1 ( 1895760 1364005 ) ( 1895760 1364375 )
NEW met1 ( 1895760 1364375 ) ( 1896240 1364375 )
NEW met1 ( 1896240 1364005 ) ( 1896240 1364375 )
NEW met1 ( 589200 1362155 ) ( 1865520 1362155 )
NEW met1 ( 1896240 1364005 ) ( 1962480 1364005 )
NEW met1 ( 555600 1362525 ) M1M2_PR
NEW met1 ( 1962480 1364005 ) M1M2_PR
NEW met1 ( 1865520 1362155 ) M1M2_PR
NEW met1 ( 1865520 1364005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[0\] ( padframe mprj_io_analog_en[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_en )
+ ROUTED met3 ( 3370800 616790 ) ( 3373920 616790 0 )
NEW met1 ( 3370800 530765 ) ( 3377040 530765 )
NEW met2 ( 3377040 529100 0 ) ( 3377040 530765 )
NEW met2 ( 3370800 530765 ) ( 3370800 616790 )
NEW met2 ( 3370800 616790 ) via2_FR
NEW met1 ( 3370800 530765 ) M1M2_PR
NEW met1 ( 3377040 530765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[10\] ( padframe mprj_io_analog_en[10] ) ( gpio_control_in\[10\] pad_gpio_ana_en )
+ ROUTED met1 ( 3365520 3443035 ) ( 3377520 3443035 )
NEW met2 ( 3377520 3443035 ) ( 3377520 3445070 0 )
NEW met3 ( 3365520 3081730 ) ( 3373920 3081730 0 )
NEW met2 ( 3365520 3081730 ) ( 3365520 3443035 )
NEW met1 ( 3365520 3443035 ) M1M2_PR
NEW met1 ( 3377520 3443035 ) M1M2_PR
NEW met2 ( 3365520 3081730 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[11\] ( padframe mprj_io_analog_en[11] ) ( gpio_control_in\[11\] pad_gpio_ana_en )
+ ROUTED met1 ( 3366000 3667995 ) ( 3377520 3667995 )
NEW met2 ( 3377520 3667995 ) ( 3377520 3670030 0 )
NEW met3 ( 3366000 3306690 ) ( 3373920 3306690 0 )
NEW met2 ( 3366000 3306690 ) ( 3366000 3667995 )
NEW met1 ( 3366000 3667995 ) M1M2_PR
NEW met1 ( 3377520 3667995 ) M1M2_PR
NEW met2 ( 3366000 3306690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[12\] ( padframe mprj_io_analog_en[12] ) ( gpio_control_in\[12\] pad_gpio_ana_en )
+ ROUTED met1 ( 3365040 3892955 ) ( 3377040 3892955 )
NEW met2 ( 3377040 3892955 ) ( 3377040 3895155 0 )
NEW met1 ( 3365040 3534055 ) ( 3373680 3534055 )
NEW met2 ( 3373680 3533870 ) ( 3373680 3534055 )
NEW met3 ( 3373680 3533870 ) ( 3373920 3533870 )
NEW met3 ( 3373920 3533130 0 ) ( 3373920 3533870 )
NEW met2 ( 3365040 3534055 ) ( 3365040 3892955 )
NEW met1 ( 3365040 3892955 ) M1M2_PR
NEW met1 ( 3377040 3892955 ) M1M2_PR
NEW met1 ( 3365040 3534055 ) M1M2_PR
NEW met1 ( 3373680 3534055 ) M1M2_PR
NEW met2 ( 3373680 3533870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[13\] ( padframe mprj_io_analog_en[13] ) ( gpio_control_in\[13\] pad_gpio_ana_en )
+ ROUTED met3 ( 3366480 3758090 ) ( 3373920 3758090 0 )
NEW met1 ( 3366480 4339175 ) ( 3377040 4339175 )
NEW met2 ( 3377040 4339175 ) ( 3377040 4341210 0 )
NEW met2 ( 3366480 3758090 ) ( 3366480 4339175 )
NEW met2 ( 3366480 3758090 ) via2_FR
NEW met1 ( 3366480 4339175 ) M1M2_PR
NEW met1 ( 3377040 4339175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[14\] ( padframe mprj_io_analog_en[14] ) ( gpio_control_in\[14\] pad_gpio_ana_en )
+ ROUTED met3 ( 3384480 4649790 0 ) ( 3384480 4651270 )
NEW met3 ( 3376080 4766710 ) ( 3384480 4766710 )
NEW met2 ( 3376080 4766710 ) ( 3376080 4785025 )
NEW met1 ( 3376080 4785025 ) ( 3377520 4785025 )
NEW met2 ( 3377520 4785025 ) ( 3377520 4787060 0 )
NEW met4 ( 3384480 4651270 ) ( 3384480 4766710 )
NEW met3 ( 3384480 4651270 ) M3M4_PR_M
NEW met3 ( 3384480 4766710 ) M3M4_PR_M
NEW met2 ( 3376080 4766710 ) via2_FR
NEW met1 ( 3376080 4785025 ) M1M2_PR
NEW met1 ( 3377520 4785025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[15\] ( padframe mprj_io_analog_en[15] ) ( gpio_control_in\[15\] pad_gpio_ana_en )
+ ROUTED met3 ( 2758560 4978350 ) ( 2758560 4979090 )
NEW met3 ( 2757600 4979090 0 ) ( 2758560 4979090 )
NEW met2 ( 3179280 4978350 ) ( 3180720 4978350 0 )
NEW met3 ( 2758560 4978350 ) ( 3179280 4978350 )
NEW met2 ( 3179280 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[16\] ( padframe mprj_io_analog_en[16] ) ( gpio_control_in\[16\] pad_gpio_ana_en )
+ ROUTED met3 ( 2646240 4977610 ) ( 2646240 4978350 )
NEW met3 ( 2607600 4977610 ) ( 2646240 4977610 )
NEW met2 ( 2607600 4977610 ) ( 2607600 4982605 )
NEW met1 ( 2563440 4982605 ) ( 2607600 4982605 )
NEW met2 ( 2563440 4982605 ) ( 2563440 4996850 )
NEW met2 ( 2562960 4996850 ) ( 2563440 4996850 )
NEW met2 ( 2562960 4996850 ) ( 2562960 5026265 )
NEW met2 ( 2503440 5026265 ) ( 2503440 5026450 )
NEW met3 ( 2500800 5026450 0 ) ( 2503440 5026450 )
NEW met1 ( 2503440 5026265 ) ( 2562960 5026265 )
NEW met2 ( 2670480 4978350 ) ( 2671920 4978350 0 )
NEW met3 ( 2646240 4978350 ) ( 2670480 4978350 )
NEW met2 ( 2607600 4977610 ) via2_FR
NEW met1 ( 2607600 4982605 ) M1M2_PR
NEW met1 ( 2563440 4982605 ) M1M2_PR
NEW met1 ( 2562960 5026265 ) M1M2_PR
NEW met1 ( 2503440 5026265 ) M1M2_PR
NEW met2 ( 2503440 5026450 ) via2_FR
NEW met2 ( 2670480 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[17\] ( padframe mprj_io_analog_en[17] ) ( gpio_control_in\[17\] pad_gpio_ana_en )
+ ROUTED met2 ( 2413680 4977425 ) ( 2413680 4977610 )
NEW met2 ( 2413680 4977610 ) ( 2414880 4977610 0 )
NEW met2 ( 2117040 5018865 ) ( 2117040 5019050 )
NEW met3 ( 2115840 5019050 0 ) ( 2117040 5019050 )
NEW met2 ( 2332560 4996110 ) ( 2332560 5018865 )
NEW met2 ( 2332560 4996110 ) ( 2333040 4996110 )
NEW met2 ( 2333040 4977425 ) ( 2333040 4996110 )
NEW met1 ( 2117040 5018865 ) ( 2332560 5018865 )
NEW met1 ( 2333040 4977425 ) ( 2413680 4977425 )
NEW met1 ( 2413680 4977425 ) M1M2_PR
NEW met1 ( 2117040 5018865 ) M1M2_PR
NEW met2 ( 2117040 5019050 ) via2_FR
NEW met1 ( 2332560 5018865 ) M1M2_PR
NEW met1 ( 2333040 4977425 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[18\] ( padframe mprj_io_analog_en[18] ) ( gpio_control_in\[18\] pad_gpio_ana_en )
+ ROUTED met2 ( 1780080 5018310 ) ( 1780080 5018495 )
NEW met3 ( 1778880 5018310 0 ) ( 1780080 5018310 )
NEW met3 ( 1956960 4979090 ) ( 1956960 4979830 )
NEW met3 ( 1956960 4979090 ) ( 1968720 4979090 )
NEW met2 ( 1968720 4979090 ) ( 1969920 4979090 0 )
NEW met2 ( 1896720 4979830 ) ( 1896720 5018495 )
NEW met1 ( 1780080 5018495 ) ( 1896720 5018495 )
NEW met3 ( 1896720 4979830 ) ( 1956960 4979830 )
NEW met1 ( 1780080 5018495 ) M1M2_PR
NEW met2 ( 1780080 5018310 ) via2_FR
NEW met2 ( 1968720 4979090 ) via2_FR
NEW met2 ( 1896720 4979830 ) via2_FR
NEW met1 ( 1896720 5018495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[19\] ( padframe mprj_io_analog_en[19] ) ( gpio_control_in\[19\] pad_gpio_ana_en )
+ ROUTED met2 ( 1460880 4977610 0 ) ( 1462800 4977610 )
NEW met3 ( 1462800 4977610 ) ( 1526880 4977610 )
NEW met3 ( 1526880 4977610 ) ( 1526880 4979090 0 )
NEW met2 ( 1462800 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[1\] ( padframe mprj_io_analog_en[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_en )
+ ROUTED met3 ( 3370800 842490 ) ( 3373920 842490 )
NEW met3 ( 3373920 842490 ) ( 3373920 842860 0 )
NEW met1 ( 3370800 757205 ) ( 3377040 757205 )
NEW met2 ( 3377040 755170 0 ) ( 3377040 757205 )
NEW met2 ( 3370800 757205 ) ( 3370800 842490 )
NEW met2 ( 3370800 842490 ) via2_FR
NEW met1 ( 3370800 757205 ) M1M2_PR
NEW met1 ( 3377040 757205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[20\] ( padframe mprj_io_analog_en[20] ) ( gpio_control_in\[20\] pad_gpio_ana_en )
+ ROUTED met2 ( 1202880 4978350 0 ) ( 1204080 4978350 )
NEW met3 ( 1252320 4977610 ) ( 1252320 4978350 )
NEW met3 ( 1252320 4977610 ) ( 1268640 4977610 )
NEW met3 ( 1268640 4977610 ) ( 1268640 4978350 )
NEW met3 ( 1268640 4978350 ) ( 1269600 4978350 )
NEW met3 ( 1269600 4978350 ) ( 1269600 4979090 )
NEW met3 ( 1268640 4979090 0 ) ( 1269600 4979090 )
NEW met3 ( 1204080 4978350 ) ( 1252320 4978350 )
NEW met2 ( 1204080 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[21\] ( padframe mprj_io_analog_en[21] ) ( gpio_control_in\[21\] pad_gpio_ana_en )
+ ROUTED met2 ( 945840 4977610 0 ) ( 947280 4977610 )
NEW met2 ( 1008720 4977610 ) ( 1008720 4979090 )
NEW met3 ( 1008720 4979090 ) ( 1011840 4979090 0 )
NEW met3 ( 947280 4977610 ) ( 1008720 4977610 )
NEW met2 ( 947280 4977610 ) via2_FR
NEW met2 ( 1008720 4977610 ) via2_FR
NEW met2 ( 1008720 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[22\] ( padframe mprj_io_analog_en[22] ) ( gpio_control_in\[22\] pad_gpio_ana_en )
+ ROUTED met2 ( 751920 5011650 ) ( 751920 5011835 )
NEW met3 ( 751920 5011650 ) ( 755040 5011650 0 )
NEW met2 ( 688800 4979090 0 ) ( 690000 4979090 )
NEW met3 ( 690000 4979090 ) ( 719760 4979090 )
NEW met2 ( 719760 4979090 ) ( 719760 5011835 )
NEW met1 ( 719760 5011835 ) ( 751920 5011835 )
NEW met1 ( 751920 5011835 ) M1M2_PR
NEW met2 ( 751920 5011650 ) via2_FR
NEW met2 ( 690000 4979090 ) via2_FR
NEW met2 ( 719760 4979090 ) via2_FR
NEW met1 ( 719760 5011835 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[23\] ( padframe mprj_io_analog_en[23] ) ( gpio_control_in\[23\] pad_gpio_ana_en )
+ ROUTED met2 ( 431760 4977610 0 ) ( 432720 4977610 )
NEW met3 ( 497760 4977610 ) ( 497760 4979090 0 )
NEW met3 ( 432720 4977610 ) ( 497760 4977610 )
NEW met2 ( 432720 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[24\] ( padframe mprj_io_analog_en[24] ) ( gpio_control_in\[24\] pad_gpio_ana_en )
+ ROUTED met3 ( 202080 4819990 ) ( 209040 4819990 )
NEW met2 ( 209040 4819990 ) ( 209040 4821840 0 )
NEW met3 ( 202080 4667920 0 ) ( 202080 4670510 )
NEW met4 ( 202080 4670510 ) ( 202080 4819990 )
NEW met3 ( 202080 4819990 ) M3M4_PR_M
NEW met2 ( 209040 4819990 ) via2_FR
NEW met3 ( 202080 4670510 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_en\[25\] ( padframe mprj_io_analog_en[25] ) ( gpio_control_in\[25\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 3972845 0 ) ( 210960 3975095 )
NEW met1 ( 210960 3975095 ) ( 215760 3975095 )
NEW met2 ( 215760 3975095 ) ( 215760 4038550 )
NEW met3 ( 211680 4038550 ) ( 215760 4038550 )
NEW met3 ( 211680 4038550 ) ( 211680 4038920 0 )
NEW met1 ( 210960 3975095 ) M1M2_PR
NEW met1 ( 215760 3975095 ) M1M2_PR
NEW met2 ( 215760 4038550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[26\] ( padframe mprj_io_analog_en[26] ) ( gpio_control_in\[26\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 3756980 0 ) ( 210480 3758830 )
NEW met3 ( 210480 3758830 ) ( 212640 3758830 )
NEW met4 ( 212640 3758830 ) ( 212640 3820250 )
NEW met3 ( 211680 3820250 ) ( 212640 3820250 )
NEW met3 ( 211680 3820250 ) ( 211680 3822840 0 )
NEW met2 ( 210480 3758830 ) via2_FR
NEW met3 ( 212640 3758830 ) M3M4_PR_M
NEW met3 ( 212640 3820250 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_en\[27\] ( padframe mprj_io_analog_en[27] ) ( gpio_control_in\[27\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 3540900 0 ) ( 210480 3542750 )
NEW met3 ( 210480 3542750 ) ( 216480 3542750 )
NEW met4 ( 216480 3542750 ) ( 216480 3607130 )
NEW met3 ( 211680 3607130 0 ) ( 216480 3607130 )
NEW met2 ( 210480 3542750 ) via2_FR
NEW met3 ( 216480 3542750 ) M3M4_PR_M
NEW met3 ( 216480 3607130 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_en\[28\] ( padframe mprj_io_analog_en[28] ) ( gpio_control_in\[28\] pad_gpio_ana_en )
+ ROUTED met3 ( 211680 3391050 0 ) ( 215760 3391050 )
NEW met2 ( 210960 3324820 0 ) ( 210960 3326670 )
NEW met3 ( 210960 3326670 ) ( 215520 3326670 )
NEW met4 ( 215520 3326670 ) ( 215520 3354790 )
NEW met3 ( 215520 3354790 ) ( 215760 3354790 )
NEW met2 ( 215760 3354790 ) ( 215760 3391050 )
NEW met2 ( 215760 3391050 ) via2_FR
NEW met2 ( 210960 3326670 ) via2_FR
NEW met3 ( 215520 3326670 ) M3M4_PR_M
NEW met3 ( 215520 3354790 ) M3M4_PR_M
NEW met2 ( 215760 3354790 ) via2_FR
NEW met3 ( 215520 3354790 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_en\[29\] ( padframe mprj_io_analog_en[29] ) ( gpio_control_in\[29\] pad_gpio_ana_en )
+ ROUTED met3 ( 211680 3174970 0 ) ( 215280 3174970 )
NEW met2 ( 210960 3108740 0 ) ( 210960 3111145 )
NEW met1 ( 210960 3111145 ) ( 215280 3111145 )
NEW met2 ( 215280 3111145 ) ( 215280 3125945 )
NEW met1 ( 215280 3125945 ) ( 216720 3125945 )
NEW met2 ( 216720 3125945 ) ( 216720 3139265 )
NEW met1 ( 215760 3139265 ) ( 216720 3139265 )
NEW met2 ( 215760 3139265 ) ( 215760 3140190 )
NEW met2 ( 215280 3140190 ) ( 215760 3140190 )
NEW met2 ( 215280 3140190 ) ( 215280 3174970 )
NEW met2 ( 215280 3174970 ) via2_FR
NEW met1 ( 210960 3111145 ) M1M2_PR
NEW met1 ( 215280 3111145 ) M1M2_PR
NEW met1 ( 215280 3125945 ) M1M2_PR
NEW met1 ( 216720 3125945 ) M1M2_PR
NEW met1 ( 216720 3139265 ) M1M2_PR
NEW met1 ( 215760 3139265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[2\] ( padframe mprj_io_analog_en[2] ) ( gpio_control_in\[2\] pad_gpio_ana_en )
+ ROUTED met3 ( 3373680 1066710 ) ( 3373920 1066710 )
NEW met3 ( 3373920 1066710 ) ( 3373920 1067820 0 )
NEW met1 ( 3373680 982905 ) ( 3377040 982905 )
NEW met2 ( 3377040 980130 0 ) ( 3377040 982905 )
NEW met2 ( 3373680 982905 ) ( 3373680 1066710 )
NEW met2 ( 3373680 1066710 ) via2_FR
NEW met1 ( 3373680 982905 ) M1M2_PR
NEW met1 ( 3377040 982905 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[30\] ( padframe mprj_io_analog_en[30] ) ( gpio_control_in\[30\] pad_gpio_ana_en )
+ ROUTED met3 ( 211680 2958890 0 ) ( 214320 2958890 )
NEW met2 ( 210960 2892845 0 ) ( 210960 2894510 )
NEW met3 ( 210960 2894510 ) ( 213600 2894510 )
NEW met4 ( 213600 2894510 ) ( 213600 2918930 )
NEW met3 ( 213600 2918930 ) ( 214320 2918930 )
NEW met2 ( 214320 2918930 ) ( 214320 2958890 )
NEW met2 ( 214320 2958890 ) via2_FR
NEW met2 ( 210960 2894510 ) via2_FR
NEW met3 ( 213600 2894510 ) M3M4_PR_M
NEW met3 ( 213600 2918930 ) M3M4_PR_M
NEW met2 ( 214320 2918930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[31\] ( padframe mprj_io_analog_en[31] ) ( gpio_control_in\[31\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 2676950 0 ) ( 210480 2678985 )
NEW met1 ( 210480 2678985 ) ( 215280 2678985 )
NEW met2 ( 215280 2678985 ) ( 215280 2742810 )
NEW met3 ( 211680 2742810 0 ) ( 215280 2742810 )
NEW met1 ( 210480 2678985 ) M1M2_PR
NEW met1 ( 215280 2678985 ) M1M2_PR
NEW met2 ( 215280 2742810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[32\] ( padframe mprj_io_analog_en[32] ) ( gpio_control_in\[32\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 2038845 0 ) ( 210960 2041105 )
NEW met1 ( 210960 2041105 ) ( 215760 2041105 )
NEW met3 ( 211680 2104930 0 ) ( 215760 2104930 )
NEW met2 ( 215760 2041105 ) ( 215760 2104930 )
NEW met1 ( 210960 2041105 ) M1M2_PR
NEW met1 ( 215760 2041105 ) M1M2_PR
NEW met2 ( 215760 2104930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[33\] ( padframe mprj_io_analog_en[33] ) ( gpio_control_in\[33\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 1822845 0 ) ( 210960 1825025 )
NEW met1 ( 210960 1825025 ) ( 215760 1825025 )
NEW met3 ( 211680 1888850 0 ) ( 215760 1888850 )
NEW met2 ( 215760 1825025 ) ( 215760 1888850 )
NEW met1 ( 210960 1825025 ) M1M2_PR
NEW met1 ( 215760 1825025 ) M1M2_PR
NEW met2 ( 215760 1888850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[34\] ( padframe mprj_io_analog_en[34] ) ( gpio_control_in\[34\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 1606910 0 ) ( 210480 1608945 )
NEW met1 ( 210480 1608945 ) ( 212880 1608945 )
NEW met2 ( 212880 1608945 ) ( 212880 1623190 )
NEW met2 ( 212880 1623190 ) ( 213360 1623190 )
NEW met2 ( 213360 1623190 ) ( 213360 1654270 )
NEW met2 ( 212880 1654270 ) ( 213360 1654270 )
NEW met2 ( 212880 1654270 ) ( 212880 1672030 )
NEW met3 ( 211680 1672030 ) ( 212880 1672030 )
NEW met3 ( 211680 1672030 ) ( 211680 1672770 0 )
NEW met1 ( 210480 1608945 ) M1M2_PR
NEW met1 ( 212880 1608945 ) M1M2_PR
NEW met2 ( 212880 1672030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[35\] ( padframe mprj_io_analog_en[35] ) ( gpio_control_in\[35\] pad_gpio_ana_en )
+ ROUTED met3 ( 211680 1456690 0 ) ( 214800 1456690 )
NEW met2 ( 210960 1390830 0 ) ( 210960 1392865 )
NEW met1 ( 210960 1392865 ) ( 214800 1392865 )
NEW met2 ( 214800 1392865 ) ( 214800 1456690 )
NEW met2 ( 214800 1456690 ) via2_FR
NEW met1 ( 210960 1392865 ) M1M2_PR
NEW met1 ( 214800 1392865 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[36\] ( padframe mprj_io_analog_en[36] ) ( gpio_control_in\[36\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 1174750 0 ) ( 210960 1177155 )
NEW met1 ( 210960 1177155 ) ( 215280 1177155 )
NEW met3 ( 211680 1237650 ) ( 215280 1237650 )
NEW met3 ( 211680 1237650 ) ( 211680 1240610 0 )
NEW met2 ( 215280 1177155 ) ( 215280 1237650 )
NEW met1 ( 210960 1177155 ) M1M2_PR
NEW met1 ( 215280 1177155 ) M1M2_PR
NEW met2 ( 215280 1237650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[37\] ( padframe mprj_io_analog_en[37] ) ( gpio_control_in\[37\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 958845 0 ) ( 210960 961075 )
NEW met1 ( 210960 961075 ) ( 215760 961075 )
NEW met2 ( 215760 961075 ) ( 215760 1024530 )
NEW met3 ( 211680 1024530 ) ( 215760 1024530 )
NEW met3 ( 211680 1024530 ) ( 211680 1024900 0 )
NEW met1 ( 210960 961075 ) M1M2_PR
NEW met1 ( 215760 961075 ) M1M2_PR
NEW met2 ( 215760 1024530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[3\] ( padframe mprj_io_analog_en[3] ) ( gpio_control_in\[3\] pad_gpio_ana_en )
+ ROUTED met1 ( 3371280 1207865 ) ( 3377520 1207865 )
NEW met2 ( 3377520 1206200 0 ) ( 3377520 1207865 )
NEW met3 ( 3371280 1293890 ) ( 3373920 1293890 0 )
NEW met2 ( 3371280 1207865 ) ( 3371280 1293890 )
NEW met1 ( 3371280 1207865 ) M1M2_PR
NEW met1 ( 3377520 1207865 ) M1M2_PR
NEW met2 ( 3371280 1293890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[4\] ( padframe mprj_io_analog_en[4] ) ( gpio_control_in\[4\] pad_gpio_ana_en )
+ ROUTED met1 ( 3370800 1432825 ) ( 3377040 1432825 )
NEW met2 ( 3377040 1431160 0 ) ( 3377040 1432825 )
NEW met3 ( 3370800 1518850 ) ( 3373920 1518850 0 )
NEW met2 ( 3370800 1432825 ) ( 3370800 1518850 )
NEW met1 ( 3370800 1432825 ) M1M2_PR
NEW met1 ( 3377040 1432825 ) M1M2_PR
NEW met2 ( 3370800 1518850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[5\] ( padframe mprj_io_analog_en[5] ) ( gpio_control_in\[5\] pad_gpio_ana_en )
+ ROUTED met1 ( 3370800 1657785 ) ( 3377040 1657785 )
NEW met2 ( 3377040 1656120 0 ) ( 3377040 1657785 )
NEW met3 ( 3370800 1743810 ) ( 3373920 1743810 0 )
NEW met2 ( 3370800 1657785 ) ( 3370800 1743810 )
NEW met1 ( 3370800 1657785 ) M1M2_PR
NEW met1 ( 3377040 1657785 ) M1M2_PR
NEW met2 ( 3370800 1743810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[6\] ( padframe mprj_io_analog_en[6] ) ( gpio_control_in\[6\] pad_gpio_ana_en )
+ ROUTED met3 ( 3373680 1968770 ) ( 3373920 1968770 )
NEW met3 ( 3373920 1968770 ) ( 3373920 1969880 0 )
NEW met1 ( 3373680 1884225 ) ( 3377520 1884225 )
NEW met2 ( 3377520 1882190 0 ) ( 3377520 1884225 )
NEW met2 ( 3373680 1884225 ) ( 3373680 1968770 )
NEW met2 ( 3373680 1968770 ) via2_FR
NEW met1 ( 3373680 1884225 ) M1M2_PR
NEW met1 ( 3377520 1884225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[7\] ( padframe mprj_io_analog_en[7] ) ( gpio_control_in\[7\] pad_gpio_ana_en )
+ ROUTED met3 ( 3368880 2411290 ) ( 3373920 2411290 )
NEW met3 ( 3373920 2410920 0 ) ( 3373920 2411290 )
NEW met1 ( 3368880 2765935 ) ( 3377040 2765935 )
NEW met2 ( 3377040 2765935 ) ( 3377040 2768155 0 )
NEW met2 ( 3368880 2411290 ) ( 3368880 2765935 )
NEW met2 ( 3368880 2411290 ) via2_FR
NEW met1 ( 3368880 2765935 ) M1M2_PR
NEW met1 ( 3377040 2765935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[8\] ( padframe mprj_io_analog_en[8] ) ( gpio_control_in\[8\] pad_gpio_ana_en )
+ ROUTED met3 ( 3366000 2631070 ) ( 3373920 2631070 0 )
NEW met1 ( 3366000 2992005 ) ( 3377520 2992005 )
NEW met2 ( 3377520 2992005 ) ( 3377520 2994040 0 )
NEW met2 ( 3366000 2631070 ) ( 3366000 2992005 )
NEW met2 ( 3366000 2631070 ) via2_FR
NEW met1 ( 3366000 2992005 ) M1M2_PR
NEW met1 ( 3377520 2992005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[9\] ( padframe mprj_io_analog_en[9] ) ( gpio_control_in\[9\] pad_gpio_ana_en )
+ ROUTED met1 ( 3364560 3216965 ) ( 3377040 3216965 )
NEW met2 ( 3377040 3216965 ) ( 3377040 3219155 0 )
NEW met1 ( 3364560 2865465 ) ( 3369360 2865465 )
NEW met2 ( 3369360 2856030 ) ( 3369360 2865465 )
NEW met3 ( 3369360 2856030 ) ( 3373920 2856030 0 )
NEW met2 ( 3364560 2865465 ) ( 3364560 3216965 )
NEW met1 ( 3364560 3216965 ) M1M2_PR
NEW met1 ( 3377040 3216965 ) M1M2_PR
NEW met1 ( 3364560 2865465 ) M1M2_PR
NEW met1 ( 3369360 2865465 ) M1M2_PR
NEW met2 ( 3369360 2856030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[0\] ( padframe mprj_io_analog_pol[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3371760 621230 ) ( 3373920 621230 0 )
NEW met1 ( 3371760 536685 ) ( 3377040 536685 )
NEW met2 ( 3377040 535595 0 ) ( 3377040 536685 )
NEW met2 ( 3371760 536685 ) ( 3371760 621230 )
NEW met2 ( 3371760 621230 ) via2_FR
NEW met1 ( 3371760 536685 ) M1M2_PR
NEW met1 ( 3377040 536685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[10\] ( padframe mprj_io_analog_pol[10] ) ( gpio_control_in\[10\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3374640 3437670 ) ( 3384480 3437670 )
NEW met2 ( 3374640 3437670 ) ( 3374640 3448955 )
NEW met1 ( 3374640 3448955 ) ( 3377040 3448955 )
NEW met2 ( 3377040 3448955 ) ( 3377040 3451730 0 )
NEW met3 ( 3384480 3086170 0 ) ( 3384480 3089130 )
NEW met4 ( 3384480 3089130 ) ( 3384480 3437670 )
NEW met3 ( 3384480 3437670 ) M3M4_PR_M
NEW met2 ( 3374640 3437670 ) via2_FR
NEW met1 ( 3374640 3448955 ) M1M2_PR
NEW met1 ( 3377040 3448955 ) M1M2_PR
NEW met3 ( 3384480 3089130 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_pol\[11\] ( padframe mprj_io_analog_pol[11] ) ( gpio_control_in\[11\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3366960 3673915 ) ( 3377040 3673915 )
NEW met2 ( 3377040 3673915 ) ( 3377040 3676690 0 )
NEW met3 ( 3366960 3311130 ) ( 3373920 3311130 0 )
NEW met2 ( 3366960 3311130 ) ( 3366960 3673915 )
NEW met1 ( 3366960 3673915 ) M1M2_PR
NEW met1 ( 3377040 3673915 ) M1M2_PR
NEW met2 ( 3366960 3311130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[12\] ( padframe mprj_io_analog_pol[12] ) ( gpio_control_in\[12\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3364560 3899615 ) ( 3377040 3899615 )
NEW met2 ( 3377040 3899615 ) ( 3377040 3901650 0 )
NEW met1 ( 3364560 3537015 ) ( 3372240 3537015 )
NEW met2 ( 3372240 3536830 ) ( 3372240 3537015 )
NEW met3 ( 3372240 3536830 ) ( 3373920 3536830 0 )
NEW met2 ( 3364560 3537015 ) ( 3364560 3899615 )
NEW met1 ( 3364560 3899615 ) M1M2_PR
NEW met1 ( 3377040 3899615 ) M1M2_PR
NEW met1 ( 3364560 3537015 ) M1M2_PR
NEW met1 ( 3372240 3537015 ) M1M2_PR
NEW met2 ( 3372240 3536830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[13\] ( padframe mprj_io_analog_pol[13] ) ( gpio_control_in\[13\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3365520 4345835 ) ( 3377520 4345835 )
NEW met2 ( 3377520 4345835 ) ( 3377520 4347500 0 )
NEW met3 ( 3365520 3761790 ) ( 3373920 3761790 0 )
NEW met2 ( 3365520 3761790 ) ( 3365520 4345835 )
NEW met1 ( 3365520 4345835 ) M1M2_PR
NEW met1 ( 3377520 4345835 ) M1M2_PR
NEW met2 ( 3365520 3761790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[14\] ( padframe mprj_io_analog_pol[14] ) ( gpio_control_in\[14\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3385440 4654230 0 ) ( 3385440 4657190 )
NEW met3 ( 3375600 4767450 ) ( 3385440 4767450 )
NEW met2 ( 3375600 4767450 ) ( 3375600 4790945 )
NEW met1 ( 3375600 4790945 ) ( 3377040 4790945 )
NEW met2 ( 3377040 4790945 ) ( 3377040 4793720 0 )
NEW met4 ( 3385440 4657190 ) ( 3385440 4767450 )
NEW met3 ( 3385440 4657190 ) M3M4_PR_M
NEW met3 ( 3385440 4767450 ) M3M4_PR_M
NEW met2 ( 3375600 4767450 ) via2_FR
NEW met1 ( 3375600 4790945 ) M1M2_PR
NEW met1 ( 3377040 4790945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[15\] ( padframe mprj_io_analog_pol[15] ) ( gpio_control_in\[15\] pad_gpio_ana_pol )
+ ROUTED met2 ( 2807280 4971690 ) ( 2807280 4982605 )
NEW met2 ( 2779440 4982605 ) ( 2779440 4997035 )
NEW met1 ( 2765040 4997035 ) ( 2779440 4997035 )
NEW met2 ( 2765040 4996850 ) ( 2765040 4997035 )
NEW met3 ( 2761920 4996850 0 ) ( 2765040 4996850 )
NEW met1 ( 2779440 4982605 ) ( 2807280 4982605 )
NEW met2 ( 3174480 4971690 ) ( 3174480 4977610 0 )
NEW met3 ( 2807280 4971690 ) ( 3174480 4971690 )
NEW met2 ( 2807280 4971690 ) via2_FR
NEW met1 ( 2807280 4982605 ) M1M2_PR
NEW met1 ( 2779440 4982605 ) M1M2_PR
NEW met1 ( 2779440 4997035 ) M1M2_PR
NEW met1 ( 2765040 4997035 ) M1M2_PR
NEW met2 ( 2765040 4996850 ) via2_FR
NEW met2 ( 3174480 4971690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[16\] ( padframe mprj_io_analog_pol[16] ) ( gpio_control_in\[16\] pad_gpio_ana_pol )
+ ROUTED met2 ( 2562480 4996295 ) ( 2562480 5025895 )
NEW met1 ( 2562480 4996295 ) ( 2609040 4996295 )
NEW met2 ( 2609040 4977425 ) ( 2609040 4996295 )
NEW met2 ( 2505840 5025710 ) ( 2505840 5025895 )
NEW met3 ( 2505120 5025710 0 ) ( 2505840 5025710 )
NEW met1 ( 2505840 5025895 ) ( 2562480 5025895 )
NEW met2 ( 2664240 4977425 ) ( 2664240 4977610 )
NEW met2 ( 2664240 4977610 ) ( 2665440 4977610 0 )
NEW met1 ( 2609040 4977425 ) ( 2664240 4977425 )
NEW met1 ( 2562480 5025895 ) M1M2_PR
NEW met1 ( 2562480 4996295 ) M1M2_PR
NEW met1 ( 2609040 4996295 ) M1M2_PR
NEW met1 ( 2609040 4977425 ) M1M2_PR
NEW met1 ( 2505840 5025895 ) M1M2_PR
NEW met2 ( 2505840 5025710 ) via2_FR
NEW met1 ( 2664240 4977425 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[17\] ( padframe mprj_io_analog_pol[17] ) ( gpio_control_in\[17\] pad_gpio_ana_pol )
+ ROUTED met2 ( 2406960 4977610 ) ( 2408400 4977610 0 )
NEW met2 ( 2406960 4977055 ) ( 2406960 4977610 )
NEW met1 ( 2359920 4977055 ) ( 2406960 4977055 )
NEW met2 ( 2359920 4977055 ) ( 2359920 5004065 )
NEW met2 ( 2120880 5004065 ) ( 2120880 5004250 )
NEW met3 ( 2120160 5004250 0 ) ( 2120880 5004250 )
NEW met1 ( 2120880 5004065 ) ( 2359920 5004065 )
NEW met1 ( 2406960 4977055 ) M1M2_PR
NEW met1 ( 2359920 4977055 ) M1M2_PR
NEW met1 ( 2359920 5004065 ) M1M2_PR
NEW met1 ( 2120880 5004065 ) M1M2_PR
NEW met2 ( 2120880 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[18\] ( padframe mprj_io_analog_pol[18] ) ( gpio_control_in\[18\] pad_gpio_ana_pol )
+ ROUTED met2 ( 1785360 5025710 ) ( 1785360 5025895 )
NEW met3 ( 1783200 5025710 0 ) ( 1785360 5025710 )
NEW met2 ( 1962000 4977610 ) ( 1962000 4977795 )
NEW met2 ( 1962000 4977610 ) ( 1963440 4977610 0 )
NEW met2 ( 1915440 4977795 ) ( 1915440 4996665 )
NEW met1 ( 1915440 4977795 ) ( 1962000 4977795 )
NEW met2 ( 1842960 4996665 ) ( 1842960 5025895 )
NEW met1 ( 1785360 5025895 ) ( 1842960 5025895 )
NEW met1 ( 1842960 4996665 ) ( 1915440 4996665 )
NEW met1 ( 1785360 5025895 ) M1M2_PR
NEW met2 ( 1785360 5025710 ) via2_FR
NEW met1 ( 1962000 4977795 ) M1M2_PR
NEW met1 ( 1915440 4996665 ) M1M2_PR
NEW met1 ( 1915440 4977795 ) M1M2_PR
NEW met1 ( 1842960 5025895 ) M1M2_PR
NEW met1 ( 1842960 4996665 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[19\] ( padframe mprj_io_analog_pol[19] ) ( gpio_control_in\[19\] pad_gpio_ana_pol )
+ ROUTED met2 ( 1454400 4979090 0 ) ( 1456080 4979090 )
NEW met2 ( 1456080 4978905 ) ( 1456080 4979090 )
NEW met1 ( 1456080 4978905 ) ( 1528080 4978905 )
NEW met2 ( 1528080 4978905 ) ( 1528080 4979830 )
NEW met3 ( 1528080 4979830 ) ( 1530720 4979830 0 )
NEW met1 ( 1456080 4978905 ) M1M2_PR
NEW met1 ( 1528080 4978905 ) M1M2_PR
NEW met2 ( 1528080 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[1\] ( padframe mprj_io_analog_pol[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3371280 846930 ) ( 3373920 846930 0 )
NEW met1 ( 3371280 763125 ) ( 3377040 763125 )
NEW met2 ( 3377040 761460 0 ) ( 3377040 763125 )
NEW met2 ( 3371280 763125 ) ( 3371280 846930 )
NEW met2 ( 3371280 846930 ) via2_FR
NEW met1 ( 3371280 763125 ) M1M2_PR
NEW met1 ( 3377040 763125 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[20\] ( padframe mprj_io_analog_pol[20] ) ( gpio_control_in\[20\] pad_gpio_ana_pol )
+ ROUTED met2 ( 1196400 4977610 0 ) ( 1197840 4977610 )
NEW met3 ( 1251360 4976870 ) ( 1251360 4977610 )
NEW met3 ( 1251360 4976870 ) ( 1272960 4976870 )
NEW met3 ( 1272960 4976870 ) ( 1272960 4979090 0 )
NEW met3 ( 1197840 4977610 ) ( 1251360 4977610 )
NEW met2 ( 1197840 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[21\] ( padframe mprj_io_analog_pol[21] ) ( gpio_control_in\[21\] pad_gpio_ana_pol )
+ ROUTED met2 ( 939360 4978350 0 ) ( 940560 4978350 )
NEW met2 ( 940560 4978350 ) ( 940560 4978535 )
NEW met1 ( 940560 4978535 ) ( 1014480 4978535 )
NEW met2 ( 1014480 4978535 ) ( 1014480 4979090 )
NEW met3 ( 1014480 4979090 ) ( 1016160 4979090 0 )
NEW met1 ( 940560 4978535 ) M1M2_PR
NEW met1 ( 1014480 4978535 ) M1M2_PR
NEW met2 ( 1014480 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[22\] ( padframe mprj_io_analog_pol[22] ) ( gpio_control_in\[22\] pad_gpio_ana_pol )
+ ROUTED met2 ( 756240 5012205 ) ( 756240 5012390 )
NEW met3 ( 756240 5012390 ) ( 758880 5012390 0 )
NEW met2 ( 682320 4979090 0 ) ( 683760 4979090 )
NEW met3 ( 683760 4979090 ) ( 684000 4979090 )
NEW met3 ( 684000 4979090 ) ( 684000 4979830 )
NEW met3 ( 684000 4979830 ) ( 720240 4979830 )
NEW met2 ( 720240 4979830 ) ( 720240 5012205 )
NEW met1 ( 720240 5012205 ) ( 756240 5012205 )
NEW met1 ( 756240 5012205 ) M1M2_PR
NEW met2 ( 756240 5012390 ) via2_FR
NEW met2 ( 683760 4979090 ) via2_FR
NEW met2 ( 720240 4979830 ) via2_FR
NEW met1 ( 720240 5012205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[23\] ( padframe mprj_io_analog_pol[23] ) ( gpio_control_in\[23\] pad_gpio_ana_pol )
+ ROUTED met2 ( 426960 4976870 ) ( 426960 4977610 )
NEW met2 ( 425520 4977610 0 ) ( 426960 4977610 )
NEW met3 ( 502080 4976870 ) ( 502080 4979090 0 )
NEW met3 ( 426960 4976870 ) ( 502080 4976870 )
NEW met2 ( 426960 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[24\] ( padframe mprj_io_analog_pol[24] ) ( gpio_control_in\[24\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 4814070 ) ( 210960 4815405 0 )
NEW met3 ( 210960 4814070 ) ( 211680 4814070 )
NEW met3 ( 211680 4671990 0 ) ( 211680 4674950 )
NEW met4 ( 211680 4674950 ) ( 211680 4814070 )
NEW met2 ( 210960 4814070 ) via2_FR
NEW met3 ( 211680 4814070 ) M3M4_PR_M
NEW met3 ( 211680 4674950 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_pol\[25\] ( padframe mprj_io_analog_pol[25] ) ( gpio_control_in\[25\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210480 3966400 0 ) ( 210480 3968990 )
NEW met3 ( 210480 3968990 ) ( 210720 3968990 )
NEW met4 ( 210720 3968990 ) ( 210720 4001550 )
NEW met3 ( 210480 4001550 ) ( 210720 4001550 )
NEW met2 ( 210480 4001550 ) ( 210480 4041510 )
NEW met3 ( 210480 4041510 ) ( 210720 4041510 )
NEW met3 ( 210720 4041510 ) ( 210720 4042990 0 )
NEW met2 ( 210480 3968990 ) via2_FR
NEW met3 ( 210720 3968990 ) M3M4_PR_M
NEW met3 ( 210720 4001550 ) M3M4_PR_M
NEW met2 ( 210480 4001550 ) via2_FR
NEW met2 ( 210480 4041510 ) via2_FR
NEW met3 ( 210480 3968990 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 4001550 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_pol\[26\] ( padframe mprj_io_analog_pol[26] ) ( gpio_control_in\[26\] pad_gpio_ana_pol )
+ ROUTED met1 ( 215760 3759015 ) ( 217200 3759015 )
NEW met2 ( 217200 3759015 ) ( 217200 3760310 )
NEW met2 ( 217200 3760310 ) ( 217680 3760310 )
NEW met2 ( 217680 3760310 ) ( 217680 3786395 )
NEW met1 ( 208560 3786395 ) ( 217680 3786395 )
NEW met2 ( 208560 3786395 ) ( 208560 3826170 )
NEW met3 ( 208560 3826170 ) ( 208800 3826170 )
NEW met3 ( 208800 3826170 ) ( 208800 3826910 0 )
NEW met2 ( 210960 3750320 0 ) ( 210960 3751985 )
NEW met1 ( 210960 3751985 ) ( 215760 3751985 )
NEW met2 ( 215760 3751985 ) ( 215760 3759015 )
NEW met1 ( 215760 3759015 ) M1M2_PR
NEW met1 ( 217200 3759015 ) M1M2_PR
NEW met1 ( 217680 3786395 ) M1M2_PR
NEW met1 ( 208560 3786395 ) M1M2_PR
NEW met2 ( 208560 3826170 ) via2_FR
NEW met1 ( 210960 3751985 ) M1M2_PR
NEW met1 ( 215760 3751985 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[27\] ( padframe mprj_io_analog_pol[27] ) ( gpio_control_in\[27\] pad_gpio_ana_pol )
+ ROUTED met1 ( 210480 3569205 ) ( 216720 3569205 )
NEW met2 ( 210480 3569205 ) ( 210480 3610090 )
NEW met3 ( 210480 3610090 ) ( 210720 3610090 )
NEW met3 ( 210720 3610090 ) ( 210720 3610830 0 )
NEW met2 ( 210960 3534405 0 ) ( 210960 3537015 )
NEW met1 ( 210960 3537015 ) ( 216720 3537015 )
NEW met2 ( 216720 3537015 ) ( 216720 3569205 )
NEW met1 ( 216720 3569205 ) M1M2_PR
NEW met1 ( 210480 3569205 ) M1M2_PR
NEW met2 ( 210480 3610090 ) via2_FR
NEW met1 ( 210960 3537015 ) M1M2_PR
NEW met1 ( 216720 3537015 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[28\] ( padframe mprj_io_analog_pol[28] ) ( gpio_control_in\[28\] pad_gpio_ana_pol )
+ ROUTED met1 ( 210960 3374955 ) ( 212400 3374955 )
NEW met2 ( 210960 3374955 ) ( 210960 3394010 )
NEW met3 ( 210720 3394010 ) ( 210960 3394010 )
NEW met3 ( 210720 3394010 ) ( 210720 3394750 0 )
NEW met2 ( 210480 3318530 0 ) ( 210480 3320935 )
NEW met1 ( 210480 3320935 ) ( 215760 3320935 )
NEW met2 ( 215760 3320935 ) ( 215760 3332590 )
NEW met2 ( 215760 3332590 ) ( 216240 3332590 )
NEW met2 ( 216240 3332590 ) ( 216240 3333330 )
NEW met2 ( 216240 3333330 ) ( 217200 3333330 )
NEW met2 ( 217200 3333330 ) ( 217200 3350535 )
NEW met1 ( 212400 3350535 ) ( 217200 3350535 )
NEW met2 ( 212400 3350535 ) ( 212400 3374955 )
NEW met1 ( 212400 3374955 ) M1M2_PR
NEW met1 ( 210960 3374955 ) M1M2_PR
NEW met2 ( 210960 3394010 ) via2_FR
NEW met1 ( 210480 3320935 ) M1M2_PR
NEW met1 ( 215760 3320935 ) M1M2_PR
NEW met1 ( 217200 3350535 ) M1M2_PR
NEW met1 ( 212400 3350535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[29\] ( padframe mprj_io_analog_pol[29] ) ( gpio_control_in\[29\] pad_gpio_ana_pol )
+ ROUTED met3 ( 210720 3177930 ) ( 210960 3177930 )
NEW met3 ( 210720 3177930 ) ( 210720 3178670 0 )
NEW met2 ( 210000 3102450 0 ) ( 210000 3103930 )
NEW met3 ( 209760 3103930 ) ( 210000 3103930 )
NEW met4 ( 209760 3103930 ) ( 209760 3137970 )
NEW met3 ( 209760 3137970 ) ( 210960 3137970 )
NEW met2 ( 210960 3137970 ) ( 210960 3177930 )
NEW met2 ( 210960 3177930 ) via2_FR
NEW met2 ( 210000 3103930 ) via2_FR
NEW met3 ( 209760 3103930 ) M3M4_PR_M
NEW met3 ( 209760 3137970 ) M3M4_PR_M
NEW met2 ( 210960 3137970 ) via2_FR
NEW met3 ( 210000 3103930 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_pol\[2\] ( padframe mprj_io_analog_pol[2] ) ( gpio_control_in\[2\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3373920 1071150 ) ( 3374160 1071150 )
NEW met3 ( 3373920 1071150 ) ( 3373920 1071890 0 )
NEW met1 ( 3374160 988085 ) ( 3377040 988085 )
NEW met2 ( 3377040 986595 0 ) ( 3377040 988085 )
NEW met2 ( 3374160 988085 ) ( 3374160 1071150 )
NEW met2 ( 3374160 1071150 ) via2_FR
NEW met1 ( 3374160 988085 ) M1M2_PR
NEW met1 ( 3377040 988085 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[30\] ( padframe mprj_io_analog_pol[30] ) ( gpio_control_in\[30\] pad_gpio_ana_pol )
+ ROUTED met3 ( 210720 2961850 ) ( 210960 2961850 )
NEW met3 ( 210720 2961850 ) ( 210720 2962960 0 )
NEW met2 ( 210960 2886370 0 ) ( 210960 2888405 )
NEW met1 ( 210960 2888405 ) ( 215280 2888405 )
NEW met2 ( 215280 2888405 ) ( 215280 2907645 )
NEW met1 ( 215280 2907645 ) ( 216720 2907645 )
NEW met2 ( 216720 2907645 ) ( 216720 2920965 )
NEW met1 ( 210960 2920965 ) ( 216720 2920965 )
NEW met2 ( 210960 2920965 ) ( 210960 2961850 )
NEW met2 ( 210960 2961850 ) via2_FR
NEW met1 ( 210960 2888405 ) M1M2_PR
NEW met1 ( 215280 2888405 ) M1M2_PR
NEW met1 ( 215280 2907645 ) M1M2_PR
NEW met1 ( 216720 2907645 ) M1M2_PR
NEW met1 ( 216720 2920965 ) M1M2_PR
NEW met1 ( 210960 2920965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[31\] ( padframe mprj_io_analog_pol[31] ) ( gpio_control_in\[31\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 2670290 0 ) ( 210960 2673065 )
NEW met1 ( 210960 2673065 ) ( 214800 2673065 )
NEW met2 ( 214800 2673065 ) ( 214800 2690825 )
NEW met1 ( 214800 2690825 ) ( 216720 2690825 )
NEW met2 ( 216720 2690825 ) ( 216720 2708215 )
NEW met1 ( 214800 2708215 ) ( 216720 2708215 )
NEW met2 ( 214800 2708215 ) ( 214800 2746510 )
NEW met3 ( 211680 2746510 ) ( 214800 2746510 )
NEW met3 ( 211680 2746510 ) ( 211680 2746880 0 )
NEW met1 ( 210960 2673065 ) M1M2_PR
NEW met1 ( 214800 2673065 ) M1M2_PR
NEW met1 ( 214800 2690825 ) M1M2_PR
NEW met1 ( 216720 2690825 ) M1M2_PR
NEW met1 ( 216720 2708215 ) M1M2_PR
NEW met1 ( 214800 2708215 ) M1M2_PR
NEW met2 ( 214800 2746510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[32\] ( padframe mprj_io_analog_pol[32] ) ( gpio_control_in\[32\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 2032410 0 ) ( 210960 2035185 )
NEW met1 ( 210960 2035185 ) ( 211920 2035185 )
NEW met1 ( 211920 2046655 ) ( 214800 2046655 )
NEW met2 ( 214800 2046655 ) ( 214800 2076995 )
NEW met1 ( 201360 2076995 ) ( 214800 2076995 )
NEW met2 ( 201360 2076995 ) ( 201360 2106410 )
NEW met3 ( 201120 2106410 ) ( 201360 2106410 )
NEW met3 ( 201120 2106410 ) ( 201120 2109000 0 )
NEW met2 ( 211920 2035185 ) ( 211920 2046655 )
NEW met1 ( 210960 2035185 ) M1M2_PR
NEW met1 ( 211920 2035185 ) M1M2_PR
NEW met1 ( 211920 2046655 ) M1M2_PR
NEW met1 ( 214800 2046655 ) M1M2_PR
NEW met1 ( 214800 2076995 ) M1M2_PR
NEW met1 ( 201360 2076995 ) M1M2_PR
NEW met2 ( 201360 2106410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[33\] ( padframe mprj_io_analog_pol[33] ) ( gpio_control_in\[33\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 1816330 0 ) ( 210960 1818365 )
NEW met1 ( 210960 1818365 ) ( 214800 1818365 )
NEW met1 ( 201360 1861285 ) ( 214800 1861285 )
NEW met2 ( 201360 1861285 ) ( 201360 1890330 )
NEW met3 ( 201120 1890330 ) ( 201360 1890330 )
NEW met3 ( 201120 1890330 ) ( 201120 1892920 0 )
NEW met2 ( 214800 1818365 ) ( 214800 1861285 )
NEW met1 ( 210960 1818365 ) M1M2_PR
NEW met1 ( 214800 1818365 ) M1M2_PR
NEW met1 ( 214800 1861285 ) M1M2_PR
NEW met1 ( 201360 1861285 ) M1M2_PR
NEW met2 ( 201360 1890330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[34\] ( padframe mprj_io_analog_pol[34] ) ( gpio_control_in\[34\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 1600405 0 ) ( 210960 1603025 )
NEW met1 ( 210960 1603025 ) ( 214320 1603025 )
NEW met2 ( 214320 1603025 ) ( 214320 1641875 )
NEW met1 ( 201360 1641875 ) ( 214320 1641875 )
NEW met2 ( 201360 1641875 ) ( 201360 1674250 )
NEW met3 ( 201120 1674250 ) ( 201360 1674250 )
NEW met3 ( 201120 1674250 ) ( 201120 1677210 0 )
NEW met1 ( 210960 1603025 ) M1M2_PR
NEW met1 ( 214320 1603025 ) M1M2_PR
NEW met1 ( 214320 1641875 ) M1M2_PR
NEW met1 ( 201360 1641875 ) M1M2_PR
NEW met2 ( 201360 1674250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[35\] ( padframe mprj_io_analog_pol[35] ) ( gpio_control_in\[35\] pad_gpio_ana_pol )
+ ROUTED met3 ( 201120 1458170 ) ( 201360 1458170 )
NEW met3 ( 201120 1458170 ) ( 201120 1461130 0 )
NEW met1 ( 201360 1425795 ) ( 215280 1425795 )
NEW met2 ( 215280 1386945 ) ( 215280 1425795 )
NEW met1 ( 210480 1386945 ) ( 215280 1386945 )
NEW met2 ( 210480 1384540 0 ) ( 210480 1386945 )
NEW met2 ( 201360 1425795 ) ( 201360 1458170 )
NEW met2 ( 201360 1458170 ) via2_FR
NEW met1 ( 201360 1425795 ) M1M2_PR
NEW met1 ( 215280 1425795 ) M1M2_PR
NEW met1 ( 215280 1386945 ) M1M2_PR
NEW met1 ( 210480 1386945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[36\] ( padframe mprj_io_analog_pol[36] ) ( gpio_control_in\[36\] pad_gpio_ana_pol )
+ ROUTED met1 ( 200880 1210085 ) ( 215760 1210085 )
NEW met2 ( 215760 1170865 ) ( 215760 1210085 )
NEW met1 ( 210480 1170865 ) ( 215760 1170865 )
NEW met2 ( 210480 1168460 0 ) ( 210480 1170865 )
NEW met3 ( 200880 1242090 ) ( 201120 1242090 )
NEW met3 ( 201120 1242090 ) ( 201120 1245050 0 )
NEW met2 ( 200880 1210085 ) ( 200880 1242090 )
NEW met1 ( 200880 1210085 ) M1M2_PR
NEW met1 ( 215760 1210085 ) M1M2_PR
NEW met1 ( 215760 1170865 ) M1M2_PR
NEW met1 ( 210480 1170865 ) M1M2_PR
NEW met2 ( 200880 1242090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[37\] ( padframe mprj_io_analog_pol[37] ) ( gpio_control_in\[37\] pad_gpio_ana_pol )
+ ROUTED met2 ( 200880 993635 ) ( 200880 1026010 )
NEW met3 ( 200880 1026010 ) ( 201120 1026010 )
NEW met3 ( 201120 1026010 ) ( 201120 1028970 0 )
NEW met2 ( 204720 993450 ) ( 204720 993635 )
NEW met3 ( 204720 993450 ) ( 206880 993450 )
NEW met4 ( 206880 954970 ) ( 206880 993450 )
NEW met3 ( 206880 954970 ) ( 209040 954970 )
NEW met2 ( 209040 952380 0 ) ( 209040 954970 )
NEW met1 ( 200880 993635 ) ( 204720 993635 )
NEW met1 ( 200880 993635 ) M1M2_PR
NEW met2 ( 200880 1026010 ) via2_FR
NEW met1 ( 204720 993635 ) M1M2_PR
NEW met2 ( 204720 993450 ) via2_FR
NEW met3 ( 206880 993450 ) M3M4_PR_M
NEW met3 ( 206880 954970 ) M3M4_PR_M
NEW met2 ( 209040 954970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[3\] ( padframe mprj_io_analog_pol[3] ) ( gpio_control_in\[3\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3376080 1214895 ) ( 3377040 1214895 )
NEW met2 ( 3377040 1212490 0 ) ( 3377040 1214895 )
NEW met3 ( 3375840 1296850 ) ( 3376080 1296850 )
NEW met3 ( 3375840 1296850 ) ( 3375840 1297960 0 )
NEW met2 ( 3376080 1214895 ) ( 3376080 1296850 )
NEW met1 ( 3376080 1214895 ) M1M2_PR
NEW met1 ( 3377040 1214895 ) M1M2_PR
NEW met2 ( 3376080 1296850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[4\] ( padframe mprj_io_analog_pol[4] ) ( gpio_control_in\[4\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3372240 1438745 ) ( 3377040 1438745 )
NEW met2 ( 3377040 1437595 0 ) ( 3377040 1438745 )
NEW met3 ( 3372240 1522920 ) ( 3373920 1522920 0 )
NEW met2 ( 3372240 1438745 ) ( 3372240 1522920 )
NEW met1 ( 3372240 1438745 ) M1M2_PR
NEW met1 ( 3377040 1438745 ) M1M2_PR
NEW met2 ( 3372240 1522920 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[5\] ( padframe mprj_io_analog_pol[5] ) ( gpio_control_in\[5\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3370320 1663705 ) ( 3377040 1663705 )
NEW met2 ( 3377040 1662595 0 ) ( 3377040 1663705 )
NEW met3 ( 3370320 1747510 ) ( 3373920 1747510 )
NEW met3 ( 3373920 1747510 ) ( 3373920 1747880 0 )
NEW met2 ( 3370320 1663705 ) ( 3370320 1747510 )
NEW met1 ( 3370320 1663705 ) M1M2_PR
NEW met1 ( 3377040 1663705 ) M1M2_PR
NEW met2 ( 3370320 1747510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[6\] ( padframe mprj_io_analog_pol[6] ) ( gpio_control_in\[6\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3374880 1972470 ) ( 3375120 1972470 )
NEW met3 ( 3374880 1972470 ) ( 3374880 1973950 0 )
NEW met1 ( 3375120 1890885 ) ( 3377040 1890885 )
NEW met2 ( 3377040 1888480 0 ) ( 3377040 1890885 )
NEW met2 ( 3375120 1890885 ) ( 3375120 1972470 )
NEW met2 ( 3375120 1972470 ) via2_FR
NEW met1 ( 3375120 1890885 ) M1M2_PR
NEW met1 ( 3377040 1890885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[7\] ( padframe mprj_io_analog_pol[7] ) ( gpio_control_in\[7\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3373920 2414990 0 ) ( 3373920 2417950 )
NEW met3 ( 3373920 2772410 ) ( 3377040 2772410 )
NEW met2 ( 3377040 2772410 ) ( 3377040 2774630 0 )
NEW met4 ( 3373920 2417950 ) ( 3373920 2772410 )
NEW met3 ( 3373920 2417950 ) M3M4_PR_M
NEW met3 ( 3373920 2772410 ) M3M4_PR_M
NEW met2 ( 3377040 2772410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[8\] ( padframe mprj_io_analog_pol[8] ) ( gpio_control_in\[8\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3367920 2634770 ) ( 3373920 2634770 0 )
NEW met1 ( 3367920 2997925 ) ( 3377040 2997925 )
NEW met2 ( 3377040 2997925 ) ( 3377040 3000700 0 )
NEW met2 ( 3367920 2634770 ) ( 3367920 2997925 )
NEW met2 ( 3367920 2634770 ) via2_FR
NEW met1 ( 3367920 2997925 ) M1M2_PR
NEW met1 ( 3377040 2997925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[9\] ( padframe mprj_io_analog_pol[9] ) ( gpio_control_in\[9\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3364080 2859915 ) ( 3372240 2859915 )
NEW met2 ( 3372240 2859730 ) ( 3372240 2859915 )
NEW met3 ( 3372240 2859730 ) ( 3373920 2859730 0 )
NEW met1 ( 3364080 3222885 ) ( 3377520 3222885 )
NEW met2 ( 3377520 3222885 ) ( 3377520 3225660 0 )
NEW met2 ( 3364080 2859915 ) ( 3364080 3222885 )
NEW met1 ( 3364080 2859915 ) M1M2_PR
NEW met1 ( 3372240 2859915 ) M1M2_PR
NEW met2 ( 3372240 2859730 ) via2_FR
NEW met1 ( 3364080 3222885 ) M1M2_PR
NEW met1 ( 3377520 3222885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[0\] ( padframe mprj_io_analog_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3372720 624190 ) ( 3373920 624190 )
NEW met3 ( 3373920 624190 ) ( 3373920 624930 0 )
NEW met1 ( 3372720 554815 ) ( 3375600 554815 )
NEW met2 ( 3375600 550775 ) ( 3375600 554815 )
NEW met2 ( 3375600 550775 ) ( 3377040 550775 0 )
NEW met2 ( 3372720 554815 ) ( 3372720 624190 )
NEW met2 ( 3372720 624190 ) via2_FR
NEW met1 ( 3372720 554815 ) M1M2_PR
NEW met1 ( 3375600 554815 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[10\] ( padframe mprj_io_analog_sel[10] ) ( gpio_control_in\[10\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3361680 3464495 ) ( 3377040 3464495 )
NEW met2 ( 3377040 3464495 ) ( 3377040 3466900 0 )
NEW met1 ( 3361680 3090055 ) ( 3372240 3090055 )
NEW met2 ( 3372240 3089870 ) ( 3372240 3090055 )
NEW met3 ( 3372240 3089870 ) ( 3373920 3089870 0 )
NEW met2 ( 3361680 3090055 ) ( 3361680 3464495 )
NEW met1 ( 3361680 3464495 ) M1M2_PR
NEW met1 ( 3377040 3464495 ) M1M2_PR
NEW met1 ( 3361680 3090055 ) M1M2_PR
NEW met1 ( 3372240 3090055 ) M1M2_PR
NEW met2 ( 3372240 3089870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[11\] ( padframe mprj_io_analog_sel[11] ) ( gpio_control_in\[11\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3373680 3639690 ) ( 3385440 3639690 )
NEW met2 ( 3373680 3639690 ) ( 3373680 3690195 )
NEW met1 ( 3373680 3690195 ) ( 3377040 3690195 )
NEW met2 ( 3377040 3690195 ) ( 3377040 3691860 0 )
NEW met3 ( 3385440 3314830 0 ) ( 3385440 3317790 )
NEW met4 ( 3385440 3317790 ) ( 3385440 3639690 )
NEW met3 ( 3385440 3639690 ) M3M4_PR_M
NEW met2 ( 3373680 3639690 ) via2_FR
NEW met1 ( 3373680 3690195 ) M1M2_PR
NEW met1 ( 3377040 3690195 ) M1M2_PR
NEW met3 ( 3385440 3317790 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_sel\[12\] ( padframe mprj_io_analog_sel[12] ) ( gpio_control_in\[12\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3363120 3542195 ) ( 3373680 3542195 )
NEW met2 ( 3373680 3542010 ) ( 3373680 3542195 )
NEW met3 ( 3373680 3542010 ) ( 3373920 3542010 )
NEW met3 ( 3373920 3541270 0 ) ( 3373920 3542010 )
NEW met1 ( 3363120 3914785 ) ( 3377040 3914785 )
NEW met2 ( 3377040 3914785 ) ( 3377040 3916820 0 )
NEW met2 ( 3363120 3542195 ) ( 3363120 3914785 )
NEW met1 ( 3363120 3542195 ) M1M2_PR
NEW met1 ( 3373680 3542195 ) M1M2_PR
NEW met2 ( 3373680 3542010 ) via2_FR
NEW met1 ( 3363120 3914785 ) M1M2_PR
NEW met1 ( 3377040 3914785 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[13\] ( padframe mprj_io_analog_sel[13] ) ( gpio_control_in\[13\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3366960 4360635 ) ( 3377520 4360635 )
NEW met2 ( 3377520 4360635 ) ( 3377520 4362670 0 )
NEW met3 ( 3366960 3766230 ) ( 3373920 3766230 0 )
NEW met2 ( 3366960 3766230 ) ( 3366960 4360635 )
NEW met1 ( 3366960 4360635 ) M1M2_PR
NEW met1 ( 3377520 4360635 ) M1M2_PR
NEW met2 ( 3366960 3766230 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[14\] ( padframe mprj_io_analog_sel[14] ) ( gpio_control_in\[14\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3371040 4657930 ) ( 3373920 4657930 0 )
NEW met3 ( 3371040 4807410 ) ( 3377040 4807410 )
NEW met2 ( 3377040 4807410 ) ( 3377040 4808890 0 )
NEW met4 ( 3371040 4657930 ) ( 3371040 4807410 )
NEW met3 ( 3371040 4657930 ) M3M4_PR_M
NEW met3 ( 3371040 4807410 ) M3M4_PR_M
NEW met2 ( 3377040 4807410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[15\] ( padframe mprj_io_analog_sel[15] ) ( gpio_control_in\[15\] pad_gpio_ana_sel )
+ ROUTED met2 ( 2793840 5025895 ) ( 2793840 5027375 )
NEW met2 ( 2768880 5025710 ) ( 2768880 5025895 )
NEW met3 ( 2766240 5025710 0 ) ( 2768880 5025710 )
NEW met1 ( 2768880 5025895 ) ( 2793840 5025895 )
NEW met2 ( 3159120 4973910 ) ( 3159120 4977610 0 )
NEW met2 ( 2864400 4973910 ) ( 2864400 5027375 )
NEW met1 ( 2793840 5027375 ) ( 2864400 5027375 )
NEW met3 ( 2864400 4973910 ) ( 3159120 4973910 )
NEW met1 ( 2793840 5025895 ) M1M2_PR
NEW met1 ( 2793840 5027375 ) M1M2_PR
NEW met1 ( 2768880 5025895 ) M1M2_PR
NEW met2 ( 2768880 5025710 ) via2_FR
NEW met2 ( 3159120 4973910 ) via2_FR
NEW met2 ( 2864400 4973910 ) via2_FR
NEW met1 ( 2864400 5027375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[16\] ( padframe mprj_io_analog_sel[16] ) ( gpio_control_in\[16\] pad_gpio_ana_sel )
+ ROUTED met2 ( 2649360 4978905 ) ( 2649360 4979090 )
NEW met1 ( 2648400 4978905 ) ( 2649360 4978905 )
NEW met1 ( 2648400 4978905 ) ( 2648400 4979275 )
NEW met1 ( 2618640 4979275 ) ( 2648400 4979275 )
NEW met2 ( 2618640 4979275 ) ( 2618640 4997590 )
NEW met3 ( 2584080 4997590 ) ( 2618640 4997590 )
NEW met2 ( 2584080 4997590 ) ( 2584080 4997775 )
NEW met2 ( 2512080 4997590 ) ( 2512080 4997775 )
NEW met3 ( 2508960 4997590 0 ) ( 2512080 4997590 )
NEW met1 ( 2512080 4997775 ) ( 2584080 4997775 )
NEW met2 ( 2649360 4979090 ) ( 2650320 4979090 0 )
NEW met1 ( 2649360 4978905 ) M1M2_PR
NEW met1 ( 2618640 4979275 ) M1M2_PR
NEW met2 ( 2618640 4997590 ) via2_FR
NEW met2 ( 2584080 4997590 ) via2_FR
NEW met1 ( 2584080 4997775 ) M1M2_PR
NEW met1 ( 2512080 4997775 ) M1M2_PR
NEW met2 ( 2512080 4997590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[17\] ( padframe mprj_io_analog_sel[17] ) ( gpio_control_in\[17\] pad_gpio_ana_sel )
+ ROUTED met2 ( 2392080 4977610 ) ( 2393280 4977610 0 )
NEW met3 ( 2360880 4977610 ) ( 2392080 4977610 )
NEW met2 ( 2360880 4977610 ) ( 2360880 5003695 )
NEW met2 ( 2126640 5026265 ) ( 2126640 5026450 )
NEW met3 ( 2124000 5026450 0 ) ( 2126640 5026450 )
NEW met2 ( 2318160 5024970 ) ( 2318160 5026265 )
NEW met2 ( 2318160 5024970 ) ( 2318640 5024970 )
NEW met2 ( 2318640 5003695 ) ( 2318640 5024970 )
NEW met1 ( 2126640 5026265 ) ( 2318160 5026265 )
NEW met1 ( 2318640 5003695 ) ( 2360880 5003695 )
NEW met2 ( 2392080 4977610 ) via2_FR
NEW met2 ( 2360880 4977610 ) via2_FR
NEW met1 ( 2360880 5003695 ) M1M2_PR
NEW met1 ( 2126640 5026265 ) M1M2_PR
NEW met2 ( 2126640 5026450 ) via2_FR
NEW met1 ( 2318160 5026265 ) M1M2_PR
NEW met1 ( 2318640 5003695 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[18\] ( padframe mprj_io_analog_sel[18] ) ( gpio_control_in\[18\] pad_gpio_ana_sel )
+ ROUTED met2 ( 1788240 5019050 ) ( 1788240 5019235 )
NEW met3 ( 1787040 5019050 0 ) ( 1788240 5019050 )
NEW met2 ( 1947120 4978350 ) ( 1948320 4978350 0 )
NEW met3 ( 1931040 4977610 ) ( 1931040 4978350 )
NEW met3 ( 1901520 4977610 ) ( 1931040 4977610 )
NEW met2 ( 1901520 4977610 ) ( 1901520 4996850 )
NEW met2 ( 1900560 4996850 ) ( 1901520 4996850 )
NEW met2 ( 1900560 4996850 ) ( 1900560 5019235 )
NEW met1 ( 1788240 5019235 ) ( 1900560 5019235 )
NEW met3 ( 1931040 4978350 ) ( 1947120 4978350 )
NEW met1 ( 1788240 5019235 ) M1M2_PR
NEW met2 ( 1788240 5019050 ) via2_FR
NEW met2 ( 1947120 4978350 ) via2_FR
NEW met2 ( 1901520 4977610 ) via2_FR
NEW met1 ( 1900560 5019235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[19\] ( padframe mprj_io_analog_sel[19] ) ( gpio_control_in\[19\] pad_gpio_ana_sel )
+ ROUTED met2 ( 1439280 4974835 ) ( 1439280 4977610 0 )
NEW met2 ( 1532400 4974835 ) ( 1532400 4979090 )
NEW met3 ( 1532400 4979090 ) ( 1535040 4979090 0 )
NEW met1 ( 1439280 4974835 ) ( 1532400 4974835 )
NEW met1 ( 1439280 4974835 ) M1M2_PR
NEW met1 ( 1532400 4974835 ) M1M2_PR
NEW met2 ( 1532400 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[1\] ( padframe mprj_io_analog_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3375600 849890 ) ( 3375840 849890 )
NEW met3 ( 3375840 849890 ) ( 3375840 851000 0 )
NEW met2 ( 3375600 776775 ) ( 3377040 776775 0 )
NEW met2 ( 3375600 776775 ) ( 3375600 849890 )
NEW met2 ( 3375600 849890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[20\] ( padframe mprj_io_analog_sel[20] ) ( gpio_control_in\[20\] pad_gpio_ana_sel )
+ ROUTED met2 ( 1181280 4979090 0 ) ( 1182480 4979090 )
NEW met2 ( 1182480 4978905 ) ( 1182480 4979090 )
NEW met2 ( 1274160 4978905 ) ( 1274160 4979090 )
NEW met3 ( 1274160 4979090 ) ( 1277280 4979090 0 )
NEW met1 ( 1182480 4978905 ) ( 1274160 4978905 )
NEW met1 ( 1182480 4978905 ) M1M2_PR
NEW met1 ( 1274160 4978905 ) M1M2_PR
NEW met2 ( 1274160 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[21\] ( padframe mprj_io_analog_sel[21] ) ( gpio_control_in\[21\] pad_gpio_ana_sel )
+ ROUTED met2 ( 993360 4979645 ) ( 993360 4979830 )
NEW met1 ( 993360 4979645 ) ( 1017360 4979645 )
NEW met2 ( 1017360 4979645 ) ( 1017360 4979830 )
NEW met3 ( 1017360 4979830 ) ( 1020000 4979830 0 )
NEW met2 ( 924240 4979090 0 ) ( 925680 4979090 )
NEW met3 ( 925680 4979090 ) ( 929760 4979090 )
NEW met3 ( 929760 4979090 ) ( 929760 4979830 )
NEW met3 ( 929760 4979830 ) ( 993360 4979830 )
NEW met2 ( 993360 4979830 ) via2_FR
NEW met1 ( 993360 4979645 ) M1M2_PR
NEW met1 ( 1017360 4979645 ) M1M2_PR
NEW met2 ( 1017360 4979830 ) via2_FR
NEW met2 ( 925680 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[22\] ( padframe mprj_io_analog_sel[22] ) ( gpio_control_in\[22\] pad_gpio_ana_sel )
+ ROUTED met2 ( 760080 4979645 ) ( 760080 4979830 )
NEW met3 ( 760080 4979830 ) ( 762720 4979830 0 )
NEW met2 ( 667200 4978350 0 ) ( 668400 4978350 )
NEW met2 ( 668400 4978350 ) ( 668400 4978535 )
NEW met1 ( 668400 4978535 ) ( 700800 4978535 )
NEW met1 ( 700800 4978535 ) ( 700800 4979645 )
NEW met1 ( 700800 4979645 ) ( 760080 4979645 )
NEW met1 ( 760080 4979645 ) M1M2_PR
NEW met2 ( 760080 4979830 ) via2_FR
NEW met1 ( 668400 4978535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[23\] ( padframe mprj_io_analog_sel[23] ) ( gpio_control_in\[23\] pad_gpio_ana_sel )
+ ROUTED met2 ( 410160 4977610 0 ) ( 411600 4977610 )
NEW met2 ( 411600 4977425 ) ( 411600 4977610 )
NEW met1 ( 411600 4977425 ) ( 463920 4977425 )
NEW met2 ( 463920 4977425 ) ( 463920 4997405 )
NEW met1 ( 488880 5011465 ) ( 502800 5011465 )
NEW met2 ( 502800 5011465 ) ( 502800 5011650 )
NEW met3 ( 502800 5011650 ) ( 505920 5011650 0 )
NEW met1 ( 463920 4997405 ) ( 488880 4997405 )
NEW met2 ( 488880 4997405 ) ( 488880 5011465 )
NEW met1 ( 411600 4977425 ) M1M2_PR
NEW met1 ( 463920 4977425 ) M1M2_PR
NEW met1 ( 463920 4997405 ) M1M2_PR
NEW met1 ( 488880 5011465 ) M1M2_PR
NEW met1 ( 502800 5011465 ) M1M2_PR
NEW met2 ( 502800 5011650 ) via2_FR
NEW met1 ( 488880 4997405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[24\] ( padframe mprj_io_analog_sel[24] ) ( gpio_control_in\[24\] pad_gpio_ana_sel )
+ ROUTED met3 ( 210960 4797790 ) ( 213600 4797790 )
NEW met2 ( 210960 4797790 ) ( 210960 4800225 0 )
NEW met3 ( 211680 4676430 0 ) ( 213600 4676430 )
NEW met4 ( 213600 4676430 ) ( 213600 4797790 )
NEW met3 ( 213600 4797790 ) M3M4_PR_M
NEW met2 ( 210960 4797790 ) via2_FR
NEW met3 ( 213600 4676430 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_sel\[25\] ( padframe mprj_io_analog_sel[25] ) ( gpio_control_in\[25\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 3951230 0 ) ( 210960 3953265 )
NEW met1 ( 210960 3953265 ) ( 213840 3953265 )
NEW met1 ( 213840 3964365 ) ( 215760 3964365 )
NEW met2 ( 215760 3964365 ) ( 215760 3974355 )
NEW met1 ( 215760 3974355 ) ( 217680 3974355 )
NEW met2 ( 217680 3974355 ) ( 217680 4002475 )
NEW met1 ( 208080 4002475 ) ( 217680 4002475 )
NEW met2 ( 208080 4002475 ) ( 208080 4045950 )
NEW met3 ( 207840 4045950 ) ( 208080 4045950 )
NEW met3 ( 207840 4045950 ) ( 207840 4047060 0 )
NEW met2 ( 213840 3953265 ) ( 213840 3964365 )
NEW met1 ( 210960 3953265 ) M1M2_PR
NEW met1 ( 213840 3953265 ) M1M2_PR
NEW met1 ( 213840 3964365 ) M1M2_PR
NEW met1 ( 215760 3964365 ) M1M2_PR
NEW met1 ( 215760 3974355 ) M1M2_PR
NEW met1 ( 217680 3974355 ) M1M2_PR
NEW met1 ( 217680 4002475 ) M1M2_PR
NEW met1 ( 208080 4002475 ) M1M2_PR
NEW met2 ( 208080 4045950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[26\] ( padframe mprj_io_analog_sel[26] ) ( gpio_control_in\[26\] pad_gpio_ana_sel )
+ ROUTED met3 ( 208800 3785470 ) ( 209040 3785470 )
NEW met2 ( 209040 3785470 ) ( 209040 3829870 )
NEW met3 ( 208800 3829870 ) ( 209040 3829870 )
NEW met3 ( 208800 3829870 ) ( 208800 3830980 0 )
NEW met3 ( 208800 3737370 ) ( 209040 3737370 )
NEW met2 ( 209040 3735150 0 ) ( 209040 3737370 )
NEW met4 ( 208800 3737370 ) ( 208800 3785470 )
NEW met3 ( 208800 3785470 ) M3M4_PR_M
NEW met2 ( 209040 3785470 ) via2_FR
NEW met2 ( 209040 3829870 ) via2_FR
NEW met3 ( 208800 3737370 ) M3M4_PR_M
NEW met2 ( 209040 3737370 ) via2_FR
NEW met3 ( 208800 3785470 ) RECT ( -380 -150 0 150 )
NEW met3 ( 208800 3737370 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[27\] ( padframe mprj_io_analog_sel[27] ) ( gpio_control_in\[27\] pad_gpio_ana_sel )
+ ROUTED met3 ( 206880 3570870 ) ( 207120 3570870 )
NEW met2 ( 207120 3570870 ) ( 207120 3613790 )
NEW met3 ( 206880 3613790 ) ( 207120 3613790 )
NEW met3 ( 206880 3613790 ) ( 206880 3615270 0 )
NEW met3 ( 206880 3555330 ) ( 215280 3555330 )
NEW met2 ( 215280 3521475 ) ( 215280 3555330 )
NEW met1 ( 210960 3521475 ) ( 215280 3521475 )
NEW met2 ( 210960 3519225 0 ) ( 210960 3521475 )
NEW met4 ( 206880 3555330 ) ( 206880 3570870 )
NEW met3 ( 206880 3570870 ) M3M4_PR_M
NEW met2 ( 207120 3570870 ) via2_FR
NEW met2 ( 207120 3613790 ) via2_FR
NEW met3 ( 206880 3555330 ) M3M4_PR_M
NEW met2 ( 215280 3555330 ) via2_FR
NEW met1 ( 215280 3521475 ) M1M2_PR
NEW met1 ( 210960 3521475 ) M1M2_PR
NEW met3 ( 206880 3570870 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[28\] ( padframe mprj_io_analog_sel[28] ) ( gpio_control_in\[28\] pad_gpio_ana_sel )
+ ROUTED met3 ( 209520 3397710 ) ( 209760 3397710 )
NEW met3 ( 209760 3397710 ) ( 209760 3399190 0 )
NEW met1 ( 209520 3353495 ) ( 215280 3353495 )
NEW met2 ( 215280 3344615 ) ( 215280 3353495 )
NEW met1 ( 213840 3344615 ) ( 215280 3344615 )
NEW met1 ( 213840 3343505 ) ( 213840 3344615 )
NEW met2 ( 213840 3304285 ) ( 213840 3343505 )
NEW met1 ( 210480 3304285 ) ( 213840 3304285 )
NEW met2 ( 210480 3303360 0 ) ( 210480 3304285 )
NEW met2 ( 209520 3353495 ) ( 209520 3397710 )
NEW met2 ( 209520 3397710 ) via2_FR
NEW met1 ( 209520 3353495 ) M1M2_PR
NEW met1 ( 215280 3353495 ) M1M2_PR
NEW met1 ( 215280 3344615 ) M1M2_PR
NEW met1 ( 213840 3343505 ) M1M2_PR
NEW met1 ( 213840 3304285 ) M1M2_PR
NEW met1 ( 210480 3304285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[29\] ( padframe mprj_io_analog_sel[29] ) ( gpio_control_in\[29\] pad_gpio_ana_sel )
+ ROUTED met3 ( 207840 3181630 ) ( 208080 3181630 )
NEW met3 ( 207840 3181630 ) ( 207840 3183110 0 )
NEW met3 ( 208080 3138710 ) ( 208800 3138710 )
NEW met4 ( 208800 3089130 ) ( 208800 3138710 )
NEW met3 ( 208800 3089130 ) ( 209040 3089130 )
NEW met2 ( 209040 3087280 0 ) ( 209040 3089130 )
NEW met2 ( 208080 3138710 ) ( 208080 3181630 )
NEW met2 ( 208080 3181630 ) via2_FR
NEW met2 ( 208080 3138710 ) via2_FR
NEW met3 ( 208800 3138710 ) M3M4_PR_M
NEW met3 ( 208800 3089130 ) M3M4_PR_M
NEW met2 ( 209040 3089130 ) via2_FR
NEW met3 ( 208800 3089130 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[2\] ( padframe mprj_io_analog_sel[2] ) ( gpio_control_in\[2\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3374640 1073370 ) ( 3374880 1073370 )
NEW met3 ( 3374880 1073370 ) ( 3374880 1075960 0 )
NEW met1 ( 3374640 1004365 ) ( 3377040 1004365 )
NEW met2 ( 3377040 1001775 0 ) ( 3377040 1004365 )
NEW met2 ( 3374640 1004365 ) ( 3374640 1073370 )
NEW met2 ( 3374640 1073370 ) via2_FR
NEW met1 ( 3374640 1004365 ) M1M2_PR
NEW met1 ( 3377040 1004365 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[30\] ( padframe mprj_io_analog_sel[30] ) ( gpio_control_in\[30\] pad_gpio_ana_sel )
+ ROUTED met3 ( 210480 2965550 ) ( 210720 2965550 )
NEW met3 ( 210720 2965550 ) ( 210720 2967030 0 )
NEW met3 ( 210480 2921150 ) ( 210720 2921150 )
NEW met4 ( 210720 2873050 ) ( 210720 2921150 )
NEW met3 ( 210720 2873050 ) ( 210960 2873050 )
NEW met2 ( 210960 2871200 0 ) ( 210960 2873050 )
NEW met2 ( 210480 2921150 ) ( 210480 2965550 )
NEW met2 ( 210480 2965550 ) via2_FR
NEW met2 ( 210480 2921150 ) via2_FR
NEW met3 ( 210720 2921150 ) M3M4_PR_M
NEW met3 ( 210720 2873050 ) M3M4_PR_M
NEW met2 ( 210960 2873050 ) via2_FR
NEW met3 ( 210480 2921150 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 2873050 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[31\] ( padframe mprj_io_analog_sel[31] ) ( gpio_control_in\[31\] pad_gpio_ana_sel )
+ ROUTED met3 ( 209760 2750210 ) ( 210480 2750210 )
NEW met3 ( 209760 2750210 ) ( 209760 2750950 0 )
NEW met1 ( 210480 2704885 ) ( 212400 2704885 )
NEW met2 ( 212400 2704885 ) ( 212880 2704885 )
NEW met2 ( 212880 2657525 ) ( 212880 2704885 )
NEW met2 ( 212400 2657525 ) ( 212880 2657525 )
NEW met1 ( 210960 2657525 ) ( 212400 2657525 )
NEW met2 ( 210960 2655120 0 ) ( 210960 2657525 )
NEW met2 ( 210480 2704885 ) ( 210480 2750210 )
NEW met2 ( 210480 2750210 ) via2_FR
NEW met1 ( 210480 2704885 ) M1M2_PR
NEW met1 ( 212400 2704885 ) M1M2_PR
NEW met1 ( 212400 2657525 ) M1M2_PR
NEW met1 ( 210960 2657525 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[32\] ( padframe mprj_io_analog_sel[32] ) ( gpio_control_in\[32\] pad_gpio_ana_sel )
+ ROUTED met2 ( 186480 2073665 ) ( 186480 2110110 )
NEW met3 ( 186480 2110110 ) ( 186720 2110110 )
NEW met3 ( 186720 2110110 ) ( 186720 2113070 0 )
NEW met2 ( 216240 2019275 ) ( 216240 2073665 )
NEW met1 ( 210960 2019275 ) ( 216240 2019275 )
NEW met2 ( 210960 2017240 0 ) ( 210960 2019275 )
NEW met1 ( 186480 2073665 ) ( 216240 2073665 )
NEW met1 ( 186480 2073665 ) M1M2_PR
NEW met2 ( 186480 2110110 ) via2_FR
NEW met1 ( 216240 2073665 ) M1M2_PR
NEW met1 ( 216240 2019275 ) M1M2_PR
NEW met1 ( 210960 2019275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[33\] ( padframe mprj_io_analog_sel[33] ) ( gpio_control_in\[33\] pad_gpio_ana_sel )
+ ROUTED met2 ( 186960 1866095 ) ( 186960 1894030 )
NEW met3 ( 186720 1894030 ) ( 186960 1894030 )
NEW met3 ( 186720 1894030 ) ( 186720 1896990 0 )
NEW met2 ( 216720 1803195 ) ( 216720 1866095 )
NEW met1 ( 210960 1803195 ) ( 216720 1803195 )
NEW met2 ( 210960 1801160 0 ) ( 210960 1803195 )
NEW met1 ( 186960 1866095 ) ( 216720 1866095 )
NEW met1 ( 186960 1866095 ) M1M2_PR
NEW met2 ( 186960 1894030 ) via2_FR
NEW met1 ( 216720 1866095 ) M1M2_PR
NEW met1 ( 216720 1803195 ) M1M2_PR
NEW met1 ( 210960 1803195 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[34\] ( padframe mprj_io_analog_sel[34] ) ( gpio_control_in\[34\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 1585225 0 ) ( 211440 1585225 )
NEW met2 ( 211440 1585225 ) ( 211440 1593775 )
NEW met1 ( 211440 1593775 ) ( 215280 1593775 )
NEW met2 ( 215280 1593775 ) ( 215280 1642245 )
NEW met1 ( 186960 1642245 ) ( 215280 1642245 )
NEW met2 ( 186960 1642245 ) ( 186960 1677950 )
NEW met3 ( 186720 1677950 ) ( 186960 1677950 )
NEW met3 ( 186720 1677950 ) ( 186720 1680910 0 )
NEW met1 ( 211440 1593775 ) M1M2_PR
NEW met1 ( 215280 1593775 ) M1M2_PR
NEW met1 ( 215280 1642245 ) M1M2_PR
NEW met1 ( 186960 1642245 ) M1M2_PR
NEW met2 ( 186960 1677950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[35\] ( padframe mprj_io_analog_sel[35] ) ( gpio_control_in\[35\] pad_gpio_ana_sel )
+ ROUTED met3 ( 211680 1461870 ) ( 212400 1461870 )
NEW met3 ( 211680 1461870 ) ( 211680 1464830 0 )
NEW met2 ( 210960 1369225 0 ) ( 210960 1370850 )
NEW met3 ( 210960 1370850 ) ( 213600 1370850 )
NEW met4 ( 213600 1370850 ) ( 213600 1412290 )
NEW met3 ( 212400 1412290 ) ( 213600 1412290 )
NEW met2 ( 212400 1412290 ) ( 212400 1461870 )
NEW met2 ( 212400 1461870 ) via2_FR
NEW met2 ( 210960 1370850 ) via2_FR
NEW met3 ( 213600 1370850 ) M3M4_PR_M
NEW met3 ( 213600 1412290 ) M3M4_PR_M
NEW met2 ( 212400 1412290 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[36\] ( padframe mprj_io_analog_sel[36] ) ( gpio_control_in\[36\] pad_gpio_ana_sel )
+ ROUTED met2 ( 212880 1229510 ) ( 213360 1229510 )
NEW met2 ( 212880 1229510 ) ( 212880 1245790 )
NEW met3 ( 211680 1245790 ) ( 212880 1245790 )
NEW met3 ( 211680 1245790 ) ( 211680 1248750 0 )
NEW met2 ( 210960 1153290 0 ) ( 211440 1153290 )
NEW met2 ( 211440 1153290 ) ( 211440 1162725 )
NEW met1 ( 211440 1162725 ) ( 216240 1162725 )
NEW met2 ( 216240 1162725 ) ( 216240 1196025 )
NEW met1 ( 212880 1196025 ) ( 216240 1196025 )
NEW met2 ( 212880 1196025 ) ( 212880 1196210 )
NEW met2 ( 212880 1196210 ) ( 213360 1196210 )
NEW met2 ( 213360 1196210 ) ( 213360 1229510 )
NEW met2 ( 212880 1245790 ) via2_FR
NEW met1 ( 211440 1162725 ) M1M2_PR
NEW met1 ( 216240 1162725 ) M1M2_PR
NEW met1 ( 216240 1196025 ) M1M2_PR
NEW met1 ( 212880 1196025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[37\] ( padframe mprj_io_analog_sel[37] ) ( gpio_control_in\[37\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 937210 0 ) ( 210960 938505 )
NEW met1 ( 210960 938505 ) ( 214320 938505 )
NEW met2 ( 214320 938505 ) ( 214320 978095 )
NEW met1 ( 214320 978095 ) ( 216240 978095 )
NEW met2 ( 216240 978095 ) ( 216240 994005 )
NEW met1 ( 186960 994005 ) ( 216240 994005 )
NEW met2 ( 186960 994005 ) ( 186960 1030450 )
NEW met3 ( 186720 1030450 ) ( 186960 1030450 )
NEW met3 ( 186720 1030450 ) ( 186720 1033040 0 )
NEW met1 ( 210960 938505 ) M1M2_PR
NEW met1 ( 214320 938505 ) M1M2_PR
NEW met1 ( 214320 978095 ) M1M2_PR
NEW met1 ( 216240 978095 ) M1M2_PR
NEW met1 ( 216240 994005 ) M1M2_PR
NEW met1 ( 186960 994005 ) M1M2_PR
NEW met2 ( 186960 1030450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[3\] ( padframe mprj_io_analog_sel[3] ) ( gpio_control_in\[3\] pad_gpio_ana_sel )
+ ROUTED met2 ( 3376560 1230250 ) ( 3377040 1230250 )
NEW met2 ( 3377040 1227660 0 ) ( 3377040 1230250 )
NEW met3 ( 3376560 1300550 ) ( 3376800 1300550 )
NEW met3 ( 3376800 1300550 ) ( 3376800 1302030 0 )
NEW met2 ( 3376560 1230250 ) ( 3376560 1300550 )
NEW met2 ( 3376560 1300550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[4\] ( padframe mprj_io_analog_sel[4] ) ( gpio_control_in\[4\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3371760 1455395 ) ( 3375600 1455395 )
NEW met2 ( 3375600 1452775 ) ( 3375600 1455395 )
NEW met2 ( 3375600 1452775 ) ( 3377040 1452775 0 )
NEW met3 ( 3371760 1526990 ) ( 3373920 1526990 0 )
NEW met2 ( 3371760 1455395 ) ( 3371760 1526990 )
NEW met1 ( 3371760 1455395 ) M1M2_PR
NEW met1 ( 3375600 1455395 ) M1M2_PR
NEW met2 ( 3371760 1526990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[5\] ( padframe mprj_io_analog_sel[5] ) ( gpio_control_in\[5\] pad_gpio_ana_sel )
+ ROUTED met2 ( 3374160 1677775 ) ( 3377040 1677775 0 )
NEW met3 ( 3373920 1751210 ) ( 3374160 1751210 )
NEW met3 ( 3373920 1751210 ) ( 3373920 1751950 0 )
NEW met2 ( 3374160 1677775 ) ( 3374160 1751210 )
NEW met2 ( 3374160 1751210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[6\] ( padframe mprj_io_analog_sel[6] ) ( gpio_control_in\[6\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3375600 1976910 ) ( 3375840 1976910 )
NEW met3 ( 3375840 1976910 ) ( 3375840 1978020 0 )
NEW met2 ( 3375600 1906610 ) ( 3377040 1906610 )
NEW met2 ( 3377040 1903650 0 ) ( 3377040 1906610 )
NEW met2 ( 3375600 1906610 ) ( 3375600 1976910 )
NEW met2 ( 3375600 1976910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[7\] ( padframe mprj_io_analog_sel[7] ) ( gpio_control_in\[7\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3384480 2419060 0 ) ( 3384480 2420170 )
NEW met3 ( 3378960 2787950 ) ( 3384480 2787950 )
NEW met2 ( 3378960 2787950 ) ( 3378960 2789800 0 )
NEW met4 ( 3384480 2420170 ) ( 3384480 2787950 )
NEW met3 ( 3384480 2420170 ) M3M4_PR_M
NEW met3 ( 3384480 2787950 ) M3M4_PR_M
NEW met2 ( 3378960 2787950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[8\] ( padframe mprj_io_analog_sel[8] ) ( gpio_control_in\[8\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3358320 2640135 ) ( 3374160 2640135 )
NEW met2 ( 3374160 2639950 ) ( 3374160 2640135 )
NEW met3 ( 3373920 2639950 ) ( 3374160 2639950 )
NEW met3 ( 3373920 2639210 0 ) ( 3373920 2639950 )
NEW met1 ( 3358320 3014575 ) ( 3377520 3014575 )
NEW met2 ( 3377520 3014575 ) ( 3377520 3015870 0 )
NEW met2 ( 3358320 2640135 ) ( 3358320 3014575 )
NEW met1 ( 3358320 2640135 ) M1M2_PR
NEW met1 ( 3374160 2640135 ) M1M2_PR
NEW met2 ( 3374160 2639950 ) via2_FR
NEW met1 ( 3358320 3014575 ) M1M2_PR
NEW met1 ( 3377520 3014575 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[9\] ( padframe mprj_io_analog_sel[9] ) ( gpio_control_in\[9\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3361200 3239905 ) ( 3377040 3239905 )
NEW met2 ( 3377040 3239905 ) ( 3377040 3240830 0 )
NEW met1 ( 3361200 2864355 ) ( 3372240 2864355 )
NEW met2 ( 3372240 2864170 ) ( 3372240 2864355 )
NEW met3 ( 3372240 2864170 ) ( 3373920 2864170 0 )
NEW met2 ( 3361200 2864355 ) ( 3361200 3239905 )
NEW met1 ( 3361200 3239905 ) M1M2_PR
NEW met1 ( 3377040 3239905 ) M1M2_PR
NEW met1 ( 3361200 2864355 ) M1M2_PR
NEW met1 ( 3372240 2864355 ) M1M2_PR
NEW met2 ( 3372240 2864170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[0\] ( padframe mprj_io_dm[0] ) ( gpio_control_bidir\[0\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3374160 590335 ) ( 3384240 590335 )
NEW met2 ( 3384240 590335 ) ( 3384240 627890 )
NEW met3 ( 3384240 627890 ) ( 3384480 627890 )
NEW met3 ( 3384480 627890 ) ( 3384480 629370 0 )
NEW met1 ( 3374160 534835 ) ( 3377520 534835 )
NEW met2 ( 3377520 532430 0 ) ( 3377520 534835 )
NEW met2 ( 3374160 534835 ) ( 3374160 590335 )
NEW met1 ( 3374160 590335 ) M1M2_PR
NEW met1 ( 3384240 590335 ) M1M2_PR
NEW met2 ( 3384240 627890 ) via2_FR
NEW met1 ( 3374160 534835 ) M1M2_PR
NEW met1 ( 3377520 534835 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[100\] ( padframe mprj_io_dm[100] ) ( gpio_control_in\[33\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210480 1828910 0 ) ( 210480 1831315 )
NEW met1 ( 210480 1831315 ) ( 214320 1831315 )
NEW met1 ( 200880 1862765 ) ( 214320 1862765 )
NEW met2 ( 200880 1862765 ) ( 200880 1902170 )
NEW met3 ( 200880 1902170 ) ( 201120 1902170 )
NEW met3 ( 201120 1902170 ) ( 201120 1904390 0 )
NEW met2 ( 214320 1831315 ) ( 214320 1862765 )
NEW met1 ( 210480 1831315 ) M1M2_PR
NEW met1 ( 214320 1831315 ) M1M2_PR
NEW met1 ( 214320 1862765 ) M1M2_PR
NEW met1 ( 200880 1862765 ) M1M2_PR
NEW met2 ( 200880 1902170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[101\] ( padframe mprj_io_dm[101] ) ( gpio_control_in\[33\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 1798005 0 ) ( 211440 1798005 )
NEW met2 ( 186480 1857955 ) ( 186480 1905870 )
NEW met3 ( 186480 1905870 ) ( 186720 1905870 )
NEW met3 ( 186720 1905870 ) ( 186720 1908830 0 )
NEW met2 ( 191760 1852590 ) ( 191760 1857955 )
NEW met3 ( 191760 1852590 ) ( 211680 1852590 )
NEW met4 ( 211680 1800790 ) ( 211680 1852590 )
NEW met3 ( 211440 1800790 ) ( 211680 1800790 )
NEW met1 ( 186480 1857955 ) ( 191760 1857955 )
NEW met2 ( 211440 1798005 ) ( 211440 1800790 )
NEW met1 ( 186480 1857955 ) M1M2_PR
NEW met2 ( 186480 1905870 ) via2_FR
NEW met1 ( 191760 1857955 ) M1M2_PR
NEW met2 ( 191760 1852590 ) via2_FR
NEW met3 ( 211680 1852590 ) M3M4_PR_M
NEW met3 ( 211680 1800790 ) M3M4_PR_M
NEW met2 ( 211440 1800790 ) via2_FR
NEW met3 ( 211680 1800790 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[102\] ( padframe mprj_io_dm[102] ) ( gpio_control_in\[34\] pad_gpio_dm[0] )
+ ROUTED met1 ( 200400 1644095 ) ( 214800 1644095 )
NEW met2 ( 214800 1605245 ) ( 214800 1644095 )
NEW met1 ( 210960 1605245 ) ( 214800 1605245 )
NEW met2 ( 210960 1603580 0 ) ( 210960 1605245 )
NEW met3 ( 200160 1686090 ) ( 200400 1686090 )
NEW met3 ( 200160 1685350 0 ) ( 200160 1686090 )
NEW met2 ( 200400 1644095 ) ( 200400 1686090 )
NEW met1 ( 200400 1644095 ) M1M2_PR
NEW met1 ( 214800 1644095 ) M1M2_PR
NEW met1 ( 214800 1605245 ) M1M2_PR
NEW met1 ( 210960 1605245 ) M1M2_PR
NEW met2 ( 200400 1686090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[103\] ( padframe mprj_io_dm[103] ) ( gpio_control_in\[34\] pad_gpio_dm[1] )
+ ROUTED met1 ( 186000 1648905 ) ( 215760 1648905 )
NEW met2 ( 215760 1615605 ) ( 215760 1648905 )
NEW met1 ( 210960 1615605 ) ( 215760 1615605 )
NEW met2 ( 210960 1612830 0 ) ( 210960 1615605 )
NEW met3 ( 185760 1686830 ) ( 186000 1686830 )
NEW met3 ( 185760 1686830 ) ( 185760 1688310 0 )
NEW met2 ( 186000 1648905 ) ( 186000 1686830 )
NEW met1 ( 186000 1648905 ) M1M2_PR
NEW met1 ( 215760 1648905 ) M1M2_PR
NEW met1 ( 215760 1615605 ) M1M2_PR
NEW met1 ( 210960 1615605 ) M1M2_PR
NEW met2 ( 186000 1686830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[104\] ( padframe mprj_io_dm[104] ) ( gpio_control_in\[34\] pad_gpio_dm[2] )
+ ROUTED met1 ( 199920 1642615 ) ( 216720 1642615 )
NEW met2 ( 216720 1604505 ) ( 216720 1642615 )
NEW met1 ( 214800 1604505 ) ( 216720 1604505 )
NEW met2 ( 210480 1582120 0 ) ( 210480 1583045 )
NEW met1 ( 210480 1583045 ) ( 214800 1583045 )
NEW met2 ( 214800 1583045 ) ( 214800 1604505 )
NEW met3 ( 199920 1689790 ) ( 200160 1689790 )
NEW met3 ( 200160 1689790 ) ( 200160 1692750 0 )
NEW met2 ( 199920 1642615 ) ( 199920 1689790 )
NEW met1 ( 199920 1642615 ) M1M2_PR
NEW met1 ( 216720 1642615 ) M1M2_PR
NEW met1 ( 216720 1604505 ) M1M2_PR
NEW met1 ( 214800 1604505 ) M1M2_PR
NEW met1 ( 210480 1583045 ) M1M2_PR
NEW met1 ( 214800 1583045 ) M1M2_PR
NEW met2 ( 199920 1689790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[105\] ( padframe mprj_io_dm[105] ) ( gpio_control_in\[35\] pad_gpio_dm[0] )
+ ROUTED met3 ( 200880 1470010 ) ( 201120 1470010 )
NEW met3 ( 201120 1469270 0 ) ( 201120 1470010 )
NEW met1 ( 200880 1426165 ) ( 215760 1426165 )
NEW met2 ( 215760 1389165 ) ( 215760 1426165 )
NEW met1 ( 210960 1389165 ) ( 215760 1389165 )
NEW met2 ( 210960 1387500 0 ) ( 210960 1389165 )
NEW met2 ( 200880 1426165 ) ( 200880 1470010 )
NEW met2 ( 200880 1470010 ) via2_FR
NEW met1 ( 200880 1426165 ) M1M2_PR
NEW met1 ( 215760 1426165 ) M1M2_PR
NEW met1 ( 215760 1389165 ) M1M2_PR
NEW met1 ( 210960 1389165 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[106\] ( padframe mprj_io_dm[106] ) ( gpio_control_in\[35\] pad_gpio_dm[1] )
+ ROUTED met3 ( 186480 1470010 ) ( 186720 1470010 )
NEW met3 ( 186720 1470010 ) ( 186720 1472230 0 )
NEW met1 ( 186480 1433935 ) ( 202800 1433935 )
NEW met2 ( 202800 1420430 ) ( 202800 1433935 )
NEW met3 ( 202800 1420430 ) ( 203040 1420430 )
NEW met4 ( 203040 1398970 ) ( 203040 1420430 )
NEW met3 ( 203040 1398970 ) ( 209040 1398970 )
NEW met2 ( 209040 1396750 0 ) ( 209040 1398970 )
NEW met2 ( 186480 1433935 ) ( 186480 1470010 )
NEW met2 ( 186480 1470010 ) via2_FR
NEW met1 ( 186480 1433935 ) M1M2_PR
NEW met1 ( 202800 1433935 ) M1M2_PR
NEW met2 ( 202800 1420430 ) via2_FR
NEW met3 ( 203040 1420430 ) M3M4_PR_M
NEW met3 ( 203040 1398970 ) M3M4_PR_M
NEW met2 ( 209040 1398970 ) via2_FR
NEW met3 ( 202800 1420430 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[107\] ( padframe mprj_io_dm[107] ) ( gpio_control_in\[35\] pad_gpio_dm[2] )
+ ROUTED met3 ( 200160 1473710 ) ( 200400 1473710 )
NEW met3 ( 200160 1473710 ) ( 200160 1476670 0 )
NEW met1 ( 200400 1426535 ) ( 211440 1426535 )
NEW met2 ( 211440 1416730 ) ( 211440 1426535 )
NEW met3 ( 211440 1416730 ) ( 211680 1416730 )
NEW met2 ( 200400 1426535 ) ( 200400 1473710 )
NEW met2 ( 210960 1366040 0 ) ( 211440 1366040 )
NEW met2 ( 211440 1366040 ) ( 211440 1368630 )
NEW met3 ( 211440 1368630 ) ( 211680 1368630 )
NEW met4 ( 211680 1368630 ) ( 211680 1416730 )
NEW met2 ( 200400 1473710 ) via2_FR
NEW met1 ( 200400 1426535 ) M1M2_PR
NEW met1 ( 211440 1426535 ) M1M2_PR
NEW met2 ( 211440 1416730 ) via2_FR
NEW met3 ( 211680 1416730 ) M3M4_PR_M
NEW met2 ( 211440 1368630 ) via2_FR
NEW met3 ( 211680 1368630 ) M3M4_PR_M
NEW met3 ( 211440 1416730 ) RECT ( -380 -150 0 150 )
NEW met3 ( 211440 1368630 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[108\] ( padframe mprj_io_dm[108] ) ( gpio_control_in\[36\] pad_gpio_dm[0] )
+ ROUTED met1 ( 200400 1210455 ) ( 211920 1210455 )
NEW met2 ( 211920 1196950 ) ( 211920 1210455 )
NEW met3 ( 211920 1196950 ) ( 212640 1196950 )
NEW met3 ( 212640 1194730 ) ( 212640 1196950 )
NEW met3 ( 212400 1194730 ) ( 212640 1194730 )
NEW met2 ( 212400 1191770 ) ( 212400 1194730 )
NEW met2 ( 211920 1191770 ) ( 212400 1191770 )
NEW met2 ( 211920 1174195 ) ( 211920 1191770 )
NEW met1 ( 210960 1174195 ) ( 211920 1174195 )
NEW met2 ( 210960 1171625 0 ) ( 210960 1174195 )
NEW met3 ( 200160 1253930 ) ( 200400 1253930 )
NEW met3 ( 200160 1253190 0 ) ( 200160 1253930 )
NEW met2 ( 200400 1210455 ) ( 200400 1253930 )
NEW met1 ( 200400 1210455 ) M1M2_PR
NEW met1 ( 211920 1210455 ) M1M2_PR
NEW met2 ( 211920 1196950 ) via2_FR
NEW met2 ( 212400 1194730 ) via2_FR
NEW met1 ( 211920 1174195 ) M1M2_PR
NEW met1 ( 210960 1174195 ) M1M2_PR
NEW met2 ( 200400 1253930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[109\] ( padframe mprj_io_dm[109] ) ( gpio_control_in\[36\] pad_gpio_dm[1] )
+ ROUTED met1 ( 186960 1209715 ) ( 214800 1209715 )
NEW met2 ( 214800 1183445 ) ( 214800 1209715 )
NEW met1 ( 210960 1183445 ) ( 214800 1183445 )
NEW met2 ( 210960 1180825 0 ) ( 210960 1183445 )
NEW met3 ( 186720 1253930 ) ( 186960 1253930 )
NEW met3 ( 186720 1253930 ) ( 186720 1256520 0 )
NEW met2 ( 186960 1209715 ) ( 186960 1253930 )
NEW met1 ( 186960 1209715 ) M1M2_PR
NEW met1 ( 214800 1209715 ) M1M2_PR
NEW met1 ( 214800 1183445 ) M1M2_PR
NEW met1 ( 210960 1183445 ) M1M2_PR
NEW met2 ( 186960 1253930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[10\] ( padframe mprj_io_dm[10] ) ( gpio_control_in\[3\] pad_gpio_dm[1] )
+ ROUTED met2 ( 3377520 1200280 0 ) ( 3377520 1202685 )
NEW met1 ( 3375120 1202685 ) ( 3377520 1202685 )
NEW met2 ( 3375120 1202685 ) ( 3375120 1258185 )
NEW met1 ( 3375120 1258185 ) ( 3384720 1258185 )
NEW met3 ( 3384480 1308690 ) ( 3384720 1308690 )
NEW met3 ( 3384480 1308690 ) ( 3384480 1309430 0 )
NEW met2 ( 3384720 1258185 ) ( 3384720 1308690 )
NEW met1 ( 3377520 1202685 ) M1M2_PR
NEW met1 ( 3375120 1202685 ) M1M2_PR
NEW met1 ( 3375120 1258185 ) M1M2_PR
NEW met1 ( 3384720 1258185 ) M1M2_PR
NEW met2 ( 3384720 1308690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[110\] ( padframe mprj_io_dm[110] ) ( gpio_control_in\[36\] pad_gpio_dm[2] )
+ ROUTED met3 ( 201360 1210270 ) ( 208800 1210270 )
NEW met4 ( 208800 1151810 ) ( 208800 1210270 )
NEW met3 ( 208800 1151810 ) ( 209040 1151810 )
NEW met2 ( 209040 1149960 0 ) ( 209040 1151810 )
NEW met3 ( 201120 1257630 ) ( 201360 1257630 )
NEW met3 ( 201120 1257630 ) ( 201120 1260590 0 )
NEW met2 ( 201360 1210270 ) ( 201360 1257630 )
NEW met2 ( 201360 1210270 ) via2_FR
NEW met3 ( 208800 1210270 ) M3M4_PR_M
NEW met3 ( 208800 1151810 ) M3M4_PR_M
NEW met2 ( 209040 1151810 ) via2_FR
NEW met2 ( 201360 1257630 ) via2_FR
NEW met3 ( 208800 1151810 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[111\] ( padframe mprj_io_dm[111] ) ( gpio_control_in\[37\] pad_gpio_dm[0] )
+ ROUTED met3 ( 200160 1035630 ) ( 200400 1035630 )
NEW met2 ( 200400 1007325 ) ( 200400 1035630 )
NEW met1 ( 200400 1007325 ) ( 210960 1007325 )
NEW met2 ( 210960 987530 ) ( 210960 1007325 )
NEW met3 ( 210960 987530 ) ( 211680 987530 )
NEW met4 ( 211680 975690 ) ( 211680 987530 )
NEW met3 ( 211680 975690 ) ( 211920 975690 )
NEW met2 ( 211920 957375 ) ( 211920 975690 )
NEW met1 ( 210480 957375 ) ( 211920 957375 )
NEW met2 ( 210480 955710 0 ) ( 210480 957375 )
NEW met3 ( 200160 1035630 ) ( 200160 1037110 0 )
NEW met2 ( 200400 1035630 ) via2_FR
NEW met1 ( 200400 1007325 ) M1M2_PR
NEW met1 ( 210960 1007325 ) M1M2_PR
NEW met2 ( 210960 987530 ) via2_FR
NEW met3 ( 211680 987530 ) M3M4_PR_M
NEW met3 ( 211680 975690 ) M3M4_PR_M
NEW met2 ( 211920 975690 ) via2_FR
NEW met1 ( 211920 957375 ) M1M2_PR
NEW met1 ( 210480 957375 ) M1M2_PR
NEW met3 ( 211680 975690 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[112\] ( padframe mprj_io_dm[112] ) ( gpio_control_in\[37\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210480 964960 0 ) ( 210480 967365 )
NEW met1 ( 210480 967365 ) ( 215280 967365 )
NEW met2 ( 215280 967365 ) ( 215280 1022495 )
NEW met1 ( 211920 1022495 ) ( 215280 1022495 )
NEW met3 ( 211680 1039330 ) ( 211920 1039330 )
NEW met3 ( 211680 1039330 ) ( 211680 1040440 0 )
NEW met2 ( 211920 1022495 ) ( 211920 1039330 )
NEW met1 ( 210480 967365 ) M1M2_PR
NEW met1 ( 215280 967365 ) M1M2_PR
NEW met1 ( 215280 1022495 ) M1M2_PR
NEW met1 ( 211920 1022495 ) M1M2_PR
NEW met2 ( 211920 1039330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[113\] ( padframe mprj_io_dm[113] ) ( gpio_control_in\[37\] pad_gpio_dm[2] )
+ ROUTED met3 ( 211680 1044510 0 ) ( 214320 1044510 )
NEW met2 ( 210960 933880 0 ) ( 210960 935545 )
NEW met1 ( 210960 935545 ) ( 212880 935545 )
NEW met2 ( 212880 935545 ) ( 213360 935545 )
NEW met2 ( 213360 935545 ) ( 213360 978835 )
NEW met2 ( 212880 978835 ) ( 213360 978835 )
NEW met1 ( 212880 978835 ) ( 214320 978835 )
NEW met2 ( 214320 978835 ) ( 214320 1044510 )
NEW met2 ( 214320 1044510 ) via2_FR
NEW met1 ( 210960 935545 ) M1M2_PR
NEW met1 ( 212880 935545 ) M1M2_PR
NEW met1 ( 212880 978835 ) M1M2_PR
NEW met1 ( 214320 978835 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[11\] ( padframe mprj_io_dm[11] ) ( gpio_control_in\[3\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3371760 1233765 ) ( 3377040 1233765 )
NEW met2 ( 3377040 1230990 0 ) ( 3377040 1233765 )
NEW met3 ( 3371760 1313870 ) ( 3373920 1313870 0 )
NEW met2 ( 3371760 1233765 ) ( 3371760 1313870 )
NEW met1 ( 3371760 1233765 ) M1M2_PR
NEW met1 ( 3377040 1233765 ) M1M2_PR
NEW met2 ( 3371760 1313870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[12\] ( padframe mprj_io_dm[12] ) ( gpio_control_in\[4\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3373680 1436895 ) ( 3377520 1436895 )
NEW met2 ( 3377520 1434490 0 ) ( 3377520 1436895 )
NEW met1 ( 3373680 1497575 ) ( 3384240 1497575 )
NEW met2 ( 3384240 1497575 ) ( 3384240 1529950 )
NEW met3 ( 3384240 1529950 ) ( 3384480 1529950 )
NEW met3 ( 3384480 1529950 ) ( 3384480 1531060 0 )
NEW met2 ( 3373680 1436895 ) ( 3373680 1497575 )
NEW met1 ( 3373680 1436895 ) M1M2_PR
NEW met1 ( 3377520 1436895 ) M1M2_PR
NEW met1 ( 3373680 1497575 ) M1M2_PR
NEW met1 ( 3384240 1497575 ) M1M2_PR
NEW met2 ( 3384240 1529950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[13\] ( padframe mprj_io_dm[13] ) ( gpio_control_in\[4\] pad_gpio_dm[1] )
+ ROUTED met2 ( 3378960 1424130 ) ( 3378960 1425240 0 )
NEW met3 ( 3378960 1424130 ) ( 3379680 1424130 )
NEW met3 ( 3379680 1497390 ) ( 3385200 1497390 )
NEW met2 ( 3385200 1497390 ) ( 3385200 1533650 )
NEW met3 ( 3385200 1533650 ) ( 3385440 1533650 )
NEW met3 ( 3385440 1533650 ) ( 3385440 1534390 0 )
NEW met4 ( 3379680 1424130 ) ( 3379680 1497390 )
NEW met2 ( 3378960 1424130 ) via2_FR
NEW met3 ( 3379680 1424130 ) M3M4_PR_M
NEW met3 ( 3379680 1497390 ) M3M4_PR_M
NEW met2 ( 3385200 1497390 ) via2_FR
NEW met2 ( 3385200 1533650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[14\] ( padframe mprj_io_dm[14] ) ( gpio_control_in\[4\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3373200 1458725 ) ( 3375600 1458725 )
NEW met2 ( 3375600 1455950 ) ( 3375600 1458725 )
NEW met2 ( 3375600 1455950 ) ( 3377040 1455950 0 )
NEW met3 ( 3373200 1537350 ) ( 3373920 1537350 )
NEW met3 ( 3373920 1537350 ) ( 3373920 1538830 0 )
NEW met2 ( 3373200 1458725 ) ( 3373200 1537350 )
NEW met1 ( 3373200 1458725 ) M1M2_PR
NEW met1 ( 3375600 1458725 ) M1M2_PR
NEW met2 ( 3373200 1537350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[15\] ( padframe mprj_io_dm[15] ) ( gpio_control_in\[5\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3371280 1661855 ) ( 3377520 1661855 )
NEW met2 ( 3377520 1659450 0 ) ( 3377520 1661855 )
NEW met3 ( 3371280 1756390 ) ( 3373920 1756390 0 )
NEW met2 ( 3371280 1661855 ) ( 3371280 1756390 )
NEW met1 ( 3371280 1661855 ) M1M2_PR
NEW met1 ( 3377520 1661855 ) M1M2_PR
NEW met2 ( 3371280 1756390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[16\] ( padframe mprj_io_dm[16] ) ( gpio_control_in\[5\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3373680 1652605 ) ( 3377520 1652605 )
NEW met2 ( 3377520 1650200 0 ) ( 3377520 1652605 )
NEW met1 ( 3373680 1722165 ) ( 3384240 1722165 )
NEW met2 ( 3384240 1722165 ) ( 3384240 1758610 )
NEW met3 ( 3384240 1758610 ) ( 3384480 1758610 )
NEW met3 ( 3384480 1758610 ) ( 3384480 1759350 0 )
NEW met2 ( 3373680 1652605 ) ( 3373680 1722165 )
NEW met1 ( 3373680 1652605 ) M1M2_PR
NEW met1 ( 3377520 1652605 ) M1M2_PR
NEW met1 ( 3373680 1722165 ) M1M2_PR
NEW met1 ( 3384240 1722165 ) M1M2_PR
NEW met2 ( 3384240 1758610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[17\] ( padframe mprj_io_dm[17] ) ( gpio_control_in\[5\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3371760 1682945 ) ( 3377040 1682945 )
NEW met2 ( 3377040 1680910 0 ) ( 3377040 1682945 )
NEW met3 ( 3371760 1763790 ) ( 3373920 1763790 0 )
NEW met2 ( 3371760 1682945 ) ( 3371760 1763790 )
NEW met1 ( 3371760 1682945 ) M1M2_PR
NEW met1 ( 3377040 1682945 ) M1M2_PR
NEW met2 ( 3371760 1763790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[18\] ( padframe mprj_io_dm[18] ) ( gpio_control_in\[6\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3374640 1980610 ) ( 3374880 1980610 )
NEW met3 ( 3374880 1980610 ) ( 3374880 1982090 0 )
NEW met1 ( 3374640 1887925 ) ( 3377040 1887925 )
NEW met2 ( 3377040 1885375 0 ) ( 3377040 1887925 )
NEW met2 ( 3374640 1887925 ) ( 3374640 1980610 )
NEW met2 ( 3374640 1980610 ) via2_FR
NEW met1 ( 3374640 1887925 ) M1M2_PR
NEW met1 ( 3377040 1887925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[19\] ( padframe mprj_io_dm[19] ) ( gpio_control_in\[6\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3370800 1985790 ) ( 3373920 1985790 0 )
NEW met1 ( 3370800 1878675 ) ( 3377520 1878675 )
NEW met2 ( 3377520 1876270 0 ) ( 3377520 1878675 )
NEW met2 ( 3370800 1878675 ) ( 3370800 1985790 )
NEW met2 ( 3370800 1985790 ) via2_FR
NEW met1 ( 3370800 1878675 ) M1M2_PR
NEW met1 ( 3377520 1878675 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[1\] ( padframe mprj_io_dm[1] ) ( gpio_control_bidir\[0\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3373680 589965 ) ( 3384720 589965 )
NEW met2 ( 3384720 589965 ) ( 3384720 631590 )
NEW met3 ( 3384480 631590 ) ( 3384720 631590 )
NEW met3 ( 3384480 631590 ) ( 3384480 632330 0 )
NEW met1 ( 3373680 525955 ) ( 3377040 525955 )
NEW met2 ( 3377040 523180 0 ) ( 3377040 525955 )
NEW met2 ( 3373680 525955 ) ( 3373680 589965 )
NEW met1 ( 3373680 589965 ) M1M2_PR
NEW met1 ( 3384720 589965 ) M1M2_PR
NEW met2 ( 3384720 631590 ) via2_FR
NEW met1 ( 3373680 525955 ) M1M2_PR
NEW met1 ( 3377040 525955 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[20\] ( padframe mprj_io_dm[20] ) ( gpio_control_in\[6\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3371760 1989490 ) ( 3373920 1989490 0 )
NEW met1 ( 3371760 1925665 ) ( 3376080 1925665 )
NEW met2 ( 3376080 1906980 ) ( 3376080 1925665 )
NEW met2 ( 3376080 1906980 ) ( 3377040 1906980 0 )
NEW met2 ( 3371760 1925665 ) ( 3371760 1989490 )
NEW met2 ( 3371760 1989490 ) via2_FR
NEW met1 ( 3371760 1925665 ) M1M2_PR
NEW met1 ( 3376080 1925665 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[21\] ( padframe mprj_io_dm[21] ) ( gpio_control_in\[7\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3371280 2423130 ) ( 3373920 2423130 0 )
NEW met1 ( 3369840 2698965 ) ( 3371280 2698965 )
NEW met2 ( 3371280 2423130 ) ( 3371280 2698965 )
NEW met3 ( 3369840 2707290 ) ( 3372240 2707290 )
NEW met2 ( 3372240 2707290 ) ( 3372240 2768895 )
NEW met1 ( 3372240 2768895 ) ( 3377520 2768895 )
NEW met2 ( 3377520 2768895 ) ( 3377520 2771300 0 )
NEW met2 ( 3369840 2698965 ) ( 3369840 2707290 )
NEW met2 ( 3371280 2423130 ) via2_FR
NEW met1 ( 3369840 2698965 ) M1M2_PR
NEW met1 ( 3371280 2698965 ) M1M2_PR
NEW met2 ( 3369840 2707290 ) via2_FR
NEW met2 ( 3372240 2707290 ) via2_FR
NEW met1 ( 3372240 2768895 ) M1M2_PR
NEW met1 ( 3377520 2768895 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[22\] ( padframe mprj_io_dm[22] ) ( gpio_control_in\[7\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3372240 2487325 ) ( 3374640 2487325 )
NEW met2 ( 3374640 2427570 ) ( 3374640 2487325 )
NEW met3 ( 3374640 2427570 ) ( 3374880 2427570 )
NEW met3 ( 3374880 2426460 0 ) ( 3374880 2427570 )
NEW met1 ( 3371760 2759645 ) ( 3377520 2759645 )
NEW met2 ( 3377520 2759645 ) ( 3377520 2762050 0 )
NEW met1 ( 3370320 2731895 ) ( 3371760 2731895 )
NEW met2 ( 3370320 2649755 ) ( 3370320 2731895 )
NEW met1 ( 3370320 2649755 ) ( 3372240 2649755 )
NEW met2 ( 3371760 2731895 ) ( 3371760 2759645 )
NEW met2 ( 3372240 2487325 ) ( 3372240 2649755 )
NEW met1 ( 3372240 2487325 ) M1M2_PR
NEW met1 ( 3374640 2487325 ) M1M2_PR
NEW met2 ( 3374640 2427570 ) via2_FR
NEW met1 ( 3371760 2759645 ) M1M2_PR
NEW met1 ( 3377520 2759645 ) M1M2_PR
NEW met1 ( 3371760 2731895 ) M1M2_PR
NEW met1 ( 3370320 2731895 ) M1M2_PR
NEW met1 ( 3370320 2649755 ) M1M2_PR
NEW met1 ( 3372240 2649755 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[23\] ( padframe mprj_io_dm[23] ) ( gpio_control_in\[7\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3372960 2433490 ) ( 3373920 2433490 )
NEW met3 ( 3373920 2430530 0 ) ( 3373920 2433490 )
NEW met3 ( 3372960 2790910 ) ( 3377040 2790910 )
NEW met2 ( 3377040 2790910 ) ( 3377040 2793130 0 )
NEW met4 ( 3372960 2433490 ) ( 3372960 2790910 )
NEW met3 ( 3372960 2433490 ) M3M4_PR_M
NEW met3 ( 3372960 2790910 ) M3M4_PR_M
NEW met2 ( 3377040 2790910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[24\] ( padframe mprj_io_dm[24] ) ( gpio_control_in\[8\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3359280 2644575 ) ( 3374160 2644575 )
NEW met2 ( 3374160 2644390 ) ( 3374160 2644575 )
NEW met3 ( 3373920 2644390 ) ( 3374160 2644390 )
NEW met3 ( 3373920 2642910 0 ) ( 3373920 2644390 )
NEW met1 ( 3359280 2996445 ) ( 3377040 2996445 )
NEW met2 ( 3377040 2996445 ) ( 3377040 2997370 0 )
NEW met2 ( 3359280 2644575 ) ( 3359280 2996445 )
NEW met1 ( 3359280 2644575 ) M1M2_PR
NEW met1 ( 3374160 2644575 ) M1M2_PR
NEW met2 ( 3374160 2644390 ) via2_FR
NEW met1 ( 3359280 2996445 ) M1M2_PR
NEW met1 ( 3377040 2996445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[25\] ( padframe mprj_io_dm[25] ) ( gpio_control_in\[8\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3360720 2647535 ) ( 3374160 2647535 )
NEW met2 ( 3374160 2647350 ) ( 3374160 2647535 )
NEW met3 ( 3373920 2647350 ) ( 3374160 2647350 )
NEW met3 ( 3373920 2646610 0 ) ( 3373920 2647350 )
NEW met1 ( 3360720 2985715 ) ( 3377520 2985715 )
NEW met2 ( 3377520 2985715 ) ( 3377520 2988120 0 )
NEW met2 ( 3360720 2647535 ) ( 3360720 2985715 )
NEW met1 ( 3360720 2647535 ) M1M2_PR
NEW met1 ( 3374160 2647535 ) M1M2_PR
NEW met2 ( 3374160 2647350 ) via2_FR
NEW met1 ( 3360720 2985715 ) M1M2_PR
NEW met1 ( 3377520 2985715 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[26\] ( padframe mprj_io_dm[26] ) ( gpio_control_in\[8\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3365520 3016425 ) ( 3377040 3016425 )
NEW met2 ( 3377040 3016425 ) ( 3377040 3018995 0 )
NEW met3 ( 3365520 2650310 ) ( 3373920 2650310 0 )
NEW met2 ( 3365520 2650310 ) ( 3365520 3016425 )
NEW met1 ( 3365520 3016425 ) M1M2_PR
NEW met1 ( 3377040 3016425 ) M1M2_PR
NEW met2 ( 3365520 2650310 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[27\] ( padframe mprj_io_dm[27] ) ( gpio_control_in\[9\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3363600 3220295 ) ( 3377520 3220295 )
NEW met2 ( 3377520 3220295 ) ( 3377520 3222330 0 )
NEW met1 ( 3363600 2879895 ) ( 3369360 2879895 )
NEW met2 ( 3369360 2867870 ) ( 3369360 2879895 )
NEW met3 ( 3369360 2867870 ) ( 3373920 2867870 0 )
NEW met2 ( 3363600 2879895 ) ( 3363600 3220295 )
NEW met1 ( 3363600 3220295 ) M1M2_PR
NEW met1 ( 3377520 3220295 ) M1M2_PR
NEW met1 ( 3363600 2879895 ) M1M2_PR
NEW met1 ( 3369360 2879895 ) M1M2_PR
NEW met2 ( 3369360 2867870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[28\] ( padframe mprj_io_dm[28] ) ( gpio_control_in\[9\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3362640 3211415 ) ( 3377520 3211415 )
NEW met2 ( 3377520 3211415 ) ( 3377520 3213080 0 )
NEW met1 ( 3362640 2871755 ) ( 3372240 2871755 )
NEW met2 ( 3372240 2871570 ) ( 3372240 2871755 )
NEW met3 ( 3372240 2871570 ) ( 3373920 2871570 0 )
NEW met2 ( 3362640 2871755 ) ( 3362640 3211415 )
NEW met1 ( 3362640 3211415 ) M1M2_PR
NEW met1 ( 3377520 3211415 ) M1M2_PR
NEW met1 ( 3362640 2871755 ) M1M2_PR
NEW met1 ( 3372240 2871755 ) M1M2_PR
NEW met2 ( 3372240 2871570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[29\] ( padframe mprj_io_dm[29] ) ( gpio_control_in\[9\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3366960 3241385 ) ( 3377040 3241385 )
NEW met2 ( 3377040 3241385 ) ( 3377040 3243995 0 )
NEW met3 ( 3366960 2876010 ) ( 3373920 2876010 )
NEW met3 ( 3373920 2875640 0 ) ( 3373920 2876010 )
NEW met2 ( 3366960 2876010 ) ( 3366960 3241385 )
NEW met1 ( 3366960 3241385 ) M1M2_PR
NEW met1 ( 3377040 3241385 ) M1M2_PR
NEW met2 ( 3366960 2876010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[2\] ( padframe mprj_io_dm[2] ) ( gpio_control_bidir\[0\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3374640 635290 ) ( 3374880 635290 )
NEW met3 ( 3374880 635290 ) ( 3374880 636770 0 )
NEW met2 ( 3374640 555370 ) ( 3377040 555370 )
NEW met2 ( 3377040 553890 0 ) ( 3377040 555370 )
NEW met2 ( 3374640 555370 ) ( 3374640 635290 )
NEW met2 ( 3374640 635290 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[30\] ( padframe mprj_io_dm[30] ) ( gpio_control_in\[10\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3375840 3445810 ) ( 3377040 3445810 )
NEW met2 ( 3377040 3445810 ) ( 3377040 3448400 0 )
NEW met3 ( 3375840 3094310 0 ) ( 3375840 3095790 )
NEW met4 ( 3375840 3095790 ) ( 3375840 3445810 )
NEW met3 ( 3375840 3445810 ) M3M4_PR_M
NEW met2 ( 3377040 3445810 ) via2_FR
NEW met3 ( 3375840 3095790 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[31\] ( padframe mprj_io_dm[31] ) ( gpio_control_in\[10\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3374640 3409735 ) ( 3377520 3409735 )
NEW met2 ( 3374640 3409735 ) ( 3374640 3436745 )
NEW met1 ( 3374640 3436745 ) ( 3377520 3436745 )
NEW met2 ( 3377520 3436745 ) ( 3377520 3439150 0 )
NEW met1 ( 3374640 3283935 ) ( 3377520 3283935 )
NEW met2 ( 3377520 3283935 ) ( 3377520 3409735 )
NEW met1 ( 3374640 3118915 ) ( 3377040 3118915 )
NEW met2 ( 3377040 3100230 ) ( 3377040 3118915 )
NEW met3 ( 3376800 3100230 ) ( 3377040 3100230 )
NEW met3 ( 3376800 3097270 0 ) ( 3376800 3100230 )
NEW met2 ( 3374640 3118915 ) ( 3374640 3283935 )
NEW met1 ( 3377520 3409735 ) M1M2_PR
NEW met1 ( 3374640 3409735 ) M1M2_PR
NEW met1 ( 3374640 3436745 ) M1M2_PR
NEW met1 ( 3377520 3436745 ) M1M2_PR
NEW met1 ( 3374640 3283935 ) M1M2_PR
NEW met1 ( 3377520 3283935 ) M1M2_PR
NEW met1 ( 3374640 3118915 ) M1M2_PR
NEW met1 ( 3377040 3118915 ) M1M2_PR
NEW met2 ( 3377040 3100230 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[32\] ( padframe mprj_io_dm[32] ) ( gpio_control_in\[10\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3372000 3467270 ) ( 3377520 3467270 )
NEW met2 ( 3377520 3467270 ) ( 3377520 3469860 0 )
NEW met3 ( 3372000 3101710 ) ( 3373920 3101710 0 )
NEW met4 ( 3372000 3101710 ) ( 3372000 3467270 )
NEW met3 ( 3372000 3467270 ) M3M4_PR_M
NEW met2 ( 3377520 3467270 ) via2_FR
NEW met3 ( 3372000 3101710 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[33\] ( padframe mprj_io_dm[33] ) ( gpio_control_in\[11\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3360240 3672065 ) ( 3377040 3672065 )
NEW met2 ( 3377040 3672065 ) ( 3377040 3673360 0 )
NEW met1 ( 3360240 3321675 ) ( 3369360 3321675 )
NEW met2 ( 3369360 3319270 ) ( 3369360 3321675 )
NEW met3 ( 3369360 3319270 ) ( 3373920 3319270 0 )
NEW met2 ( 3360240 3321675 ) ( 3360240 3672065 )
NEW met1 ( 3360240 3672065 ) M1M2_PR
NEW met1 ( 3377040 3672065 ) M1M2_PR
NEW met1 ( 3360240 3321675 ) M1M2_PR
NEW met1 ( 3369360 3321675 ) M1M2_PR
NEW met2 ( 3369360 3319270 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[34\] ( padframe mprj_io_dm[34] ) ( gpio_control_in\[11\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3364080 3661705 ) ( 3377520 3661705 )
NEW met2 ( 3377520 3661705 ) ( 3377520 3664110 0 )
NEW met1 ( 3364080 3326115 ) ( 3369360 3326115 )
NEW met2 ( 3369360 3322230 ) ( 3369360 3326115 )
NEW met3 ( 3369360 3322230 ) ( 3373920 3322230 0 )
NEW met2 ( 3364080 3326115 ) ( 3364080 3661705 )
NEW met1 ( 3364080 3661705 ) M1M2_PR
NEW met1 ( 3377520 3661705 ) M1M2_PR
NEW met1 ( 3364080 3326115 ) M1M2_PR
NEW met1 ( 3369360 3326115 ) M1M2_PR
NEW met2 ( 3369360 3322230 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[35\] ( padframe mprj_io_dm[35] ) ( gpio_control_in\[11\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3376800 3692970 ) ( 3377040 3692970 )
NEW met2 ( 3377040 3692970 ) ( 3377040 3694995 0 )
NEW met3 ( 3376800 3326670 0 ) ( 3376800 3329630 )
NEW met4 ( 3376800 3329630 ) ( 3376800 3692970 )
NEW met3 ( 3376800 3692970 ) M3M4_PR_M
NEW met2 ( 3377040 3692970 ) via2_FR
NEW met3 ( 3376800 3329630 ) M3M4_PR_M
NEW met3 ( 3376800 3692970 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[36\] ( padframe mprj_io_dm[36] ) ( gpio_control_in\[12\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3378960 3895730 ) ( 3384480 3895730 )
NEW met2 ( 3378960 3895730 ) ( 3378960 3898320 0 )
NEW met3 ( 3384480 3544970 0 ) ( 3384480 3547190 )
NEW met4 ( 3384480 3547190 ) ( 3384480 3895730 )
NEW met3 ( 3384480 3895730 ) M3M4_PR_M
NEW met2 ( 3378960 3895730 ) via2_FR
NEW met3 ( 3384480 3547190 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[37\] ( padframe mprj_io_dm[37] ) ( gpio_control_in\[12\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3385440 3640430 ) ( 3389280 3640430 )
NEW met3 ( 3385440 3640430 ) ( 3385440 3658930 )
NEW met3 ( 3378960 3886850 ) ( 3385440 3886850 )
NEW met2 ( 3378960 3886850 ) ( 3378960 3889070 0 )
NEW met3 ( 3389280 3548670 0 ) ( 3389280 3551630 )
NEW met4 ( 3389280 3551630 ) ( 3389280 3640430 )
NEW met4 ( 3385440 3658930 ) ( 3385440 3886850 )
NEW met3 ( 3389280 3640430 ) M3M4_PR_M
NEW met3 ( 3385440 3658930 ) M3M4_PR_M
NEW met3 ( 3385440 3886850 ) M3M4_PR_M
NEW met2 ( 3378960 3886850 ) via2_FR
NEW met3 ( 3389280 3551630 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[38\] ( padframe mprj_io_dm[38] ) ( gpio_control_in\[12\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3359760 3552555 ) ( 3372240 3552555 )
NEW met2 ( 3372240 3552370 ) ( 3372240 3552555 )
NEW met3 ( 3372240 3552370 ) ( 3373920 3552370 0 )
NEW met1 ( 3359760 3917375 ) ( 3377040 3917375 )
NEW met2 ( 3377040 3917375 ) ( 3377040 3919995 0 )
NEW met2 ( 3359760 3552555 ) ( 3359760 3917375 )
NEW met1 ( 3359760 3552555 ) M1M2_PR
NEW met1 ( 3372240 3552555 ) M1M2_PR
NEW met2 ( 3372240 3552370 ) via2_FR
NEW met1 ( 3359760 3917375 ) M1M2_PR
NEW met1 ( 3377040 3917375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[39\] ( padframe mprj_io_dm[39] ) ( gpio_control_in\[13\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3372720 3887590 ) ( 3385440 3887590 )
NEW met4 ( 3385440 3887590 ) ( 3385440 3887775 )
NEW met4 ( 3385440 3887775 ) ( 3386400 3887775 )
NEW met1 ( 3372720 4341765 ) ( 3377040 4341765 )
NEW met2 ( 3377040 4341765 ) ( 3377040 4344375 0 )
NEW met2 ( 3372720 3887590 ) ( 3372720 4341765 )
NEW met3 ( 3386400 3769930 0 ) ( 3386400 3772150 )
NEW met4 ( 3386400 3772150 ) ( 3386400 3887775 )
NEW met2 ( 3372720 3887590 ) via2_FR
NEW met3 ( 3385440 3887590 ) M3M4_PR_M
NEW met1 ( 3372720 4341765 ) M1M2_PR
NEW met1 ( 3377040 4341765 ) M1M2_PR
NEW met3 ( 3386400 3772150 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[3\] ( padframe mprj_io_dm[3] ) ( gpio_control_bidir\[1\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3374160 816405 ) ( 3384240 816405 )
NEW met2 ( 3384240 816405 ) ( 3384240 854330 )
NEW met3 ( 3384240 854330 ) ( 3384480 854330 )
NEW met3 ( 3384480 854330 ) ( 3384480 855070 0 )
NEW met1 ( 3374160 760905 ) ( 3377520 760905 )
NEW met2 ( 3377520 758500 0 ) ( 3377520 760905 )
NEW met2 ( 3374160 760905 ) ( 3374160 816405 )
NEW met1 ( 3374160 816405 ) M1M2_PR
NEW met1 ( 3384240 816405 ) M1M2_PR
NEW met2 ( 3384240 854330 ) via2_FR
NEW met1 ( 3374160 760905 ) M1M2_PR
NEW met1 ( 3377520 760905 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[40\] ( padframe mprj_io_dm[40] ) ( gpio_control_in\[13\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3370800 3865390 ) ( 3389280 3865390 )
NEW met1 ( 3370800 4332515 ) ( 3377040 4332515 )
NEW met2 ( 3377040 4332515 ) ( 3377040 4335290 0 )
NEW met2 ( 3370800 3865390 ) ( 3370800 4332515 )
NEW met3 ( 3389280 3773630 0 ) ( 3389280 3776590 )
NEW met4 ( 3389280 3776590 ) ( 3389280 3865390 )
NEW met2 ( 3370800 3865390 ) via2_FR
NEW met3 ( 3389280 3865390 ) M3M4_PR_M
NEW met1 ( 3370800 4332515 ) M1M2_PR
NEW met1 ( 3377040 4332515 ) M1M2_PR
NEW met3 ( 3389280 3776590 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[41\] ( padframe mprj_io_dm[41] ) ( gpio_control_in\[13\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3366000 4364335 ) ( 3377040 4364335 )
NEW met2 ( 3377040 4364335 ) ( 3377040 4366000 0 )
NEW met3 ( 3366000 3777330 ) ( 3373920 3777330 0 )
NEW met2 ( 3366000 3777330 ) ( 3366000 4364335 )
NEW met1 ( 3366000 4364335 ) M1M2_PR
NEW met1 ( 3377040 4364335 ) M1M2_PR
NEW met2 ( 3366000 3777330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[42\] ( padframe mprj_io_dm[42] ) ( gpio_control_in\[14\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3373920 4662370 0 ) ( 3373920 4664590 )
NEW met3 ( 3373920 4788910 ) ( 3377040 4788910 )
NEW met2 ( 3377040 4788910 ) ( 3377040 4790390 0 )
NEW met4 ( 3373920 4664590 ) ( 3373920 4788910 )
NEW met3 ( 3373920 4664590 ) M3M4_PR_M
NEW met3 ( 3373920 4788910 ) M3M4_PR_M
NEW met2 ( 3377040 4788910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[43\] ( padframe mprj_io_dm[43] ) ( gpio_control_in\[14\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3374880 4665330 0 ) ( 3374880 4666810 )
NEW met3 ( 3374880 4780030 ) ( 3377520 4780030 )
NEW met2 ( 3377520 4780030 ) ( 3377520 4781140 0 )
NEW met4 ( 3374880 4666810 ) ( 3374880 4780030 )
NEW met3 ( 3374880 4666810 ) M3M4_PR_M
NEW met3 ( 3374880 4780030 ) M3M4_PR_M
NEW met2 ( 3377520 4780030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[44\] ( padframe mprj_io_dm[44] ) ( gpio_control_in\[14\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3372960 4672730 ) ( 3373920 4672730 )
NEW met3 ( 3373920 4669770 0 ) ( 3373920 4672730 )
NEW met3 ( 3372960 4811110 ) ( 3375600 4811110 )
NEW met2 ( 3375600 4811110 ) ( 3375600 4811995 )
NEW met2 ( 3375600 4811995 ) ( 3377040 4811995 0 )
NEW met4 ( 3372960 4672730 ) ( 3372960 4811110 )
NEW met3 ( 3372960 4672730 ) M3M4_PR_M
NEW met3 ( 3372960 4811110 ) M3M4_PR_M
NEW met2 ( 3375600 4811110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[45\] ( padframe mprj_io_dm[45] ) ( gpio_control_in\[15\] pad_gpio_dm[0] )
+ ROUTED met2 ( 2772720 5027005 ) ( 2772720 5027190 )
NEW met3 ( 2770080 5027190 0 ) ( 2772720 5027190 )
NEW met2 ( 3176400 4979090 ) ( 3177600 4979090 0 )
NEW met2 ( 3176400 4978905 ) ( 3176400 4979090 )
NEW met1 ( 3176400 4978905 ) ( 3176400 4979275 )
NEW met1 ( 3175440 4979275 ) ( 3176400 4979275 )
NEW met1 ( 3175440 4979275 ) ( 3175440 4979645 )
NEW met2 ( 2852400 4987785 ) ( 2852400 5027005 )
NEW met1 ( 2772720 5027005 ) ( 2852400 5027005 )
NEW met2 ( 3081360 4987785 ) ( 3081360 4997035 )
NEW met1 ( 3081360 4997035 ) ( 3129360 4997035 )
NEW met2 ( 3129360 4979645 ) ( 3129360 4997035 )
NEW met1 ( 2852400 4987785 ) ( 3081360 4987785 )
NEW met1 ( 3129360 4979645 ) ( 3175440 4979645 )
NEW met1 ( 2772720 5027005 ) M1M2_PR
NEW met2 ( 2772720 5027190 ) via2_FR
NEW met1 ( 3176400 4978905 ) M1M2_PR
NEW met1 ( 2852400 5027005 ) M1M2_PR
NEW met1 ( 2852400 4987785 ) M1M2_PR
NEW met1 ( 3081360 4987785 ) M1M2_PR
NEW met1 ( 3081360 4997035 ) M1M2_PR
NEW met1 ( 3129360 4997035 ) M1M2_PR
NEW met1 ( 3129360 4979645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[46\] ( padframe mprj_io_dm[46] ) ( gpio_control_in\[15\] pad_gpio_dm[1] )
+ ROUTED met2 ( 2836080 4995925 ) ( 2836080 5019235 )
NEW met2 ( 2774640 5019050 ) ( 2774640 5019235 )
NEW met3 ( 2773440 5019050 0 ) ( 2774640 5019050 )
NEW met1 ( 2774640 5019235 ) ( 2836080 5019235 )
NEW met2 ( 3186960 4973170 ) ( 3186960 4977610 0 )
NEW met2 ( 2876880 4973170 ) ( 2876880 4995925 )
NEW met1 ( 2836080 4995925 ) ( 2876880 4995925 )
NEW met3 ( 2876880 4973170 ) ( 3186960 4973170 )
NEW met1 ( 2836080 5019235 ) M1M2_PR
NEW met1 ( 2836080 4995925 ) M1M2_PR
NEW met1 ( 2774640 5019235 ) M1M2_PR
NEW met2 ( 2774640 5019050 ) via2_FR
NEW met2 ( 3186960 4973170 ) via2_FR
NEW met2 ( 2876880 4973170 ) via2_FR
NEW met1 ( 2876880 4995925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[47\] ( padframe mprj_io_dm[47] ) ( gpio_control_in\[15\] pad_gpio_dm[2] )
+ ROUTED met2 ( 2836560 4996850 ) ( 2837040 4996850 )
NEW met2 ( 2837040 4992965 ) ( 2837040 4996850 )
NEW met2 ( 2836560 4996850 ) ( 2836560 5018495 )
NEW met2 ( 2778480 5018310 ) ( 2778480 5018495 )
NEW met3 ( 2777760 5018310 0 ) ( 2778480 5018310 )
NEW met1 ( 2778480 5018495 ) ( 2836560 5018495 )
NEW met2 ( 3154800 4974650 ) ( 3154800 4977610 )
NEW met2 ( 3154800 4977610 ) ( 3156000 4977610 0 )
NEW met2 ( 2877360 4974650 ) ( 2877360 4992965 )
NEW met1 ( 2837040 4992965 ) ( 2877360 4992965 )
NEW met3 ( 2877360 4974650 ) ( 3154800 4974650 )
NEW met1 ( 2836560 5018495 ) M1M2_PR
NEW met1 ( 2837040 4992965 ) M1M2_PR
NEW met1 ( 2778480 5018495 ) M1M2_PR
NEW met2 ( 2778480 5018310 ) via2_FR
NEW met2 ( 3154800 4974650 ) via2_FR
NEW met2 ( 2877360 4974650 ) via2_FR
NEW met1 ( 2877360 4992965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[48\] ( padframe mprj_io_dm[48] ) ( gpio_control_in\[16\] pad_gpio_dm[0] )
+ ROUTED met2 ( 2583600 4996850 ) ( 2583600 4999625 )
NEW met3 ( 2583600 4996850 ) ( 2620560 4996850 )
NEW met2 ( 2620560 4979645 ) ( 2620560 4996850 )
NEW met2 ( 2515440 4999625 ) ( 2515440 4999810 )
NEW met3 ( 2512800 4999810 0 ) ( 2515440 4999810 )
NEW met1 ( 2515440 4999625 ) ( 2583600 4999625 )
NEW met2 ( 2667120 4979090 ) ( 2668560 4979090 0 )
NEW met2 ( 2667120 4978905 ) ( 2667120 4979090 )
NEW met1 ( 2666640 4978905 ) ( 2667120 4978905 )
NEW met1 ( 2666640 4978905 ) ( 2666640 4979645 )
NEW met1 ( 2620560 4979645 ) ( 2666640 4979645 )
NEW met1 ( 2583600 4999625 ) M1M2_PR
NEW met2 ( 2583600 4996850 ) via2_FR
NEW met2 ( 2620560 4996850 ) via2_FR
NEW met1 ( 2620560 4979645 ) M1M2_PR
NEW met1 ( 2515440 4999625 ) M1M2_PR
NEW met2 ( 2515440 4999810 ) via2_FR
NEW met1 ( 2667120 4978905 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[49\] ( padframe mprj_io_dm[49] ) ( gpio_control_in\[16\] pad_gpio_dm[1] )
+ ROUTED met2 ( 2517360 5012390 ) ( 2517360 5012575 )
NEW met3 ( 2516640 5012390 0 ) ( 2517360 5012390 )
NEW met3 ( 2663520 4979090 ) ( 2663520 4980570 )
NEW met3 ( 2663520 4979090 ) ( 2676720 4979090 )
NEW met2 ( 2676720 4979090 ) ( 2677920 4979090 0 )
NEW met2 ( 2586000 4980570 ) ( 2586000 5011835 )
NEW met1 ( 2548560 5011835 ) ( 2586000 5011835 )
NEW met1 ( 2548560 5011835 ) ( 2548560 5012575 )
NEW met1 ( 2517360 5012575 ) ( 2548560 5012575 )
NEW met3 ( 2586000 4980570 ) ( 2663520 4980570 )
NEW met1 ( 2517360 5012575 ) M1M2_PR
NEW met2 ( 2517360 5012390 ) via2_FR
NEW met2 ( 2676720 4979090 ) via2_FR
NEW met2 ( 2586000 4980570 ) via2_FR
NEW met1 ( 2586000 5011835 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[4\] ( padframe mprj_io_dm[4] ) ( gpio_control_bidir\[1\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3373680 818255 ) ( 3385680 818255 )
NEW met2 ( 3385680 818255 ) ( 3385680 857290 )
NEW met3 ( 3385440 857290 ) ( 3385680 857290 )
NEW met3 ( 3385440 857290 ) ( 3385440 858770 0 )
NEW met1 ( 3373680 751655 ) ( 3377520 751655 )
NEW met2 ( 3377520 749250 0 ) ( 3377520 751655 )
NEW met2 ( 3373680 751655 ) ( 3373680 818255 )
NEW met1 ( 3373680 818255 ) M1M2_PR
NEW met1 ( 3385680 818255 ) M1M2_PR
NEW met2 ( 3385680 857290 ) via2_FR
NEW met1 ( 3373680 751655 ) M1M2_PR
NEW met1 ( 3377520 751655 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[50\] ( padframe mprj_io_dm[50] ) ( gpio_control_in\[16\] pad_gpio_dm[2] )
+ ROUTED met2 ( 2645520 4978350 ) ( 2646960 4978350 0 )
NEW met2 ( 2593680 4978350 ) ( 2593680 4978905 )
NEW met1 ( 2523600 4978905 ) ( 2593680 4978905 )
NEW met2 ( 2523600 4978905 ) ( 2523600 4979090 )
NEW met3 ( 2520480 4979090 0 ) ( 2523600 4979090 )
NEW met3 ( 2593680 4978350 ) ( 2645520 4978350 )
NEW met2 ( 2645520 4978350 ) via2_FR
NEW met2 ( 2593680 4978350 ) via2_FR
NEW met1 ( 2593680 4978905 ) M1M2_PR
NEW met1 ( 2523600 4978905 ) M1M2_PR
NEW met2 ( 2523600 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[51\] ( padframe mprj_io_dm[51] ) ( gpio_control_in\[17\] pad_gpio_dm[0] )
+ ROUTED met2 ( 2410320 4977610 ) ( 2411760 4977610 0 )
NEW met2 ( 2410320 4976870 ) ( 2410320 4977610 )
NEW met3 ( 2358960 4976870 ) ( 2410320 4976870 )
NEW met2 ( 2358960 4976870 ) ( 2358960 5018495 )
NEW met2 ( 2129040 5018310 ) ( 2129040 5018495 )
NEW met3 ( 2127840 5018310 0 ) ( 2129040 5018310 )
NEW met1 ( 2129040 5018495 ) ( 2358960 5018495 )
NEW met2 ( 2410320 4976870 ) via2_FR
NEW met2 ( 2358960 4976870 ) via2_FR
NEW met1 ( 2358960 5018495 ) M1M2_PR
NEW met1 ( 2129040 5018495 ) M1M2_PR
NEW met2 ( 2129040 5018310 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[52\] ( padframe mprj_io_dm[52] ) ( gpio_control_in\[17\] pad_gpio_dm[1] )
+ ROUTED met2 ( 2419440 4979090 ) ( 2420880 4979090 0 )
NEW met2 ( 2419440 4978905 ) ( 2419440 4979090 )
NEW met1 ( 2419440 4978905 ) ( 2419440 4979645 )
NEW met1 ( 2360400 4979645 ) ( 2419440 4979645 )
NEW met2 ( 2360400 4979645 ) ( 2360400 4984085 )
NEW met2 ( 2132400 5019050 ) ( 2132400 5019235 )
NEW met3 ( 2131680 5019050 0 ) ( 2132400 5019050 )
NEW met2 ( 2281680 5001475 ) ( 2281680 5019235 )
NEW met1 ( 2281680 5001475 ) ( 2294160 5001475 )
NEW met2 ( 2294160 4984085 ) ( 2294160 5001475 )
NEW met1 ( 2132400 5019235 ) ( 2281680 5019235 )
NEW met1 ( 2294160 4984085 ) ( 2360400 4984085 )
NEW met1 ( 2419440 4978905 ) M1M2_PR
NEW met1 ( 2360400 4979645 ) M1M2_PR
NEW met1 ( 2360400 4984085 ) M1M2_PR
NEW met1 ( 2132400 5019235 ) M1M2_PR
NEW met2 ( 2132400 5019050 ) via2_FR
NEW met1 ( 2281680 5019235 ) M1M2_PR
NEW met1 ( 2281680 5001475 ) M1M2_PR
NEW met1 ( 2294160 5001475 ) M1M2_PR
NEW met1 ( 2294160 4984085 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[53\] ( padframe mprj_io_dm[53] ) ( gpio_control_in\[17\] pad_gpio_dm[2] )
+ ROUTED met2 ( 2388720 4979090 ) ( 2389920 4979090 0 )
NEW met2 ( 2388720 4978905 ) ( 2388720 4979090 )
NEW met1 ( 2388720 4978905 ) ( 2388720 4979275 )
NEW met1 ( 2362320 4979275 ) ( 2388720 4979275 )
NEW met2 ( 2362320 4979275 ) ( 2362320 4997405 )
NEW met2 ( 2138160 5025710 ) ( 2138160 5025895 )
NEW met3 ( 2135520 5025710 0 ) ( 2138160 5025710 )
NEW met2 ( 2333040 4997405 ) ( 2333040 5025895 )
NEW met1 ( 2138160 5025895 ) ( 2333040 5025895 )
NEW met1 ( 2333040 4997405 ) ( 2362320 4997405 )
NEW met1 ( 2388720 4978905 ) M1M2_PR
NEW met1 ( 2362320 4979275 ) M1M2_PR
NEW met1 ( 2362320 4997405 ) M1M2_PR
NEW met1 ( 2138160 5025895 ) M1M2_PR
NEW met2 ( 2138160 5025710 ) via2_FR
NEW met1 ( 2333040 5025895 ) M1M2_PR
NEW met1 ( 2333040 4997405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[54\] ( padframe mprj_io_dm[54] ) ( gpio_control_in\[18\] pad_gpio_dm[0] )
+ ROUTED met2 ( 1793520 5026450 ) ( 1793520 5026635 )
NEW met3 ( 1790880 5026450 0 ) ( 1793520 5026450 )
NEW met2 ( 1965360 4975390 ) ( 1965360 4977610 )
NEW met2 ( 1965360 4977610 ) ( 1966560 4977610 0 )
NEW met2 ( 1916400 4975390 ) ( 1916400 4996295 )
NEW met3 ( 1916400 4975390 ) ( 1965360 4975390 )
NEW met2 ( 1831440 4996295 ) ( 1831440 5026635 )
NEW met1 ( 1793520 5026635 ) ( 1831440 5026635 )
NEW met1 ( 1831440 4996295 ) ( 1916400 4996295 )
NEW met1 ( 1793520 5026635 ) M1M2_PR
NEW met2 ( 1793520 5026450 ) via2_FR
NEW met2 ( 1965360 4975390 ) via2_FR
NEW met2 ( 1916400 4975390 ) via2_FR
NEW met1 ( 1916400 4996295 ) M1M2_PR
NEW met1 ( 1831440 5026635 ) M1M2_PR
NEW met1 ( 1831440 4996295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[55\] ( padframe mprj_io_dm[55] ) ( gpio_control_in\[18\] pad_gpio_dm[1] )
+ ROUTED met2 ( 1795440 5018865 ) ( 1795440 5019050 )
NEW met3 ( 1794720 5019050 0 ) ( 1795440 5019050 )
NEW met2 ( 1974480 4977425 ) ( 1974480 4977610 )
NEW met2 ( 1974480 4977610 ) ( 1975920 4977610 0 )
NEW met2 ( 1891440 5009615 ) ( 1891440 5018865 )
NEW met1 ( 1891440 5009615 ) ( 1902480 5009615 )
NEW met2 ( 1902480 4977425 ) ( 1902480 5009615 )
NEW met1 ( 1795440 5018865 ) ( 1891440 5018865 )
NEW met1 ( 1902480 4977425 ) ( 1974480 4977425 )
NEW met1 ( 1795440 5018865 ) M1M2_PR
NEW met2 ( 1795440 5019050 ) via2_FR
NEW met1 ( 1974480 4977425 ) M1M2_PR
NEW met1 ( 1891440 5018865 ) M1M2_PR
NEW met1 ( 1891440 5009615 ) M1M2_PR
NEW met1 ( 1902480 5009615 ) M1M2_PR
NEW met1 ( 1902480 4977425 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[56\] ( padframe mprj_io_dm[56] ) ( gpio_control_in\[18\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1799760 5026265 ) ( 1799760 5026450 )
NEW met3 ( 1798560 5026450 0 ) ( 1799760 5026450 )
NEW met2 ( 1944960 4979090 0 ) ( 1945680 4979090 )
NEW met3 ( 1930080 4978350 ) ( 1930080 4979090 )
NEW met3 ( 1930080 4979090 ) ( 1945680 4979090 )
NEW met2 ( 1908720 4978350 ) ( 1908720 5026265 )
NEW met1 ( 1844400 5026265 ) ( 1908720 5026265 )
NEW met1 ( 1844400 5025895 ) ( 1844400 5026265 )
NEW met1 ( 1843440 5025895 ) ( 1844400 5025895 )
NEW met1 ( 1843440 5025895 ) ( 1843440 5026265 )
NEW met1 ( 1799760 5026265 ) ( 1843440 5026265 )
NEW met3 ( 1908720 4978350 ) ( 1930080 4978350 )
NEW met1 ( 1799760 5026265 ) M1M2_PR
NEW met2 ( 1799760 5026450 ) via2_FR
NEW met2 ( 1945680 4979090 ) via2_FR
NEW met2 ( 1908720 4978350 ) via2_FR
NEW met1 ( 1908720 5026265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[57\] ( padframe mprj_io_dm[57] ) ( gpio_control_in\[19\] pad_gpio_dm[0] )
+ ROUTED met2 ( 1457520 4978350 0 ) ( 1458960 4978350 )
NEW met2 ( 1458960 4978350 ) ( 1458960 4978535 )
NEW met1 ( 1458960 4978535 ) ( 1537200 4978535 )
NEW met2 ( 1537200 4978535 ) ( 1537200 4979090 )
NEW met3 ( 1537200 4979090 ) ( 1539360 4979090 0 )
NEW met1 ( 1458960 4978535 ) M1M2_PR
NEW met1 ( 1537200 4978535 ) M1M2_PR
NEW met2 ( 1537200 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[58\] ( padframe mprj_io_dm[58] ) ( gpio_control_in\[19\] pad_gpio_dm[1] )
+ ROUTED met2 ( 1466880 4977610 0 ) ( 1468560 4977610 )
NEW met2 ( 1468560 4977425 ) ( 1468560 4977610 )
NEW met1 ( 1468560 4977425 ) ( 1490160 4977425 )
NEW met2 ( 1490160 4977425 ) ( 1490160 4997590 )
NEW met2 ( 1490160 4997590 ) ( 1490640 4997590 )
NEW met2 ( 1490640 4997590 ) ( 1490640 4999995 )
NEW met1 ( 1490640 4999995 ) ( 1540560 4999995 )
NEW met2 ( 1540560 4999995 ) ( 1540560 5013130 )
NEW met3 ( 1540560 5013130 ) ( 1542240 5013130 0 )
NEW met1 ( 1468560 4977425 ) M1M2_PR
NEW met1 ( 1490160 4977425 ) M1M2_PR
NEW met1 ( 1490640 4999995 ) M1M2_PR
NEW met1 ( 1540560 4999995 ) M1M2_PR
NEW met2 ( 1540560 5013130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[59\] ( padframe mprj_io_dm[59] ) ( gpio_control_in\[19\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1435920 4975945 ) ( 1435920 4977610 0 )
NEW met2 ( 1543920 5026265 ) ( 1543920 5026450 )
NEW met3 ( 1543920 5026450 ) ( 1546560 5026450 0 )
NEW met2 ( 1447440 4975945 ) ( 1447440 4978905 )
NEW met1 ( 1447440 4978905 ) ( 1447440 4979275 )
NEW met1 ( 1447440 4979275 ) ( 1494000 4979275 )
NEW met2 ( 1494000 4979275 ) ( 1494000 5026265 )
NEW met1 ( 1435920 4975945 ) ( 1447440 4975945 )
NEW met1 ( 1494000 5026265 ) ( 1543920 5026265 )
NEW met1 ( 1435920 4975945 ) M1M2_PR
NEW met1 ( 1543920 5026265 ) M1M2_PR
NEW met2 ( 1543920 5026450 ) via2_FR
NEW met1 ( 1447440 4975945 ) M1M2_PR
NEW met1 ( 1447440 4978905 ) M1M2_PR
NEW met1 ( 1494000 4979275 ) M1M2_PR
NEW met1 ( 1494000 5026265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[5\] ( padframe mprj_io_dm[5] ) ( gpio_control_bidir\[1\] pad_gpio_dm[2] )
+ ROUTED met2 ( 3376080 779960 ) ( 3377040 779960 0 )
NEW met2 ( 3376080 779960 ) ( 3376080 788655 )
NEW met1 ( 3372720 788655 ) ( 3376080 788655 )
NEW met2 ( 3372720 788655 ) ( 3372720 861730 )
NEW met3 ( 3372720 861730 ) ( 3373920 861730 )
NEW met3 ( 3373920 861730 ) ( 3373920 862470 0 )
NEW met1 ( 3376080 788655 ) M1M2_PR
NEW met1 ( 3372720 788655 ) M1M2_PR
NEW met2 ( 3372720 861730 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[60\] ( padframe mprj_io_dm[60] ) ( gpio_control_in\[20\] pad_gpio_dm[0] )
+ ROUTED met2 ( 1199760 4977610 0 ) ( 1201200 4977610 )
NEW met2 ( 1201200 4976870 ) ( 1201200 4977610 )
NEW met2 ( 1250640 4976870 ) ( 1250640 4979275 )
NEW met1 ( 1250640 4979275 ) ( 1278000 4979275 )
NEW met2 ( 1278000 4979090 ) ( 1278000 4979275 )
NEW met3 ( 1278000 4979090 ) ( 1281120 4979090 0 )
NEW met3 ( 1201200 4976870 ) ( 1250640 4976870 )
NEW met2 ( 1201200 4976870 ) via2_FR
NEW met2 ( 1250640 4976870 ) via2_FR
NEW met1 ( 1250640 4979275 ) M1M2_PR
NEW met1 ( 1278000 4979275 ) M1M2_PR
NEW met2 ( 1278000 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[61\] ( padframe mprj_io_dm[61] ) ( gpio_control_in\[20\] pad_gpio_dm[1] )
+ ROUTED met2 ( 1208880 4979090 0 ) ( 1209360 4979090 )
NEW met3 ( 1209360 4979090 ) ( 1218720 4979090 )
NEW met3 ( 1218720 4979090 ) ( 1218720 4979830 )
NEW met3 ( 1218720 4979830 ) ( 1234800 4979830 )
NEW met2 ( 1234800 4979830 ) ( 1234800 4997405 )
NEW met2 ( 1282320 4997405 ) ( 1282320 4997590 )
NEW met3 ( 1282320 4997590 ) ( 1284480 4997590 0 )
NEW met1 ( 1234800 4997405 ) ( 1282320 4997405 )
NEW met2 ( 1209360 4979090 ) via2_FR
NEW met2 ( 1234800 4979830 ) via2_FR
NEW met1 ( 1234800 4997405 ) M1M2_PR
NEW met1 ( 1282320 4997405 ) M1M2_PR
NEW met2 ( 1282320 4997590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[62\] ( padframe mprj_io_dm[62] ) ( gpio_control_in\[20\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1238160 4972430 ) ( 1238160 4978535 )
NEW met2 ( 1179120 4972430 ) ( 1179120 4977610 )
NEW met2 ( 1177920 4977610 0 ) ( 1179120 4977610 )
NEW met3 ( 1179120 4972430 ) ( 1238160 4972430 )
NEW met2 ( 1287600 4978535 ) ( 1287600 4979090 )
NEW met3 ( 1287600 4979090 ) ( 1288800 4979090 0 )
NEW met1 ( 1238160 4978535 ) ( 1287600 4978535 )
NEW met2 ( 1238160 4972430 ) via2_FR
NEW met1 ( 1238160 4978535 ) M1M2_PR
NEW met2 ( 1179120 4972430 ) via2_FR
NEW met1 ( 1287600 4978535 ) M1M2_PR
NEW met2 ( 1287600 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[63\] ( padframe mprj_io_dm[63] ) ( gpio_control_in\[21\] pad_gpio_dm[0] )
+ ROUTED met2 ( 942720 4979090 0 ) ( 943920 4979090 )
NEW met3 ( 943920 4979090 ) ( 976080 4979090 )
NEW met2 ( 976080 4979090 ) ( 976080 4982605 )
NEW met1 ( 976080 4982605 ) ( 1021200 4982605 )
NEW met2 ( 1021200 4982605 ) ( 1021200 4982790 )
NEW met3 ( 1021200 4982790 ) ( 1023840 4982790 0 )
NEW met2 ( 943920 4979090 ) via2_FR
NEW met2 ( 976080 4979090 ) via2_FR
NEW met1 ( 976080 4982605 ) M1M2_PR
NEW met1 ( 1021200 4982605 ) M1M2_PR
NEW met2 ( 1021200 4982790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[64\] ( padframe mprj_io_dm[64] ) ( gpio_control_in\[21\] pad_gpio_dm[1] )
+ ROUTED met2 ( 951840 4979090 0 ) ( 953040 4979090 )
NEW met2 ( 953040 4978905 ) ( 953040 4979090 )
NEW met1 ( 953040 4978905 ) ( 1025040 4978905 )
NEW met2 ( 1025040 4978905 ) ( 1025040 4979090 )
NEW met3 ( 1025040 4979090 ) ( 1027680 4979090 0 )
NEW met1 ( 953040 4978905 ) M1M2_PR
NEW met1 ( 1025040 4978905 ) M1M2_PR
NEW met2 ( 1025040 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[65\] ( padframe mprj_io_dm[65] ) ( gpio_control_in\[21\] pad_gpio_dm[2] )
+ ROUTED met3 ( 946080 4976870 ) ( 946080 4977610 )
NEW met2 ( 920880 4977610 0 ) ( 921840 4977610 )
NEW met3 ( 921840 4977610 ) ( 946080 4977610 )
NEW met2 ( 1028400 4976870 ) ( 1028400 4979830 )
NEW met3 ( 1028400 4979830 ) ( 1031520 4979830 0 )
NEW met3 ( 946080 4976870 ) ( 1028400 4976870 )
NEW met2 ( 921840 4977610 ) via2_FR
NEW met2 ( 1028400 4976870 ) via2_FR
NEW met2 ( 1028400 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[66\] ( padframe mprj_io_dm[66] ) ( gpio_control_in\[22\] pad_gpio_dm[0] )
+ ROUTED met2 ( 764400 4977610 ) ( 764400 4979830 )
NEW met3 ( 764400 4979830 ) ( 767040 4979830 0 )
NEW met2 ( 685680 4977610 0 ) ( 687120 4977610 )
NEW met3 ( 687120 4977610 ) ( 764400 4977610 )
NEW met2 ( 764400 4977610 ) via2_FR
NEW met2 ( 764400 4979830 ) via2_FR
NEW met2 ( 687120 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[67\] ( padframe mprj_io_dm[67] ) ( gpio_control_in\[22\] pad_gpio_dm[1] )
+ ROUTED met3 ( 766560 4977610 ) ( 766560 4978350 )
NEW met3 ( 766560 4977610 ) ( 770400 4977610 )
NEW met3 ( 770400 4977610 ) ( 770400 4979090 0 )
NEW met2 ( 694800 4978350 0 ) ( 696240 4978350 )
NEW met3 ( 696240 4978350 ) ( 766560 4978350 )
NEW met2 ( 696240 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[68\] ( padframe mprj_io_dm[68] ) ( gpio_control_in\[22\] pad_gpio_dm[2] )
+ ROUTED met2 ( 771600 4978905 ) ( 771600 4979090 )
NEW met3 ( 771600 4979090 ) ( 774720 4979090 0 )
NEW met2 ( 734160 4976685 ) ( 734160 4978905 )
NEW met1 ( 680880 4976685 ) ( 734160 4976685 )
NEW met1 ( 680880 4976685 ) ( 680880 4977055 )
NEW met1 ( 665520 4977055 ) ( 680880 4977055 )
NEW met2 ( 665520 4977055 ) ( 665520 4977610 )
NEW met2 ( 664080 4977610 0 ) ( 665520 4977610 )
NEW met1 ( 734160 4978905 ) ( 771600 4978905 )
NEW met1 ( 771600 4978905 ) M1M2_PR
NEW met2 ( 771600 4979090 ) via2_FR
NEW met1 ( 734160 4978905 ) M1M2_PR
NEW met1 ( 734160 4976685 ) M1M2_PR
NEW met1 ( 665520 4977055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[69\] ( padframe mprj_io_dm[69] ) ( gpio_control_in\[23\] pad_gpio_dm[0] )
+ ROUTED met2 ( 428640 4978350 0 ) ( 429840 4978350 )
NEW met3 ( 429840 4978350 ) ( 463440 4978350 )
NEW met2 ( 463440 4978350 ) ( 463440 5026635 )
NEW met2 ( 507600 5026450 ) ( 507600 5026635 )
NEW met3 ( 507600 5026450 ) ( 510240 5026450 0 )
NEW met1 ( 463440 5026635 ) ( 507600 5026635 )
NEW met1 ( 463440 5026635 ) M1M2_PR
NEW met2 ( 429840 4978350 ) via2_FR
NEW met2 ( 463440 4978350 ) via2_FR
NEW met1 ( 507600 5026635 ) M1M2_PR
NEW met2 ( 507600 5026450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[6\] ( padframe mprj_io_dm[6] ) ( gpio_control_in\[2\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3373200 1036925 ) ( 3384240 1036925 )
NEW met2 ( 3384240 1036925 ) ( 3384240 1079290 )
NEW met3 ( 3384240 1079290 ) ( 3384480 1079290 )
NEW met3 ( 3384480 1079290 ) ( 3384480 1080030 0 )
NEW met1 ( 3373200 985125 ) ( 3377520 985125 )
NEW met2 ( 3377520 983460 0 ) ( 3377520 985125 )
NEW met2 ( 3373200 985125 ) ( 3373200 1036925 )
NEW met1 ( 3373200 1036925 ) M1M2_PR
NEW met1 ( 3384240 1036925 ) M1M2_PR
NEW met2 ( 3384240 1079290 ) via2_FR
NEW met1 ( 3373200 985125 ) M1M2_PR
NEW met1 ( 3377520 985125 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[70\] ( padframe mprj_io_dm[70] ) ( gpio_control_in\[23\] pad_gpio_dm[1] )
+ ROUTED met2 ( 437760 4979090 0 ) ( 439440 4979090 )
NEW met3 ( 439440 4979090 ) ( 462960 4979090 )
NEW met2 ( 462960 4979090 ) ( 462960 5027005 )
NEW met2 ( 510960 5027005 ) ( 510960 5027190 )
NEW met3 ( 510960 5027190 ) ( 513600 5027190 0 )
NEW met1 ( 462960 5027005 ) ( 510960 5027005 )
NEW met1 ( 462960 5027005 ) M1M2_PR
NEW met2 ( 439440 4979090 ) via2_FR
NEW met2 ( 462960 4979090 ) via2_FR
NEW met1 ( 510960 5027005 ) M1M2_PR
NEW met2 ( 510960 5027190 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[71\] ( padframe mprj_io_dm[71] ) ( gpio_control_in\[23\] pad_gpio_dm[2] )
+ ROUTED met2 ( 407040 4979090 0 ) ( 408240 4979090 )
NEW met2 ( 408240 4978905 ) ( 408240 4979090 )
NEW met1 ( 408240 4978905 ) ( 408240 4979645 )
NEW met1 ( 408240 4979645 ) ( 470160 4979645 )
NEW met1 ( 470160 4979275 ) ( 470160 4979645 )
NEW met1 ( 489360 5012205 ) ( 514320 5012205 )
NEW met2 ( 514320 5012205 ) ( 514320 5012390 )
NEW met3 ( 514320 5012390 ) ( 517440 5012390 0 )
NEW met1 ( 470160 4979275 ) ( 489360 4979275 )
NEW met2 ( 489360 4979275 ) ( 489360 5012205 )
NEW met1 ( 408240 4978905 ) M1M2_PR
NEW met1 ( 489360 5012205 ) M1M2_PR
NEW met1 ( 514320 5012205 ) M1M2_PR
NEW met2 ( 514320 5012390 ) via2_FR
NEW met1 ( 489360 4979275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[72\] ( padframe mprj_io_dm[72] ) ( gpio_control_in\[24\] pad_gpio_dm[0] )
+ ROUTED met3 ( 207840 4816290 ) ( 209040 4816290 )
NEW met2 ( 209040 4816290 ) ( 209040 4818510 0 )
NEW met3 ( 207840 4680130 0 ) ( 207840 4682350 )
NEW met4 ( 207840 4682350 ) ( 207840 4816290 )
NEW met3 ( 207840 4816290 ) M3M4_PR_M
NEW met2 ( 209040 4816290 ) via2_FR
NEW met3 ( 207840 4682350 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[73\] ( padframe mprj_io_dm[73] ) ( gpio_control_in\[24\] pad_gpio_dm[1] )
+ ROUTED met3 ( 204000 4825170 ) ( 209040 4825170 )
NEW met2 ( 209040 4825170 ) ( 209040 4827760 0 )
NEW met3 ( 204000 4683830 0 ) ( 204000 4686790 )
NEW met4 ( 204000 4686790 ) ( 204000 4825170 )
NEW met3 ( 204000 4825170 ) M3M4_PR_M
NEW met2 ( 209040 4825170 ) via2_FR
NEW met3 ( 204000 4686790 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[74\] ( padframe mprj_io_dm[74] ) ( gpio_control_in\[24\] pad_gpio_dm[2] )
+ ROUTED met3 ( 208800 4795570 ) ( 209040 4795570 )
NEW met2 ( 209040 4795570 ) ( 209040 4797050 0 )
NEW met3 ( 208800 4687530 0 ) ( 208800 4690490 )
NEW met4 ( 208800 4690490 ) ( 208800 4795570 )
NEW met3 ( 208800 4795570 ) M3M4_PR_M
NEW met2 ( 209040 4795570 ) via2_FR
NEW met3 ( 208800 4690490 ) M3M4_PR_M
NEW met3 ( 208800 4795570 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[75\] ( padframe mprj_io_dm[75] ) ( gpio_control_in\[25\] pad_gpio_dm[0] )
+ ROUTED met2 ( 209520 3969730 0 ) ( 209520 3971210 )
NEW met3 ( 209520 3971210 ) ( 209760 3971210 )
NEW met4 ( 209760 3971210 ) ( 209760 4001550 )
NEW met3 ( 209040 4001550 ) ( 209760 4001550 )
NEW met2 ( 209040 4001550 ) ( 209040 4048170 )
NEW met3 ( 208800 4048170 ) ( 209040 4048170 )
NEW met3 ( 208800 4048170 ) ( 208800 4051130 0 )
NEW met2 ( 209520 3971210 ) via2_FR
NEW met3 ( 209760 3971210 ) M3M4_PR_M
NEW met3 ( 209760 4001550 ) M3M4_PR_M
NEW met2 ( 209040 4001550 ) via2_FR
NEW met2 ( 209040 4048170 ) via2_FR
NEW met3 ( 209520 3971210 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[76\] ( padframe mprj_io_dm[76] ) ( gpio_control_in\[25\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 3978825 0 ) ( 210960 3981385 )
NEW met1 ( 210960 3981385 ) ( 213840 3981385 )
NEW met2 ( 213840 3981385 ) ( 213840 3990265 )
NEW met1 ( 213840 3990265 ) ( 215280 3990265 )
NEW met1 ( 215280 3990265 ) ( 215280 3992485 )
NEW met2 ( 215280 3992485 ) ( 215280 4051870 )
NEW met3 ( 211680 4051870 ) ( 215280 4051870 )
NEW met3 ( 211680 4051870 ) ( 211680 4054460 0 )
NEW met1 ( 210960 3981385 ) M1M2_PR
NEW met1 ( 213840 3981385 ) M1M2_PR
NEW met1 ( 213840 3990265 ) M1M2_PR
NEW met1 ( 215280 3992485 ) M1M2_PR
NEW met2 ( 215280 4051870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[77\] ( padframe mprj_io_dm[77] ) ( gpio_control_in\[25\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 3947900 0 ) ( 210960 3949565 )
NEW met1 ( 210960 3949565 ) ( 218160 3949565 )
NEW met1 ( 207600 4002845 ) ( 218160 4002845 )
NEW met2 ( 207600 4002845 ) ( 207600 4055570 )
NEW met3 ( 207600 4055570 ) ( 207840 4055570 )
NEW met3 ( 207840 4055570 ) ( 207840 4058530 0 )
NEW met2 ( 218160 3949565 ) ( 218160 4002845 )
NEW met1 ( 210960 3949565 ) M1M2_PR
NEW met1 ( 218160 3949565 ) M1M2_PR
NEW met1 ( 218160 4002845 ) M1M2_PR
NEW met1 ( 207600 4002845 ) M1M2_PR
NEW met2 ( 207600 4055570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[78\] ( padframe mprj_io_dm[78] ) ( gpio_control_in\[26\] pad_gpio_dm[0] )
+ ROUTED met3 ( 209760 3832090 ) ( 210000 3832090 )
NEW met3 ( 209760 3832090 ) ( 209760 3835050 0 )
NEW met3 ( 210000 3792130 ) ( 213600 3792130 )
NEW met4 ( 213600 3755130 ) ( 213600 3792130 )
NEW met3 ( 210480 3755130 ) ( 213600 3755130 )
NEW met2 ( 210480 3753650 0 ) ( 210480 3755130 )
NEW met2 ( 210000 3792130 ) ( 210000 3832090 )
NEW met2 ( 210000 3832090 ) via2_FR
NEW met2 ( 210000 3792130 ) via2_FR
NEW met3 ( 213600 3792130 ) M3M4_PR_M
NEW met3 ( 213600 3755130 ) M3M4_PR_M
NEW met2 ( 210480 3755130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[79\] ( padframe mprj_io_dm[79] ) ( gpio_control_in\[26\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210480 3762900 0 ) ( 210480 3765490 )
NEW met3 ( 210480 3765490 ) ( 210720 3765490 )
NEW met4 ( 210720 3765490 ) ( 210720 3785470 )
NEW met3 ( 210480 3785470 ) ( 210720 3785470 )
NEW met2 ( 210480 3785470 ) ( 210480 3836530 )
NEW met3 ( 210480 3836530 ) ( 210720 3836530 )
NEW met3 ( 210720 3836530 ) ( 210720 3838750 0 )
NEW met2 ( 210480 3765490 ) via2_FR
NEW met3 ( 210720 3765490 ) M3M4_PR_M
NEW met3 ( 210720 3785470 ) M3M4_PR_M
NEW met2 ( 210480 3785470 ) via2_FR
NEW met2 ( 210480 3836530 ) via2_FR
NEW met3 ( 210480 3765490 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 3785470 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[7\] ( padframe mprj_io_dm[7] ) ( gpio_control_in\[2\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3380640 1037110 ) ( 3384720 1037110 )
NEW met3 ( 3384480 1082250 ) ( 3384720 1082250 )
NEW met3 ( 3384480 1082250 ) ( 3384480 1083730 0 )
NEW met2 ( 3384720 1037110 ) ( 3384720 1082250 )
NEW met2 ( 3378960 974210 0 ) ( 3378960 976430 )
NEW met3 ( 3378960 976430 ) ( 3380640 976430 )
NEW met4 ( 3380640 976430 ) ( 3380640 1037110 )
NEW met3 ( 3380640 1037110 ) M3M4_PR_M
NEW met2 ( 3384720 1037110 ) via2_FR
NEW met2 ( 3384720 1082250 ) via2_FR
NEW met2 ( 3378960 976430 ) via2_FR
NEW met3 ( 3380640 976430 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[80\] ( padframe mprj_io_dm[80] ) ( gpio_control_in\[26\] pad_gpio_dm[2] )
+ ROUTED met3 ( 215520 3758830 ) ( 216240 3758830 )
NEW met4 ( 215520 3758830 ) ( 215520 3786950 )
NEW met3 ( 208080 3786950 ) ( 215520 3786950 )
NEW met2 ( 208080 3786950 ) ( 208080 3839490 )
NEW met3 ( 207840 3839490 ) ( 208080 3839490 )
NEW met3 ( 207840 3839490 ) ( 207840 3842450 0 )
NEW met2 ( 210960 3732005 0 ) ( 210960 3734595 )
NEW met1 ( 210960 3734595 ) ( 214800 3734595 )
NEW met2 ( 214800 3734595 ) ( 214800 3758275 )
NEW met1 ( 214800 3758275 ) ( 216240 3758275 )
NEW met2 ( 216240 3758275 ) ( 216240 3758830 )
NEW met2 ( 216240 3758830 ) via2_FR
NEW met3 ( 215520 3758830 ) M3M4_PR_M
NEW met3 ( 215520 3786950 ) M3M4_PR_M
NEW met2 ( 208080 3786950 ) via2_FR
NEW met2 ( 208080 3839490 ) via2_FR
NEW met1 ( 210960 3734595 ) M1M2_PR
NEW met1 ( 214800 3734595 ) M1M2_PR
NEW met1 ( 214800 3758275 ) M1M2_PR
NEW met1 ( 216240 3758275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[81\] ( padframe mprj_io_dm[81] ) ( gpio_control_in\[27\] pad_gpio_dm[0] )
+ ROUTED met2 ( 209520 3537570 0 ) ( 209520 3539790 )
NEW met3 ( 209520 3539790 ) ( 209760 3539790 )
NEW met4 ( 209760 3539790 ) ( 209760 3571610 )
NEW met3 ( 207600 3571610 ) ( 209760 3571610 )
NEW met2 ( 207600 3571610 ) ( 207600 3616010 )
NEW met3 ( 207600 3616010 ) ( 207840 3616010 )
NEW met3 ( 207840 3616010 ) ( 207840 3618970 0 )
NEW met2 ( 209520 3539790 ) via2_FR
NEW met3 ( 209760 3539790 ) M3M4_PR_M
NEW met3 ( 209760 3571610 ) M3M4_PR_M
NEW met2 ( 207600 3571610 ) via2_FR
NEW met2 ( 207600 3616010 ) via2_FR
NEW met3 ( 209520 3539790 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[82\] ( padframe mprj_io_dm[82] ) ( gpio_control_in\[27\] pad_gpio_dm[1] )
+ ROUTED met3 ( 215760 3556810 ) ( 215760 3557550 )
NEW met3 ( 215760 3557550 ) ( 217200 3557550 )
NEW met2 ( 217200 3557550 ) ( 217200 3569575 )
NEW met1 ( 210000 3569575 ) ( 217200 3569575 )
NEW met2 ( 210000 3569575 ) ( 210000 3620450 )
NEW met3 ( 209760 3620450 ) ( 210000 3620450 )
NEW met3 ( 209760 3620450 ) ( 209760 3622670 0 )
NEW met2 ( 210960 3546820 0 ) ( 210960 3549595 )
NEW met1 ( 210960 3549595 ) ( 215760 3549595 )
NEW met2 ( 215760 3549595 ) ( 215760 3556810 )
NEW met2 ( 215760 3556810 ) via2_FR
NEW met2 ( 217200 3557550 ) via2_FR
NEW met1 ( 217200 3569575 ) M1M2_PR
NEW met1 ( 210000 3569575 ) M1M2_PR
NEW met2 ( 210000 3620450 ) via2_FR
NEW met1 ( 210960 3549595 ) M1M2_PR
NEW met1 ( 215760 3549595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[83\] ( padframe mprj_io_dm[83] ) ( gpio_control_in\[27\] pad_gpio_dm[2] )
+ ROUTED met3 ( 210960 3569390 ) ( 213600 3569390 )
NEW met2 ( 210960 3569390 ) ( 210960 3623410 )
NEW met3 ( 210720 3623410 ) ( 210960 3623410 )
NEW met3 ( 210720 3623410 ) ( 210720 3626370 0 )
NEW met2 ( 210480 3516110 0 ) ( 210480 3517775 )
NEW met1 ( 210480 3517775 ) ( 215760 3517775 )
NEW met2 ( 215760 3517775 ) ( 215760 3548670 )
NEW met3 ( 213600 3548670 ) ( 215760 3548670 )
NEW met4 ( 213600 3548670 ) ( 213600 3569390 )
NEW met3 ( 213600 3569390 ) M3M4_PR_M
NEW met2 ( 210960 3569390 ) via2_FR
NEW met2 ( 210960 3623410 ) via2_FR
NEW met1 ( 210480 3517775 ) M1M2_PR
NEW met1 ( 215760 3517775 ) M1M2_PR
NEW met2 ( 215760 3548670 ) via2_FR
NEW met3 ( 213600 3548670 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[84\] ( padframe mprj_io_dm[84] ) ( gpio_control_in\[28\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210960 3321490 0 ) ( 210960 3324265 )
NEW met1 ( 210960 3324265 ) ( 218160 3324265 )
NEW met1 ( 218160 3326485 ) ( 219600 3326485 )
NEW met2 ( 219600 3326485 ) ( 219600 3402890 )
NEW met3 ( 211680 3402890 0 ) ( 219600 3402890 )
NEW met2 ( 218160 3324265 ) ( 218160 3326485 )
NEW met1 ( 210960 3324265 ) M1M2_PR
NEW met1 ( 218160 3324265 ) M1M2_PR
NEW met1 ( 218160 3326485 ) M1M2_PR
NEW met1 ( 219600 3326485 ) M1M2_PR
NEW met2 ( 219600 3402890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[85\] ( padframe mprj_io_dm[85] ) ( gpio_control_in\[28\] pad_gpio_dm[1] )
+ ROUTED met3 ( 211680 3406590 0 ) ( 215280 3406590 )
NEW met2 ( 210960 3330740 0 ) ( 210960 3333515 )
NEW met1 ( 210960 3333515 ) ( 215760 3333515 )
NEW met2 ( 215760 3333515 ) ( 215760 3342210 )
NEW met2 ( 215760 3342210 ) ( 216240 3342210 )
NEW met2 ( 216240 3342210 ) ( 216240 3354050 )
NEW met2 ( 215280 3354050 ) ( 216240 3354050 )
NEW met2 ( 215280 3354050 ) ( 215280 3406590 )
NEW met2 ( 215280 3406590 ) via2_FR
NEW met1 ( 210960 3333515 ) M1M2_PR
NEW met1 ( 215760 3333515 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[86\] ( padframe mprj_io_dm[86] ) ( gpio_control_in\[28\] pad_gpio_dm[2] )
+ ROUTED met3 ( 206640 3407330 ) ( 206880 3407330 )
NEW met3 ( 206880 3407330 ) ( 206880 3410290 0 )
NEW met3 ( 206640 3354790 ) ( 208800 3354790 )
NEW met4 ( 208800 3301510 ) ( 208800 3354790 )
NEW met3 ( 208800 3301510 ) ( 209040 3301510 )
NEW met2 ( 209040 3300030 0 ) ( 209040 3301510 )
NEW met2 ( 206640 3354790 ) ( 206640 3407330 )
NEW met2 ( 206640 3407330 ) via2_FR
NEW met2 ( 206640 3354790 ) via2_FR
NEW met3 ( 208800 3354790 ) M3M4_PR_M
NEW met3 ( 208800 3301510 ) M3M4_PR_M
NEW met2 ( 209040 3301510 ) via2_FR
NEW met3 ( 208800 3301510 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[87\] ( padframe mprj_io_dm[87] ) ( gpio_control_in\[29\] pad_gpio_dm[0] )
+ ROUTED met3 ( 210480 3183850 ) ( 210720 3183850 )
NEW met3 ( 210720 3183850 ) ( 210720 3186810 0 )
NEW met3 ( 210480 3137230 ) ( 210720 3137230 )
NEW met4 ( 210720 3108370 ) ( 210720 3137230 )
NEW met3 ( 210480 3108370 ) ( 210720 3108370 )
NEW met2 ( 210480 3105625 0 ) ( 210480 3108370 )
NEW met2 ( 210480 3137230 ) ( 210480 3183850 )
NEW met2 ( 210480 3183850 ) via2_FR
NEW met2 ( 210480 3137230 ) via2_FR
NEW met3 ( 210720 3137230 ) M3M4_PR_M
NEW met3 ( 210720 3108370 ) M3M4_PR_M
NEW met2 ( 210480 3108370 ) via2_FR
NEW met3 ( 210480 3137230 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 3108370 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[88\] ( padframe mprj_io_dm[88] ) ( gpio_control_in\[29\] pad_gpio_dm[1] )
+ ROUTED met3 ( 209760 3188290 ) ( 210000 3188290 )
NEW met3 ( 209760 3188290 ) ( 209760 3190510 0 )
NEW met1 ( 210000 3137045 ) ( 214800 3137045 )
NEW met2 ( 214800 3117435 ) ( 214800 3137045 )
NEW met1 ( 210960 3117435 ) ( 214800 3117435 )
NEW met2 ( 210960 3114825 0 ) ( 210960 3117435 )
NEW met2 ( 210000 3137045 ) ( 210000 3188290 )
NEW met2 ( 210000 3188290 ) via2_FR
NEW met1 ( 210000 3137045 ) M1M2_PR
NEW met1 ( 214800 3137045 ) M1M2_PR
NEW met1 ( 214800 3117435 ) M1M2_PR
NEW met1 ( 210960 3117435 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[89\] ( padframe mprj_io_dm[89] ) ( gpio_control_in\[29\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 3083950 0 ) ( 210960 3086725 )
NEW met1 ( 210960 3086725 ) ( 216720 3086725 )
NEW met3 ( 213600 3125390 ) ( 216720 3125390 )
NEW met4 ( 213600 3125390 ) ( 213600 3194580 )
NEW met3 ( 211680 3194580 0 ) ( 213600 3194580 )
NEW met2 ( 216720 3086725 ) ( 216720 3125390 )
NEW met1 ( 210960 3086725 ) M1M2_PR
NEW met1 ( 216720 3086725 ) M1M2_PR
NEW met2 ( 216720 3125390 ) via2_FR
NEW met3 ( 213600 3125390 ) M3M4_PR_M
NEW met3 ( 213600 3194580 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[8\] ( padframe mprj_io_dm[8] ) ( gpio_control_in\[2\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3370320 1087430 ) ( 3373920 1087430 0 )
NEW met1 ( 3370320 1006585 ) ( 3377040 1006585 )
NEW met2 ( 3377040 1004920 0 ) ( 3377040 1006585 )
NEW met2 ( 3370320 1006585 ) ( 3370320 1087430 )
NEW met2 ( 3370320 1087430 ) via2_FR
NEW met1 ( 3370320 1006585 ) M1M2_PR
NEW met1 ( 3377040 1006585 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[90\] ( padframe mprj_io_dm[90] ) ( gpio_control_in\[30\] pad_gpio_dm[0] )
+ ROUTED met1 ( 214800 2891365 ) ( 214800 2892105 )
NEW met1 ( 210480 2891365 ) ( 214800 2891365 )
NEW met2 ( 210480 2889700 0 ) ( 210480 2891365 )
NEW met3 ( 214800 2907090 ) ( 215520 2907090 )
NEW met4 ( 215520 2907090 ) ( 215520 2968510 )
NEW met3 ( 211680 2968510 ) ( 215520 2968510 )
NEW met3 ( 211680 2968510 ) ( 211680 2971100 0 )
NEW met2 ( 214800 2892105 ) ( 214800 2907090 )
NEW met1 ( 214800 2892105 ) M1M2_PR
NEW met1 ( 210480 2891365 ) M1M2_PR
NEW met2 ( 214800 2907090 ) via2_FR
NEW met3 ( 215520 2907090 ) M3M4_PR_M
NEW met3 ( 215520 2968510 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[91\] ( padframe mprj_io_dm[91] ) ( gpio_control_in\[30\] pad_gpio_dm[1] )
+ ROUTED met2 ( 209040 2898950 0 ) ( 209040 2901170 )
NEW met3 ( 205920 2901170 ) ( 209040 2901170 )
NEW met4 ( 205920 2901170 ) ( 205920 2972210 )
NEW met3 ( 205920 2972210 ) ( 205920 2974430 0 )
NEW met2 ( 209040 2901170 ) via2_FR
NEW met3 ( 205920 2901170 ) M3M4_PR_M
NEW met3 ( 205920 2972210 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[92\] ( padframe mprj_io_dm[92] ) ( gpio_control_in\[30\] pad_gpio_dm[2] )
+ ROUTED met3 ( 208800 2975910 ) ( 209040 2975910 )
NEW met3 ( 208800 2975910 ) ( 208800 2978870 0 )
NEW met1 ( 209040 2921335 ) ( 216240 2921335 )
NEW met2 ( 216240 2906350 ) ( 216240 2921335 )
NEW met2 ( 215760 2906350 ) ( 216240 2906350 )
NEW met2 ( 215760 2870645 ) ( 215760 2906350 )
NEW met1 ( 210960 2870645 ) ( 215760 2870645 )
NEW met2 ( 210960 2867870 0 ) ( 210960 2870645 )
NEW met2 ( 209040 2921335 ) ( 209040 2975910 )
NEW met2 ( 209040 2975910 ) via2_FR
NEW met1 ( 209040 2921335 ) M1M2_PR
NEW met1 ( 216240 2921335 ) M1M2_PR
NEW met1 ( 215760 2870645 ) M1M2_PR
NEW met1 ( 210960 2870645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[93\] ( padframe mprj_io_dm[93] ) ( gpio_control_in\[31\] pad_gpio_dm[0] )
+ ROUTED met2 ( 212400 2756870 ) ( 212880 2756870 )
NEW met3 ( 212400 2756870 ) ( 213600 2756870 )
NEW met3 ( 213600 2755390 ) ( 213600 2756870 )
NEW met3 ( 211680 2755390 0 ) ( 213600 2755390 )
NEW met2 ( 210960 2673620 0 ) ( 210960 2676210 )
NEW met3 ( 210960 2676210 ) ( 214560 2676210 )
NEW met4 ( 214560 2676210 ) ( 214560 2705810 )
NEW met3 ( 212400 2705810 ) ( 214560 2705810 )
NEW met2 ( 212400 2705810 ) ( 212880 2705810 )
NEW met2 ( 212880 2705810 ) ( 212880 2756870 )
NEW met2 ( 212400 2756870 ) via2_FR
NEW met2 ( 210960 2676210 ) via2_FR
NEW met3 ( 214560 2676210 ) M3M4_PR_M
NEW met3 ( 214560 2705810 ) M3M4_PR_M
NEW met2 ( 212400 2705810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[94\] ( padframe mprj_io_dm[94] ) ( gpio_control_in\[31\] pad_gpio_dm[1] )
+ ROUTED met3 ( 211680 2756130 ) ( 212400 2756130 )
NEW met3 ( 211680 2756130 ) ( 211680 2758350 0 )
NEW met2 ( 210480 2682870 0 ) ( 210480 2685090 )
NEW met3 ( 210480 2685090 ) ( 210720 2685090 )
NEW met4 ( 210720 2685090 ) ( 210720 2705070 )
NEW met3 ( 210720 2705070 ) ( 210960 2705070 )
NEW met2 ( 210960 2705070 ) ( 210960 2721905 )
NEW met1 ( 210960 2721905 ) ( 212400 2721905 )
NEW met2 ( 212400 2721905 ) ( 212400 2756130 )
NEW met2 ( 212400 2756130 ) via2_FR
NEW met2 ( 210480 2685090 ) via2_FR
NEW met3 ( 210720 2685090 ) M3M4_PR_M
NEW met3 ( 210720 2705070 ) M3M4_PR_M
NEW met2 ( 210960 2705070 ) via2_FR
NEW met1 ( 210960 2721905 ) M1M2_PR
NEW met1 ( 212400 2721905 ) M1M2_PR
NEW met3 ( 210480 2685090 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 2705070 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[95\] ( padframe mprj_io_dm[95] ) ( gpio_control_in\[31\] pad_gpio_dm[2] )
+ ROUTED met3 ( 207840 2759830 ) ( 208080 2759830 )
NEW met3 ( 207840 2759830 ) ( 207840 2762790 0 )
NEW met2 ( 207120 2723570 ) ( 208080 2723570 )
NEW met2 ( 207120 2706735 ) ( 207120 2723570 )
NEW met1 ( 207120 2706735 ) ( 217680 2706735 )
NEW met2 ( 217680 2678245 ) ( 217680 2706735 )
NEW met1 ( 215280 2678245 ) ( 217680 2678245 )
NEW met2 ( 215280 2654565 ) ( 215280 2678245 )
NEW met1 ( 210960 2654565 ) ( 215280 2654565 )
NEW met2 ( 210960 2652005 0 ) ( 210960 2654565 )
NEW met2 ( 208080 2723570 ) ( 208080 2759830 )
NEW met2 ( 208080 2759830 ) via2_FR
NEW met1 ( 207120 2706735 ) M1M2_PR
NEW met1 ( 217680 2706735 ) M1M2_PR
NEW met1 ( 217680 2678245 ) M1M2_PR
NEW met1 ( 215280 2678245 ) M1M2_PR
NEW met1 ( 215280 2654565 ) M1M2_PR
NEW met1 ( 210960 2654565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[96\] ( padframe mprj_io_dm[96] ) ( gpio_control_in\[32\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210480 2035740 0 ) ( 210480 2037405 )
NEW met1 ( 210480 2037405 ) ( 215280 2037405 )
NEW met1 ( 200880 2075885 ) ( 215280 2075885 )
NEW met2 ( 200880 2075885 ) ( 200880 2116030 )
NEW met3 ( 200880 2116030 ) ( 201120 2116030 )
NEW met3 ( 201120 2116030 ) ( 201120 2117140 0 )
NEW met2 ( 215280 2037405 ) ( 215280 2075885 )
NEW met1 ( 210480 2037405 ) M1M2_PR
NEW met1 ( 215280 2037405 ) M1M2_PR
NEW met1 ( 215280 2075885 ) M1M2_PR
NEW met1 ( 200880 2075885 ) M1M2_PR
NEW met2 ( 200880 2116030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[97\] ( padframe mprj_io_dm[97] ) ( gpio_control_in\[32\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 2044825 0 ) ( 210960 2047395 )
NEW met1 ( 210960 2047395 ) ( 211920 2047395 )
NEW met2 ( 211920 2047395 ) ( 211920 2056830 )
NEW met2 ( 211920 2056830 ) ( 212400 2056830 )
NEW met2 ( 212400 2056830 ) ( 212400 2082545 )
NEW met1 ( 186960 2082545 ) ( 212400 2082545 )
NEW met2 ( 186960 2082545 ) ( 186960 2118250 )
NEW met3 ( 186720 2118250 ) ( 186960 2118250 )
NEW met3 ( 186720 2118250 ) ( 186720 2120470 0 )
NEW met1 ( 210960 2047395 ) M1M2_PR
NEW met1 ( 211920 2047395 ) M1M2_PR
NEW met1 ( 212400 2082545 ) M1M2_PR
NEW met1 ( 186960 2082545 ) M1M2_PR
NEW met2 ( 186960 2118250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[98\] ( padframe mprj_io_dm[98] ) ( gpio_control_in\[32\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 2013910 0 ) ( 210960 2016685 )
NEW met1 ( 210960 2016685 ) ( 214800 2016685 )
NEW met1 ( 214800 2045915 ) ( 215280 2045915 )
NEW met1 ( 215280 2045915 ) ( 215280 2047025 )
NEW met1 ( 214800 2047025 ) ( 215280 2047025 )
NEW met1 ( 214800 2047025 ) ( 214800 2049245 )
NEW met1 ( 212880 2049245 ) ( 214800 2049245 )
NEW met2 ( 212880 2049245 ) ( 212880 2056090 )
NEW met2 ( 212880 2056090 ) ( 213360 2056090 )
NEW met2 ( 213360 2056090 ) ( 213360 2121950 )
NEW met2 ( 212880 2121950 ) ( 213360 2121950 )
NEW met3 ( 211680 2121950 ) ( 212880 2121950 )
NEW met3 ( 211680 2121950 ) ( 211680 2124540 0 )
NEW met2 ( 214800 2016685 ) ( 214800 2045915 )
NEW met1 ( 210960 2016685 ) M1M2_PR
NEW met1 ( 214800 2016685 ) M1M2_PR
NEW met1 ( 214800 2045915 ) M1M2_PR
NEW met1 ( 212880 2049245 ) M1M2_PR
NEW met2 ( 212880 2121950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[99\] ( padframe mprj_io_dm[99] ) ( gpio_control_in\[33\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210480 1819660 0 ) ( 210480 1821325 )
NEW met1 ( 210480 1821325 ) ( 215280 1821325 )
NEW met1 ( 200400 1865355 ) ( 215280 1865355 )
NEW met2 ( 200400 1865355 ) ( 200400 1899950 )
NEW met3 ( 200160 1899950 ) ( 200400 1899950 )
NEW met3 ( 200160 1899950 ) ( 200160 1901060 0 )
NEW met2 ( 215280 1821325 ) ( 215280 1865355 )
NEW met1 ( 210480 1821325 ) M1M2_PR
NEW met1 ( 215280 1821325 ) M1M2_PR
NEW met1 ( 215280 1865355 ) M1M2_PR
NEW met1 ( 200400 1865355 ) M1M2_PR
NEW met2 ( 200400 1899950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[9\] ( padframe mprj_io_dm[9] ) ( gpio_control_in\[3\] pad_gpio_dm[0] )
+ ROUTED met2 ( 3377040 1209375 0 ) ( 3377040 1211935 )
NEW met1 ( 3375600 1211935 ) ( 3377040 1211935 )
NEW met2 ( 3375600 1211935 ) ( 3375600 1257815 )
NEW met1 ( 3375600 1257815 ) ( 3384240 1257815 )
NEW met3 ( 3384240 1304990 ) ( 3384480 1304990 )
NEW met3 ( 3384480 1304990 ) ( 3384480 1306100 0 )
NEW met2 ( 3384240 1257815 ) ( 3384240 1304990 )
NEW met1 ( 3377040 1211935 ) M1M2_PR
NEW met1 ( 3375600 1211935 ) M1M2_PR
NEW met1 ( 3375600 1257815 ) M1M2_PR
NEW met1 ( 3384240 1257815 ) M1M2_PR
NEW met2 ( 3384240 1304990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_enh\[0\] ( por porb_h ) ( padframe porb_h ) ( padframe mprj_io_enh[9] ) ( padframe mprj_io_enh[8] )
( padframe mprj_io_enh[7] ) ( padframe mprj_io_enh[6] ) ( padframe mprj_io_enh[5] ) ( padframe mprj_io_enh[4] ) ( padframe mprj_io_enh[3] )
( padframe mprj_io_enh[37] ) ( padframe mprj_io_enh[36] ) ( padframe mprj_io_enh[35] ) ( padframe mprj_io_enh[34] ) ( padframe mprj_io_enh[33] )
( padframe mprj_io_enh[32] ) ( padframe mprj_io_enh[31] ) ( padframe mprj_io_enh[30] ) ( padframe mprj_io_enh[2] ) ( padframe mprj_io_enh[29] )
( padframe mprj_io_enh[28] ) ( padframe mprj_io_enh[27] ) ( padframe mprj_io_enh[26] ) ( padframe mprj_io_enh[25] ) ( padframe mprj_io_enh[24] )
( padframe mprj_io_enh[23] ) ( padframe mprj_io_enh[22] ) ( padframe mprj_io_enh[21] ) ( padframe mprj_io_enh[20] ) ( padframe mprj_io_enh[1] )
( padframe mprj_io_enh[19] ) ( padframe mprj_io_enh[18] ) ( padframe mprj_io_enh[17] ) ( padframe mprj_io_enh[16] ) ( padframe mprj_io_enh[15] )
( padframe mprj_io_enh[14] ) ( padframe mprj_io_enh[13] ) ( padframe mprj_io_enh[12] ) ( padframe mprj_io_enh[11] ) ( padframe mprj_io_enh[10] )
( padframe mprj_io_enh[0] )
+ ROUTED met2 ( 209520 1591205 0 ) ( 209520 1593590 )
NEW met3 ( 209520 1593590 ) ( 209760 1593590 )
NEW met2 ( 210960 4810370 ) ( 211440 4810370 )
NEW met3 ( 210960 4810370 ) ( 211680 4810370 )
NEW met3 ( 211680 4810370 ) ( 211680 4811110 )
NEW met3 ( 211680 4811110 ) ( 215520 4811110 )
NEW met2 ( 210480 3955670 ) ( 210480 3957150 0 )
NEW met3 ( 210480 3955670 ) ( 210720 3955670 )
NEW met3 ( 210720 3955670 ) ( 215520 3955670 )
NEW met2 ( 210960 4805930 ) ( 210960 4806300 0 )
NEW met2 ( 210960 4805930 ) ( 211440 4805930 )
NEW met2 ( 211440 4805930 ) ( 211440 4810370 )
NEW met2 ( 416160 4977610 0 ) ( 417360 4977610 )
NEW met2 ( 417360 4967990 ) ( 417360 4977610 )
NEW met3 ( 417360 4967990 ) ( 464400 4967990 )
NEW met2 ( 464400 4967990 ) ( 464400 4997035 )
NEW met4 ( 417120 4960590 ) ( 417120 4967990 )
NEW met3 ( 417120 4967990 ) ( 417360 4967990 )
NEW met1 ( 633360 4967805 ) ( 673200 4967805 )
NEW met2 ( 1187280 4968175 ) ( 1187280 4977610 0 )
NEW met1 ( 1182000 4978165 ) ( 1182000 4978905 )
NEW met1 ( 1182000 4978165 ) ( 1186320 4978165 )
NEW met2 ( 1186320 4977610 ) ( 1186320 4978165 )
NEW met2 ( 1186320 4977610 ) ( 1187280 4977610 0 )
NEW met3 ( 215520 4811110 ) ( 310320 4811110 )
NEW met4 ( 3372960 674325 ) ( 3373920 674325 )
NEW met4 ( 3372960 674325 ) ( 3372960 674510 )
NEW met3 ( 3367920 674510 ) ( 3372960 674510 )
NEW met2 ( 3367430 674510 0 ) ( 3367920 674510 )
NEW met2 ( 3376560 1221740 ) ( 3377040 1221740 0 )
NEW met2 ( 3376560 1221555 ) ( 3376560 1221740 )
NEW met1 ( 3374160 1221555 ) ( 3376560 1221555 )
NEW met2 ( 3374160 1194730 ) ( 3374160 1221555 )
NEW met3 ( 3374160 1194730 ) ( 3377760 1194730 )
NEW met3 ( 3373920 1229510 ) ( 3374160 1229510 )
NEW met2 ( 3374160 1221555 ) ( 3374160 1229510 )
NEW met2 ( 3377520 1444110 ) ( 3377520 1446700 0 )
NEW met2 ( 3376080 1444110 ) ( 3377520 1444110 )
NEW met2 ( 3376080 1424870 ) ( 3376080 1444110 )
NEW met3 ( 3373920 1424870 ) ( 3376080 1424870 )
NEW met3 ( 3376800 1447810 ) ( 3377040 1447810 )
NEW met2 ( 3377040 1446700 0 ) ( 3377040 1447810 )
NEW met2 ( 3377040 1446700 0 ) ( 3377520 1446700 0 )
NEW met2 ( 3377520 1669070 ) ( 3377520 1671660 0 )
NEW met3 ( 3376800 1669070 ) ( 3377520 1669070 )
NEW met4 ( 3376800 1669995 ) ( 3378720 1669995 )
NEW met4 ( 3376800 1669070 ) ( 3376800 1669995 )
NEW met2 ( 3377040 3009795 0 ) ( 3377040 3012355 )
NEW met1 ( 3375120 3012355 ) ( 3377040 3012355 )
NEW met1 ( 3361680 3012355 ) ( 3375120 3012355 )
NEW met2 ( 3378960 3458390 ) ( 3378960 3460795 0 )
NEW met3 ( 3378960 3458390 ) ( 3381600 3458390 )
NEW met1 ( 3373200 3461535 ) ( 3377040 3461535 )
NEW met2 ( 3377040 3460795 0 ) ( 3377040 3461535 )
NEW met2 ( 3377040 3460795 0 ) ( 3378960 3460795 0 )
NEW met2 ( 3377040 3683165 ) ( 3377040 3685795 0 )
NEW met1 ( 3373200 3683165 ) ( 3377040 3683165 )
NEW met3 ( 3371760 4837750 ) ( 3375120 4837750 )
NEW met2 ( 310320 4811110 ) ( 310320 4960590 )
NEW met3 ( 310320 4960590 ) ( 417120 4960590 )
NEW met1 ( 930960 4967805 ) ( 978960 4967805 )
NEW met1 ( 673200 4967805 ) ( 930960 4967805 )
NEW met2 ( 3375600 544795 ) ( 3377040 544795 0 )
NEW met2 ( 3375600 532430 ) ( 3375600 544795 )
NEW met3 ( 3373920 546490 ) ( 3375600 546490 )
NEW met2 ( 3375600 544795 ) ( 3375600 546490 )
NEW met4 ( 3373920 546490 ) ( 3373920 674325 )
NEW met2 ( 3377040 770710 0 ) ( 3377040 771450 )
NEW met2 ( 3376560 771450 ) ( 3377040 771450 )
NEW met2 ( 3376560 770710 ) ( 3376560 771450 )
NEW met2 ( 3376080 770710 ) ( 3376560 770710 )
NEW met2 ( 3376080 741850 ) ( 3376080 770710 )
NEW met3 ( 3373920 741850 ) ( 3376080 741850 )
NEW met3 ( 3377040 771450 ) ( 3377760 771450 )
NEW met4 ( 3373920 674325 ) ( 3373920 741850 )
NEW met4 ( 3373920 1229510 ) ( 3373920 1424870 )
NEW met4 ( 3376800 1447810 ) ( 3376800 1669070 )
NEW met2 ( 3373200 3461535 ) ( 3373200 3683165 )
NEW met1 ( 3362160 3714985 ) ( 3375600 3714985 )
NEW met2 ( 3375600 3683165 ) ( 3375600 3714985 )
NEW met2 ( 3377520 3910900 0 ) ( 3377520 3912565 )
NEW met1 ( 3371280 3912565 ) ( 3377520 3912565 )
NEW met1 ( 3362160 3912565 ) ( 3371280 3912565 )
NEW met2 ( 3377040 4356750 0 ) ( 3377040 4357305 )
NEW met1 ( 3376080 4357305 ) ( 3377040 4357305 )
NEW met2 ( 3376080 4357305 ) ( 3376080 4378210 )
NEW met3 ( 3376080 4378210 ) ( 3377760 4378210 )
NEW met1 ( 3371280 4357305 ) ( 3376080 4357305 )
NEW met2 ( 3371280 3912565 ) ( 3371280 4357305 )
NEW met2 ( 3377520 4800750 ) ( 3377520 4802795 0 )
NEW met3 ( 3377520 4800750 ) ( 3377760 4800750 )
NEW met2 ( 3375120 4802795 ) ( 3377520 4802795 0 )
NEW met2 ( 3375120 4802795 ) ( 3375120 4837750 )
NEW met4 ( 3377760 4378210 ) ( 3377760 4800750 )
NEW met1 ( 3165360 4917855 ) ( 3371760 4917855 )
NEW met2 ( 3371760 4837750 ) ( 3371760 4917855 )
NEW met2 ( 210000 1158470 ) ( 210000 1159210 0 )
NEW met3 ( 209760 1158470 ) ( 210000 1158470 )
NEW met1 ( 464400 4997035 ) ( 633360 4997035 )
NEW met2 ( 633360 4967805 ) ( 633360 4997035 )
NEW met2 ( 938160 1226550 ) ( 938160 1233950 )
NEW met2 ( 938160 1226550 ) ( 940080 1226550 )
NEW met2 ( 978960 4967805 ) ( 978960 4997035 )
NEW met2 ( 1346640 1231175 ) ( 1346640 1233765 )
NEW met2 ( 1954320 4970950 ) ( 1954320 4977610 0 )
NEW met2 ( 2399280 4977610 0 ) ( 2399760 4977610 )
NEW met3 ( 2399760 4977610 ) ( 2444400 4977610 )
NEW met2 ( 2444400 4977610 ) ( 2444400 4996850 )
NEW met2 ( 2399280 4970950 ) ( 2399280 4977610 0 )
NEW met2 ( 2584560 4996665 ) ( 2584560 4999070 )
NEW met3 ( 2584560 4999070 ) ( 2617680 4999070 )
NEW met2 ( 2617680 4977055 ) ( 2617680 4999070 )
NEW met2 ( 3165120 4977610 0 ) ( 3165360 4977610 )
NEW met2 ( 3165360 4917855 ) ( 3165360 4977610 )
NEW met2 ( 3377520 993450 ) ( 3377520 995670 0 )
NEW met3 ( 3377520 993450 ) ( 3377760 993450 )
NEW met4 ( 3377760 771450 ) ( 3377760 993450 )
NEW met4 ( 3377760 993450 ) ( 3377760 1194730 )
NEW met1 ( 3361680 2817365 ) ( 3375120 2817365 )
NEW met2 ( 3375120 2788690 ) ( 3375120 2817365 )
NEW met2 ( 3375120 2788690 ) ( 3375600 2788690 )
NEW met2 ( 3375600 2785545 ) ( 3375600 2788690 )
NEW met1 ( 3375600 2785545 ) ( 3377520 2785545 )
NEW met2 ( 3377520 2783880 0 ) ( 3377520 2785545 )
NEW met2 ( 3377040 3232135 ) ( 3377040 3234910 0 )
NEW met1 ( 3374160 3232135 ) ( 3377040 3232135 )
NEW met2 ( 3374160 3191065 ) ( 3374160 3232135 )
NEW met1 ( 3374160 3191065 ) ( 3378000 3191065 )
NEW met2 ( 3362160 3714985 ) ( 3362160 3912565 )
NEW met4 ( 209760 2139525 ) ( 211680 2139525 )
NEW met2 ( 209040 2877120 0 ) ( 209040 2879710 )
NEW met3 ( 206880 2879710 ) ( 209040 2879710 )
NEW met3 ( 209040 2879710 ) ( 209760 2879710 )
NEW met2 ( 210960 3307430 ) ( 210960 3309280 0 )
NEW met3 ( 210960 3307430 ) ( 212640 3307430 )
NEW met4 ( 212640 3294850 ) ( 212640 3307430 )
NEW met3 ( 209760 3294850 ) ( 212640 3294850 )
NEW met4 ( 212640 3311685 ) ( 214560 3311685 )
NEW met4 ( 212640 3307430 ) ( 212640 3311685 )
NEW met2 ( 210480 3523510 ) ( 210480 3525205 0 )
NEW met3 ( 210480 3523510 ) ( 210720 3523510 )
NEW met4 ( 210720 3511485 ) ( 210720 3523510 )
NEW met4 ( 209760 3511485 ) ( 210720 3511485 )
NEW met3 ( 210720 3523510 ) ( 212640 3523510 )
NEW met4 ( 215520 3955670 ) ( 215520 4811110 )
NEW met3 ( 3375600 1870350 ) ( 3378720 1870350 )
NEW met2 ( 3375600 1870350 ) ( 3375600 1894955 )
NEW met1 ( 3375600 1894955 ) ( 3376560 1894955 )
NEW met2 ( 3376560 1894955 ) ( 3376560 1897730 )
NEW met2 ( 3376560 1897730 ) ( 3377040 1897730 0 )
NEW met4 ( 3378720 1669995 ) ( 3378720 1870350 )
NEW met2 ( 3361680 2817365 ) ( 3361680 3012355 )
NEW met1 ( 3375120 3067115 ) ( 3378000 3067115 )
NEW met2 ( 3375120 3012355 ) ( 3375120 3067115 )
NEW met2 ( 3378000 3067115 ) ( 3378000 3191065 )
NEW met3 ( 3374160 3268210 ) ( 3381600 3268210 )
NEW met2 ( 3374160 3232135 ) ( 3374160 3268210 )
NEW met4 ( 3381600 3268210 ) ( 3381600 3458390 )
NEW met2 ( 673200 4967805 ) ( 673200 4977610 0 )
NEW met2 ( 930240 4977610 0 ) ( 930960 4977610 )
NEW met2 ( 930960 4967805 ) ( 930960 4977610 )
NEW met2 ( 1123440 4978905 ) ( 1123440 4997035 )
NEW met1 ( 978960 4997035 ) ( 1123440 4997035 )
NEW met1 ( 1123440 4978905 ) ( 1182000 4978905 )
NEW met2 ( 1445280 4977610 0 ) ( 1446480 4977610 )
NEW met2 ( 1446480 4972615 ) ( 1446480 4977610 )
NEW met1 ( 1446480 4972615 ) ( 1483440 4972615 )
NEW met2 ( 1483440 4970950 ) ( 1483440 4972615 )
NEW met2 ( 1446480 4968175 ) ( 1446480 4972615 )
NEW met1 ( 1187280 4968175 ) ( 1446480 4968175 )
NEW met3 ( 1483440 4970950 ) ( 1954320 4970950 )
NEW met3 ( 1954320 4970950 ) ( 2399280 4970950 )
NEW met2 ( 2488080 4996665 ) ( 2488080 4996850 )
NEW met3 ( 2444400 4996850 ) ( 2488080 4996850 )
NEW met1 ( 2488080 4996665 ) ( 2584560 4996665 )
NEW met2 ( 2656080 4968175 ) ( 2656080 4977610 0 )
NEW met2 ( 2655600 4977055 ) ( 2655600 4977610 )
NEW met2 ( 2655600 4977610 ) ( 2656080 4977610 0 )
NEW met1 ( 2617680 4977055 ) ( 2655600 4977055 )
NEW met1 ( 2656080 4968175 ) ( 3165360 4968175 )
NEW met3 ( 3327360 530400 0 ) ( 3327360 532430 )
NEW met3 ( 3327360 532430 ) ( 3375600 532430 )
NEW met3 ( 209760 1213230 ) ( 214560 1213230 )
NEW met4 ( 209760 1158470 ) ( 209760 1213230 )
NEW met3 ( 214560 1233950 ) ( 938160 1233950 )
NEW met2 ( 210960 2023160 0 ) ( 210960 2025750 )
NEW met3 ( 210960 2025750 ) ( 212640 2025750 )
NEW met4 ( 212640 2025750 ) ( 212640 2044250 )
NEW met3 ( 212640 2044250 ) ( 212640 2045730 )
NEW met3 ( 211680 2045730 ) ( 212640 2045730 )
NEW met3 ( 209760 2016130 ) ( 211440 2016130 )
NEW met2 ( 211440 2016130 ) ( 211440 2023160 )
NEW met2 ( 210960 2023160 0 ) ( 211440 2023160 )
NEW met4 ( 211680 2045730 ) ( 211680 2139525 )
NEW met4 ( 209760 2242755 ) ( 211680 2242755 )
NEW met4 ( 209760 2139525 ) ( 209760 2242755 )
NEW met3 ( 210720 2446810 ) ( 210720 2448290 )
NEW met3 ( 210720 2446810 ) ( 211680 2446810 )
NEW met2 ( 210960 2661205 0 ) ( 210960 2663630 )
NEW met3 ( 210960 2663630 ) ( 215520 2663630 )
NEW met3 ( 210720 2663630 ) ( 210960 2663630 )
NEW met2 ( 210000 3090610 ) ( 210000 3093200 0 )
NEW met3 ( 209760 3090610 ) ( 210000 3090610 )
NEW met4 ( 209760 3024750 ) ( 209760 3090610 )
NEW met3 ( 209760 3024750 ) ( 210000 3024750 )
NEW met3 ( 210000 3024010 ) ( 210000 3024750 )
NEW met3 ( 210000 3024010 ) ( 210720 3024010 )
NEW met3 ( 211680 3123910 ) ( 211680 3124650 )
NEW met3 ( 211680 3123910 ) ( 212640 3123910 )
NEW met4 ( 212640 3090610 ) ( 212640 3123910 )
NEW met3 ( 210000 3090610 ) ( 212640 3090610 )
NEW met2 ( 1011120 1226550 ) ( 1011120 1227105 )
NEW met3 ( 940080 1226550 ) ( 1011120 1226550 )
NEW met3 ( 209760 945350 ) ( 210000 945350 )
NEW met2 ( 210000 943130 0 ) ( 210000 945350 )
NEW met4 ( 209760 945350 ) ( 209760 1158470 )
NEW met4 ( 211680 2342655 ) ( 213600 2342655 )
NEW met4 ( 213600 2342655 ) ( 213600 2418690 )
NEW met3 ( 211680 2418690 ) ( 213600 2418690 )
NEW met4 ( 211680 2242755 ) ( 211680 2342655 )
NEW met4 ( 211680 2418690 ) ( 211680 2446810 )
NEW met3 ( 210720 2520070 ) ( 210960 2520070 )
NEW met2 ( 210960 2520070 ) ( 210960 2550410 )
NEW met3 ( 210720 2550410 ) ( 210960 2550410 )
NEW met4 ( 210720 2448290 ) ( 210720 2520070 )
NEW met4 ( 210720 2550410 ) ( 210720 2663630 )
NEW met4 ( 209760 3175155 ) ( 211680 3175155 )
NEW met4 ( 209760 3175155 ) ( 209760 3294850 )
NEW met4 ( 211680 3124650 ) ( 211680 3175155 )
NEW met3 ( 209760 3376990 ) ( 214560 3376990 )
NEW met4 ( 209760 3376990 ) ( 209760 3511485 )
NEW met4 ( 214560 3311685 ) ( 214560 3376990 )
NEW met3 ( 209760 3612310 ) ( 212640 3612310 )
NEW met4 ( 212640 3523510 ) ( 212640 3612310 )
NEW met2 ( 209040 3741070 0 ) ( 209040 3743290 )
NEW met3 ( 204960 3743290 ) ( 209040 3743290 )
NEW met4 ( 204960 3743290 ) ( 204960 3786210 )
NEW met3 ( 204960 3786210 ) ( 210720 3786210 )
NEW met3 ( 209040 3743290 ) ( 209760 3743290 )
NEW met4 ( 209760 3612310 ) ( 209760 3743290 )
NEW met4 ( 210720 3786210 ) ( 210720 3955670 )
NEW met3 ( 1962720 1233950 ) ( 1962720 1234690 )
NEW met3 ( 1962720 1233950 ) ( 1962960 1233950 )
NEW met2 ( 1962960 1233395 ) ( 1962960 1233950 )
NEW met1 ( 1962960 1233395 ) ( 1967280 1233395 )
NEW met2 ( 1967280 1233395 ) ( 1967280 1233950 )
NEW met3 ( 1967280 1233950 ) ( 3373920 1233950 )
NEW met2 ( 209520 1807080 0 ) ( 209520 1809670 )
NEW met3 ( 209520 1809670 ) ( 209760 1809670 )
NEW met4 ( 209760 1593590 ) ( 209760 1809670 )
NEW met4 ( 209760 1809670 ) ( 209760 2016130 )
NEW met1 ( 1849200 1233765 ) ( 1849200 1234135 )
NEW met2 ( 1849200 1233025 ) ( 1849200 1233765 )
NEW met1 ( 1849200 1233025 ) ( 1853520 1233025 )
NEW met2 ( 1853520 1233025 ) ( 1853520 1233950 )
NEW met3 ( 1853520 1233950 ) ( 1854240 1233950 )
NEW met3 ( 1854240 1233950 ) ( 1854240 1234690 )
NEW met3 ( 1854240 1234690 ) ( 1962720 1234690 )
NEW met2 ( 1644720 1231175 ) ( 1644720 1232655 )
NEW met2 ( 1112400 1227105 ) ( 1112400 1231175 )
NEW met1 ( 1011120 1227105 ) ( 1112400 1227105 )
NEW met1 ( 1112400 1231175 ) ( 1346640 1231175 )
NEW met1 ( 1752720 1232655 ) ( 1752720 1234135 )
NEW met1 ( 1644720 1232655 ) ( 1752720 1232655 )
NEW met1 ( 1752720 1234135 ) ( 1849200 1234135 )
NEW met2 ( 210000 1375290 0 ) ( 210000 1377510 )
NEW met3 ( 209760 1377510 ) ( 210000 1377510 )
NEW met3 ( 210000 1377510 ) ( 214560 1377510 )
NEW met4 ( 209760 1377510 ) ( 209760 1593590 )
NEW met4 ( 214560 1213230 ) ( 214560 1377510 )
NEW met3 ( 206880 2930030 ) ( 210720 2930030 )
NEW met4 ( 206880 2879710 ) ( 206880 2930030 )
NEW met4 ( 210720 2930030 ) ( 210720 3024010 )
NEW met3 ( 209760 2864910 ) ( 215520 2864910 )
NEW met4 ( 209760 2864910 ) ( 209760 2879710 )
NEW met4 ( 215520 2663630 ) ( 215520 2864910 )
NEW met2 ( 1454640 1230990 ) ( 1454640 1231175 )
NEW met2 ( 1454640 1230990 ) ( 1455120 1230990 )
NEW met2 ( 1455120 1230990 ) ( 1455120 1231175 )
NEW met2 ( 1455120 1231175 ) ( 1455600 1231175 )
NEW met2 ( 1455600 1231175 ) ( 1455600 1233765 )
NEW met1 ( 1455600 1233765 ) ( 1455600 1234505 )
NEW met1 ( 1455600 1234505 ) ( 1549200 1234505 )
NEW met1 ( 1549200 1233765 ) ( 1549200 1234505 )
NEW met2 ( 1549200 1231175 ) ( 1549200 1233765 )
NEW met1 ( 1549200 1231175 ) ( 1644720 1231175 )
NEW met1 ( 1354320 1233765 ) ( 1354320 1234135 )
NEW met1 ( 1354320 1234135 ) ( 1404720 1234135 )
NEW met1 ( 1404720 1233765 ) ( 1404720 1234135 )
NEW met1 ( 1404720 1233765 ) ( 1441680 1233765 )
NEW met2 ( 1441680 1232285 ) ( 1441680 1233765 )
NEW met1 ( 1441680 1232285 ) ( 1453200 1232285 )
NEW met2 ( 1453200 1231175 ) ( 1453200 1232285 )
NEW met1 ( 1346640 1233765 ) ( 1354320 1233765 )
NEW met2 ( 1453200 1231175 ) ( 1454640 1231175 )
NEW met2 ( 209520 1593590 ) via2_FR
NEW met3 ( 209760 1593590 ) M3M4_PR_M
NEW met3 ( 215520 4811110 ) M3M4_PR_M
NEW met2 ( 210960 4810370 ) via2_FR
NEW met2 ( 210480 3955670 ) via2_FR
NEW met3 ( 210720 3955670 ) M3M4_PR_M
NEW met3 ( 215520 3955670 ) M3M4_PR_M
NEW met2 ( 417360 4967990 ) via2_FR
NEW met2 ( 464400 4967990 ) via2_FR
NEW met1 ( 464400 4997035 ) M1M2_PR
NEW met3 ( 417120 4960590 ) M3M4_PR_M
NEW met3 ( 417120 4967990 ) M3M4_PR_M
NEW met1 ( 673200 4967805 ) M1M2_PR
NEW met1 ( 633360 4967805 ) M1M2_PR
NEW met1 ( 1187280 4968175 ) M1M2_PR
NEW met1 ( 1186320 4978165 ) M1M2_PR
NEW met2 ( 310320 4811110 ) via2_FR
NEW met3 ( 3372960 674510 ) M3M4_PR_M
NEW met2 ( 3367920 674510 ) via2_FR
NEW met1 ( 3376560 1221555 ) M1M2_PR
NEW met1 ( 3374160 1221555 ) M1M2_PR
NEW met2 ( 3374160 1194730 ) via2_FR
NEW met3 ( 3377760 1194730 ) M3M4_PR_M
NEW met3 ( 3373920 1229510 ) M3M4_PR_M
NEW met2 ( 3374160 1229510 ) via2_FR
NEW met3 ( 3373920 1233950 ) M3M4_PR_M
NEW met2 ( 3376080 1424870 ) via2_FR
NEW met3 ( 3373920 1424870 ) M3M4_PR_M
NEW met3 ( 3376800 1447810 ) M3M4_PR_M
NEW met2 ( 3377040 1447810 ) via2_FR
NEW met2 ( 3377520 1669070 ) via2_FR
NEW met3 ( 3376800 1669070 ) M3M4_PR_M
NEW met1 ( 3377040 3012355 ) M1M2_PR
NEW met1 ( 3375120 3012355 ) M1M2_PR
NEW met1 ( 3361680 3012355 ) M1M2_PR
NEW met2 ( 3378960 3458390 ) via2_FR
NEW met3 ( 3381600 3458390 ) M3M4_PR_M
NEW met1 ( 3373200 3461535 ) M1M2_PR
NEW met1 ( 3377040 3461535 ) M1M2_PR
NEW met1 ( 3377040 3683165 ) M1M2_PR
NEW met1 ( 3373200 3683165 ) M1M2_PR
NEW met1 ( 3375600 3683165 ) M1M2_PR
NEW met2 ( 3371760 4837750 ) via2_FR
NEW met2 ( 3375120 4837750 ) via2_FR
NEW met2 ( 310320 4960590 ) via2_FR
NEW met1 ( 930960 4967805 ) M1M2_PR
NEW met1 ( 978960 4967805 ) M1M2_PR
NEW met1 ( 3165360 4917855 ) M1M2_PR
NEW met2 ( 3375600 532430 ) via2_FR
NEW met3 ( 3373920 546490 ) M3M4_PR_M
NEW met2 ( 3375600 546490 ) via2_FR
NEW met2 ( 3376080 741850 ) via2_FR
NEW met3 ( 3373920 741850 ) M3M4_PR_M
NEW met3 ( 3377760 771450 ) M3M4_PR_M
NEW met2 ( 3377040 771450 ) via2_FR
NEW met1 ( 3362160 3714985 ) M1M2_PR
NEW met1 ( 3375600 3714985 ) M1M2_PR
NEW met1 ( 3377520 3912565 ) M1M2_PR
NEW met1 ( 3371280 3912565 ) M1M2_PR
NEW met1 ( 3362160 3912565 ) M1M2_PR
NEW met1 ( 3377040 4357305 ) M1M2_PR
NEW met1 ( 3376080 4357305 ) M1M2_PR
NEW met2 ( 3376080 4378210 ) via2_FR
NEW met3 ( 3377760 4378210 ) M3M4_PR_M
NEW met1 ( 3371280 4357305 ) M1M2_PR
NEW met2 ( 3377520 4800750 ) via2_FR
NEW met3 ( 3377760 4800750 ) M3M4_PR_M
NEW met1 ( 3371760 4917855 ) M1M2_PR
NEW met2 ( 210000 1158470 ) via2_FR
NEW met3 ( 209760 1158470 ) M3M4_PR_M
NEW met1 ( 633360 4997035 ) M1M2_PR
NEW met2 ( 938160 1233950 ) via2_FR
NEW met2 ( 940080 1226550 ) via2_FR
NEW met1 ( 978960 4997035 ) M1M2_PR
NEW met1 ( 1346640 1231175 ) M1M2_PR
NEW met1 ( 1346640 1233765 ) M1M2_PR
NEW met2 ( 1954320 4970950 ) via2_FR
NEW met2 ( 2399760 4977610 ) via2_FR
NEW met2 ( 2444400 4977610 ) via2_FR
NEW met2 ( 2444400 4996850 ) via2_FR
NEW met2 ( 2399280 4970950 ) via2_FR
NEW met1 ( 2584560 4996665 ) M1M2_PR
NEW met2 ( 2584560 4999070 ) via2_FR
NEW met2 ( 2617680 4999070 ) via2_FR
NEW met1 ( 2617680 4977055 ) M1M2_PR
NEW met1 ( 3165360 4968175 ) M1M2_PR
NEW met2 ( 3377520 993450 ) via2_FR
NEW met3 ( 3377760 993450 ) M3M4_PR_M
NEW met1 ( 3361680 2817365 ) M1M2_PR
NEW met1 ( 3375120 2817365 ) M1M2_PR
NEW met1 ( 3375600 2785545 ) M1M2_PR
NEW met1 ( 3377520 2785545 ) M1M2_PR
NEW met1 ( 3377040 3232135 ) M1M2_PR
NEW met1 ( 3374160 3232135 ) M1M2_PR
NEW met1 ( 3374160 3191065 ) M1M2_PR
NEW met1 ( 3378000 3191065 ) M1M2_PR
NEW met2 ( 209040 2879710 ) via2_FR
NEW met3 ( 206880 2879710 ) M3M4_PR_M
NEW met3 ( 209760 2879710 ) M3M4_PR_M
NEW met2 ( 210960 3307430 ) via2_FR
NEW met3 ( 212640 3307430 ) M3M4_PR_M
NEW met3 ( 212640 3294850 ) M3M4_PR_M
NEW met3 ( 209760 3294850 ) M3M4_PR_M
NEW met2 ( 210480 3523510 ) via2_FR
NEW met3 ( 210720 3523510 ) M3M4_PR_M
NEW met3 ( 212640 3523510 ) M3M4_PR_M
NEW met3 ( 3378720 1870350 ) M3M4_PR_M
NEW met2 ( 3375600 1870350 ) via2_FR
NEW met1 ( 3375600 1894955 ) M1M2_PR
NEW met1 ( 3376560 1894955 ) M1M2_PR
NEW met1 ( 3375120 3067115 ) M1M2_PR
NEW met1 ( 3378000 3067115 ) M1M2_PR
NEW met2 ( 3374160 3268210 ) via2_FR
NEW met3 ( 3381600 3268210 ) M3M4_PR_M
NEW met1 ( 1123440 4997035 ) M1M2_PR
NEW met1 ( 1123440 4978905 ) M1M2_PR
NEW met1 ( 1446480 4972615 ) M1M2_PR
NEW met1 ( 1483440 4972615 ) M1M2_PR
NEW met2 ( 1483440 4970950 ) via2_FR
NEW met1 ( 1446480 4968175 ) M1M2_PR
NEW met1 ( 2488080 4996665 ) M1M2_PR
NEW met2 ( 2488080 4996850 ) via2_FR
NEW met1 ( 2656080 4968175 ) M1M2_PR
NEW met1 ( 2655600 4977055 ) M1M2_PR
NEW met3 ( 209760 1213230 ) M3M4_PR_M
NEW met3 ( 214560 1213230 ) M3M4_PR_M
NEW met3 ( 214560 1233950 ) M3M4_PR_M
NEW met2 ( 210960 2025750 ) via2_FR
NEW met3 ( 212640 2025750 ) M3M4_PR_M
NEW met3 ( 212640 2044250 ) M3M4_PR_M
NEW met3 ( 211680 2045730 ) M3M4_PR_M
NEW met3 ( 209760 2016130 ) M3M4_PR_M
NEW met2 ( 211440 2016130 ) via2_FR
NEW met3 ( 210720 2448290 ) M3M4_PR_M
NEW met3 ( 211680 2446810 ) M3M4_PR_M
NEW met2 ( 210960 2663630 ) via2_FR
NEW met3 ( 215520 2663630 ) M3M4_PR_M
NEW met3 ( 210720 2663630 ) M3M4_PR_M
NEW met2 ( 210000 3090610 ) via2_FR
NEW met3 ( 209760 3090610 ) M3M4_PR_M
NEW met3 ( 209760 3024750 ) M3M4_PR_M
NEW met3 ( 210720 3024010 ) M3M4_PR_M
NEW met3 ( 211680 3124650 ) M3M4_PR_M
NEW met3 ( 212640 3123910 ) M3M4_PR_M
NEW met3 ( 212640 3090610 ) M3M4_PR_M
NEW met2 ( 1011120 1226550 ) via2_FR
NEW met1 ( 1011120 1227105 ) M1M2_PR
NEW met3 ( 209760 945350 ) M3M4_PR_M
NEW met2 ( 210000 945350 ) via2_FR
NEW met3 ( 213600 2418690 ) M3M4_PR_M
NEW met3 ( 211680 2418690 ) M3M4_PR_M
NEW met3 ( 210720 2520070 ) M3M4_PR_M
NEW met2 ( 210960 2520070 ) via2_FR
NEW met2 ( 210960 2550410 ) via2_FR
NEW met3 ( 210720 2550410 ) M3M4_PR_M
NEW met3 ( 209760 3376990 ) M3M4_PR_M
NEW met3 ( 214560 3376990 ) M3M4_PR_M
NEW met3 ( 209760 3612310 ) M3M4_PR_M
NEW met3 ( 212640 3612310 ) M3M4_PR_M
NEW met2 ( 209040 3743290 ) via2_FR
NEW met3 ( 204960 3743290 ) M3M4_PR_M
NEW met3 ( 204960 3786210 ) M3M4_PR_M
NEW met3 ( 210720 3786210 ) M3M4_PR_M
NEW met3 ( 209760 3743290 ) M3M4_PR_M
NEW met2 ( 1962960 1233950 ) via2_FR
NEW met1 ( 1962960 1233395 ) M1M2_PR
NEW met1 ( 1967280 1233395 ) M1M2_PR
NEW met2 ( 1967280 1233950 ) via2_FR
NEW met2 ( 209520 1809670 ) via2_FR
NEW met3 ( 209760 1809670 ) M3M4_PR_M
NEW met1 ( 1849200 1233765 ) M1M2_PR
NEW met1 ( 1849200 1233025 ) M1M2_PR
NEW met1 ( 1853520 1233025 ) M1M2_PR
NEW met2 ( 1853520 1233950 ) via2_FR
NEW met1 ( 1644720 1231175 ) M1M2_PR
NEW met1 ( 1644720 1232655 ) M1M2_PR
NEW met1 ( 1112400 1227105 ) M1M2_PR
NEW met1 ( 1112400 1231175 ) M1M2_PR
NEW met2 ( 210000 1377510 ) via2_FR
NEW met3 ( 209760 1377510 ) M3M4_PR_M
NEW met3 ( 214560 1377510 ) M3M4_PR_M
NEW met3 ( 206880 2930030 ) M3M4_PR_M
NEW met3 ( 210720 2930030 ) M3M4_PR_M
NEW met3 ( 209760 2864910 ) M3M4_PR_M
NEW met3 ( 215520 2864910 ) M3M4_PR_M
NEW met1 ( 1455600 1233765 ) M1M2_PR
NEW met1 ( 1549200 1233765 ) M1M2_PR
NEW met1 ( 1549200 1231175 ) M1M2_PR
NEW met1 ( 1441680 1233765 ) M1M2_PR
NEW met1 ( 1441680 1232285 ) M1M2_PR
NEW met1 ( 1453200 1232285 ) M1M2_PR
NEW met3 ( 209520 1593590 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210480 3955670 ) RECT ( -380 -150 0 150 )
NEW met3 ( 417120 4967990 ) RECT ( -560 -150 0 150 )
NEW met3 ( 3373920 1229510 ) RECT ( -380 -150 0 150 )
NEW met4 ( 3373920 1233950 ) RECT ( -150 -800 150 0 )
NEW met3 ( 3376800 1447810 ) RECT ( -380 -150 0 150 )
NEW met1 ( 3375600 3683165 ) RECT ( -595 -70 0 70 )
NEW met2 ( 3377040 771450 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3377520 4800750 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210000 1158470 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3165360 4968175 ) RECT ( -70 0 70 485 )
NEW met3 ( 3377520 993450 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210480 3523510 ) RECT ( -380 -150 0 150 )
NEW met4 ( 214560 1233950 ) RECT ( -150 -800 150 0 )
NEW met3 ( 210720 2663630 ) RECT ( -560 -150 0 150 )
NEW met3 ( 210000 3090610 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209760 945350 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 2520070 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210960 2550410 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209520 1809670 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210000 1377510 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[0\] ( padframe mprj_io_holdover[0] ) ( gpio_control_bidir\[0\] pad_gpio_holdover )
+ ROUTED met3 ( 3375600 639730 ) ( 3375840 639730 )
NEW met3 ( 3375840 639730 ) ( 3375840 640470 0 )
NEW met2 ( 3375600 557220 ) ( 3377040 557220 0 )
NEW met2 ( 3375600 557220 ) ( 3375600 639730 )
NEW met2 ( 3375600 639730 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[10\] ( padframe mprj_io_holdover[10] ) ( gpio_control_in\[10\] pad_gpio_holdover )
+ ROUTED met3 ( 3371040 3471710 ) ( 3377520 3471710 )
NEW met2 ( 3377520 3471710 ) ( 3377520 3473190 0 )
NEW met3 ( 3371040 3105410 ) ( 3373920 3105410 0 )
NEW met4 ( 3371040 3105410 ) ( 3371040 3471710 )
NEW met3 ( 3371040 3471710 ) M3M4_PR_M
NEW met2 ( 3377520 3471710 ) via2_FR
NEW met3 ( 3371040 3105410 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[11\] ( padframe mprj_io_holdover[11] ) ( gpio_control_in\[11\] pad_gpio_holdover )
+ ROUTED met3 ( 3373920 3696670 ) ( 3377520 3696670 )
NEW met2 ( 3377520 3696670 ) ( 3377520 3698150 0 )
NEW met3 ( 3373920 3330370 0 ) ( 3373920 3333330 )
NEW met4 ( 3373920 3333330 ) ( 3373920 3696670 )
NEW met3 ( 3373920 3696670 ) M3M4_PR_M
NEW met2 ( 3377520 3696670 ) via2_FR
NEW met3 ( 3373920 3333330 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[12\] ( padframe mprj_io_holdover[12] ) ( gpio_control_in\[12\] pad_gpio_holdover )
+ ROUTED met1 ( 3361680 3557735 ) ( 3373680 3557735 )
NEW met2 ( 3373680 3557550 ) ( 3373680 3557735 )
NEW met3 ( 3373680 3557550 ) ( 3373920 3557550 )
NEW met3 ( 3373920 3556810 0 ) ( 3373920 3557550 )
NEW met1 ( 3361680 3921445 ) ( 3377520 3921445 )
NEW met2 ( 3377520 3921445 ) ( 3377520 3923110 0 )
NEW met2 ( 3361680 3557735 ) ( 3361680 3921445 )
NEW met1 ( 3361680 3557735 ) M1M2_PR
NEW met1 ( 3373680 3557735 ) M1M2_PR
NEW met2 ( 3373680 3557550 ) via2_FR
NEW met1 ( 3361680 3921445 ) M1M2_PR
NEW met1 ( 3377520 3921445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[13\] ( padframe mprj_io_holdover[13] ) ( gpio_control_in\[13\] pad_gpio_holdover )
+ ROUTED met3 ( 3371040 4367110 ) ( 3377040 4367110 )
NEW met2 ( 3377040 4367110 ) ( 3377040 4369330 0 )
NEW met3 ( 3371040 3781770 ) ( 3373920 3781770 0 )
NEW met4 ( 3371040 3781770 ) ( 3371040 4367110 )
NEW met3 ( 3371040 4367110 ) M3M4_PR_M
NEW met2 ( 3377040 4367110 ) via2_FR
NEW met3 ( 3371040 3781770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[14\] ( padframe mprj_io_holdover[14] ) ( gpio_control_in\[14\] pad_gpio_holdover )
+ ROUTED met3 ( 3372000 4673470 ) ( 3373920 4673470 0 )
NEW met3 ( 3372000 4812590 ) ( 3375600 4812590 )
NEW met2 ( 3375600 4812590 ) ( 3375600 4815180 )
NEW met2 ( 3375600 4815180 ) ( 3377040 4815180 0 )
NEW met4 ( 3372000 4673470 ) ( 3372000 4812590 )
NEW met3 ( 3372000 4673470 ) M3M4_PR_M
NEW met3 ( 3372000 4812590 ) M3M4_PR_M
NEW met2 ( 3375600 4812590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[15\] ( padframe mprj_io_holdover[15] ) ( gpio_control_in\[15\] pad_gpio_holdover )
+ ROUTED met2 ( 2784720 5026450 ) ( 2784720 5026635 )
NEW met3 ( 2781600 5026450 0 ) ( 2784720 5026450 )
NEW met2 ( 2872560 4988525 ) ( 2872560 5026635 )
NEW met1 ( 2784720 5026635 ) ( 2872560 5026635 )
NEW met2 ( 3150960 4979090 ) ( 3152880 4979090 0 )
NEW met2 ( 3150960 4978905 ) ( 3150960 4979090 )
NEW met1 ( 3150960 4978905 ) ( 3150960 4979275 )
NEW met1 ( 3095760 4979275 ) ( 3150960 4979275 )
NEW met2 ( 3095760 4979275 ) ( 3095760 4988525 )
NEW met1 ( 2872560 4988525 ) ( 3095760 4988525 )
NEW met1 ( 2784720 5026635 ) M1M2_PR
NEW met2 ( 2784720 5026450 ) via2_FR
NEW met1 ( 2872560 5026635 ) M1M2_PR
NEW met1 ( 2872560 4988525 ) M1M2_PR
NEW met1 ( 3150960 4978905 ) M1M2_PR
NEW met1 ( 3095760 4979275 ) M1M2_PR
NEW met1 ( 3095760 4988525 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[16\] ( padframe mprj_io_holdover[16] ) ( gpio_control_in\[16\] pad_gpio_holdover )
+ ROUTED met2 ( 2642160 4978350 ) ( 2643840 4978350 0 )
NEW met2 ( 2642160 4978165 ) ( 2642160 4978350 )
NEW met1 ( 2618160 4978165 ) ( 2642160 4978165 )
NEW met2 ( 2618160 4978165 ) ( 2618160 5011465 )
NEW met2 ( 2525520 5011465 ) ( 2525520 5011650 )
NEW met3 ( 2524800 5011650 0 ) ( 2525520 5011650 )
NEW met1 ( 2525520 5011465 ) ( 2618160 5011465 )
NEW met1 ( 2642160 4978165 ) M1M2_PR
NEW met1 ( 2618160 4978165 ) M1M2_PR
NEW met1 ( 2618160 5011465 ) M1M2_PR
NEW met1 ( 2525520 5011465 ) M1M2_PR
NEW met2 ( 2525520 5011650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[17\] ( padframe mprj_io_holdover[17] ) ( gpio_control_in\[17\] pad_gpio_holdover )
+ ROUTED met2 ( 2385360 4979090 ) ( 2386800 4979090 0 )
NEW met3 ( 2361360 4979090 ) ( 2385360 4979090 )
NEW met2 ( 2361360 4979090 ) ( 2361360 4988525 )
NEW met2 ( 2140560 5019605 ) ( 2140560 5019790 )
NEW met3 ( 2139360 5019790 0 ) ( 2140560 5019790 )
NEW met2 ( 2289360 5010910 ) ( 2289360 5019605 )
NEW met2 ( 2289360 5010910 ) ( 2289840 5010910 )
NEW met2 ( 2289840 4988525 ) ( 2289840 5010910 )
NEW met1 ( 2140560 5019605 ) ( 2289360 5019605 )
NEW met1 ( 2289840 4988525 ) ( 2361360 4988525 )
NEW met2 ( 2385360 4979090 ) via2_FR
NEW met2 ( 2361360 4979090 ) via2_FR
NEW met1 ( 2361360 4988525 ) M1M2_PR
NEW met1 ( 2140560 5019605 ) M1M2_PR
NEW met2 ( 2140560 5019790 ) via2_FR
NEW met1 ( 2289360 5019605 ) M1M2_PR
NEW met1 ( 2289840 4988525 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[18\] ( padframe mprj_io_holdover[18] ) ( gpio_control_in\[18\] pad_gpio_holdover )
+ ROUTED met2 ( 1803600 5004065 ) ( 1803600 5004250 )
NEW met3 ( 1802400 5004250 0 ) ( 1803600 5004250 )
NEW met2 ( 1940400 4978350 ) ( 1941840 4978350 0 )
NEW met2 ( 1940400 4978350 ) ( 1940400 4978535 )
NEW met1 ( 1917840 4978535 ) ( 1940400 4978535 )
NEW met2 ( 1917840 4978535 ) ( 1917840 5004065 )
NEW met1 ( 1803600 5004065 ) ( 1917840 5004065 )
NEW met1 ( 1803600 5004065 ) M1M2_PR
NEW met2 ( 1803600 5004250 ) via2_FR
NEW met1 ( 1940400 4978535 ) M1M2_PR
NEW met1 ( 1917840 4978535 ) M1M2_PR
NEW met1 ( 1917840 5004065 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[19\] ( padframe mprj_io_holdover[19] ) ( gpio_control_in\[19\] pad_gpio_holdover )
+ ROUTED met2 ( 1434000 4977055 ) ( 1434000 4977610 )
NEW met2 ( 1432800 4977610 0 ) ( 1434000 4977610 )
NEW met2 ( 1548240 5026450 ) ( 1548240 5026635 )
NEW met3 ( 1548240 5026450 ) ( 1550880 5026450 0 )
NEW met1 ( 1447920 4975945 ) ( 1447920 4977055 )
NEW met1 ( 1447920 4975945 ) ( 1493520 4975945 )
NEW met2 ( 1493520 4975945 ) ( 1493520 5026635 )
NEW met1 ( 1434000 4977055 ) ( 1447920 4977055 )
NEW met1 ( 1493520 5026635 ) ( 1548240 5026635 )
NEW met1 ( 1434000 4977055 ) M1M2_PR
NEW met1 ( 1548240 5026635 ) M1M2_PR
NEW met2 ( 1548240 5026450 ) via2_FR
NEW met1 ( 1493520 4975945 ) M1M2_PR
NEW met1 ( 1493520 5026635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[1\] ( padframe mprj_io_holdover[1] ) ( gpio_control_bidir\[1\] pad_gpio_holdover )
+ ROUTED met2 ( 3377520 783290 0 ) ( 3377520 784585 )
NEW met1 ( 3373200 784585 ) ( 3377520 784585 )
NEW met2 ( 3373200 784585 ) ( 3373200 818810 )
NEW met2 ( 3373200 818810 ) ( 3374160 818810 )
NEW met2 ( 3374160 818810 ) ( 3374160 865430 )
NEW met3 ( 3373920 865430 ) ( 3374160 865430 )
NEW met3 ( 3373920 865430 ) ( 3373920 866910 0 )
NEW met1 ( 3377520 784585 ) M1M2_PR
NEW met1 ( 3373200 784585 ) M1M2_PR
NEW met2 ( 3374160 865430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[20\] ( padframe mprj_io_holdover[20] ) ( gpio_control_in\[20\] pad_gpio_holdover )
+ ROUTED met2 ( 1174800 4969655 ) ( 1174800 4977610 0 )
NEW met2 ( 1265520 4969655 ) ( 1265520 4978165 )
NEW met1 ( 1265520 4978165 ) ( 1291440 4978165 )
NEW met2 ( 1291440 4978165 ) ( 1291440 4979090 )
NEW met3 ( 1291440 4979090 ) ( 1292640 4979090 0 )
NEW met1 ( 1174800 4969655 ) ( 1265520 4969655 )
NEW met1 ( 1174800 4969655 ) M1M2_PR
NEW met1 ( 1265520 4969655 ) M1M2_PR
NEW met1 ( 1265520 4978165 ) M1M2_PR
NEW met1 ( 1291440 4978165 ) M1M2_PR
NEW met2 ( 1291440 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[21\] ( padframe mprj_io_holdover[21] ) ( gpio_control_in\[21\] pad_gpio_holdover )
+ ROUTED met2 ( 918960 4976130 ) ( 918960 4977610 )
NEW met2 ( 917760 4977610 0 ) ( 918960 4977610 )
NEW met2 ( 1008720 4976130 ) ( 1008720 4976315 )
NEW met1 ( 1008720 4976315 ) ( 1032720 4976315 )
NEW met2 ( 1032720 4976315 ) ( 1032720 4979830 )
NEW met3 ( 1032720 4979830 ) ( 1035360 4979830 0 )
NEW met3 ( 918960 4976130 ) ( 1008720 4976130 )
NEW met2 ( 918960 4976130 ) via2_FR
NEW met2 ( 1008720 4976130 ) via2_FR
NEW met1 ( 1008720 4976315 ) M1M2_PR
NEW met1 ( 1032720 4976315 ) M1M2_PR
NEW met2 ( 1032720 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[22\] ( padframe mprj_io_holdover[22] ) ( gpio_control_in\[22\] pad_gpio_holdover )
+ ROUTED met2 ( 776880 4979275 ) ( 776880 4979830 )
NEW met3 ( 776880 4979830 ) ( 778560 4979830 0 )
NEW met2 ( 660720 4977610 0 ) ( 662160 4977610 )
NEW met2 ( 662160 4977610 ) ( 662160 4977795 )
NEW met1 ( 662160 4977795 ) ( 720240 4977795 )
NEW met2 ( 720240 4977795 ) ( 720240 4979275 )
NEW met1 ( 720240 4979275 ) ( 776880 4979275 )
NEW met1 ( 776880 4979275 ) M1M2_PR
NEW met2 ( 776880 4979830 ) via2_FR
NEW met1 ( 662160 4977795 ) M1M2_PR
NEW met1 ( 720240 4977795 ) M1M2_PR
NEW met1 ( 720240 4979275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[23\] ( padframe mprj_io_holdover[23] ) ( gpio_control_in\[23\] pad_gpio_holdover )
+ ROUTED met2 ( 403920 4976130 ) ( 403920 4977610 0 )
NEW met1 ( 498960 5011835 ) ( 519120 5011835 )
NEW met2 ( 519120 5011650 ) ( 519120 5011835 )
NEW met3 ( 519120 5011650 ) ( 521760 5011650 0 )
NEW met3 ( 403920 4976130 ) ( 498960 4976130 )
NEW met2 ( 498960 4976130 ) ( 498960 5011835 )
NEW met2 ( 403920 4976130 ) via2_FR
NEW met1 ( 498960 5011835 ) M1M2_PR
NEW met1 ( 519120 5011835 ) M1M2_PR
NEW met2 ( 519120 5011650 ) via2_FR
NEW met2 ( 498960 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[24\] ( padframe mprj_io_holdover[24] ) ( gpio_control_in\[24\] pad_gpio_holdover )
+ ROUTED met3 ( 209760 4791870 ) ( 210000 4791870 )
NEW met2 ( 210000 4791870 ) ( 210000 4793720 0 )
NEW met3 ( 209760 4691970 0 ) ( 209760 4694190 )
NEW met4 ( 209760 4694190 ) ( 209760 4791870 )
NEW met3 ( 209760 4791870 ) M3M4_PR_M
NEW met2 ( 210000 4791870 ) via2_FR
NEW met3 ( 209760 4694190 ) M3M4_PR_M
NEW met3 ( 209760 4791870 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[25\] ( padframe mprj_io_holdover[25] ) ( gpio_control_in\[25\] pad_gpio_holdover )
+ ROUTED met1 ( 210960 3947345 ) ( 212400 3947345 )
NEW met2 ( 210960 3944785 0 ) ( 210960 3947345 )
NEW met1 ( 210000 4010245 ) ( 212400 4010245 )
NEW met2 ( 212400 3998590 ) ( 212400 4010245 )
NEW met3 ( 212400 3998590 ) ( 212640 3998590 )
NEW met4 ( 212640 3962330 ) ( 212640 3998590 )
NEW met3 ( 212400 3962330 ) ( 212640 3962330 )
NEW met2 ( 212400 3947345 ) ( 212400 3962330 )
NEW met3 ( 209760 4061490 ) ( 210000 4061490 )
NEW met3 ( 209760 4061490 ) ( 209760 4062600 0 )
NEW met2 ( 210000 4010245 ) ( 210000 4061490 )
NEW met1 ( 212400 3947345 ) M1M2_PR
NEW met1 ( 210960 3947345 ) M1M2_PR
NEW met1 ( 210000 4010245 ) M1M2_PR
NEW met1 ( 212400 4010245 ) M1M2_PR
NEW met2 ( 212400 3998590 ) via2_FR
NEW met3 ( 212640 3998590 ) M3M4_PR_M
NEW met3 ( 212640 3962330 ) M3M4_PR_M
NEW met2 ( 212400 3962330 ) via2_FR
NEW met2 ( 210000 4061490 ) via2_FR
NEW met3 ( 212400 3998590 ) RECT ( -380 -150 0 150 )
NEW met3 ( 212640 3962330 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[26\] ( padframe mprj_io_holdover[26] ) ( gpio_control_in\[26\] pad_gpio_holdover )
+ ROUTED met1 ( 207120 3786765 ) ( 218160 3786765 )
NEW met2 ( 207120 3786765 ) ( 207120 3845410 )
NEW met3 ( 206880 3845410 ) ( 207120 3845410 )
NEW met3 ( 206880 3845410 ) ( 206880 3846890 0 )
NEW met2 ( 210480 3728860 0 ) ( 210480 3730525 )
NEW met1 ( 210480 3730525 ) ( 212400 3730525 )
NEW met2 ( 212400 3730525 ) ( 212400 3746435 )
NEW met1 ( 212400 3746435 ) ( 218160 3746435 )
NEW met2 ( 218160 3746435 ) ( 218160 3786765 )
NEW met1 ( 218160 3786765 ) M1M2_PR
NEW met1 ( 207120 3786765 ) M1M2_PR
NEW met2 ( 207120 3845410 ) via2_FR
NEW met1 ( 210480 3730525 ) M1M2_PR
NEW met1 ( 212400 3730525 ) M1M2_PR
NEW met1 ( 212400 3746435 ) M1M2_PR
NEW met1 ( 218160 3746435 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[27\] ( padframe mprj_io_holdover[27] ) ( gpio_control_in\[27\] pad_gpio_holdover )
+ ROUTED met1 ( 217200 3556995 ) ( 218640 3556995 )
NEW met2 ( 218640 3556995 ) ( 218640 3570315 )
NEW met1 ( 208080 3570315 ) ( 218640 3570315 )
NEW met2 ( 208080 3570315 ) ( 208080 3629330 )
NEW met3 ( 207840 3629330 ) ( 208080 3629330 )
NEW met3 ( 207840 3629330 ) ( 207840 3630810 0 )
NEW met2 ( 210960 3512780 0 ) ( 210960 3514445 )
NEW met1 ( 210960 3514445 ) ( 215280 3514445 )
NEW met2 ( 215280 3514445 ) ( 215280 3520735 )
NEW met1 ( 215280 3520735 ) ( 217200 3520735 )
NEW met2 ( 217200 3520735 ) ( 217200 3556995 )
NEW met1 ( 217200 3556995 ) M1M2_PR
NEW met1 ( 218640 3556995 ) M1M2_PR
NEW met1 ( 218640 3570315 ) M1M2_PR
NEW met1 ( 208080 3570315 ) M1M2_PR
NEW met2 ( 208080 3629330 ) via2_FR
NEW met1 ( 210960 3514445 ) M1M2_PR
NEW met1 ( 215280 3514445 ) M1M2_PR
NEW met1 ( 215280 3520735 ) M1M2_PR
NEW met1 ( 217200 3520735 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[28\] ( padframe mprj_io_holdover[28] ) ( gpio_control_in\[28\] pad_gpio_holdover )
+ ROUTED met2 ( 210480 3374030 ) ( 210960 3374030 )
NEW met2 ( 210480 3374030 ) ( 210480 3413250 )
NEW met3 ( 210480 3413250 ) ( 210720 3413250 )
NEW met3 ( 210720 3413250 ) ( 210720 3414730 0 )
NEW met2 ( 210960 3296700 0 ) ( 210960 3298365 )
NEW met1 ( 210960 3298365 ) ( 214320 3298365 )
NEW met2 ( 214320 3298365 ) ( 214320 3317050 )
NEW met3 ( 213600 3317050 ) ( 214320 3317050 )
NEW met4 ( 213600 3317050 ) ( 213600 3354790 )
NEW met3 ( 210960 3354790 ) ( 213600 3354790 )
NEW met2 ( 210960 3354790 ) ( 210960 3374030 )
NEW met2 ( 210480 3413250 ) via2_FR
NEW met1 ( 210960 3298365 ) M1M2_PR
NEW met1 ( 214320 3298365 ) M1M2_PR
NEW met2 ( 214320 3317050 ) via2_FR
NEW met3 ( 213600 3317050 ) M3M4_PR_M
NEW met3 ( 213600 3354790 ) M3M4_PR_M
NEW met2 ( 210960 3354790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[29\] ( padframe mprj_io_holdover[29] ) ( gpio_control_in\[29\] pad_gpio_holdover )
+ ROUTED met3 ( 206640 3197170 ) ( 206880 3197170 )
NEW met3 ( 206880 3197170 ) ( 206880 3198650 0 )
NEW met3 ( 206640 3138710 ) ( 206880 3138710 )
NEW met4 ( 206880 3082470 ) ( 206880 3138710 )
NEW met3 ( 206880 3082470 ) ( 209040 3082470 )
NEW met2 ( 209040 3080785 0 ) ( 209040 3082470 )
NEW met2 ( 206640 3138710 ) ( 206640 3197170 )
NEW met2 ( 206640 3197170 ) via2_FR
NEW met2 ( 206640 3138710 ) via2_FR
NEW met3 ( 206880 3138710 ) M3M4_PR_M
NEW met3 ( 206880 3082470 ) M3M4_PR_M
NEW met2 ( 209040 3082470 ) via2_FR
NEW met3 ( 206640 3138710 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[2\] ( padframe mprj_io_holdover[2] ) ( gpio_control_in\[2\] pad_gpio_holdover )
+ ROUTED met2 ( 3376560 1008250 ) ( 3377040 1008250 0 )
NEW met2 ( 3376560 1008250 ) ( 3376560 1010285 )
NEW met1 ( 3375600 1010285 ) ( 3376560 1010285 )
NEW met2 ( 3375600 1010285 ) ( 3375600 1088910 )
NEW met3 ( 3375600 1088910 ) ( 3375840 1088910 )
NEW met3 ( 3375840 1088910 ) ( 3375840 1091870 0 )
NEW met1 ( 3376560 1010285 ) M1M2_PR
NEW met1 ( 3375600 1010285 ) M1M2_PR
NEW met2 ( 3375600 1088910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[30\] ( padframe mprj_io_holdover[30] ) ( gpio_control_in\[30\] pad_gpio_holdover )
+ ROUTED met3 ( 207600 2981090 ) ( 207840 2981090 )
NEW met3 ( 207840 2981090 ) ( 207840 2982570 0 )
NEW met3 ( 207600 2923370 ) ( 211680 2923370 )
NEW met4 ( 211680 2881930 ) ( 211680 2923370 )
NEW met3 ( 211680 2881930 ) ( 212400 2881930 )
NEW met2 ( 212400 2866205 ) ( 212400 2881930 )
NEW met1 ( 210480 2866205 ) ( 212400 2866205 )
NEW met2 ( 210480 2864910 0 ) ( 210480 2866205 )
NEW met2 ( 207600 2923370 ) ( 207600 2981090 )
NEW met2 ( 207600 2981090 ) via2_FR
NEW met2 ( 207600 2923370 ) via2_FR
NEW met3 ( 211680 2923370 ) M3M4_PR_M
NEW met3 ( 211680 2881930 ) M3M4_PR_M
NEW met2 ( 212400 2881930 ) via2_FR
NEW met1 ( 212400 2866205 ) M1M2_PR
NEW met1 ( 210480 2866205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[31\] ( padframe mprj_io_holdover[31] ) ( gpio_control_in\[31\] pad_gpio_holdover )
+ ROUTED met3 ( 208800 2765010 ) ( 209040 2765010 )
NEW met3 ( 208800 2765010 ) ( 208800 2766490 0 )
NEW met2 ( 208560 2722090 ) ( 209040 2722090 )
NEW met2 ( 208560 2706550 ) ( 208560 2722090 )
NEW met3 ( 208560 2706550 ) ( 211680 2706550 )
NEW met4 ( 211680 2672510 ) ( 211680 2706550 )
NEW met3 ( 211680 2672510 ) ( 214800 2672510 )
NEW met2 ( 214800 2650865 ) ( 214800 2672510 )
NEW met1 ( 210480 2650865 ) ( 214800 2650865 )
NEW met2 ( 209040 2722090 ) ( 209040 2765010 )
NEW met2 ( 210480 2648830 0 ) ( 210480 2650865 )
NEW met2 ( 209040 2765010 ) via2_FR
NEW met2 ( 208560 2706550 ) via2_FR
NEW met3 ( 211680 2706550 ) M3M4_PR_M
NEW met3 ( 211680 2672510 ) M3M4_PR_M
NEW met2 ( 214800 2672510 ) via2_FR
NEW met1 ( 214800 2650865 ) M1M2_PR
NEW met1 ( 210480 2650865 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[32\] ( padframe mprj_io_holdover[32] ) ( gpio_control_in\[32\] pad_gpio_holdover )
+ ROUTED met3 ( 207840 2013170 ) ( 209040 2013170 )
NEW met2 ( 209040 2010785 0 ) ( 209040 2013170 )
NEW met3 ( 207840 2125650 ) ( 207840 2128610 0 )
NEW met4 ( 207840 2013170 ) ( 207840 2125650 )
NEW met3 ( 207840 2013170 ) M3M4_PR_M
NEW met2 ( 209040 2013170 ) via2_FR
NEW met3 ( 207840 2125650 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[33\] ( padframe mprj_io_holdover[33] ) ( gpio_control_in\[33\] pad_gpio_holdover )
+ ROUTED met3 ( 207840 1797090 ) ( 209040 1797090 )
NEW met2 ( 209040 1794870 0 ) ( 209040 1797090 )
NEW met3 ( 207840 1909570 ) ( 207840 1912530 0 )
NEW met4 ( 207840 1797090 ) ( 207840 1909570 )
NEW met3 ( 207840 1797090 ) M3M4_PR_M
NEW met2 ( 209040 1797090 ) via2_FR
NEW met3 ( 207840 1909570 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[34\] ( padframe mprj_io_holdover[34] ) ( gpio_control_in\[34\] pad_gpio_holdover )
+ ROUTED met3 ( 206880 1580270 ) ( 209040 1580270 )
NEW met2 ( 209040 1578790 0 ) ( 209040 1580270 )
NEW met3 ( 206880 1693490 ) ( 206880 1696450 0 )
NEW met4 ( 206880 1580270 ) ( 206880 1693490 )
NEW met3 ( 206880 1580270 ) M3M4_PR_M
NEW met2 ( 209040 1580270 ) via2_FR
NEW met3 ( 206880 1693490 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[35\] ( padframe mprj_io_holdover[35] ) ( gpio_control_in\[35\] pad_gpio_holdover )
+ ROUTED met3 ( 207840 1477410 ) ( 207840 1480370 0 )
NEW met3 ( 207840 1364930 ) ( 209040 1364930 )
NEW met2 ( 209040 1362710 0 ) ( 209040 1364930 )
NEW met4 ( 207840 1364930 ) ( 207840 1477410 )
NEW met3 ( 207840 1477410 ) M3M4_PR_M
NEW met3 ( 207840 1364930 ) M3M4_PR_M
NEW met2 ( 209040 1364930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[36\] ( padframe mprj_io_holdover[36] ) ( gpio_control_in\[36\] pad_gpio_holdover )
+ ROUTED met3 ( 206880 1148850 ) ( 209040 1148850 )
NEW met2 ( 209040 1146785 0 ) ( 209040 1148850 )
NEW met3 ( 206880 1263550 ) ( 206880 1264660 0 )
NEW met4 ( 206880 1148850 ) ( 206880 1263550 )
NEW met3 ( 206880 1148850 ) M3M4_PR_M
NEW met2 ( 209040 1148850 ) via2_FR
NEW met3 ( 206880 1263550 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[37\] ( padframe mprj_io_holdover[37] ) ( gpio_control_in\[37\] pad_gpio_holdover )
+ ROUTED met2 ( 210960 930550 ) ( 210960 930920 0 )
NEW met2 ( 210960 930550 ) ( 211440 930550 )
NEW met2 ( 211440 930550 ) ( 211440 935915 )
NEW met1 ( 211440 935915 ) ( 211920 935915 )
NEW met1 ( 210480 987345 ) ( 214800 987345 )
NEW met2 ( 214800 936285 ) ( 214800 987345 )
NEW met1 ( 211920 936285 ) ( 214800 936285 )
NEW met1 ( 211920 935915 ) ( 211920 936285 )
NEW met3 ( 210480 1045990 ) ( 210720 1045990 )
NEW met3 ( 210720 1045990 ) ( 210720 1048950 0 )
NEW met2 ( 210480 987345 ) ( 210480 1045990 )
NEW met1 ( 211440 935915 ) M1M2_PR
NEW met1 ( 210480 987345 ) M1M2_PR
NEW met1 ( 214800 987345 ) M1M2_PR
NEW met1 ( 214800 936285 ) M1M2_PR
NEW met2 ( 210480 1045990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[3\] ( padframe mprj_io_holdover[3] ) ( gpio_control_in\[3\] pad_gpio_holdover )
+ ROUTED met1 ( 3370320 1236355 ) ( 3377520 1236355 )
NEW met2 ( 3377520 1234320 0 ) ( 3377520 1236355 )
NEW met3 ( 3370320 1317570 ) ( 3373920 1317570 0 )
NEW met2 ( 3370320 1236355 ) ( 3370320 1317570 )
NEW met1 ( 3370320 1236355 ) M1M2_PR
NEW met1 ( 3377520 1236355 ) M1M2_PR
NEW met2 ( 3370320 1317570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[4\] ( padframe mprj_io_holdover[4] ) ( gpio_control_in\[4\] pad_gpio_holdover )
+ ROUTED met1 ( 3371280 1471305 ) ( 3375600 1471305 )
NEW met2 ( 3375600 1459280 ) ( 3375600 1471305 )
NEW met2 ( 3375600 1459280 ) ( 3377040 1459280 0 )
NEW met3 ( 3371280 1542530 ) ( 3373920 1542530 0 )
NEW met2 ( 3371280 1471305 ) ( 3371280 1542530 )
NEW met1 ( 3371280 1471305 ) M1M2_PR
NEW met1 ( 3375600 1471305 ) M1M2_PR
NEW met2 ( 3371280 1542530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[5\] ( padframe mprj_io_holdover[5] ) ( gpio_control_in\[5\] pad_gpio_holdover )
+ ROUTED met2 ( 3375600 1684240 ) ( 3377040 1684240 0 )
NEW met3 ( 3375600 1766750 ) ( 3375840 1766750 )
NEW met3 ( 3375840 1766750 ) ( 3375840 1767490 0 )
NEW met2 ( 3375600 1684240 ) ( 3375600 1766750 )
NEW met2 ( 3375600 1766750 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[6\] ( padframe mprj_io_holdover[6] ) ( gpio_control_in\[6\] pad_gpio_holdover )
+ ROUTED met3 ( 3373200 1992450 ) ( 3373920 1992450 )
NEW met3 ( 3373920 1992450 ) ( 3373920 1993930 0 )
NEW met1 ( 3373200 1912345 ) ( 3377520 1912345 )
NEW met2 ( 3377520 1910310 0 ) ( 3377520 1912345 )
NEW met2 ( 3373200 1912345 ) ( 3373200 1992450 )
NEW met2 ( 3373200 1992450 ) via2_FR
NEW met1 ( 3373200 1912345 ) M1M2_PR
NEW met1 ( 3377520 1912345 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[7\] ( padframe mprj_io_holdover[7] ) ( gpio_control_in\[7\] pad_gpio_holdover )
+ ROUTED met3 ( 3374880 2434600 0 ) ( 3374880 2437190 )
NEW met3 ( 3374880 2793870 ) ( 3377520 2793870 )
NEW met2 ( 3377520 2793870 ) ( 3377520 2796090 0 )
NEW met4 ( 3374880 2437190 ) ( 3374880 2793870 )
NEW met3 ( 3374880 2437190 ) M3M4_PR_M
NEW met3 ( 3374880 2793870 ) M3M4_PR_M
NEW met2 ( 3377520 2793870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[8\] ( padframe mprj_io_holdover[8] ) ( gpio_control_in\[8\] pad_gpio_holdover )
+ ROUTED met1 ( 3365040 3020495 ) ( 3377520 3020495 )
NEW met2 ( 3377520 3020495 ) ( 3377520 3022160 0 )
NEW met1 ( 3365040 2655675 ) ( 3374160 2655675 )
NEW met2 ( 3374160 2655490 ) ( 3374160 2655675 )
NEW met3 ( 3373920 2655490 ) ( 3374160 2655490 )
NEW met3 ( 3373920 2654750 0 ) ( 3373920 2655490 )
NEW met2 ( 3365040 2655675 ) ( 3365040 3020495 )
NEW met1 ( 3365040 3020495 ) M1M2_PR
NEW met1 ( 3377520 3020495 ) M1M2_PR
NEW met1 ( 3365040 2655675 ) M1M2_PR
NEW met1 ( 3374160 2655675 ) M1M2_PR
NEW met2 ( 3374160 2655490 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[9\] ( padframe mprj_io_holdover[9] ) ( gpio_control_in\[9\] pad_gpio_holdover )
+ ROUTED met3 ( 3373920 3244530 ) ( 3377520 3244530 )
NEW met2 ( 3377520 3244530 ) ( 3377520 3247120 0 )
NEW met3 ( 3373920 2879710 0 ) ( 3373920 2880450 )
NEW met4 ( 3373920 2880450 ) ( 3373920 3244530 )
NEW met3 ( 3373920 3244530 ) M3M4_PR_M
NEW met2 ( 3377520 3244530 ) via2_FR
NEW met3 ( 3373920 2880450 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[0\] ( padframe mprj_io_ib_mode_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3373200 604950 ) ( 3374160 604950 )
NEW met2 ( 3374160 604950 ) ( 3374160 643430 )
NEW met3 ( 3373920 643430 ) ( 3374160 643430 )
NEW met3 ( 3373920 643430 ) ( 3373920 644910 0 )
NEW met1 ( 3373200 574055 ) ( 3377040 574055 )
NEW met2 ( 3377040 572390 0 ) ( 3377040 574055 )
NEW met2 ( 3373200 574055 ) ( 3373200 604950 )
NEW met2 ( 3374160 643430 ) via2_FR
NEW met1 ( 3373200 574055 ) M1M2_PR
NEW met1 ( 3377040 574055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[10\] ( padframe mprj_io_ib_mode_sel[10] ) ( gpio_control_in\[10\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3378960 3486510 ) ( 3382560 3486510 )
NEW met2 ( 3378960 3486510 ) ( 3378960 3488360 0 )
NEW met3 ( 3382560 3109850 0 ) ( 3382560 3110590 )
NEW met4 ( 3382560 3110590 ) ( 3382560 3486510 )
NEW met3 ( 3382560 3486510 ) M3M4_PR_M
NEW met2 ( 3378960 3486510 ) via2_FR
NEW met3 ( 3382560 3110590 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[11\] ( padframe mprj_io_ib_mode_sel[11] ) ( gpio_control_in\[11\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3378960 3712210 ) ( 3383520 3712210 )
NEW met2 ( 3378960 3712210 ) ( 3378960 3713320 0 )
NEW met3 ( 3383520 3334810 0 ) ( 3383520 3337770 )
NEW met4 ( 3383520 3337770 ) ( 3383520 3712210 )
NEW met3 ( 3383520 3712210 ) M3M4_PR_M
NEW met2 ( 3378960 3712210 ) via2_FR
NEW met3 ( 3383520 3337770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[12\] ( padframe mprj_io_ib_mode_sel[12] ) ( gpio_control_in\[12\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3377760 3560510 0 ) ( 3377760 3563470 )
NEW met3 ( 3377520 3935690 ) ( 3377760 3935690 )
NEW met2 ( 3377520 3935690 ) ( 3377520 3938280 0 )
NEW met4 ( 3377760 3563470 ) ( 3377760 3935690 )
NEW met3 ( 3377760 3563470 ) M3M4_PR_M
NEW met3 ( 3377760 3935690 ) M3M4_PR_M
NEW met2 ( 3377520 3935690 ) via2_FR
NEW met3 ( 3377760 3935690 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[13\] ( padframe mprj_io_ib_mode_sel[13] ) ( gpio_control_in\[13\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3370080 4382650 ) ( 3377040 4382650 )
NEW met2 ( 3377040 4382650 ) ( 3377040 4384500 0 )
NEW met3 ( 3370080 3785470 ) ( 3373920 3785470 0 )
NEW met4 ( 3370080 3785470 ) ( 3370080 4382650 )
NEW met3 ( 3370080 4382650 ) M3M4_PR_M
NEW met2 ( 3377040 4382650 ) via2_FR
NEW met3 ( 3370080 3785470 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[14\] ( padframe mprj_io_ib_mode_sel[14] ) ( gpio_control_in\[14\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3378720 4677910 0 ) ( 3378720 4679390 )
NEW met3 ( 3378480 4828870 ) ( 3378720 4828870 )
NEW met2 ( 3378480 4828870 ) ( 3378480 4830350 0 )
NEW met4 ( 3378720 4679390 ) ( 3378720 4828870 )
NEW met3 ( 3378720 4679390 ) M3M4_PR_M
NEW met3 ( 3378720 4828870 ) M3M4_PR_M
NEW met2 ( 3378480 4828870 ) via2_FR
NEW met3 ( 3378720 4828870 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[15\] ( padframe mprj_io_ib_mode_sel[15] ) ( gpio_control_in\[15\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 2786640 4989265 ) ( 2786640 4989450 )
NEW met3 ( 2785440 4989450 0 ) ( 2786640 4989450 )
NEW met2 ( 2980560 4989265 ) ( 2980560 4997035 )
NEW met1 ( 2786640 4989265 ) ( 2980560 4989265 )
NEW met2 ( 3135600 4978350 ) ( 3137520 4978350 0 )
NEW met2 ( 3135600 4978350 ) ( 3135600 4978535 )
NEW met1 ( 3129840 4978535 ) ( 3135600 4978535 )
NEW met2 ( 3129840 4978535 ) ( 3129840 4997590 )
NEW met2 ( 3129360 4997590 ) ( 3129840 4997590 )
NEW met2 ( 3129360 4997590 ) ( 3129360 4998145 )
NEW met1 ( 3080880 4998145 ) ( 3129360 4998145 )
NEW met2 ( 3080880 4997035 ) ( 3080880 4998145 )
NEW met1 ( 2980560 4997035 ) ( 3080880 4997035 )
NEW met1 ( 2786640 4989265 ) M1M2_PR
NEW met2 ( 2786640 4989450 ) via2_FR
NEW met1 ( 2980560 4989265 ) M1M2_PR
NEW met1 ( 2980560 4997035 ) M1M2_PR
NEW met1 ( 3135600 4978535 ) M1M2_PR
NEW met1 ( 3129840 4978535 ) M1M2_PR
NEW met1 ( 3129360 4998145 ) M1M2_PR
NEW met1 ( 3080880 4998145 ) M1M2_PR
NEW met1 ( 3080880 4997035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[16\] ( padframe mprj_io_ib_mode_sel[16] ) ( gpio_control_in\[16\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 2626800 4979090 ) ( 2628720 4979090 0 )
NEW met2 ( 2626800 4978905 ) ( 2626800 4979090 )
NEW met1 ( 2619600 4978905 ) ( 2626800 4978905 )
NEW met2 ( 2619600 4978905 ) ( 2619600 4998330 )
NEW met3 ( 2584080 4998330 ) ( 2619600 4998330 )
NEW met2 ( 2584080 4998330 ) ( 2584080 4998515 )
NEW met2 ( 2531280 4998330 ) ( 2531280 4998515 )
NEW met3 ( 2528640 4998330 0 ) ( 2531280 4998330 )
NEW met1 ( 2531280 4998515 ) ( 2584080 4998515 )
NEW met1 ( 2626800 4978905 ) M1M2_PR
NEW met1 ( 2619600 4978905 ) M1M2_PR
NEW met2 ( 2619600 4998330 ) via2_FR
NEW met2 ( 2584080 4998330 ) via2_FR
NEW met1 ( 2584080 4998515 ) M1M2_PR
NEW met1 ( 2531280 4998515 ) M1M2_PR
NEW met2 ( 2531280 4998330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[17\] ( padframe mprj_io_ib_mode_sel[17] ) ( gpio_control_in\[17\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 2370480 4978350 ) ( 2371680 4978350 0 )
NEW met2 ( 2370480 4978350 ) ( 2370480 4978535 )
NEW met1 ( 2362800 4978535 ) ( 2370480 4978535 )
NEW met2 ( 2362800 4978535 ) ( 2362800 5004435 )
NEW met2 ( 2144880 5004250 ) ( 2144880 5004435 )
NEW met3 ( 2143680 5004250 0 ) ( 2144880 5004250 )
NEW met1 ( 2144880 5004435 ) ( 2362800 5004435 )
NEW met1 ( 2370480 4978535 ) M1M2_PR
NEW met1 ( 2362800 4978535 ) M1M2_PR
NEW met1 ( 2362800 5004435 ) M1M2_PR
NEW met1 ( 2144880 5004435 ) M1M2_PR
NEW met2 ( 2144880 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[18\] ( padframe mprj_io_ib_mode_sel[18] ) ( gpio_control_in\[18\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1807920 5004250 ) ( 1807920 5004435 )
NEW met3 ( 1806720 5004250 0 ) ( 1807920 5004250 )
NEW met2 ( 1925040 4979090 ) ( 1926480 4979090 0 )
NEW met2 ( 1925040 4978905 ) ( 1925040 4979090 )
NEW met1 ( 1918320 4978905 ) ( 1925040 4978905 )
NEW met2 ( 1918320 4978905 ) ( 1918320 5004435 )
NEW met1 ( 1807920 5004435 ) ( 1918320 5004435 )
NEW met1 ( 1807920 5004435 ) M1M2_PR
NEW met2 ( 1807920 5004250 ) via2_FR
NEW met1 ( 1925040 4978905 ) M1M2_PR
NEW met1 ( 1918320 4978905 ) M1M2_PR
NEW met1 ( 1918320 5004435 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[19\] ( padframe mprj_io_ib_mode_sel[19] ) ( gpio_control_in\[19\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1551600 5025710 ) ( 1551600 5025895 )
NEW met3 ( 1551600 5025710 ) ( 1554720 5025710 0 )
NEW met2 ( 1497840 4982790 ) ( 1497840 5025895 )
NEW met1 ( 1497840 5025895 ) ( 1551600 5025895 )
NEW met2 ( 1417680 4979090 0 ) ( 1419120 4979090 )
NEW met2 ( 1419120 4978905 ) ( 1419120 4979090 )
NEW met1 ( 1419120 4978905 ) ( 1419120 4979645 )
NEW met1 ( 1419120 4979645 ) ( 1497360 4979645 )
NEW met2 ( 1497360 4979645 ) ( 1497360 4982790 )
NEW met2 ( 1497360 4982790 ) ( 1497840 4982790 )
NEW met1 ( 1551600 5025895 ) M1M2_PR
NEW met2 ( 1551600 5025710 ) via2_FR
NEW met1 ( 1497840 5025895 ) M1M2_PR
NEW met1 ( 1419120 4978905 ) M1M2_PR
NEW met1 ( 1497360 4979645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[1\] ( padframe mprj_io_ib_mode_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3377520 798460 0 ) ( 3377520 800125 )
NEW met1 ( 3375120 800125 ) ( 3377520 800125 )
NEW met2 ( 3375120 800125 ) ( 3375120 869870 )
NEW met3 ( 3374880 869870 ) ( 3375120 869870 )
NEW met3 ( 3374880 869870 ) ( 3374880 870610 0 )
NEW met1 ( 3377520 800125 ) M1M2_PR
NEW met1 ( 3375120 800125 ) M1M2_PR
NEW met2 ( 3375120 869870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[20\] ( padframe mprj_io_ib_mode_sel[20] ) ( gpio_control_in\[20\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1211760 4971690 ) ( 1211760 4973170 )
NEW met2 ( 1160880 4971690 ) ( 1160880 4977610 )
NEW met2 ( 1159680 4977610 0 ) ( 1160880 4977610 )
NEW met3 ( 1160880 4971690 ) ( 1211760 4971690 )
NEW met2 ( 1252560 4973170 ) ( 1252560 4979645 )
NEW met1 ( 1252560 4979645 ) ( 1294320 4979645 )
NEW met2 ( 1294320 4979645 ) ( 1294320 4979830 )
NEW met3 ( 1294320 4979830 ) ( 1296480 4979830 0 )
NEW met3 ( 1211760 4973170 ) ( 1252560 4973170 )
NEW met2 ( 1211760 4971690 ) via2_FR
NEW met2 ( 1211760 4973170 ) via2_FR
NEW met2 ( 1160880 4971690 ) via2_FR
NEW met2 ( 1252560 4973170 ) via2_FR
NEW met1 ( 1252560 4979645 ) M1M2_PR
NEW met1 ( 1294320 4979645 ) M1M2_PR
NEW met2 ( 1294320 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[21\] ( padframe mprj_io_ib_mode_sel[21] ) ( gpio_control_in\[21\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1008240 4968915 ) ( 1008240 4978165 )
NEW met1 ( 1008240 4978165 ) ( 1035120 4978165 )
NEW met2 ( 1035120 4978165 ) ( 1035120 4978350 )
NEW met2 ( 902640 4968915 ) ( 902640 4977610 0 )
NEW met1 ( 902640 4968915 ) ( 1008240 4968915 )
NEW met3 ( 1039680 4978350 ) ( 1039680 4979090 0 )
NEW met3 ( 1035120 4978350 ) ( 1039680 4978350 )
NEW met1 ( 1008240 4968915 ) M1M2_PR
NEW met1 ( 1008240 4978165 ) M1M2_PR
NEW met1 ( 1035120 4978165 ) M1M2_PR
NEW met2 ( 1035120 4978350 ) via2_FR
NEW met1 ( 902640 4968915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[22\] ( padframe mprj_io_ib_mode_sel[22] ) ( gpio_control_in\[22\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 758640 4968915 ) ( 758640 4978535 )
NEW met1 ( 758640 4978535 ) ( 781680 4978535 )
NEW met2 ( 781680 4978535 ) ( 781680 4979090 )
NEW met3 ( 781680 4979090 ) ( 782880 4979090 0 )
NEW met2 ( 646800 4968915 ) ( 646800 4977610 )
NEW met2 ( 645600 4977610 0 ) ( 646800 4977610 )
NEW met1 ( 646800 4968915 ) ( 758640 4968915 )
NEW met1 ( 758640 4968915 ) M1M2_PR
NEW met1 ( 758640 4978535 ) M1M2_PR
NEW met1 ( 781680 4978535 ) M1M2_PR
NEW met2 ( 781680 4979090 ) via2_FR
NEW met1 ( 646800 4968915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[23\] ( padframe mprj_io_ib_mode_sel[23] ) ( gpio_control_in\[23\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 388560 4968915 ) ( 388560 4977610 0 )
NEW met1 ( 503760 5011465 ) ( 522480 5011465 )
NEW met2 ( 522480 5011465 ) ( 522480 5011650 )
NEW met3 ( 522480 5011650 ) ( 525600 5011650 0 )
NEW met1 ( 388560 4968915 ) ( 503760 4968915 )
NEW met2 ( 503760 4968915 ) ( 503760 5011465 )
NEW met1 ( 388560 4968915 ) M1M2_PR
NEW met1 ( 503760 5011465 ) M1M2_PR
NEW met1 ( 522480 5011465 ) M1M2_PR
NEW met2 ( 522480 5011650 ) via2_FR
NEW met1 ( 503760 4968915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[24\] ( padframe mprj_io_ib_mode_sel[24] ) ( gpio_control_in\[24\] pad_gpio_ib_mode_sel )
+ ROUTED met1 ( 210480 4776145 ) ( 214320 4776145 )
NEW met2 ( 210480 4776145 ) ( 210480 4778550 0 )
NEW met3 ( 211680 4695670 0 ) ( 214320 4695670 )
NEW met2 ( 214320 4695670 ) ( 214320 4776145 )
NEW met1 ( 214320 4776145 ) M1M2_PR
NEW met1 ( 210480 4776145 ) M1M2_PR
NEW met2 ( 214320 4695670 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[25\] ( padframe mprj_io_ib_mode_sel[25] ) ( gpio_control_in\[25\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 207840 3959370 ) ( 214320 3959370 )
NEW met2 ( 214320 3932175 ) ( 214320 3959370 )
NEW met1 ( 210960 3932175 ) ( 214320 3932175 )
NEW met2 ( 210960 3929605 0 ) ( 210960 3932175 )
NEW met3 ( 186960 4011170 ) ( 207840 4011170 )
NEW met4 ( 207840 3959370 ) ( 207840 4011170 )
NEW met3 ( 186720 4063710 ) ( 186960 4063710 )
NEW met3 ( 186720 4063710 ) ( 186720 4066670 0 )
NEW met2 ( 186960 4011170 ) ( 186960 4063710 )
NEW met3 ( 207840 3959370 ) M3M4_PR_M
NEW met2 ( 214320 3959370 ) via2_FR
NEW met1 ( 214320 3932175 ) M1M2_PR
NEW met1 ( 210960 3932175 ) M1M2_PR
NEW met2 ( 186960 4011170 ) via2_FR
NEW met3 ( 207840 4011170 ) M3M4_PR_M
NEW met2 ( 186960 4063710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[26\] ( padframe mprj_io_ib_mode_sel[26] ) ( gpio_control_in\[26\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 186960 3793610 ) ( 204000 3793610 )
NEW met2 ( 186960 3793610 ) ( 186960 3847630 )
NEW met3 ( 186720 3847630 ) ( 186960 3847630 )
NEW met3 ( 186720 3847630 ) ( 186720 3850590 0 )
NEW met3 ( 204000 3735890 ) ( 215280 3735890 )
NEW met2 ( 215280 3715355 ) ( 215280 3735890 )
NEW met1 ( 210480 3715355 ) ( 215280 3715355 )
NEW met2 ( 210480 3713690 0 ) ( 210480 3715355 )
NEW met4 ( 204000 3735890 ) ( 204000 3793610 )
NEW met3 ( 204000 3793610 ) M3M4_PR_M
NEW met2 ( 186960 3793610 ) via2_FR
NEW met2 ( 186960 3847630 ) via2_FR
NEW met3 ( 204000 3735890 ) M3M4_PR_M
NEW met2 ( 215280 3735890 ) via2_FR
NEW met1 ( 215280 3715355 ) M1M2_PR
NEW met1 ( 210480 3715355 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[27\] ( padframe mprj_io_ib_mode_sel[27] ) ( gpio_control_in\[27\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 3631550 ) ( 205920 3634510 0 )
NEW met3 ( 205920 3527210 ) ( 212400 3527210 )
NEW met2 ( 212400 3500385 ) ( 212400 3527210 )
NEW met1 ( 210960 3500385 ) ( 212400 3500385 )
NEW met2 ( 210960 3497610 0 ) ( 210960 3500385 )
NEW met4 ( 205920 3527210 ) ( 205920 3631550 )
NEW met3 ( 205920 3631550 ) M3M4_PR_M
NEW met3 ( 205920 3527210 ) M3M4_PR_M
NEW met2 ( 212400 3527210 ) via2_FR
NEW met1 ( 212400 3500385 ) M1M2_PR
NEW met1 ( 210960 3500385 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[28\] ( padframe mprj_io_ib_mode_sel[28] ) ( gpio_control_in\[28\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 186960 3362930 ) ( 203040 3362930 )
NEW met2 ( 186960 3362930 ) ( 186960 3416210 )
NEW met3 ( 186720 3416210 ) ( 186960 3416210 )
NEW met3 ( 186720 3416210 ) ( 186720 3418800 0 )
NEW met3 ( 203040 3283010 ) ( 209040 3283010 )
NEW met2 ( 209040 3281530 0 ) ( 209040 3283010 )
NEW met4 ( 203040 3283010 ) ( 203040 3362930 )
NEW met3 ( 203040 3362930 ) M3M4_PR_M
NEW met2 ( 186960 3362930 ) via2_FR
NEW met2 ( 186960 3416210 ) via2_FR
NEW met3 ( 203040 3283010 ) M3M4_PR_M
NEW met2 ( 209040 3283010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[29\] ( padframe mprj_io_ib_mode_sel[29] ) ( gpio_control_in\[29\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 186720 3200130 ) ( 186960 3200130 )
NEW met3 ( 186720 3200130 ) ( 186720 3202720 0 )
NEW met3 ( 186960 3140930 ) ( 204000 3140930 )
NEW met4 ( 204000 3066930 ) ( 204000 3140930 )
NEW met3 ( 204000 3066930 ) ( 209040 3066930 )
NEW met2 ( 209040 3065605 0 ) ( 209040 3066930 )
NEW met2 ( 186960 3140930 ) ( 186960 3200130 )
NEW met2 ( 186960 3200130 ) via2_FR
NEW met2 ( 186960 3140930 ) via2_FR
NEW met3 ( 204000 3140930 ) M3M4_PR_M
NEW met3 ( 204000 3066930 ) M3M4_PR_M
NEW met2 ( 209040 3066930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[2\] ( padframe mprj_io_ib_mode_sel[2] ) ( gpio_control_in\[2\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3375840 1094830 ) ( 3376080 1094830 )
NEW met3 ( 3375840 1094830 ) ( 3375840 1095570 0 )
NEW met1 ( 3376080 1025825 ) ( 3377520 1025825 )
NEW met2 ( 3377520 1023420 0 ) ( 3377520 1025825 )
NEW met2 ( 3376080 1025825 ) ( 3376080 1094830 )
NEW met2 ( 3376080 1094830 ) via2_FR
NEW met1 ( 3376080 1025825 ) M1M2_PR
NEW met1 ( 3377520 1025825 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[30\] ( padframe mprj_io_ib_mode_sel[30] ) ( gpio_control_in\[30\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204000 2984050 ) ( 204000 2987010 0 )
NEW met3 ( 204000 2870090 ) ( 215760 2870090 )
NEW met2 ( 215760 2851775 ) ( 215760 2870090 )
NEW met1 ( 210960 2851775 ) ( 215760 2851775 )
NEW met2 ( 210960 2851590 ) ( 210960 2851775 )
NEW met2 ( 210480 2851590 ) ( 210960 2851590 )
NEW met4 ( 204000 2870090 ) ( 204000 2984050 )
NEW met2 ( 210480 2849740 0 ) ( 210480 2851590 )
NEW met3 ( 204000 2984050 ) M3M4_PR_M
NEW met3 ( 204000 2870090 ) M3M4_PR_M
NEW met2 ( 215760 2870090 ) via2_FR
NEW met1 ( 215760 2851775 ) M1M2_PR
NEW met1 ( 210960 2851775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[31\] ( padframe mprj_io_ib_mode_sel[31] ) ( gpio_control_in\[31\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204960 2767970 ) ( 204960 2770930 0 )
NEW met2 ( 210480 2633660 0 ) ( 210480 2636065 )
NEW met1 ( 210480 2636065 ) ( 214800 2636065 )
NEW met3 ( 204960 2650310 ) ( 214800 2650310 )
NEW met4 ( 204960 2650310 ) ( 204960 2767970 )
NEW met2 ( 214800 2636065 ) ( 214800 2650310 )
NEW met3 ( 204960 2767970 ) M3M4_PR_M
NEW met1 ( 210480 2636065 ) M1M2_PR
NEW met1 ( 214800 2636065 ) M1M2_PR
NEW met3 ( 204960 2650310 ) M3M4_PR_M
NEW met2 ( 214800 2650310 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[32\] ( padframe mprj_io_ib_mode_sel[32] ) ( gpio_control_in\[32\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1997630 ) ( 209040 1997630 )
NEW met2 ( 209040 1995605 0 ) ( 209040 1997630 )
NEW met3 ( 205920 2131570 ) ( 205920 2132680 0 )
NEW met4 ( 205920 1997630 ) ( 205920 2131570 )
NEW met3 ( 205920 1997630 ) M3M4_PR_M
NEW met2 ( 209040 1997630 ) via2_FR
NEW met3 ( 205920 2131570 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[33\] ( padframe mprj_io_ib_mode_sel[33] ) ( gpio_control_in\[33\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1782290 ) ( 209040 1782290 )
NEW met2 ( 209040 1779700 0 ) ( 209040 1782290 )
NEW met3 ( 205920 1915490 ) ( 205920 1916970 0 )
NEW met4 ( 205920 1782290 ) ( 205920 1915490 )
NEW met3 ( 205920 1782290 ) M3M4_PR_M
NEW met2 ( 209040 1782290 ) via2_FR
NEW met3 ( 205920 1915490 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[34\] ( padframe mprj_io_ib_mode_sel[34] ) ( gpio_control_in\[34\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 186960 1682390 ) ( 207840 1682390 )
NEW met3 ( 207840 1566210 ) ( 209040 1566210 )
NEW met2 ( 209040 1563620 0 ) ( 209040 1566210 )
NEW met4 ( 207840 1566210 ) ( 207840 1682390 )
NEW met3 ( 186720 1699410 ) ( 186960 1699410 )
NEW met3 ( 186720 1699410 ) ( 186720 1700890 0 )
NEW met2 ( 186960 1682390 ) ( 186960 1699410 )
NEW met2 ( 186960 1682390 ) via2_FR
NEW met3 ( 207840 1682390 ) M3M4_PR_M
NEW met3 ( 207840 1566210 ) M3M4_PR_M
NEW met2 ( 209040 1566210 ) via2_FR
NEW met2 ( 186960 1699410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[35\] ( padframe mprj_io_ib_mode_sel[35] ) ( gpio_control_in\[35\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 186960 1461870 ) ( 206880 1461870 )
NEW met3 ( 186720 1483330 ) ( 186960 1483330 )
NEW met3 ( 186720 1483330 ) ( 186720 1484810 0 )
NEW met2 ( 186960 1461870 ) ( 186960 1483330 )
NEW met3 ( 206880 1350130 ) ( 209040 1350130 )
NEW met2 ( 209040 1347540 0 ) ( 209040 1350130 )
NEW met4 ( 206880 1350130 ) ( 206880 1461870 )
NEW met2 ( 186960 1461870 ) via2_FR
NEW met3 ( 206880 1461870 ) M3M4_PR_M
NEW met2 ( 186960 1483330 ) via2_FR
NEW met3 ( 206880 1350130 ) M3M4_PR_M
NEW met2 ( 209040 1350130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[36\] ( padframe mprj_io_ib_mode_sel[36] ) ( gpio_control_in\[36\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204000 1133310 ) ( 209040 1133310 )
NEW met2 ( 209040 1131605 0 ) ( 209040 1133310 )
NEW met3 ( 204000 1267250 ) ( 204000 1268730 0 )
NEW met4 ( 204000 1133310 ) ( 204000 1267250 )
NEW met3 ( 204000 1133310 ) M3M4_PR_M
NEW met2 ( 209040 1133310 ) via2_FR
NEW met3 ( 204000 1267250 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[37\] ( padframe mprj_io_ib_mode_sel[37] ) ( gpio_control_in\[37\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204000 917970 ) ( 209040 917970 )
NEW met2 ( 209040 915605 0 ) ( 209040 917970 )
NEW met3 ( 204000 1051910 ) ( 204000 1052650 0 )
NEW met4 ( 204000 917970 ) ( 204000 1051910 )
NEW met3 ( 204000 917970 ) M3M4_PR_M
NEW met2 ( 209040 917970 ) via2_FR
NEW met3 ( 204000 1051910 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[3\] ( padframe mprj_io_ib_mode_sel[3] ) ( gpio_control_in\[3\] pad_gpio_ib_mode_sel )
+ ROUTED met1 ( 3373200 1251895 ) ( 3377520 1251895 )
NEW met2 ( 3377520 1249490 0 ) ( 3377520 1251895 )
NEW met3 ( 3373200 1320530 ) ( 3373920 1320530 )
NEW met3 ( 3373920 1320530 ) ( 3373920 1322010 0 )
NEW met2 ( 3373200 1251895 ) ( 3373200 1320530 )
NEW met1 ( 3373200 1251895 ) M1M2_PR
NEW met1 ( 3377520 1251895 ) M1M2_PR
NEW met2 ( 3373200 1320530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[4\] ( padframe mprj_io_ib_mode_sel[4] ) ( gpio_control_in\[4\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3374640 1474450 ) ( 3377040 1474450 0 )
NEW met3 ( 3374640 1545490 ) ( 3374880 1545490 )
NEW met3 ( 3374880 1545490 ) ( 3374880 1546970 0 )
NEW met2 ( 3374640 1474450 ) ( 3374640 1545490 )
NEW met2 ( 3374640 1545490 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[5\] ( padframe mprj_io_ib_mode_sel[5] ) ( gpio_control_in\[5\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3376560 1699410 ) ( 3377040 1699410 0 )
NEW met2 ( 3376560 1699410 ) ( 3376560 1770450 )
NEW met3 ( 3376560 1770450 ) ( 3376800 1770450 )
NEW met3 ( 3376800 1770450 ) ( 3376800 1771930 0 )
NEW met2 ( 3376560 1770450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[6\] ( padframe mprj_io_ib_mode_sel[6] ) ( gpio_control_in\[6\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3375840 1996890 ) ( 3376080 1996890 )
NEW met3 ( 3375840 1996890 ) ( 3375840 1997630 0 )
NEW met1 ( 3376080 1927145 ) ( 3377520 1927145 )
NEW met2 ( 3377520 1925480 0 ) ( 3377520 1927145 )
NEW met2 ( 3376080 1927145 ) ( 3376080 1996890 )
NEW met2 ( 3376080 1996890 ) via2_FR
NEW met1 ( 3376080 1927145 ) M1M2_PR
NEW met1 ( 3377520 1927145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[7\] ( padframe mprj_io_ib_mode_sel[7] ) ( gpio_control_in\[7\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3371040 2438670 ) ( 3373920 2438670 0 )
NEW met3 ( 3371040 2810150 ) ( 3377520 2810150 )
NEW met2 ( 3377520 2810150 ) ( 3377520 2811260 0 )
NEW met4 ( 3371040 2438670 ) ( 3371040 2810150 )
NEW met3 ( 3371040 2438670 ) M3M4_PR_M
NEW met3 ( 3371040 2810150 ) M3M4_PR_M
NEW met2 ( 3377520 2810150 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[8\] ( padframe mprj_io_ib_mode_sel[8] ) ( gpio_control_in\[8\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3372000 3035850 ) ( 3377520 3035850 )
NEW met2 ( 3377520 3035850 ) ( 3377520 3037330 0 )
NEW met3 ( 3372000 2658450 ) ( 3373920 2658450 0 )
NEW met4 ( 3372000 2658450 ) ( 3372000 3035850 )
NEW met3 ( 3372000 3035850 ) M3M4_PR_M
NEW met2 ( 3377520 3035850 ) via2_FR
NEW met3 ( 3372000 2658450 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[9\] ( padframe mprj_io_ib_mode_sel[9] ) ( gpio_control_in\[9\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3372960 2886370 ) ( 3373920 2886370 )
NEW met3 ( 3373920 2883780 0 ) ( 3373920 2886370 )
NEW met3 ( 3372960 3260810 ) ( 3377520 3260810 )
NEW met2 ( 3377520 3260810 ) ( 3377520 3262290 0 )
NEW met4 ( 3372960 2886370 ) ( 3372960 3260810 )
NEW met3 ( 3372960 2886370 ) M3M4_PR_M
NEW met3 ( 3372960 3260810 ) M3M4_PR_M
NEW met2 ( 3377520 3260810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[0\] ( padframe mprj_io_in[0] ) ( gpio_control_bidir\[0\] pad_gpio_in )
+ ROUTED met3 ( 3383520 590150 ) ( 3385680 590150 )
NEW met2 ( 3385680 590150 ) ( 3385680 647870 )
NEW met3 ( 3385440 647870 ) ( 3385680 647870 )
NEW met3 ( 3385440 647870 ) ( 3385440 648610 0 )
NEW met2 ( 3378960 504680 0 ) ( 3378960 507270 )
NEW met3 ( 3378960 507270 ) ( 3383520 507270 )
NEW met4 ( 3383520 507270 ) ( 3383520 590150 )
NEW met3 ( 3383520 590150 ) M3M4_PR_M
NEW met2 ( 3385680 590150 ) via2_FR
NEW met2 ( 3385680 647870 ) via2_FR
NEW met2 ( 3378960 507270 ) via2_FR
NEW met3 ( 3383520 507270 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[10\] ( padframe mprj_io_in[10] ) ( gpio_control_in\[10\] pad_gpio_in )
+ ROUTED met1 ( 3370320 3418245 ) ( 3377520 3418245 )
NEW met2 ( 3377520 3418245 ) ( 3377520 3420650 0 )
NEW met3 ( 3371760 3113550 ) ( 3373920 3113550 0 )
NEW met1 ( 3370320 3326115 ) ( 3371760 3326115 )
NEW met2 ( 3370320 3326115 ) ( 3370320 3418245 )
NEW met2 ( 3371760 3113550 ) ( 3371760 3326115 )
NEW met1 ( 3370320 3418245 ) M1M2_PR
NEW met1 ( 3377520 3418245 ) M1M2_PR
NEW met2 ( 3371760 3113550 ) via2_FR
NEW met1 ( 3370320 3326115 ) M1M2_PR
NEW met1 ( 3371760 3326115 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[11\] ( padframe mprj_io_in[11] ) ( gpio_control_in\[11\] pad_gpio_in )
+ ROUTED met1 ( 3371280 3643205 ) ( 3377520 3643205 )
NEW met2 ( 3377520 3643205 ) ( 3377520 3645775 0 )
NEW met3 ( 3371280 3338880 ) ( 3373920 3338880 0 )
NEW met2 ( 3371280 3338880 ) ( 3371280 3643205 )
NEW met1 ( 3371280 3643205 ) M1M2_PR
NEW met1 ( 3377520 3643205 ) M1M2_PR
NEW met2 ( 3371280 3338880 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[12\] ( padframe mprj_io_in[12] ) ( gpio_control_in\[12\] pad_gpio_in )
+ ROUTED met3 ( 3375840 3868350 ) ( 3377520 3868350 )
NEW met2 ( 3377520 3868350 ) ( 3377520 3870775 0 )
NEW met3 ( 3375840 3564950 0 ) ( 3375840 3567910 )
NEW met4 ( 3375840 3567910 ) ( 3375840 3868350 )
NEW met3 ( 3375840 3868350 ) M3M4_PR_M
NEW met2 ( 3377520 3868350 ) via2_FR
NEW met3 ( 3375840 3567910 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[13\] ( padframe mprj_io_in[13] ) ( gpio_control_in\[13\] pad_gpio_in )
+ ROUTED met3 ( 3376800 4314570 ) ( 3377040 4314570 )
NEW met2 ( 3377040 4314570 ) ( 3377040 4316790 0 )
NEW met3 ( 3376800 3789910 0 ) ( 3376800 3792870 )
NEW met4 ( 3376800 3792870 ) ( 3376800 4314570 )
NEW met3 ( 3376800 4314570 ) M3M4_PR_M
NEW met2 ( 3377040 4314570 ) via2_FR
NEW met3 ( 3376800 3792870 ) M3M4_PR_M
NEW met3 ( 3376800 4314570 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_in\[14\] ( padframe mprj_io_in[14] ) ( gpio_control_in\[14\] pad_gpio_in )
+ ROUTED met1 ( 3370320 4695115 ) ( 3384240 4695115 )
NEW met2 ( 3384240 4683090 ) ( 3384240 4695115 )
NEW met3 ( 3384240 4683090 ) ( 3384480 4683090 )
NEW met3 ( 3384480 4681610 0 ) ( 3384480 4683090 )
NEW met1 ( 3370320 4760235 ) ( 3377520 4760235 )
NEW met2 ( 3377520 4760235 ) ( 3377520 4762640 0 )
NEW met2 ( 3370320 4695115 ) ( 3370320 4760235 )
NEW met1 ( 3370320 4695115 ) M1M2_PR
NEW met1 ( 3384240 4695115 ) M1M2_PR
NEW met2 ( 3384240 4683090 ) via2_FR
NEW met1 ( 3370320 4760235 ) M1M2_PR
NEW met1 ( 3377520 4760235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[15\] ( padframe mprj_io_in[15] ) ( gpio_control_in\[15\] pad_gpio_in )
+ ROUTED met2 ( 2790960 4969285 ) ( 2790960 4979090 )
NEW met3 ( 2789760 4979090 0 ) ( 2790960 4979090 )
NEW met2 ( 3205200 4969285 ) ( 3205200 4977610 0 )
NEW met1 ( 2790960 4969285 ) ( 3205200 4969285 )
NEW met1 ( 2790960 4969285 ) M1M2_PR
NEW met2 ( 2790960 4979090 ) via2_FR
NEW met1 ( 3205200 4969285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[16\] ( padframe mprj_io_in[16] ) ( gpio_control_in\[16\] pad_gpio_in )
+ ROUTED met2 ( 2533200 4968545 ) ( 2533200 4976130 )
NEW met3 ( 2532960 4976130 ) ( 2533200 4976130 )
NEW met3 ( 2532960 4976130 ) ( 2532960 4979090 0 )
NEW met2 ( 2694960 4968545 ) ( 2694960 4977610 )
NEW met2 ( 2694960 4977610 ) ( 2696160 4977610 0 )
NEW met1 ( 2533200 4968545 ) ( 2694960 4968545 )
NEW met1 ( 2533200 4968545 ) M1M2_PR
NEW met2 ( 2533200 4976130 ) via2_FR
NEW met1 ( 2694960 4968545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[17\] ( padframe mprj_io_in[17] ) ( gpio_control_in\[17\] pad_gpio_in )
+ ROUTED met2 ( 2148720 4968175 ) ( 2148720 4979830 )
NEW met3 ( 2148000 4979830 0 ) ( 2148720 4979830 )
NEW met2 ( 2439120 4968175 ) ( 2439120 4977610 0 )
NEW met1 ( 2148720 4968175 ) ( 2439120 4968175 )
NEW met1 ( 2148720 4968175 ) M1M2_PR
NEW met2 ( 2148720 4979830 ) via2_FR
NEW met1 ( 2439120 4968175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[18\] ( padframe mprj_io_in[18] ) ( gpio_control_in\[18\] pad_gpio_in )
+ ROUTED met2 ( 1811760 4968175 ) ( 1811760 4979830 )
NEW met3 ( 1811040 4979830 0 ) ( 1811760 4979830 )
NEW met2 ( 1994160 4968175 ) ( 1994160 4977610 0 )
NEW met1 ( 1811760 4968175 ) ( 1994160 4968175 )
NEW met1 ( 1811760 4968175 ) M1M2_PR
NEW met2 ( 1811760 4979830 ) via2_FR
NEW met1 ( 1994160 4968175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[19\] ( padframe mprj_io_in[19] ) ( gpio_control_in\[19\] pad_gpio_in )
+ ROUTED met2 ( 1557360 4996850 ) ( 1557360 4997035 )
NEW met3 ( 1557360 4996850 ) ( 1558560 4996850 0 )
NEW met2 ( 1485360 4978350 0 ) ( 1486800 4978350 )
NEW met2 ( 1486800 4978165 ) ( 1486800 4978350 )
NEW met1 ( 1486800 4978165 ) ( 1490640 4978165 )
NEW met2 ( 1490640 4978165 ) ( 1490640 4997035 )
NEW met1 ( 1490640 4997035 ) ( 1557360 4997035 )
NEW met1 ( 1557360 4997035 ) M1M2_PR
NEW met2 ( 1557360 4996850 ) via2_FR
NEW met1 ( 1486800 4978165 ) M1M2_PR
NEW met1 ( 1490640 4978165 ) M1M2_PR
NEW met1 ( 1490640 4997035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[1\] ( padframe mprj_io_in[1] ) ( gpio_control_bidir\[1\] pad_gpio_in )
+ ROUTED met3 ( 3383520 820290 ) ( 3386160 820290 )
NEW met2 ( 3386160 820290 ) ( 3386160 873570 )
NEW met3 ( 3386160 873570 ) ( 3386400 873570 )
NEW met3 ( 3386400 873570 ) ( 3386400 875050 0 )
NEW met2 ( 3378960 730750 0 ) ( 3378960 732970 )
NEW met3 ( 3378960 732970 ) ( 3383520 732970 )
NEW met4 ( 3383520 732970 ) ( 3383520 820290 )
NEW met3 ( 3383520 820290 ) M3M4_PR_M
NEW met2 ( 3386160 820290 ) via2_FR
NEW met2 ( 3386160 873570 ) via2_FR
NEW met2 ( 3378960 732970 ) via2_FR
NEW met3 ( 3383520 732970 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[20\] ( padframe mprj_io_in[20] ) ( gpio_control_in\[20\] pad_gpio_in )
+ ROUTED met2 ( 1227120 4978350 0 ) ( 1228560 4978350 )
NEW met2 ( 1228560 4978350 ) ( 1228560 4978535 )
NEW met1 ( 1228560 4978535 ) ( 1232880 4978535 )
NEW met2 ( 1232880 4978535 ) ( 1232880 4997035 )
NEW met2 ( 1297680 4996850 ) ( 1297680 4997035 )
NEW met3 ( 1297680 4996850 ) ( 1300800 4996850 0 )
NEW met1 ( 1232880 4997035 ) ( 1297680 4997035 )
NEW met1 ( 1228560 4978535 ) M1M2_PR
NEW met1 ( 1232880 4978535 ) M1M2_PR
NEW met1 ( 1232880 4997035 ) M1M2_PR
NEW met1 ( 1297680 4997035 ) M1M2_PR
NEW met2 ( 1297680 4996850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[21\] ( padframe mprj_io_in[21] ) ( gpio_control_in\[21\] pad_gpio_in )
+ ROUTED met2 ( 970320 4978350 0 ) ( 972240 4978350 )
NEW met2 ( 972240 4978165 ) ( 972240 4978350 )
NEW met1 ( 972240 4978165 ) ( 976560 4978165 )
NEW met2 ( 976560 4978165 ) ( 976560 5005175 )
NEW met2 ( 1041840 5004990 ) ( 1041840 5005175 )
NEW met3 ( 1041840 5004990 ) ( 1044000 5004990 0 )
NEW met1 ( 976560 5005175 ) ( 1041840 5005175 )
NEW met1 ( 972240 4978165 ) M1M2_PR
NEW met1 ( 976560 4978165 ) M1M2_PR
NEW met1 ( 976560 5005175 ) M1M2_PR
NEW met1 ( 1041840 5005175 ) M1M2_PR
NEW met2 ( 1041840 5004990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[22\] ( padframe mprj_io_in[22] ) ( gpio_control_in\[22\] pad_gpio_in )
+ ROUTED met2 ( 784560 4996850 ) ( 784560 4997035 )
NEW met3 ( 784560 4996850 ) ( 786720 4996850 0 )
NEW met2 ( 713280 4979090 0 ) ( 714960 4979090 )
NEW met2 ( 714960 4978905 ) ( 714960 4979090 )
NEW met1 ( 714960 4978905 ) ( 718800 4978905 )
NEW met2 ( 718800 4978905 ) ( 718800 4997035 )
NEW met1 ( 718800 4997035 ) ( 784560 4997035 )
NEW met1 ( 784560 4997035 ) M1M2_PR
NEW met2 ( 784560 4996850 ) via2_FR
NEW met1 ( 714960 4978905 ) M1M2_PR
NEW met1 ( 718800 4978905 ) M1M2_PR
NEW met1 ( 718800 4997035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[23\] ( padframe mprj_io_in[23] ) ( gpio_control_in\[23\] pad_gpio_in )
+ ROUTED met2 ( 456240 4979090 0 ) ( 457680 4979090 )
NEW met2 ( 457680 4978905 ) ( 457680 4979090 )
NEW met1 ( 457680 4978905 ) ( 462480 4978905 )
NEW met2 ( 462480 4978905 ) ( 462480 5025895 )
NEW met2 ( 527280 5025710 ) ( 527280 5025895 )
NEW met3 ( 527280 5025710 ) ( 529920 5025710 0 )
NEW met1 ( 462480 5025895 ) ( 527280 5025895 )
NEW met1 ( 462480 5025895 ) M1M2_PR
NEW met1 ( 457680 4978905 ) M1M2_PR
NEW met1 ( 462480 4978905 ) M1M2_PR
NEW met1 ( 527280 5025895 ) M1M2_PR
NEW met2 ( 527280 5025710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[24\] ( padframe mprj_io_in[24] ) ( gpio_control_in\[24\] pad_gpio_in )
+ ROUTED met3 ( 204960 4843670 ) ( 209040 4843670 )
NEW met2 ( 209040 4843670 ) ( 209040 4846260 0 )
NEW met3 ( 204960 4700110 0 ) ( 204960 4703070 )
NEW met4 ( 204960 4703070 ) ( 204960 4843670 )
NEW met3 ( 204960 4843670 ) M3M4_PR_M
NEW met2 ( 209040 4843670 ) via2_FR
NEW met3 ( 204960 4703070 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[25\] ( padframe mprj_io_in[25] ) ( gpio_control_in\[25\] pad_gpio_in )
+ ROUTED met3 ( 209520 4068150 ) ( 209760 4068150 )
NEW met3 ( 209760 4068150 ) ( 209760 4071110 0 )
NEW met1 ( 209520 3999885 ) ( 209520 4000995 )
NEW met2 ( 209520 3997110 0 ) ( 209520 3999885 )
NEW met2 ( 209520 4000995 ) ( 209520 4068150 )
NEW met2 ( 209520 4068150 ) via2_FR
NEW met1 ( 209520 4000995 ) M1M2_PR
NEW met1 ( 209520 3999885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[26\] ( padframe mprj_io_in[26] ) ( gpio_control_in\[26\] pad_gpio_in )
+ ROUTED met2 ( 209520 3781225 0 ) ( 209520 3783805 )
NEW met1 ( 209520 3783805 ) ( 209520 3784915 )
NEW met2 ( 209520 3784915 ) ( 209520 3852070 )
NEW met3 ( 209520 3852070 ) ( 209760 3852070 )
NEW met3 ( 209760 3852070 ) ( 209760 3855030 0 )
NEW met1 ( 209520 3783805 ) M1M2_PR
NEW met1 ( 209520 3784915 ) M1M2_PR
NEW met2 ( 209520 3852070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[27\] ( padframe mprj_io_in[27] ) ( gpio_control_in\[27\] pad_gpio_in )
+ ROUTED met2 ( 209520 3565320 0 ) ( 209520 3567725 )
NEW met1 ( 209520 3567725 ) ( 209520 3569205 )
NEW met2 ( 209520 3569205 ) ( 209520 3635990 )
NEW met3 ( 209520 3635990 ) ( 209760 3635990 )
NEW met3 ( 209760 3635990 ) ( 209760 3638950 0 )
NEW met1 ( 209520 3567725 ) M1M2_PR
NEW met1 ( 209520 3569205 ) M1M2_PR
NEW met2 ( 209520 3635990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[28\] ( padframe mprj_io_in[28] ) ( gpio_control_in\[28\] pad_gpio_in )
+ ROUTED met2 ( 210000 3373290 ) ( 210480 3373290 )
NEW met2 ( 210000 3373290 ) ( 210000 3419910 )
NEW met3 ( 209760 3419910 ) ( 210000 3419910 )
NEW met3 ( 209760 3419910 ) ( 209760 3422870 0 )
NEW met3 ( 210480 3353310 ) ( 210720 3353310 )
NEW met3 ( 210720 3351830 ) ( 210720 3353310 )
NEW met3 ( 210720 3351830 ) ( 210960 3351830 )
NEW met2 ( 210960 3349240 0 ) ( 210960 3351830 )
NEW met2 ( 210480 3353310 ) ( 210480 3373290 )
NEW met2 ( 210000 3419910 ) via2_FR
NEW met2 ( 210480 3353310 ) via2_FR
NEW met2 ( 210960 3351830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[29\] ( padframe mprj_io_in[29] ) ( gpio_control_in\[29\] pad_gpio_in )
+ ROUTED met3 ( 209520 3203830 ) ( 209760 3203830 )
NEW met3 ( 209760 3203830 ) ( 209760 3206790 0 )
NEW met1 ( 209520 3135935 ) ( 209520 3137045 )
NEW met2 ( 209520 3133160 0 ) ( 209520 3135935 )
NEW met2 ( 209520 3137045 ) ( 209520 3203830 )
NEW met2 ( 209520 3203830 ) via2_FR
NEW met1 ( 209520 3137045 ) M1M2_PR
NEW met1 ( 209520 3135935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[2\] ( padframe mprj_io_in[2] ) ( gpio_control_in\[2\] pad_gpio_in )
+ ROUTED met3 ( 3381600 1037850 ) ( 3385680 1037850 )
NEW met3 ( 3385440 1098530 ) ( 3385680 1098530 )
NEW met3 ( 3385440 1098530 ) ( 3385440 1100010 0 )
NEW met2 ( 3385680 1037850 ) ( 3385680 1098530 )
NEW met2 ( 3378960 955710 0 ) ( 3378960 957930 )
NEW met3 ( 3378960 957930 ) ( 3381600 957930 )
NEW met4 ( 3381600 957930 ) ( 3381600 1037850 )
NEW met3 ( 3381600 1037850 ) M3M4_PR_M
NEW met2 ( 3385680 1037850 ) via2_FR
NEW met2 ( 3385680 1098530 ) via2_FR
NEW met2 ( 3378960 957930 ) via2_FR
NEW met3 ( 3381600 957930 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[30\] ( padframe mprj_io_in[30] ) ( gpio_control_in\[30\] pad_gpio_in )
+ ROUTED met3 ( 209760 2987750 ) ( 210000 2987750 )
NEW met3 ( 209760 2987750 ) ( 209760 2990710 0 )
NEW met1 ( 210000 2919855 ) ( 210000 2920965 )
NEW met2 ( 210000 2917225 0 ) ( 210000 2919855 )
NEW met2 ( 210000 2920965 ) ( 210000 2987750 )
NEW met2 ( 210000 2987750 ) via2_FR
NEW met1 ( 210000 2920965 ) M1M2_PR
NEW met1 ( 210000 2919855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[31\] ( padframe mprj_io_in[31] ) ( gpio_control_in\[31\] pad_gpio_in )
+ ROUTED met3 ( 209760 2771670 ) ( 210000 2771670 )
NEW met3 ( 209760 2771670 ) ( 209760 2774630 0 )
NEW met1 ( 210000 2703775 ) ( 210000 2704885 )
NEW met2 ( 210000 2701225 0 ) ( 210000 2703775 )
NEW met2 ( 210000 2704885 ) ( 210000 2771670 )
NEW met2 ( 210000 2771670 ) via2_FR
NEW met1 ( 210000 2704885 ) M1M2_PR
NEW met1 ( 210000 2703775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[32\] ( padframe mprj_io_in[32] ) ( gpio_control_in\[32\] pad_gpio_in )
+ ROUTED met2 ( 209040 2063120 0 ) ( 209040 2065895 )
NEW met1 ( 209040 2065895 ) ( 209040 2067005 )
NEW met2 ( 209040 2067005 ) ( 209040 2134530 )
NEW met3 ( 208800 2134530 ) ( 209040 2134530 )
NEW met3 ( 208800 2134530 ) ( 208800 2136750 0 )
NEW met1 ( 209040 2065895 ) M1M2_PR
NEW met1 ( 209040 2067005 ) M1M2_PR
NEW met2 ( 209040 2134530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[33\] ( padframe mprj_io_in[33] ) ( gpio_control_in\[33\] pad_gpio_in )
+ ROUTED met2 ( 210960 1847225 0 ) ( 210960 1849815 )
NEW met1 ( 210960 1849815 ) ( 211920 1849815 )
NEW met2 ( 211920 1849815 ) ( 211920 1917710 )
NEW met3 ( 211680 1917710 ) ( 211920 1917710 )
NEW met3 ( 211680 1917710 ) ( 211680 1920670 0 )
NEW met1 ( 210960 1849815 ) M1M2_PR
NEW met1 ( 211920 1849815 ) M1M2_PR
NEW met2 ( 211920 1917710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[34\] ( padframe mprj_io_in[34] ) ( gpio_control_in\[34\] pad_gpio_in )
+ ROUTED met1 ( 209040 1633735 ) ( 209040 1635215 )
NEW met2 ( 209040 1631330 0 ) ( 209040 1633735 )
NEW met3 ( 208800 1701630 ) ( 209040 1701630 )
NEW met3 ( 208800 1701630 ) ( 208800 1704590 0 )
NEW met2 ( 209040 1635215 ) ( 209040 1701630 )
NEW met1 ( 209040 1635215 ) M1M2_PR
NEW met1 ( 209040 1633735 ) M1M2_PR
NEW met2 ( 209040 1701630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[35\] ( padframe mprj_io_in[35] ) ( gpio_control_in\[35\] pad_gpio_in )
+ ROUTED met1 ( 209040 1449105 ) ( 211440 1449105 )
NEW met3 ( 211440 1486290 ) ( 211680 1486290 )
NEW met3 ( 211680 1486290 ) ( 211680 1488880 0 )
NEW met2 ( 211440 1449105 ) ( 211440 1486290 )
NEW met1 ( 209040 1417655 ) ( 209040 1419135 )
NEW met2 ( 209040 1415250 0 ) ( 209040 1417655 )
NEW met2 ( 209040 1419135 ) ( 209040 1449105 )
NEW met1 ( 209040 1449105 ) M1M2_PR
NEW met1 ( 211440 1449105 ) M1M2_PR
NEW met2 ( 211440 1486290 ) via2_FR
NEW met1 ( 209040 1419135 ) M1M2_PR
NEW met1 ( 209040 1417655 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[36\] ( padframe mprj_io_in[36] ) ( gpio_control_in\[36\] pad_gpio_in )
+ ROUTED met1 ( 209040 1201945 ) ( 209040 1203055 )
NEW met2 ( 209040 1199170 0 ) ( 209040 1201945 )
NEW met3 ( 208800 1271690 ) ( 209040 1271690 )
NEW met3 ( 208800 1271690 ) ( 208800 1272800 0 )
NEW met2 ( 209040 1203055 ) ( 209040 1271690 )
NEW met1 ( 209040 1203055 ) M1M2_PR
NEW met1 ( 209040 1201945 ) M1M2_PR
NEW met2 ( 209040 1271690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[37\] ( padframe mprj_io_in[37] ) ( gpio_control_in\[37\] pad_gpio_in )
+ ROUTED met3 ( 201120 1054130 ) ( 201360 1054130 )
NEW met3 ( 201120 1054130 ) ( 201120 1057090 0 )
NEW met2 ( 201360 1007695 ) ( 201360 1054130 )
NEW met2 ( 209040 986975 ) ( 209040 1007695 )
NEW met1 ( 209040 985865 ) ( 209040 986975 )
NEW met2 ( 209040 983090 0 ) ( 209040 985865 )
NEW met1 ( 201360 1007695 ) ( 209040 1007695 )
NEW met1 ( 201360 1007695 ) M1M2_PR
NEW met2 ( 201360 1054130 ) via2_FR
NEW met1 ( 209040 1007695 ) M1M2_PR
NEW met1 ( 209040 986975 ) M1M2_PR
NEW met1 ( 209040 985865 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[3\] ( padframe mprj_io_in[3] ) ( gpio_control_in\[3\] pad_gpio_in )
+ ROUTED met2 ( 3378960 1181780 0 ) ( 3378960 1184370 )
NEW met3 ( 3378720 1184370 ) ( 3378960 1184370 )
NEW met4 ( 3378720 1184370 ) ( 3378720 1267250 )
NEW met3 ( 3378720 1267250 ) ( 3390960 1267250 )
NEW met3 ( 3390960 1324970 ) ( 3391200 1324970 )
NEW met3 ( 3391200 1324970 ) ( 3391200 1325710 0 )
NEW met2 ( 3390960 1267250 ) ( 3390960 1324970 )
NEW met2 ( 3378960 1184370 ) via2_FR
NEW met3 ( 3378720 1184370 ) M3M4_PR_M
NEW met3 ( 3378720 1267250 ) M3M4_PR_M
NEW met2 ( 3390960 1267250 ) via2_FR
NEW met2 ( 3390960 1324970 ) via2_FR
NEW met3 ( 3378960 1184370 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_in\[4\] ( padframe mprj_io_in[4] ) ( gpio_control_in\[4\] pad_gpio_in )
+ ROUTED met2 ( 3378960 1406740 0 ) ( 3378960 1409330 )
NEW met3 ( 3378960 1409330 ) ( 3383520 1409330 )
NEW met3 ( 3383520 1496650 ) ( 3385680 1496650 )
NEW met2 ( 3385680 1496650 ) ( 3385680 1549930 )
NEW met3 ( 3385440 1549930 ) ( 3385680 1549930 )
NEW met3 ( 3385440 1549930 ) ( 3385440 1550670 0 )
NEW met4 ( 3383520 1409330 ) ( 3383520 1496650 )
NEW met2 ( 3378960 1409330 ) via2_FR
NEW met3 ( 3383520 1409330 ) M3M4_PR_M
NEW met3 ( 3383520 1496650 ) M3M4_PR_M
NEW met2 ( 3385680 1496650 ) via2_FR
NEW met2 ( 3385680 1549930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[5\] ( padframe mprj_io_in[5] ) ( gpio_control_in\[5\] pad_gpio_in )
+ ROUTED met2 ( 3378960 1631700 0 ) ( 3378960 1634290 )
NEW met3 ( 3378960 1634290 ) ( 3383520 1634290 )
NEW met3 ( 3383520 1726050 ) ( 3385200 1726050 )
NEW met2 ( 3385200 1726050 ) ( 3385200 1774890 )
NEW met3 ( 3385200 1774890 ) ( 3385440 1774890 )
NEW met3 ( 3385440 1774890 ) ( 3385440 1775630 0 )
NEW met4 ( 3383520 1634290 ) ( 3383520 1726050 )
NEW met2 ( 3378960 1634290 ) via2_FR
NEW met3 ( 3383520 1634290 ) M3M4_PR_M
NEW met3 ( 3383520 1726050 ) M3M4_PR_M
NEW met2 ( 3385200 1726050 ) via2_FR
NEW met2 ( 3385200 1774890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[6\] ( padframe mprj_io_in[6] ) ( gpio_control_in\[6\] pad_gpio_in )
+ ROUTED met3 ( 3372000 2002070 ) ( 3373920 2002070 0 )
NEW met3 ( 3372000 1859990 ) ( 3377040 1859990 )
NEW met2 ( 3377040 1857770 0 ) ( 3377040 1859990 )
NEW met4 ( 3372000 1859990 ) ( 3372000 2002070 )
NEW met3 ( 3372000 2002070 ) M3M4_PR_M
NEW met3 ( 3372000 1859990 ) M3M4_PR_M
NEW met2 ( 3377040 1859990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[7\] ( padframe mprj_io_in[7] ) ( gpio_control_in\[7\] pad_gpio_in )
+ ROUTED met3 ( 3373200 2443850 ) ( 3373920 2443850 )
NEW met3 ( 3373920 2443110 0 ) ( 3373920 2443850 )
NEW met2 ( 3372720 2696930 ) ( 3373200 2696930 )
NEW met2 ( 3372720 2696930 ) ( 3372720 2741145 )
NEW met1 ( 3372720 2741145 ) ( 3377520 2741145 )
NEW met2 ( 3377520 2741145 ) ( 3377520 2743775 0 )
NEW met2 ( 3373200 2443850 ) ( 3373200 2696930 )
NEW met2 ( 3373200 2443850 ) via2_FR
NEW met1 ( 3372720 2741145 ) M1M2_PR
NEW met1 ( 3377520 2741145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[8\] ( padframe mprj_io_in[8] ) ( gpio_control_in\[8\] pad_gpio_in )
+ ROUTED met2 ( 3378960 2967030 ) ( 3378960 2969775 0 )
NEW met3 ( 3378960 2967030 ) ( 3384480 2967030 )
NEW met3 ( 3383520 2662890 0 ) ( 3383520 2663630 )
NEW met4 ( 3382560 2905425 ) ( 3384480 2905425 )
NEW met4 ( 3384480 2905425 ) ( 3384480 2967030 )
NEW met3 ( 3382560 2749470 ) ( 3382560 2750950 )
NEW met3 ( 3382560 2749470 ) ( 3383520 2749470 )
NEW met4 ( 3383520 2663630 ) ( 3383520 2749470 )
NEW met4 ( 3382560 2750950 ) ( 3382560 2905425 )
NEW met2 ( 3378960 2967030 ) via2_FR
NEW met3 ( 3384480 2967030 ) M3M4_PR_M
NEW met3 ( 3383520 2663630 ) M3M4_PR_M
NEW met3 ( 3382560 2750950 ) M3M4_PR_M
NEW met3 ( 3383520 2749470 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[9\] ( padframe mprj_io_in[9] ) ( gpio_control_in\[9\] pad_gpio_in )
+ ROUTED met3 ( 3377520 3192730 ) ( 3377760 3192730 )
NEW met2 ( 3377520 3192730 ) ( 3377520 3194775 0 )
NEW met3 ( 3377760 2887850 0 ) ( 3377760 2890810 )
NEW met4 ( 3377760 2890810 ) ( 3377760 3192730 )
NEW met3 ( 3377760 3192730 ) M3M4_PR_M
NEW met2 ( 3377520 3192730 ) via2_FR
NEW met3 ( 3377760 2890810 ) M3M4_PR_M
NEW met3 ( 3377760 3192730 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[0\] ( padframe mprj_io_inp_dis[0] ) ( gpio_control_bidir\[0\] pad_gpio_inenb )
+ ROUTED met3 ( 3379680 601990 ) ( 3385200 601990 )
NEW met2 ( 3385200 601990 ) ( 3385200 651570 )
NEW met3 ( 3385200 651570 ) ( 3385440 651570 )
NEW met3 ( 3385440 651570 ) ( 3385440 653050 0 )
NEW met2 ( 3378960 538350 0 ) ( 3378960 540570 )
NEW met3 ( 3378960 540570 ) ( 3379680 540570 )
NEW met4 ( 3379680 540570 ) ( 3379680 601990 )
NEW met3 ( 3379680 601990 ) M3M4_PR_M
NEW met2 ( 3385200 601990 ) via2_FR
NEW met2 ( 3385200 651570 ) via2_FR
NEW met2 ( 3378960 540570 ) via2_FR
NEW met3 ( 3379680 540570 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[10\] ( padframe mprj_io_inp_dis[10] ) ( gpio_control_in\[10\] pad_gpio_inenb )
+ ROUTED met1 ( 3374160 3453395 ) ( 3377520 3453395 )
NEW met2 ( 3377520 3453395 ) ( 3377520 3454320 0 )
NEW met3 ( 3373200 3118730 ) ( 3373920 3118730 )
NEW met3 ( 3373920 3117990 0 ) ( 3373920 3118730 )
NEW met1 ( 3373200 3304655 ) ( 3375120 3304655 )
NEW met2 ( 3373200 3118730 ) ( 3373200 3304655 )
NEW met2 ( 3373680 3381430 ) ( 3374160 3381430 )
NEW met2 ( 3373680 3380135 ) ( 3373680 3381430 )
NEW met1 ( 3373680 3380135 ) ( 3375120 3380135 )
NEW met2 ( 3374160 3381430 ) ( 3374160 3453395 )
NEW met2 ( 3375120 3304655 ) ( 3375120 3380135 )
NEW met1 ( 3374160 3453395 ) M1M2_PR
NEW met1 ( 3377520 3453395 ) M1M2_PR
NEW met2 ( 3373200 3118730 ) via2_FR
NEW met1 ( 3373200 3304655 ) M1M2_PR
NEW met1 ( 3375120 3304655 ) M1M2_PR
NEW met1 ( 3373680 3380135 ) M1M2_PR
NEW met1 ( 3375120 3380135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[11\] ( padframe mprj_io_inp_dis[11] ) ( gpio_control_in\[11\] pad_gpio_inenb )
+ ROUTED met1 ( 3371760 3494835 ) ( 3378000 3494835 )
NEW met1 ( 3375600 3639505 ) ( 3378000 3639505 )
NEW met2 ( 3375600 3639505 ) ( 3375600 3677245 )
NEW met1 ( 3375600 3677245 ) ( 3377520 3677245 )
NEW met2 ( 3377520 3677245 ) ( 3377520 3679280 0 )
NEW met2 ( 3378000 3494835 ) ( 3378000 3639505 )
NEW met3 ( 3371760 3342950 ) ( 3373920 3342950 0 )
NEW met2 ( 3371760 3342950 ) ( 3371760 3494835 )
NEW met1 ( 3371760 3494835 ) M1M2_PR
NEW met1 ( 3378000 3494835 ) M1M2_PR
NEW met1 ( 3378000 3639505 ) M1M2_PR
NEW met1 ( 3375600 3639505 ) M1M2_PR
NEW met1 ( 3375600 3677245 ) M1M2_PR
NEW met1 ( 3377520 3677245 ) M1M2_PR
NEW met2 ( 3371760 3342950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[12\] ( padframe mprj_io_inp_dis[12] ) ( gpio_control_in\[12\] pad_gpio_inenb )
+ ROUTED met3 ( 3372000 3568650 ) ( 3373920 3568650 0 )
NEW met3 ( 3372000 3903130 ) ( 3377520 3903130 )
NEW met2 ( 3377520 3903130 ) ( 3377520 3904240 0 )
NEW met4 ( 3372000 3568650 ) ( 3372000 3903130 )
NEW met3 ( 3372000 3568650 ) M3M4_PR_M
NEW met3 ( 3372000 3903130 ) M3M4_PR_M
NEW met2 ( 3377520 3903130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[13\] ( padframe mprj_io_inp_dis[13] ) ( gpio_control_in\[13\] pad_gpio_inenb )
+ ROUTED met3 ( 3374880 4349350 ) ( 3377040 4349350 )
NEW met2 ( 3377040 4349350 ) ( 3377040 4350460 0 )
NEW met3 ( 3374880 3793610 0 ) ( 3374880 3795830 )
NEW met4 ( 3374880 3795830 ) ( 3374880 4349350 )
NEW met3 ( 3374880 4349350 ) M3M4_PR_M
NEW met2 ( 3377040 4349350 ) via2_FR
NEW met3 ( 3374880 3795830 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[14\] ( padframe mprj_io_inp_dis[14] ) ( gpio_control_in\[14\] pad_gpio_inenb )
+ ROUTED met3 ( 3380640 4686050 0 ) ( 3380640 4686790 )
NEW met3 ( 3378960 4795570 ) ( 3380640 4795570 )
NEW met2 ( 3378960 4795570 ) ( 3378960 4796310 0 )
NEW met4 ( 3380640 4686790 ) ( 3380640 4795570 )
NEW met3 ( 3380640 4686790 ) M3M4_PR_M
NEW met3 ( 3380640 4795570 ) M3M4_PR_M
NEW met2 ( 3378960 4795570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[15\] ( padframe mprj_io_inp_dis[15] ) ( gpio_control_in\[15\] pad_gpio_inenb )
+ ROUTED met1 ( 2795760 5012575 ) ( 2830800 5012575 )
NEW met2 ( 2795760 5012390 ) ( 2795760 5012575 )
NEW met3 ( 2794080 5012390 0 ) ( 2795760 5012390 )
NEW met2 ( 2830800 4996295 ) ( 2830800 5012575 )
NEW met3 ( 3170400 4979090 ) ( 3170400 4979830 )
NEW met3 ( 3170400 4979090 ) ( 3170640 4979090 )
NEW met2 ( 3170640 4979090 ) ( 3171600 4979090 0 )
NEW met2 ( 2865360 4982050 ) ( 2865360 4996295 )
NEW met1 ( 2830800 4996295 ) ( 2865360 4996295 )
NEW met2 ( 3024240 4981865 ) ( 3024240 4982050 )
NEW met1 ( 3024240 4981865 ) ( 3124560 4981865 )
NEW met2 ( 3124560 4979830 ) ( 3124560 4981865 )
NEW met3 ( 2865360 4982050 ) ( 3024240 4982050 )
NEW met3 ( 3124560 4979830 ) ( 3170400 4979830 )
NEW met1 ( 2830800 5012575 ) M1M2_PR
NEW met1 ( 2795760 5012575 ) M1M2_PR
NEW met2 ( 2795760 5012390 ) via2_FR
NEW met1 ( 2830800 4996295 ) M1M2_PR
NEW met2 ( 3170640 4979090 ) via2_FR
NEW met2 ( 2865360 4982050 ) via2_FR
NEW met1 ( 2865360 4996295 ) M1M2_PR
NEW met2 ( 3024240 4982050 ) via2_FR
NEW met1 ( 3024240 4981865 ) M1M2_PR
NEW met1 ( 3124560 4981865 ) M1M2_PR
NEW met2 ( 3124560 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[16\] ( padframe mprj_io_inp_dis[16] ) ( gpio_control_in\[16\] pad_gpio_inenb )
+ ROUTED met3 ( 2640480 4979090 ) ( 2640480 4979830 )
NEW met3 ( 2608080 4979830 ) ( 2640480 4979830 )
NEW met2 ( 2608080 4979830 ) ( 2608080 4998145 )
NEW met2 ( 2538960 4998145 ) ( 2538960 4998330 )
NEW met3 ( 2536800 4998330 0 ) ( 2538960 4998330 )
NEW met1 ( 2538960 4998145 ) ( 2608080 4998145 )
NEW met2 ( 2661360 4979090 ) ( 2662560 4979090 0 )
NEW met3 ( 2640480 4979090 ) ( 2661360 4979090 )
NEW met2 ( 2608080 4979830 ) via2_FR
NEW met1 ( 2608080 4998145 ) M1M2_PR
NEW met1 ( 2538960 4998145 ) M1M2_PR
NEW met2 ( 2538960 4998330 ) via2_FR
NEW met2 ( 2661360 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[17\] ( padframe mprj_io_inp_dis[17] ) ( gpio_control_in\[17\] pad_gpio_inenb )
+ ROUTED met2 ( 2232720 5005915 ) ( 2232720 5011465 )
NEW met1 ( 2230320 5011465 ) ( 2232720 5011465 )
NEW met2 ( 2230320 5011465 ) ( 2230320 5027005 )
NEW met1 ( 2154480 5027005 ) ( 2230320 5027005 )
NEW met2 ( 2154480 5027005 ) ( 2154480 5027190 )
NEW met3 ( 2151840 5027190 0 ) ( 2154480 5027190 )
NEW met2 ( 2405040 4978350 ) ( 2405520 4978350 0 )
NEW met2 ( 2335440 4978350 ) ( 2335440 5005915 )
NEW met1 ( 2232720 5005915 ) ( 2335440 5005915 )
NEW met3 ( 2335440 4978350 ) ( 2405040 4978350 )
NEW met1 ( 2232720 5005915 ) M1M2_PR
NEW met1 ( 2232720 5011465 ) M1M2_PR
NEW met1 ( 2230320 5011465 ) M1M2_PR
NEW met1 ( 2230320 5027005 ) M1M2_PR
NEW met1 ( 2154480 5027005 ) M1M2_PR
NEW met2 ( 2154480 5027190 ) via2_FR
NEW met2 ( 2405040 4978350 ) via2_FR
NEW met2 ( 2335440 4978350 ) via2_FR
NEW met1 ( 2335440 5005915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[18\] ( padframe mprj_io_inp_dis[18] ) ( gpio_control_in\[18\] pad_gpio_inenb )
+ ROUTED met2 ( 1959120 4977610 ) ( 1960560 4977610 0 )
NEW met2 ( 1931760 4976130 ) ( 1931760 4977610 )
NEW met3 ( 1931760 4977610 ) ( 1959120 4977610 )
NEW met3 ( 1864800 4976130 ) ( 1864800 4976870 )
NEW met3 ( 1814880 4976870 ) ( 1864800 4976870 )
NEW met3 ( 1814880 4976870 ) ( 1814880 4979090 0 )
NEW met3 ( 1864800 4976130 ) ( 1931760 4976130 )
NEW met2 ( 1959120 4977610 ) via2_FR
NEW met2 ( 1931760 4977610 ) via2_FR
NEW met2 ( 1931760 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[19\] ( padframe mprj_io_inp_dis[19] ) ( gpio_control_in\[19\] pad_gpio_inenb )
+ ROUTED met2 ( 1451760 4974095 ) ( 1451760 4977610 0 )
NEW met2 ( 1559760 4974095 ) ( 1559760 4979090 )
NEW met3 ( 1559760 4979090 ) ( 1562880 4979090 0 )
NEW met1 ( 1451760 4974095 ) ( 1559760 4974095 )
NEW met1 ( 1451760 4974095 ) M1M2_PR
NEW met1 ( 1559760 4974095 ) M1M2_PR
NEW met2 ( 1559760 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[1\] ( padframe mprj_io_inp_dis[1] ) ( gpio_control_bidir\[1\] pad_gpio_inenb )
+ ROUTED met3 ( 3382560 814370 ) ( 3384720 814370 )
NEW met2 ( 3384720 814370 ) ( 3384720 878010 )
NEW met3 ( 3384720 878010 ) ( 3385440 878010 )
NEW met2 ( 3378960 764420 0 ) ( 3378960 767010 )
NEW met3 ( 3378960 767010 ) ( 3382560 767010 )
NEW met4 ( 3382560 767010 ) ( 3382560 814370 )
NEW met3 ( 3385440 878010 ) ( 3385440 878750 0 )
NEW met3 ( 3382560 814370 ) M3M4_PR_M
NEW met2 ( 3384720 814370 ) via2_FR
NEW met2 ( 3384720 878010 ) via2_FR
NEW met2 ( 3378960 767010 ) via2_FR
NEW met3 ( 3382560 767010 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[20\] ( padframe mprj_io_inp_dis[20] ) ( gpio_control_in\[20\] pad_gpio_inenb )
+ ROUTED met2 ( 1193520 4969285 ) ( 1193520 4977610 0 )
NEW met2 ( 1303920 4969285 ) ( 1303920 4979090 )
NEW met3 ( 1303920 4979090 ) ( 1305120 4979090 0 )
NEW met1 ( 1193520 4969285 ) ( 1303920 4969285 )
NEW met1 ( 1193520 4969285 ) M1M2_PR
NEW met1 ( 1303920 4969285 ) M1M2_PR
NEW met2 ( 1303920 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[21\] ( padframe mprj_io_inp_dis[21] ) ( gpio_control_in\[21\] pad_gpio_inenb )
+ ROUTED met2 ( 936720 4979090 0 ) ( 938640 4979090 )
NEW met2 ( 938640 4978905 ) ( 938640 4979090 )
NEW met1 ( 938640 4978905 ) ( 938640 4979275 )
NEW met1 ( 938640 4979275 ) ( 977520 4979275 )
NEW met2 ( 977520 4979275 ) ( 977520 5025895 )
NEW met2 ( 1045200 5025710 ) ( 1045200 5025895 )
NEW met3 ( 1045200 5025710 ) ( 1047840 5025710 0 )
NEW met1 ( 977520 5025895 ) ( 1045200 5025895 )
NEW met1 ( 938640 4978905 ) M1M2_PR
NEW met1 ( 977520 4979275 ) M1M2_PR
NEW met1 ( 977520 5025895 ) M1M2_PR
NEW met1 ( 1045200 5025895 ) M1M2_PR
NEW met2 ( 1045200 5025710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[22\] ( padframe mprj_io_inp_dis[22] ) ( gpio_control_in\[22\] pad_gpio_inenb )
+ ROUTED met2 ( 789840 5012390 ) ( 789840 5012575 )
NEW met3 ( 789840 5012390 ) ( 791040 5012390 0 )
NEW met2 ( 679680 4977610 0 ) ( 681360 4977610 )
NEW met2 ( 681360 4977055 ) ( 681360 4977610 )
NEW met1 ( 681360 4977055 ) ( 718320 4977055 )
NEW met2 ( 718320 4977055 ) ( 718320 4997590 )
NEW met2 ( 718320 4997590 ) ( 718800 4997590 )
NEW met2 ( 718800 4997590 ) ( 718800 5012575 )
NEW met1 ( 718800 5012575 ) ( 789840 5012575 )
NEW met1 ( 789840 5012575 ) M1M2_PR
NEW met2 ( 789840 5012390 ) via2_FR
NEW met1 ( 681360 4977055 ) M1M2_PR
NEW met1 ( 718320 4977055 ) M1M2_PR
NEW met1 ( 718800 5012575 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[23\] ( padframe mprj_io_inp_dis[23] ) ( gpio_control_in\[23\] pad_gpio_inenb )
+ ROUTED met2 ( 422640 4969470 ) ( 422640 4977610 0 )
NEW met3 ( 533760 4969470 ) ( 533760 4979090 0 )
NEW met3 ( 422640 4969470 ) ( 533760 4969470 )
NEW met2 ( 422640 4969470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[24\] ( padframe mprj_io_inp_dis[24] ) ( gpio_control_in\[24\] pad_gpio_inenb )
+ ROUTED met3 ( 210480 4811110 ) ( 210720 4811110 )
NEW met2 ( 210480 4811110 ) ( 210480 4812590 0 )
NEW met3 ( 210720 4703810 0 ) ( 210720 4706030 )
NEW met4 ( 210720 4706030 ) ( 210720 4811110 )
NEW met3 ( 210720 4811110 ) M3M4_PR_M
NEW met2 ( 210480 4811110 ) via2_FR
NEW met3 ( 210720 4706030 ) M3M4_PR_M
NEW met3 ( 210720 4811110 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[25\] ( padframe mprj_io_inp_dis[25] ) ( gpio_control_in\[25\] pad_gpio_inenb )
+ ROUTED met2 ( 210960 3963645 0 ) ( 210960 3965105 )
NEW met1 ( 210960 3965105 ) ( 213840 3965105 )
NEW met2 ( 213840 3965105 ) ( 213840 3980645 )
NEW met1 ( 213840 3980645 ) ( 216720 3980645 )
NEW met2 ( 216720 3980645 ) ( 216720 4000995 )
NEW met1 ( 210960 4000995 ) ( 216720 4000995 )
NEW met2 ( 210960 4000995 ) ( 210960 4052425 )
NEW met1 ( 210960 4052425 ) ( 215280 4052425 )
NEW met3 ( 211680 4074810 0 ) ( 215280 4074810 )
NEW met2 ( 215280 4052425 ) ( 215280 4074810 )
NEW met1 ( 210960 3965105 ) M1M2_PR
NEW met1 ( 213840 3965105 ) M1M2_PR
NEW met1 ( 213840 3980645 ) M1M2_PR
NEW met1 ( 216720 3980645 ) M1M2_PR
NEW met1 ( 216720 4000995 ) M1M2_PR
NEW met1 ( 210960 4000995 ) M1M2_PR
NEW met1 ( 210960 4052425 ) M1M2_PR
NEW met1 ( 215280 4052425 ) M1M2_PR
NEW met2 ( 215280 4074810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[26\] ( padframe mprj_io_inp_dis[26] ) ( gpio_control_in\[26\] pad_gpio_inenb )
+ ROUTED met1 ( 215280 3758645 ) ( 218640 3758645 )
NEW met1 ( 218640 3758645 ) ( 218640 3759385 )
NEW met2 ( 218640 3759385 ) ( 218640 3787135 )
NEW met1 ( 207600 3787135 ) ( 218640 3787135 )
NEW met2 ( 207600 3787135 ) ( 207600 3855770 )
NEW met3 ( 207600 3855770 ) ( 207840 3855770 )
NEW met3 ( 207840 3855770 ) ( 207840 3858730 0 )
NEW met2 ( 210480 3747730 0 ) ( 210480 3749025 )
NEW met1 ( 210480 3749025 ) ( 215280 3749025 )
NEW met2 ( 215280 3749025 ) ( 215280 3758645 )
NEW met1 ( 215280 3758645 ) M1M2_PR
NEW met1 ( 218640 3759385 ) M1M2_PR
NEW met1 ( 218640 3787135 ) M1M2_PR
NEW met1 ( 207600 3787135 ) M1M2_PR
NEW met2 ( 207600 3855770 ) via2_FR
NEW met1 ( 210480 3749025 ) M1M2_PR
NEW met1 ( 215280 3749025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[27\] ( padframe mprj_io_inp_dis[27] ) ( gpio_control_in\[27\] pad_gpio_inenb )
+ ROUTED met3 ( 208800 3569390 ) ( 209040 3569390 )
NEW met2 ( 209040 3569390 ) ( 209040 3639690 )
NEW met3 ( 208800 3639690 ) ( 209040 3639690 )
NEW met3 ( 208800 3639690 ) ( 208800 3642650 0 )
NEW met3 ( 208800 3533870 ) ( 209040 3533870 )
NEW met2 ( 209040 3531650 0 ) ( 209040 3533870 )
NEW met4 ( 208800 3533870 ) ( 208800 3569390 )
NEW met3 ( 208800 3569390 ) M3M4_PR_M
NEW met2 ( 209040 3569390 ) via2_FR
NEW met2 ( 209040 3639690 ) via2_FR
NEW met3 ( 208800 3533870 ) M3M4_PR_M
NEW met2 ( 209040 3533870 ) via2_FR
NEW met3 ( 208800 3569390 ) RECT ( -380 -150 0 150 )
NEW met3 ( 208800 3533870 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[28\] ( padframe mprj_io_inp_dis[28] ) ( gpio_control_in\[28\] pad_gpio_inenb )
+ ROUTED met3 ( 204720 3424350 ) ( 204960 3424350 )
NEW met3 ( 204960 3424350 ) ( 204960 3426940 0 )
NEW met1 ( 204720 3354605 ) ( 216720 3354605 )
NEW met2 ( 216720 3343505 ) ( 216720 3354605 )
NEW met1 ( 214320 3343505 ) ( 216720 3343505 )
NEW met2 ( 214320 3317605 ) ( 214320 3343505 )
NEW met1 ( 210960 3317605 ) ( 214320 3317605 )
NEW met2 ( 210960 3315570 0 ) ( 210960 3317605 )
NEW met2 ( 204720 3354605 ) ( 204720 3424350 )
NEW met2 ( 204720 3424350 ) via2_FR
NEW met1 ( 204720 3354605 ) M1M2_PR
NEW met1 ( 216720 3354605 ) M1M2_PR
NEW met1 ( 216720 3343505 ) M1M2_PR
NEW met1 ( 214320 3343505 ) M1M2_PR
NEW met1 ( 214320 3317605 ) M1M2_PR
NEW met1 ( 210960 3317605 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[29\] ( padframe mprj_io_inp_dis[29] ) ( gpio_control_in\[29\] pad_gpio_inenb )
+ ROUTED met3 ( 208560 3208270 ) ( 208800 3208270 )
NEW met3 ( 208800 3208270 ) ( 208800 3210860 0 )
NEW met1 ( 208560 3138525 ) ( 215760 3138525 )
NEW met2 ( 215760 3101895 ) ( 215760 3138525 )
NEW met1 ( 210960 3101895 ) ( 215760 3101895 )
NEW met2 ( 210960 3099645 0 ) ( 210960 3101895 )
NEW met2 ( 208560 3138525 ) ( 208560 3208270 )
NEW met2 ( 208560 3208270 ) via2_FR
NEW met1 ( 208560 3138525 ) M1M2_PR
NEW met1 ( 215760 3138525 ) M1M2_PR
NEW met1 ( 215760 3101895 ) M1M2_PR
NEW met1 ( 210960 3101895 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[2\] ( padframe mprj_io_inp_dis[2] ) ( gpio_control_in\[2\] pad_gpio_inenb )
+ ROUTED met3 ( 3379680 1038590 ) ( 3385200 1038590 )
NEW met3 ( 3385200 1102970 ) ( 3385440 1102970 )
NEW met3 ( 3385440 1102970 ) ( 3385440 1103710 0 )
NEW met2 ( 3385200 1038590 ) ( 3385200 1102970 )
NEW met2 ( 3378960 989380 0 ) ( 3378960 991970 )
NEW met3 ( 3378960 991970 ) ( 3379680 991970 )
NEW met4 ( 3379680 991970 ) ( 3379680 1038590 )
NEW met3 ( 3379680 1038590 ) M3M4_PR_M
NEW met2 ( 3385200 1038590 ) via2_FR
NEW met2 ( 3385200 1102970 ) via2_FR
NEW met2 ( 3378960 991970 ) via2_FR
NEW met3 ( 3379680 991970 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[30\] ( padframe mprj_io_inp_dis[30] ) ( gpio_control_in\[30\] pad_gpio_inenb )
+ ROUTED met3 ( 206880 2992190 ) ( 207120 2992190 )
NEW met3 ( 206880 2992190 ) ( 206880 2995150 0 )
NEW met3 ( 207120 2922630 ) ( 208800 2922630 )
NEW met4 ( 208800 2884890 ) ( 208800 2922630 )
NEW met3 ( 208800 2884890 ) ( 209040 2884890 )
NEW met2 ( 209040 2883780 0 ) ( 209040 2884890 )
NEW met2 ( 207120 2922630 ) ( 207120 2992190 )
NEW met2 ( 207120 2992190 ) via2_FR
NEW met2 ( 207120 2922630 ) via2_FR
NEW met3 ( 208800 2922630 ) M3M4_PR_M
NEW met3 ( 208800 2884890 ) M3M4_PR_M
NEW met2 ( 209040 2884890 ) via2_FR
NEW met3 ( 208800 2884890 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[31\] ( padframe mprj_io_inp_dis[31] ) ( gpio_control_in\[31\] pad_gpio_inenb )
+ ROUTED met3 ( 210720 2776110 ) ( 210960 2776110 )
NEW met3 ( 210720 2776110 ) ( 210720 2779070 0 )
NEW met2 ( 210000 2667700 0 ) ( 210000 2668810 )
NEW met3 ( 209760 2668810 ) ( 210000 2668810 )
NEW met4 ( 209760 2668810 ) ( 209760 2705070 )
NEW met3 ( 209520 2705070 ) ( 209760 2705070 )
NEW met2 ( 209520 2705070 ) ( 209520 2722645 )
NEW met1 ( 209520 2722645 ) ( 210960 2722645 )
NEW met2 ( 210960 2722645 ) ( 210960 2776110 )
NEW met2 ( 210960 2776110 ) via2_FR
NEW met2 ( 210000 2668810 ) via2_FR
NEW met3 ( 209760 2668810 ) M3M4_PR_M
NEW met3 ( 209760 2705070 ) M3M4_PR_M
NEW met2 ( 209520 2705070 ) via2_FR
NEW met1 ( 209520 2722645 ) M1M2_PR
NEW met1 ( 210960 2722645 ) M1M2_PR
NEW met3 ( 210000 2668810 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209520 2705070 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[32\] ( padframe mprj_io_inp_dis[32] ) ( gpio_control_in\[32\] pad_gpio_inenb )
+ ROUTED met3 ( 210720 2138230 ) ( 210720 2141190 0 )
NEW met3 ( 210720 2115290 ) ( 210720 2116030 )
NEW met4 ( 210720 2031670 ) ( 210720 2115290 )
NEW met3 ( 210480 2031670 ) ( 210720 2031670 )
NEW met2 ( 210480 2029645 0 ) ( 210480 2031670 )
NEW met4 ( 210720 2116030 ) ( 210720 2138230 )
NEW met3 ( 210720 2138230 ) M3M4_PR_M
NEW met3 ( 210720 2116030 ) M3M4_PR_M
NEW met3 ( 210720 2115290 ) M3M4_PR_M
NEW met3 ( 210720 2031670 ) M3M4_PR_M
NEW met2 ( 210480 2031670 ) via2_FR
NEW met3 ( 210720 2031670 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[33\] ( padframe mprj_io_inp_dis[33] ) ( gpio_control_in\[33\] pad_gpio_inenb )
+ ROUTED met3 ( 210480 1814110 ) ( 210720 1814110 )
NEW met2 ( 210480 1813740 0 ) ( 210480 1814110 )
NEW met3 ( 210720 1922150 ) ( 210720 1925110 0 )
NEW met4 ( 210720 1814110 ) ( 210720 1922150 )
NEW met3 ( 210720 1814110 ) M3M4_PR_M
NEW met2 ( 210480 1814110 ) via2_FR
NEW met3 ( 210720 1922150 ) M3M4_PR_M
NEW met3 ( 210720 1814110 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[34\] ( padframe mprj_io_inp_dis[34] ) ( gpio_control_in\[34\] pad_gpio_inenb )
+ ROUTED met3 ( 210480 1598770 ) ( 210720 1598770 )
NEW met2 ( 210480 1597660 0 ) ( 210480 1598770 )
NEW met3 ( 210720 1706070 ) ( 210720 1709030 0 )
NEW met4 ( 210720 1598770 ) ( 210720 1706070 )
NEW met3 ( 210720 1598770 ) M3M4_PR_M
NEW met2 ( 210480 1598770 ) via2_FR
NEW met3 ( 210720 1706070 ) M3M4_PR_M
NEW met3 ( 210720 1598770 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[35\] ( padframe mprj_io_inp_dis[35] ) ( gpio_control_in\[35\] pad_gpio_inenb )
+ ROUTED met3 ( 202080 1489990 ) ( 202080 1492950 0 )
NEW met3 ( 202080 1382690 ) ( 209040 1382690 )
NEW met2 ( 209040 1381580 0 ) ( 209040 1382690 )
NEW met4 ( 202080 1382690 ) ( 202080 1489990 )
NEW met3 ( 202080 1489990 ) M3M4_PR_M
NEW met3 ( 202080 1382690 ) M3M4_PR_M
NEW met2 ( 209040 1382690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[36\] ( padframe mprj_io_inp_dis[36] ) ( gpio_control_in\[36\] pad_gpio_inenb )
+ ROUTED met3 ( 205920 1166610 ) ( 209040 1166610 )
NEW met2 ( 209040 1165645 0 ) ( 209040 1166610 )
NEW met3 ( 205920 1273910 ) ( 205920 1276870 0 )
NEW met4 ( 205920 1166610 ) ( 205920 1273910 )
NEW met3 ( 205920 1166610 ) M3M4_PR_M
NEW met2 ( 209040 1166610 ) via2_FR
NEW met3 ( 205920 1273910 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[37\] ( padframe mprj_io_inp_dis[37] ) ( gpio_control_in\[37\] pad_gpio_inenb )
+ ROUTED met3 ( 205920 950530 ) ( 209040 950530 )
NEW met2 ( 209040 949645 0 ) ( 209040 950530 )
NEW met3 ( 205920 1057830 ) ( 205920 1060790 0 )
NEW met4 ( 205920 950530 ) ( 205920 1057830 )
NEW met3 ( 205920 950530 ) M3M4_PR_M
NEW met2 ( 209040 950530 ) via2_FR
NEW met3 ( 205920 1057830 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[3\] ( padframe mprj_io_inp_dis[3] ) ( gpio_control_in\[3\] pad_gpio_inenb )
+ ROUTED met3 ( 3372960 1217670 ) ( 3377520 1217670 )
NEW met2 ( 3377520 1215450 0 ) ( 3377520 1217670 )
NEW met3 ( 3372960 1327190 ) ( 3373920 1327190 )
NEW met3 ( 3373920 1327190 ) ( 3373920 1330150 0 )
NEW met4 ( 3372960 1217670 ) ( 3372960 1327190 )
NEW met3 ( 3372960 1217670 ) M3M4_PR_M
NEW met2 ( 3377520 1217670 ) via2_FR
NEW met3 ( 3372960 1327190 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[4\] ( padframe mprj_io_inp_dis[4] ) ( gpio_control_in\[4\] pad_gpio_inenb )
+ ROUTED met1 ( 3374160 1442815 ) ( 3377520 1442815 )
NEW met2 ( 3377520 1440410 0 ) ( 3377520 1442815 )
NEW met1 ( 3374160 1497205 ) ( 3384720 1497205 )
NEW met2 ( 3384720 1497205 ) ( 3384720 1553630 )
NEW met3 ( 3384480 1553630 ) ( 3384720 1553630 )
NEW met3 ( 3384480 1553630 ) ( 3384480 1555110 0 )
NEW met2 ( 3374160 1442815 ) ( 3374160 1497205 )
NEW met1 ( 3374160 1442815 ) M1M2_PR
NEW met1 ( 3377520 1442815 ) M1M2_PR
NEW met1 ( 3374160 1497205 ) M1M2_PR
NEW met1 ( 3384720 1497205 ) M1M2_PR
NEW met2 ( 3384720 1553630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[5\] ( padframe mprj_io_inp_dis[5] ) ( gpio_control_in\[5\] pad_gpio_inenb )
+ ROUTED met2 ( 3378960 1665370 0 ) ( 3378960 1667590 )
NEW met3 ( 3378960 1667590 ) ( 3381600 1667590 )
NEW met3 ( 3381600 1726790 ) ( 3384720 1726790 )
NEW met2 ( 3384720 1726790 ) ( 3384720 1778590 )
NEW met3 ( 3384480 1778590 ) ( 3384720 1778590 )
NEW met3 ( 3384480 1778590 ) ( 3384480 1780070 0 )
NEW met4 ( 3381600 1667590 ) ( 3381600 1726790 )
NEW met2 ( 3378960 1667590 ) via2_FR
NEW met3 ( 3381600 1667590 ) M3M4_PR_M
NEW met3 ( 3381600 1726790 ) M3M4_PR_M
NEW met2 ( 3384720 1726790 ) via2_FR
NEW met2 ( 3384720 1778590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[6\] ( padframe mprj_io_inp_dis[6] ) ( gpio_control_in\[6\] pad_gpio_inenb )
+ ROUTED met3 ( 3372960 2002810 ) ( 3373920 2002810 )
NEW met3 ( 3373920 2002810 ) ( 3373920 2005770 0 )
NEW met3 ( 3372960 1894030 ) ( 3377520 1894030 )
NEW met2 ( 3377520 1891440 0 ) ( 3377520 1894030 )
NEW met4 ( 3372960 1894030 ) ( 3372960 2002810 )
NEW met3 ( 3372960 2002810 ) M3M4_PR_M
NEW met3 ( 3372960 1894030 ) M3M4_PR_M
NEW met2 ( 3377520 1894030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[7\] ( padframe mprj_io_inp_dis[7] ) ( gpio_control_in\[7\] pad_gpio_inenb )
+ ROUTED met3 ( 3371760 2446810 ) ( 3373920 2446810 0 )
NEW met1 ( 3371280 2776295 ) ( 3377520 2776295 )
NEW met2 ( 3377520 2776295 ) ( 3377520 2777220 0 )
NEW met2 ( 3371280 2699890 ) ( 3371760 2699890 )
NEW met2 ( 3371280 2699890 ) ( 3371280 2776295 )
NEW met2 ( 3371760 2446810 ) ( 3371760 2699890 )
NEW met2 ( 3371760 2446810 ) via2_FR
NEW met1 ( 3371280 2776295 ) M1M2_PR
NEW met1 ( 3377520 2776295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[8\] ( padframe mprj_io_inp_dis[8] ) ( gpio_control_in\[8\] pad_gpio_inenb )
+ ROUTED met3 ( 3371040 3001070 ) ( 3377520 3001070 )
NEW met2 ( 3377520 3001070 ) ( 3377520 3003290 0 )
NEW met3 ( 3379680 2666960 0 ) ( 3379680 2668810 )
NEW met3 ( 3371040 2894510 ) ( 3379680 2894510 )
NEW met4 ( 3371040 2894510 ) ( 3371040 3001070 )
NEW met4 ( 3379680 2668810 ) ( 3379680 2894510 )
NEW met3 ( 3371040 3001070 ) M3M4_PR_M
NEW met2 ( 3377520 3001070 ) via2_FR
NEW met3 ( 3379680 2668810 ) M3M4_PR_M
NEW met3 ( 3371040 2894510 ) M3M4_PR_M
NEW met3 ( 3379680 2894510 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[9\] ( padframe mprj_io_inp_dis[9] ) ( gpio_control_in\[9\] pad_gpio_inenb )
+ ROUTED met4 ( 3380640 2978685 ) ( 3381600 2978685 )
NEW met3 ( 3378960 3226770 ) ( 3380640 3226770 )
NEW met2 ( 3378960 3226770 ) ( 3378960 3228250 0 )
NEW met3 ( 3381600 2891920 0 ) ( 3381600 2893770 )
NEW met4 ( 3381600 2893770 ) ( 3381600 2978685 )
NEW met4 ( 3380640 2978685 ) ( 3380640 3226770 )
NEW met3 ( 3380640 3226770 ) M3M4_PR_M
NEW met2 ( 3378960 3226770 ) via2_FR
NEW met3 ( 3381600 2893770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_loader_clock ( soc mprj_io_loader_clock ) ( gpio_control_in\[9\] serial_clock ) ( gpio_control_in\[8\] serial_clock ) ( gpio_control_in\[7\] serial_clock )
( gpio_control_in\[6\] serial_clock ) ( gpio_control_in\[5\] serial_clock ) ( gpio_control_in\[4\] serial_clock ) ( gpio_control_in\[3\] serial_clock ) ( gpio_control_in\[37\] serial_clock )
( gpio_control_in\[36\] serial_clock ) ( gpio_control_in\[35\] serial_clock ) ( gpio_control_in\[34\] serial_clock ) ( gpio_control_in\[33\] serial_clock ) ( gpio_control_in\[32\] serial_clock )
( gpio_control_in\[31\] serial_clock ) ( gpio_control_in\[30\] serial_clock ) ( gpio_control_in\[2\] serial_clock ) ( gpio_control_in\[29\] serial_clock ) ( gpio_control_in\[28\] serial_clock )
( gpio_control_in\[27\] serial_clock ) ( gpio_control_in\[26\] serial_clock ) ( gpio_control_in\[25\] serial_clock ) ( gpio_control_in\[24\] serial_clock ) ( gpio_control_in\[23\] serial_clock )
( gpio_control_in\[22\] serial_clock ) ( gpio_control_in\[21\] serial_clock ) ( gpio_control_in\[20\] serial_clock ) ( gpio_control_in\[19\] serial_clock ) ( gpio_control_in\[18\] serial_clock )
( gpio_control_in\[17\] serial_clock ) ( gpio_control_in\[16\] serial_clock ) ( gpio_control_in\[15\] serial_clock ) ( gpio_control_in\[14\] serial_clock ) ( gpio_control_in\[13\] serial_clock )
( gpio_control_in\[12\] serial_clock ) ( gpio_control_in\[11\] serial_clock ) ( gpio_control_in\[10\] serial_clock ) ( gpio_control_bidir\[1\] serial_clock ) ( gpio_control_bidir\[0\] serial_clock )
+ ROUTED met3 ( 211680 2802750 0 ) ( 218160 2802750 )
NEW met3 ( 210720 3234910 0 ) ( 210720 3237130 )
NEW met3 ( 210720 3234910 0 ) ( 218160 3234910 )
NEW met3 ( 207840 3879450 ) ( 207840 3882410 0 )
NEW met3 ( 205920 3882410 0 ) ( 205920 3883890 )
NEW met3 ( 205920 3882410 0 ) ( 207840 3882410 0 )
NEW met2 ( 3400560 1051170 ) ( 3400560 1061345 )
NEW met1 ( 3399120 1061345 ) ( 3400560 1061345 )
NEW met3 ( 202080 1516630 0 ) ( 202080 1518850 )
NEW met3 ( 211680 1515890 ) ( 211680 1516630 0 )
NEW met3 ( 202080 1516630 0 ) ( 211680 1516630 0 )
NEW met3 ( 202080 1732710 0 ) ( 202080 1734190 )
NEW met4 ( 202080 1518850 ) ( 202080 1734190 )
NEW met2 ( 238800 4730450 ) ( 238800 4933025 )
NEW met3 ( 2817600 4979090 0 ) ( 2818800 4979090 )
NEW met2 ( 2818800 4978535 ) ( 2818800 4979090 )
NEW met1 ( 2818800 4978535 ) ( 2837520 4978535 )
NEW met2 ( 2837520 4932285 ) ( 2837520 4978535 )
NEW met2 ( 2818800 4979090 ) ( 2818800 4980755 )
NEW met2 ( 3398640 832870 ) ( 3398640 899470 )
NEW met2 ( 3399120 1061345 ) ( 3399120 1129055 )
NEW met3 ( 3372960 676730 ) ( 3373920 676730 0 )
NEW met3 ( 3372960 832130 ) ( 3374880 832130 )
NEW met3 ( 3374880 832130 ) ( 3374880 832870 )
NEW met3 ( 3374880 832870 ) ( 3398640 832870 )
NEW met3 ( 3382560 1051170 ) ( 3400560 1051170 )
NEW met3 ( 3366960 1223590 ) ( 3371040 1223590 )
NEW met3 ( 2520240 1210270 ) ( 3366960 1210270 )
NEW met3 ( 3371040 1803750 ) ( 3373920 1803750 0 )
NEW met3 ( 3382560 2060530 ) ( 3382800 2060530 )
NEW met3 ( 3382560 2469750 ) ( 3382560 2470490 0 )
NEW met3 ( 3382560 2469750 ) ( 3382800 2469750 )
NEW met1 ( 238800 4933025 ) ( 576240 4933025 )
NEW met2 ( 2520240 1167350 ) ( 2527920 1167350 0 )
NEW met2 ( 2520240 1167350 ) ( 2520240 1230435 )
NEW met3 ( 3372960 676730 ) ( 3372960 677470 )
NEW met4 ( 3372960 677470 ) ( 3372960 832130 )
NEW met3 ( 3382560 902430 0 ) ( 3382560 904650 )
NEW met3 ( 3382560 899470 ) ( 3382560 902430 0 )
NEW met3 ( 3382560 899470 ) ( 3398640 899470 )
NEW met3 ( 3373920 1127760 0 ) ( 3373920 1128870 )
NEW met3 ( 3373680 1128870 ) ( 3373920 1128870 )
NEW met2 ( 3373680 1128870 ) ( 3373680 1129055 )
NEW met1 ( 3366960 1129055 ) ( 3373680 1129055 )
NEW met2 ( 3366960 1129055 ) ( 3366960 1223590 )
NEW met1 ( 3373680 1129055 ) ( 3399120 1129055 )
NEW met3 ( 3373920 1350870 ) ( 3373920 1353830 0 )
NEW met3 ( 3371040 1350870 ) ( 3373920 1350870 )
NEW met4 ( 3371040 1223590 ) ( 3371040 1350870 )
NEW met3 ( 3371040 1578790 ) ( 3373920 1578790 0 )
NEW met4 ( 3371040 1350870 ) ( 3371040 1803750 )
NEW met2 ( 3382800 2060530 ) ( 3382800 2469750 )
NEW met3 ( 3373920 3592330 ) ( 3373920 3592700 0 )
NEW met3 ( 3360720 3592330 ) ( 3373920 3592330 )
NEW met1 ( 3305040 4709915 ) ( 3372240 4709915 )
NEW met2 ( 3372240 4709730 ) ( 3372240 4709915 )
NEW met3 ( 3372240 4709730 ) ( 3373920 4709730 0 )
NEW met1 ( 2837520 4932285 ) ( 3305040 4932285 )
NEW met2 ( 3305040 4709915 ) ( 3305040 4932285 )
NEW met1 ( 206640 1230435 ) ( 216720 1230435 )
NEW met4 ( 211680 1423575 ) ( 212640 1423575 )
NEW met4 ( 211680 1423575 ) ( 211680 1515890 )
NEW met3 ( 202080 1948790 0 ) ( 202080 1951010 )
NEW met3 ( 211680 2164870 0 ) ( 218160 2164870 )
NEW met3 ( 202080 2163390 ) ( 202080 2164870 0 )
NEW met3 ( 202080 2164870 0 ) ( 211680 2164870 0 )
NEW met3 ( 211680 3018830 0 ) ( 218160 3018830 )
NEW met3 ( 210720 3448030 ) ( 210720 3450990 0 )
NEW met3 ( 207840 3450990 0 ) ( 207840 3451730 )
NEW met3 ( 207840 3450990 0 ) ( 210720 3450990 0 )
NEW met3 ( 186480 4010430 ) ( 205920 4010430 )
NEW met4 ( 205920 3883890 ) ( 205920 4010430 )
NEW met3 ( 557760 4977610 ) ( 576240 4977610 )
NEW met3 ( 557760 4977610 ) ( 557760 4979090 0 )
NEW met2 ( 576240 4977610 ) ( 576240 4979275 )
NEW met2 ( 576240 4933025 ) ( 576240 4977610 )
NEW met2 ( 815760 4980570 ) ( 815760 4980755 )
NEW met3 ( 814560 4980570 0 ) ( 815760 4980570 )
NEW met2 ( 1585680 4980385 ) ( 1585680 4980570 )
NEW met3 ( 1585680 4980570 ) ( 1586400 4980570 0 )
NEW met2 ( 1604880 4980385 ) ( 1604880 4989635 )
NEW met1 ( 1585680 4980385 ) ( 1604880 4980385 )
NEW met2 ( 1839600 4989450 ) ( 1839600 4989635 )
NEW met3 ( 1838880 4989450 0 ) ( 1839600 4989450 )
NEW met3 ( 2175840 5025710 0 ) ( 2178000 5025710 )
NEW met2 ( 2178000 5025525 ) ( 2178000 5025710 )
NEW met1 ( 2178000 5025525 ) ( 2203440 5025525 )
NEW met2 ( 2203440 5025525 ) ( 2203440 5028485 )
NEW met1 ( 2203440 5028485 ) ( 2233200 5028485 )
NEW met2 ( 2233200 5027005 ) ( 2233200 5028485 )
NEW met2 ( 2203440 4980385 ) ( 2203440 5025525 )
NEW met2 ( 2349360 4980015 ) ( 2349360 5027005 )
NEW met2 ( 2559600 4979830 ) ( 2559600 4980015 )
NEW met3 ( 2559600 4979830 ) ( 2560800 4979830 0 )
NEW met1 ( 2586480 4980755 ) ( 2586480 4981125 )
NEW met1 ( 2559600 4981125 ) ( 2586480 4981125 )
NEW met2 ( 2559600 4980015 ) ( 2559600 4981125 )
NEW met4 ( 3382560 904650 ) ( 3382560 1051170 )
NEW met3 ( 3382560 2029450 0 ) ( 3382560 2030930 )
NEW met3 ( 3371040 2029450 ) ( 3382560 2029450 0 )
NEW met4 ( 3382560 2030930 ) ( 3382560 2060530 )
NEW met3 ( 3368880 3366630 ) ( 3373920 3366630 0 )
NEW met1 ( 3360720 3406775 ) ( 3368880 3406775 )
NEW met2 ( 3368880 3366630 ) ( 3368880 3406775 )
NEW met1 ( 3360720 3817475 ) ( 3372240 3817475 )
NEW met2 ( 3372240 3817475 ) ( 3372240 3817660 )
NEW met3 ( 3372240 3817660 ) ( 3373920 3817660 0 )
NEW met2 ( 3360720 3406775 ) ( 3360720 3817475 )
NEW met3 ( 211680 1084470 0 ) ( 216720 1084470 )
NEW met2 ( 216720 1084470 ) ( 216720 1230435 )
NEW met3 ( 206880 1297590 ) ( 206880 1300550 0 )
NEW met3 ( 206640 1297590 ) ( 206880 1297590 )
NEW met3 ( 211680 1302030 ) ( 212640 1302030 )
NEW met3 ( 211680 1300550 0 ) ( 211680 1302030 )
NEW met3 ( 206880 1300550 0 ) ( 211680 1300550 0 )
NEW met2 ( 206640 1230435 ) ( 206640 1297590 )
NEW met4 ( 212640 1302030 ) ( 212640 1423575 )
NEW met4 ( 202080 1734190 ) ( 202080 1951010 )
NEW met4 ( 202080 1951010 ) ( 202080 2163390 )
NEW met2 ( 218160 2164870 ) ( 218160 3018830 )
NEW met2 ( 218160 3018830 ) ( 218160 3234910 )
NEW met4 ( 210720 3237130 ) ( 210720 3448030 )
NEW met3 ( 207840 3666700 0 ) ( 207840 3669290 )
NEW met4 ( 207840 3451730 ) ( 207840 3669290 )
NEW met4 ( 207840 3669290 ) ( 207840 3879450 )
NEW met2 ( 199920 4541010 ) ( 200400 4541010 )
NEW met2 ( 199920 4464235 ) ( 199920 4541010 )
NEW met1 ( 199920 4464235 ) ( 200880 4464235 )
NEW met3 ( 211680 4727860 0 ) ( 211680 4730450 )
NEW met3 ( 211680 4725270 ) ( 211680 4727860 0 )
NEW met3 ( 211680 4730450 ) ( 238800 4730450 )
NEW met4 ( 3371040 1803750 ) ( 3371040 2029450 )
NEW met3 ( 3382560 2688050 ) ( 3382800 2688050 )
NEW met3 ( 3382560 2688050 ) ( 3382560 2690640 0 )
NEW met1 ( 3358800 2677875 ) ( 3382800 2677875 )
NEW met2 ( 3382800 2469750 ) ( 3382800 2688050 )
NEW met1 ( 3359760 2916895 ) ( 3373200 2916895 )
NEW met2 ( 3373200 2916710 ) ( 3373200 2916895 )
NEW met3 ( 3373200 2916710 ) ( 3373920 2916710 )
NEW met3 ( 3373920 2915970 0 ) ( 3373920 2916710 )
NEW met1 ( 3358800 2916895 ) ( 3359760 2916895 )
NEW met2 ( 3358800 2677875 ) ( 3358800 2916895 )
NEW met3 ( 3368880 3141670 ) ( 3373920 3141670 0 )
NEW met1 ( 3359760 3139635 ) ( 3368880 3139635 )
NEW met2 ( 3368880 3139635 ) ( 3368880 3141670 )
NEW met2 ( 3359760 2916895 ) ( 3359760 3139635 )
NEW met2 ( 3368880 3141670 ) ( 3368880 3366630 )
NEW met2 ( 1070640 4980570 ) ( 1070640 4980755 )
NEW met3 ( 1070640 4980570 ) ( 1071840 4980570 0 )
NEW met1 ( 815760 4980755 ) ( 1070640 4980755 )
NEW met1 ( 1335600 4980385 ) ( 1335600 4980755 )
NEW met1 ( 1329840 4980755 ) ( 1335600 4980755 )
NEW met2 ( 1329840 4980570 ) ( 1329840 4980755 )
NEW met3 ( 1328640 4980570 0 ) ( 1329840 4980570 )
NEW met1 ( 1070640 4980755 ) ( 1329840 4980755 )
NEW met1 ( 1604880 4989635 ) ( 1839600 4989635 )
NEW met2 ( 1901040 4980385 ) ( 1901040 4989635 )
NEW met1 ( 1839600 4989635 ) ( 1901040 4989635 )
NEW met1 ( 1901040 4980385 ) ( 2203440 4980385 )
NEW met1 ( 2233200 5027005 ) ( 2349360 5027005 )
NEW met1 ( 2349360 4980015 ) ( 2559600 4980015 )
NEW met1 ( 2586480 4980755 ) ( 2818800 4980755 )
NEW met3 ( 201120 4098490 0 ) ( 201120 4099970 )
NEW met3 ( 201120 4099970 ) ( 201360 4099970 )
NEW met3 ( 186480 4095530 ) ( 201120 4095530 )
NEW met3 ( 201120 4095530 ) ( 201120 4098490 0 )
NEW met2 ( 186480 4010430 ) ( 186480 4095530 )
NEW met1 ( 200400 4637025 ) ( 201360 4637025 )
NEW met2 ( 201360 4637025 ) ( 201360 4725270 )
NEW met2 ( 200400 4541010 ) ( 200400 4637025 )
NEW met3 ( 201360 4725270 ) ( 211680 4725270 )
NEW met1 ( 201360 4161575 ) ( 201360 4161945 )
NEW met1 ( 200400 4161575 ) ( 201360 4161575 )
NEW met2 ( 200400 4133085 ) ( 200400 4161575 )
NEW met1 ( 200400 4133085 ) ( 201360 4133085 )
NEW met2 ( 201360 4099970 ) ( 201360 4133085 )
NEW met2 ( 200400 4388570 ) ( 200880 4388570 )
NEW met2 ( 200880 4388570 ) ( 200880 4464235 )
NEW met1 ( 200400 4319935 ) ( 201360 4319935 )
NEW met2 ( 200400 4319935 ) ( 200400 4388570 )
NEW met2 ( 201360 4161945 ) ( 201360 4319935 )
NEW met2 ( 637200 4979275 ) ( 637200 4980015 )
NEW met1 ( 637200 4980015 ) ( 638160 4980015 )
NEW met1 ( 638160 4980015 ) ( 638160 4980385 )
NEW met1 ( 638160 4980385 ) ( 684720 4980385 )
NEW met1 ( 684720 4980385 ) ( 684720 4980755 )
NEW met1 ( 576240 4979275 ) ( 637200 4979275 )
NEW met1 ( 684720 4980755 ) ( 815760 4980755 )
NEW met1 ( 1335600 4980385 ) ( 1585680 4980385 )
NEW met1 ( 216720 1230435 ) ( 2520240 1230435 )
NEW met2 ( 218160 2802750 ) via2_FR
NEW met3 ( 210720 3237130 ) M3M4_PR_M
NEW met2 ( 218160 3234910 ) via2_FR
NEW met3 ( 207840 3879450 ) M3M4_PR_M
NEW met3 ( 205920 3883890 ) M3M4_PR_M
NEW met2 ( 3398640 832870 ) via2_FR
NEW met2 ( 3400560 1051170 ) via2_FR
NEW met1 ( 3400560 1061345 ) M1M2_PR
NEW met1 ( 3399120 1061345 ) M1M2_PR
NEW met3 ( 202080 1518850 ) M3M4_PR_M
NEW met3 ( 211680 1515890 ) M3M4_PR_M
NEW met3 ( 202080 1734190 ) M3M4_PR_M
NEW met2 ( 238800 4730450 ) via2_FR
NEW met1 ( 238800 4933025 ) M1M2_PR
NEW met1 ( 576240 4933025 ) M1M2_PR
NEW met2 ( 2818800 4979090 ) via2_FR
NEW met1 ( 2818800 4978535 ) M1M2_PR
NEW met1 ( 2837520 4978535 ) M1M2_PR
NEW met1 ( 2837520 4932285 ) M1M2_PR
NEW met1 ( 2818800 4980755 ) M1M2_PR
NEW met2 ( 3398640 899470 ) via2_FR
NEW met1 ( 3399120 1129055 ) M1M2_PR
NEW met1 ( 2520240 1230435 ) M1M2_PR
NEW met2 ( 2520240 1210270 ) via2_FR
NEW met3 ( 3372960 832130 ) M3M4_PR_M
NEW met3 ( 3382560 1051170 ) M3M4_PR_M
NEW met2 ( 3366960 1223590 ) via2_FR
NEW met3 ( 3371040 1223590 ) M3M4_PR_M
NEW met2 ( 3366960 1210270 ) via2_FR
NEW met3 ( 3371040 1803750 ) M3M4_PR_M
NEW met3 ( 3382560 2060530 ) M3M4_PR_M
NEW met2 ( 3382800 2060530 ) via2_FR
NEW met2 ( 3382800 2469750 ) via2_FR
NEW met3 ( 3372960 677470 ) M3M4_PR_M
NEW met3 ( 3382560 904650 ) M3M4_PR_M
NEW met2 ( 3373680 1128870 ) via2_FR
NEW met1 ( 3373680 1129055 ) M1M2_PR
NEW met1 ( 3366960 1129055 ) M1M2_PR
NEW met3 ( 3371040 1350870 ) M3M4_PR_M
NEW met3 ( 3371040 1578790 ) M3M4_PR_M
NEW met2 ( 3360720 3592330 ) via2_FR
NEW met1 ( 3305040 4709915 ) M1M2_PR
NEW met1 ( 3372240 4709915 ) M1M2_PR
NEW met2 ( 3372240 4709730 ) via2_FR
NEW met1 ( 3305040 4932285 ) M1M2_PR
NEW met1 ( 216720 1230435 ) M1M2_PR
NEW met1 ( 206640 1230435 ) M1M2_PR
NEW met3 ( 202080 1951010 ) M3M4_PR_M
NEW met2 ( 218160 2164870 ) via2_FR
NEW met3 ( 202080 2163390 ) M3M4_PR_M
NEW met2 ( 218160 3018830 ) via2_FR
NEW met3 ( 210720 3448030 ) M3M4_PR_M
NEW met3 ( 207840 3451730 ) M3M4_PR_M
NEW met2 ( 186480 4010430 ) via2_FR
NEW met3 ( 205920 4010430 ) M3M4_PR_M
NEW met2 ( 576240 4977610 ) via2_FR
NEW met1 ( 576240 4979275 ) M1M2_PR
NEW met1 ( 815760 4980755 ) M1M2_PR
NEW met2 ( 815760 4980570 ) via2_FR
NEW met1 ( 1585680 4980385 ) M1M2_PR
NEW met2 ( 1585680 4980570 ) via2_FR
NEW met1 ( 1604880 4989635 ) M1M2_PR
NEW met1 ( 1604880 4980385 ) M1M2_PR
NEW met1 ( 1839600 4989635 ) M1M2_PR
NEW met2 ( 1839600 4989450 ) via2_FR
NEW met2 ( 2178000 5025710 ) via2_FR
NEW met1 ( 2178000 5025525 ) M1M2_PR
NEW met1 ( 2203440 5025525 ) M1M2_PR
NEW met1 ( 2203440 5028485 ) M1M2_PR
NEW met1 ( 2233200 5028485 ) M1M2_PR
NEW met1 ( 2233200 5027005 ) M1M2_PR
NEW met1 ( 2203440 4980385 ) M1M2_PR
NEW met1 ( 2349360 5027005 ) M1M2_PR
NEW met1 ( 2349360 4980015 ) M1M2_PR
NEW met1 ( 2559600 4980015 ) M1M2_PR
NEW met2 ( 2559600 4979830 ) via2_FR
NEW met1 ( 2559600 4981125 ) M1M2_PR
NEW met3 ( 3382560 2030930 ) M3M4_PR_M
NEW met3 ( 3371040 2029450 ) M3M4_PR_M
NEW met2 ( 3368880 3366630 ) via2_FR
NEW met1 ( 3360720 3406775 ) M1M2_PR
NEW met1 ( 3368880 3406775 ) M1M2_PR
NEW met1 ( 3360720 3817475 ) M1M2_PR
NEW met1 ( 3372240 3817475 ) M1M2_PR
NEW met2 ( 3372240 3817660 ) via2_FR
NEW met2 ( 216720 1084470 ) via2_FR
NEW met2 ( 206640 1297590 ) via2_FR
NEW met3 ( 212640 1302030 ) M3M4_PR_M
NEW met3 ( 207840 3669290 ) M3M4_PR_M
NEW met1 ( 199920 4464235 ) M1M2_PR
NEW met1 ( 200880 4464235 ) M1M2_PR
NEW met2 ( 3382800 2688050 ) via2_FR
NEW met1 ( 3358800 2677875 ) M1M2_PR
NEW met1 ( 3382800 2677875 ) M1M2_PR
NEW met1 ( 3359760 2916895 ) M1M2_PR
NEW met1 ( 3373200 2916895 ) M1M2_PR
NEW met2 ( 3373200 2916710 ) via2_FR
NEW met1 ( 3358800 2916895 ) M1M2_PR
NEW met2 ( 3368880 3141670 ) via2_FR
NEW met1 ( 3359760 3139635 ) M1M2_PR
NEW met1 ( 3368880 3139635 ) M1M2_PR
NEW met1 ( 1070640 4980755 ) M1M2_PR
NEW met2 ( 1070640 4980570 ) via2_FR
NEW met1 ( 1329840 4980755 ) M1M2_PR
NEW met2 ( 1329840 4980570 ) via2_FR
NEW met1 ( 1901040 4989635 ) M1M2_PR
NEW met1 ( 1901040 4980385 ) M1M2_PR
NEW met2 ( 201360 4099970 ) via2_FR
NEW met2 ( 186480 4095530 ) via2_FR
NEW met1 ( 200400 4637025 ) M1M2_PR
NEW met1 ( 201360 4637025 ) M1M2_PR
NEW met2 ( 201360 4725270 ) via2_FR
NEW met1 ( 201360 4161945 ) M1M2_PR
NEW met1 ( 200400 4161575 ) M1M2_PR
NEW met1 ( 200400 4133085 ) M1M2_PR
NEW met1 ( 201360 4133085 ) M1M2_PR
NEW met1 ( 200400 4319935 ) M1M2_PR
NEW met1 ( 201360 4319935 ) M1M2_PR
NEW met1 ( 637200 4979275 ) M1M2_PR
NEW met1 ( 637200 4980015 ) M1M2_PR
NEW met2 ( 218160 2802750 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2520240 1210270 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3366960 1210270 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3382560 2060530 ) RECT ( -380 -150 0 150 )
NEW met4 ( 3371040 1578790 ) RECT ( -150 -800 150 0 )
NEW met2 ( 3360720 3592330 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3382800 2677875 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mprj_io_loader_resetn ( soc mprj_io_loader_resetn ) ( gpio_control_in\[9\] resetn ) ( gpio_control_in\[8\] resetn ) ( gpio_control_in\[7\] resetn )
( gpio_control_in\[6\] resetn ) ( gpio_control_in\[5\] resetn ) ( gpio_control_in\[4\] resetn ) ( gpio_control_in\[3\] resetn ) ( gpio_control_in\[37\] resetn )
( gpio_control_in\[36\] resetn ) ( gpio_control_in\[35\] resetn ) ( gpio_control_in\[34\] resetn ) ( gpio_control_in\[33\] resetn ) ( gpio_control_in\[32\] resetn )
( gpio_control_in\[31\] resetn ) ( gpio_control_in\[30\] resetn ) ( gpio_control_in\[2\] resetn ) ( gpio_control_in\[29\] resetn ) ( gpio_control_in\[28\] resetn )
( gpio_control_in\[27\] resetn ) ( gpio_control_in\[26\] resetn ) ( gpio_control_in\[25\] resetn ) ( gpio_control_in\[24\] resetn ) ( gpio_control_in\[23\] resetn )
( gpio_control_in\[22\] resetn ) ( gpio_control_in\[21\] resetn ) ( gpio_control_in\[20\] resetn ) ( gpio_control_in\[19\] resetn ) ( gpio_control_in\[18\] resetn )
( gpio_control_in\[17\] resetn ) ( gpio_control_in\[16\] resetn ) ( gpio_control_in\[15\] resetn ) ( gpio_control_in\[14\] resetn ) ( gpio_control_in\[13\] resetn )
( gpio_control_in\[12\] resetn ) ( gpio_control_in\[11\] resetn ) ( gpio_control_in\[10\] resetn ) ( gpio_control_bidir\[1\] resetn ) ( gpio_control_bidir\[0\] resetn )
+ ROUTED met3 ( 211680 2798680 0 ) ( 211680 2799050 )
NEW met3 ( 211680 2799050 ) ( 217200 2799050 )
NEW met3 ( 211680 3230470 0 ) ( 211680 3233430 )
NEW met3 ( 211680 3230470 0 ) ( 219120 3230470 )
NEW met3 ( 206880 3875750 ) ( 206880 3878710 0 )
NEW met3 ( 203040 1512560 0 ) ( 203040 1515150 )
NEW met3 ( 210720 1511450 ) ( 210720 1512560 0 )
NEW met3 ( 203040 1512560 0 ) ( 210720 1512560 0 )
NEW met3 ( 203040 1728640 0 ) ( 203040 1731230 )
NEW met4 ( 203040 1515150 ) ( 203040 1731230 )
NEW met2 ( 239760 4723790 ) ( 239760 4933395 )
NEW met3 ( 2813760 4979830 0 ) ( 2814480 4979830 )
NEW met2 ( 2814480 4979275 ) ( 2814480 4979830 )
NEW met1 ( 2814480 4979275 ) ( 2837040 4979275 )
NEW met2 ( 2837040 4932655 ) ( 2837040 4979275 )
NEW met2 ( 2814480 4979830 ) ( 2814480 4980385 )
NEW met2 ( 3399120 832130 ) ( 3399120 897250 )
NEW met2 ( 3400080 1050430 ) ( 3400080 1123505 )
NEW met3 ( 3372000 672660 ) ( 3373920 672660 0 )
NEW met3 ( 3372000 827690 ) ( 3378960 827690 )
NEW met2 ( 3378960 827690 ) ( 3378960 832130 )
NEW met3 ( 3378960 832130 ) ( 3399120 832130 )
NEW met3 ( 3383520 1050430 ) ( 3400080 1050430 )
NEW met3 ( 3369360 1222850 ) ( 3375840 1222850 )
NEW met3 ( 2549040 1211010 ) ( 3369360 1211010 )
NEW met3 ( 3376800 1799680 0 ) ( 3376800 1800790 )
NEW met3 ( 3370080 1800050 ) ( 3376800 1800050 )
NEW met3 ( 3383280 2059790 ) ( 3383520 2059790 )
NEW met3 ( 3383520 2465310 ) ( 3383520 2466790 0 )
NEW met3 ( 3383280 2465310 ) ( 3383520 2465310 )
NEW met1 ( 3304560 4705845 ) ( 3372240 4705845 )
NEW met2 ( 3372240 4705660 ) ( 3372240 4705845 )
NEW met3 ( 3372240 4705660 ) ( 3373920 4705660 0 )
NEW met1 ( 239760 4933395 ) ( 576720 4933395 )
NEW met2 ( 2549040 1167350 ) ( 2551920 1167350 0 )
NEW met2 ( 2549040 1167350 ) ( 2549040 1230065 )
NEW met4 ( 3372000 672660 ) ( 3372000 827690 )
NEW met3 ( 3383520 897250 ) ( 3383520 898730 0 )
NEW met3 ( 3383520 897250 ) ( 3399120 897250 )
NEW met3 ( 3369360 1123690 ) ( 3373920 1123690 0 )
NEW met2 ( 3369360 1123505 ) ( 3369360 1123690 )
NEW met2 ( 3369360 1123690 ) ( 3369360 1222850 )
NEW met1 ( 3369360 1123505 ) ( 3400080 1123505 )
NEW met3 ( 3375840 1348650 ) ( 3375840 1349390 0 )
NEW met3 ( 3372960 1348650 ) ( 3375840 1348650 )
NEW met4 ( 3375840 1222850 ) ( 3375840 1348650 )
NEW met3 ( 3373920 1571390 ) ( 3373920 1574350 0 )
NEW met3 ( 3372960 1571390 ) ( 3373920 1571390 )
NEW met3 ( 3370080 1574350 ) ( 3373920 1574350 0 )
NEW met4 ( 3372960 1348650 ) ( 3372960 1571390 )
NEW met4 ( 3370080 1574350 ) ( 3370080 1800050 )
NEW met2 ( 3383280 2059790 ) ( 3383280 2465310 )
NEW met3 ( 3373920 3585670 ) ( 3373920 3588630 0 )
NEW met3 ( 3372000 3585670 ) ( 3373920 3585670 )
NEW met3 ( 3372000 3584930 ) ( 3372000 3585670 )
NEW met3 ( 3370080 3584930 ) ( 3372000 3584930 )
NEW met1 ( 3361200 3585115 ) ( 3369840 3585115 )
NEW met2 ( 3369840 3584930 ) ( 3369840 3585115 )
NEW met3 ( 3369840 3584930 ) ( 3370080 3584930 )
NEW met1 ( 2837040 4932655 ) ( 3304560 4932655 )
NEW met2 ( 3304560 4705845 ) ( 3304560 4932655 )
NEW met3 ( 203040 1944350 0 ) ( 203040 1945830 )
NEW met3 ( 211680 2160430 0 ) ( 217200 2160430 )
NEW met3 ( 203040 2159690 ) ( 203040 2160430 0 )
NEW met3 ( 203040 2160430 0 ) ( 211680 2160430 0 )
NEW met3 ( 211680 3014390 0 ) ( 217200 3014390 )
NEW met3 ( 217200 3014390 ) ( 219120 3014390 )
NEW met3 ( 211680 3443590 ) ( 211680 3446550 0 )
NEW met3 ( 556320 4976870 ) ( 576720 4976870 )
NEW met3 ( 556320 4976870 ) ( 556320 4979090 )
NEW met3 ( 553440 4979090 0 ) ( 556320 4979090 )
NEW met2 ( 576720 4976870 ) ( 576720 4980385 )
NEW met2 ( 576720 4933395 ) ( 576720 4976870 )
NEW met2 ( 811440 4980385 ) ( 811440 4980570 )
NEW met3 ( 810720 4980570 0 ) ( 811440 4980570 )
NEW met2 ( 1581840 4979830 ) ( 1581840 4980015 )
NEW met3 ( 1581840 4979830 ) ( 1582560 4979830 0 )
NEW met2 ( 1835760 4979830 ) ( 1835760 4980015 )
NEW met3 ( 1834560 4979830 0 ) ( 1835760 4979830 )
NEW met2 ( 1835760 4980015 ) ( 1835760 4982235 )
NEW met2 ( 2170800 4979830 ) ( 2170800 4980015 )
NEW met3 ( 2170800 4979830 ) ( 2171520 4979830 0 )
NEW met2 ( 2232240 5026635 ) ( 2232240 5027745 )
NEW met1 ( 2196240 5027745 ) ( 2232240 5027745 )
NEW met2 ( 2196240 4980015 ) ( 2196240 5027745 )
NEW met1 ( 2170800 4980015 ) ( 2196240 4980015 )
NEW met2 ( 2350320 4980385 ) ( 2350320 5026635 )
NEW met2 ( 2555760 4980385 ) ( 2555760 4980570 )
NEW met3 ( 2555760 4980570 ) ( 2556480 4980570 0 )
NEW met4 ( 3383520 897250 ) ( 3383520 1050430 )
NEW met3 ( 3383520 2025750 0 ) ( 3383520 2026490 )
NEW met3 ( 3376800 2026490 ) ( 3383520 2026490 )
NEW met4 ( 3383520 2026490 ) ( 3383520 2059790 )
NEW met1 ( 3373680 3190695 ) ( 3377040 3190695 )
NEW met3 ( 3373680 3361450 ) ( 3373920 3361450 )
NEW met3 ( 3373920 3361450 ) ( 3373920 3362560 0 )
NEW met3 ( 3370080 3365150 ) ( 3373920 3365150 )
NEW met3 ( 3373920 3362560 0 ) ( 3373920 3365150 )
NEW met4 ( 3370080 3365150 ) ( 3370080 3584930 )
NEW met1 ( 3361200 3801935 ) ( 3369360 3801935 )
NEW met2 ( 3369360 3801935 ) ( 3369360 3813590 )
NEW met3 ( 3369360 3813590 ) ( 3373920 3813590 0 )
NEW met2 ( 3361200 3585115 ) ( 3361200 3801935 )
NEW met3 ( 211680 1080770 0 ) ( 217200 1080770 )
NEW met4 ( 203040 1731230 ) ( 203040 2159690 )
NEW met2 ( 217200 2160430 ) ( 217200 3014390 )
NEW met2 ( 219120 3014390 ) ( 219120 3230470 )
NEW met4 ( 211680 3233430 ) ( 211680 3443590 )
NEW met3 ( 206880 3662630 0 ) ( 206880 3665590 )
NEW met3 ( 210720 3661150 ) ( 210720 3662630 0 )
NEW met3 ( 206880 3662630 0 ) ( 210720 3662630 0 )
NEW met4 ( 206880 3665590 ) ( 206880 3875750 )
NEW met3 ( 202080 4094790 0 ) ( 202080 4097750 )
NEW met3 ( 202080 4097750 ) ( 202320 4097750 )
NEW met3 ( 206880 4093310 ) ( 206880 4094790 0 )
NEW met3 ( 202080 4094790 0 ) ( 206880 4094790 0 )
NEW met4 ( 206880 3875750 ) ( 206880 4093310 )
NEW met3 ( 202320 4724530 ) ( 211680 4724530 )
NEW met3 ( 211680 4723790 0 ) ( 211680 4724530 )
NEW met2 ( 202320 4097750 ) ( 202320 4724530 )
NEW met3 ( 211680 4723790 0 ) ( 239760 4723790 )
NEW met4 ( 3376800 1800790 ) ( 3376800 2026490 )
NEW met3 ( 3383280 2685090 ) ( 3383520 2685090 )
NEW met3 ( 3383520 2685090 ) ( 3383520 2686570 0 )
NEW met1 ( 3360240 2678245 ) ( 3383280 2678245 )
NEW met2 ( 3383280 2465310 ) ( 3383280 2685090 )
NEW met3 ( 3366480 2911530 ) ( 3373920 2911530 0 )
NEW met1 ( 3360240 2909495 ) ( 3366480 2909495 )
NEW met2 ( 3366480 2909495 ) ( 3366480 2911530 )
NEW met2 ( 3360240 2678245 ) ( 3360240 2909495 )
NEW met3 ( 3376800 3140190 ) ( 3377040 3140190 )
NEW met3 ( 3376800 3137600 0 ) ( 3376800 3140190 )
NEW met1 ( 3366480 3138895 ) ( 3377040 3138895 )
NEW met2 ( 3377040 3138895 ) ( 3377040 3140190 )
NEW met2 ( 3366480 2911530 ) ( 3366480 3138895 )
NEW met2 ( 3377040 3140190 ) ( 3377040 3190695 )
NEW met2 ( 3373680 3190695 ) ( 3373680 3361450 )
NEW met2 ( 1066800 4980385 ) ( 1066800 4980570 )
NEW met3 ( 1066800 4980570 ) ( 1067520 4980570 0 )
NEW met1 ( 1137360 4979275 ) ( 1137360 4979645 )
NEW met1 ( 1066800 4979275 ) ( 1137360 4979275 )
NEW met2 ( 1066800 4979275 ) ( 1066800 4980385 )
NEW met1 ( 811440 4980385 ) ( 1066800 4980385 )
NEW met1 ( 1252080 4979645 ) ( 1252080 4980015 )
NEW met1 ( 1137360 4979645 ) ( 1252080 4979645 )
NEW met1 ( 1835760 4980015 ) ( 2170800 4980015 )
NEW met1 ( 2232240 5026635 ) ( 2350320 5026635 )
NEW met1 ( 2350320 4980385 ) ( 2555760 4980385 )
NEW met1 ( 2555760 4980385 ) ( 2814480 4980385 )
NEW met3 ( 211680 1296850 0 ) ( 217200 1296850 )
NEW met2 ( 217200 1230065 ) ( 217200 1296850 )
NEW met3 ( 210720 1296850 0 ) ( 210720 1297590 )
NEW met3 ( 210720 1296850 0 ) ( 211680 1296850 0 )
NEW met4 ( 210720 1297590 ) ( 210720 1511450 )
NEW met2 ( 217200 1080770 ) ( 217200 1230065 )
NEW met3 ( 210720 3627850 ) ( 217440 3627850 )
NEW met4 ( 217440 3529430 ) ( 217440 3627850 )
NEW met3 ( 211680 3529430 ) ( 217440 3529430 )
NEW met3 ( 211680 3528690 ) ( 211680 3529430 )
NEW met4 ( 210720 3627850 ) ( 210720 3661150 )
NEW met4 ( 211680 3443590 ) ( 211680 3528690 )
NEW met2 ( 1323600 4979830 ) ( 1323600 4980015 )
NEW met3 ( 1323600 4979830 ) ( 1324320 4979830 0 )
NEW met2 ( 1339440 4979830 ) ( 1339440 4980015 )
NEW met2 ( 1338960 4979830 ) ( 1339440 4979830 )
NEW met2 ( 1338960 4979830 ) ( 1338960 4980015 )
NEW met1 ( 1323600 4980015 ) ( 1338960 4980015 )
NEW met1 ( 1252080 4980015 ) ( 1323600 4980015 )
NEW met2 ( 637680 4979275 ) ( 637680 4980385 )
NEW met1 ( 637680 4979275 ) ( 686160 4979275 )
NEW met1 ( 686160 4979275 ) ( 686160 4980385 )
NEW met1 ( 576720 4980385 ) ( 637680 4980385 )
NEW met1 ( 686160 4980385 ) ( 811440 4980385 )
NEW met1 ( 1339440 4980015 ) ( 1581840 4980015 )
NEW met2 ( 1598640 4979830 ) ( 1598640 4980015 )
NEW met2 ( 1598640 4979830 ) ( 1599120 4979830 )
NEW met2 ( 1599120 4979830 ) ( 1599120 4982235 )
NEW met1 ( 1581840 4980015 ) ( 1598640 4980015 )
NEW met1 ( 1599120 4982235 ) ( 1835760 4982235 )
NEW met1 ( 1699920 1229695 ) ( 1699920 1230065 )
NEW met1 ( 1699920 1229695 ) ( 1701360 1229695 )
NEW met2 ( 1701360 1229695 ) ( 1701360 1230805 )
NEW met1 ( 1701360 1230805 ) ( 1736880 1230805 )
NEW met2 ( 1736880 1230065 ) ( 1736880 1230805 )
NEW met1 ( 217200 1230065 ) ( 1699920 1230065 )
NEW met1 ( 1736880 1230065 ) ( 2549040 1230065 )
NEW met2 ( 217200 2799050 ) via2_FR
NEW met3 ( 211680 3233430 ) M3M4_PR_M
NEW met2 ( 219120 3230470 ) via2_FR
NEW met3 ( 206880 3875750 ) M3M4_PR_M
NEW met2 ( 3399120 832130 ) via2_FR
NEW met2 ( 3400080 1050430 ) via2_FR
NEW met3 ( 203040 1515150 ) M3M4_PR_M
NEW met3 ( 210720 1511450 ) M3M4_PR_M
NEW met3 ( 203040 1731230 ) M3M4_PR_M
NEW met2 ( 239760 4723790 ) via2_FR
NEW met1 ( 239760 4933395 ) M1M2_PR
NEW met1 ( 576720 4933395 ) M1M2_PR
NEW met2 ( 2814480 4979830 ) via2_FR
NEW met1 ( 2814480 4979275 ) M1M2_PR
NEW met1 ( 2837040 4979275 ) M1M2_PR
NEW met1 ( 2837040 4932655 ) M1M2_PR
NEW met1 ( 2814480 4980385 ) M1M2_PR
NEW met2 ( 3399120 897250 ) via2_FR
NEW met1 ( 3400080 1123505 ) M1M2_PR
NEW met1 ( 2549040 1230065 ) M1M2_PR
NEW met2 ( 2549040 1211010 ) via2_FR
NEW met3 ( 3372000 672660 ) M3M4_PR_M
NEW met3 ( 3372000 827690 ) M3M4_PR_M
NEW met2 ( 3378960 827690 ) via2_FR
NEW met2 ( 3378960 832130 ) via2_FR
NEW met3 ( 3383520 1050430 ) M3M4_PR_M
NEW met2 ( 3369360 1222850 ) via2_FR
NEW met3 ( 3375840 1222850 ) M3M4_PR_M
NEW met2 ( 3369360 1211010 ) via2_FR
NEW met3 ( 3376800 1800790 ) M3M4_PR_M
NEW met3 ( 3370080 1800050 ) M3M4_PR_M
NEW met3 ( 3383520 2059790 ) M3M4_PR_M
NEW met2 ( 3383280 2059790 ) via2_FR
NEW met2 ( 3383280 2465310 ) via2_FR
NEW met1 ( 3304560 4705845 ) M1M2_PR
NEW met1 ( 3372240 4705845 ) M1M2_PR
NEW met2 ( 3372240 4705660 ) via2_FR
NEW met3 ( 3383520 897250 ) M3M4_PR_M
NEW met2 ( 3369360 1123690 ) via2_FR
NEW met1 ( 3369360 1123505 ) M1M2_PR
NEW met3 ( 3375840 1348650 ) M3M4_PR_M
NEW met3 ( 3372960 1348650 ) M3M4_PR_M
NEW met3 ( 3372960 1571390 ) M3M4_PR_M
NEW met3 ( 3370080 1574350 ) M3M4_PR_M
NEW met3 ( 3370080 3584930 ) M3M4_PR_M
NEW met1 ( 3361200 3585115 ) M1M2_PR
NEW met1 ( 3369840 3585115 ) M1M2_PR
NEW met2 ( 3369840 3584930 ) via2_FR
NEW met1 ( 3304560 4932655 ) M1M2_PR
NEW met3 ( 203040 1945830 ) M3M4_PR_M
NEW met2 ( 217200 2160430 ) via2_FR
NEW met3 ( 203040 2159690 ) M3M4_PR_M
NEW met2 ( 217200 3014390 ) via2_FR
NEW met2 ( 219120 3014390 ) via2_FR
NEW met3 ( 211680 3443590 ) M3M4_PR_M
NEW met2 ( 576720 4976870 ) via2_FR
NEW met1 ( 576720 4980385 ) M1M2_PR
NEW met1 ( 811440 4980385 ) M1M2_PR
NEW met2 ( 811440 4980570 ) via2_FR
NEW met1 ( 1581840 4980015 ) M1M2_PR
NEW met2 ( 1581840 4979830 ) via2_FR
NEW met1 ( 1835760 4980015 ) M1M2_PR
NEW met2 ( 1835760 4979830 ) via2_FR
NEW met1 ( 1835760 4982235 ) M1M2_PR
NEW met1 ( 2170800 4980015 ) M1M2_PR
NEW met2 ( 2170800 4979830 ) via2_FR
NEW met1 ( 2232240 5026635 ) M1M2_PR
NEW met1 ( 2232240 5027745 ) M1M2_PR
NEW met1 ( 2196240 5027745 ) M1M2_PR
NEW met1 ( 2196240 4980015 ) M1M2_PR
NEW met1 ( 2350320 5026635 ) M1M2_PR
NEW met1 ( 2350320 4980385 ) M1M2_PR
NEW met1 ( 2555760 4980385 ) M1M2_PR
NEW met2 ( 2555760 4980570 ) via2_FR
NEW met3 ( 3383520 2026490 ) M3M4_PR_M
NEW met3 ( 3376800 2026490 ) M3M4_PR_M
NEW met1 ( 3373680 3190695 ) M1M2_PR
NEW met1 ( 3377040 3190695 ) M1M2_PR
NEW met2 ( 3373680 3361450 ) via2_FR
NEW met3 ( 3370080 3365150 ) M3M4_PR_M
NEW met1 ( 3361200 3801935 ) M1M2_PR
NEW met1 ( 3369360 3801935 ) M1M2_PR
NEW met2 ( 3369360 3813590 ) via2_FR
NEW met2 ( 217200 1080770 ) via2_FR
NEW met3 ( 206880 3665590 ) M3M4_PR_M
NEW met3 ( 210720 3661150 ) M3M4_PR_M
NEW met2 ( 202320 4097750 ) via2_FR
NEW met3 ( 206880 4093310 ) M3M4_PR_M
NEW met2 ( 202320 4724530 ) via2_FR
NEW met2 ( 3383280 2685090 ) via2_FR
NEW met1 ( 3360240 2678245 ) M1M2_PR
NEW met1 ( 3383280 2678245 ) M1M2_PR
NEW met2 ( 3366480 2911530 ) via2_FR
NEW met1 ( 3360240 2909495 ) M1M2_PR
NEW met1 ( 3366480 2909495 ) M1M2_PR
NEW met2 ( 3377040 3140190 ) via2_FR
NEW met1 ( 3366480 3138895 ) M1M2_PR
NEW met1 ( 3377040 3138895 ) M1M2_PR
NEW met1 ( 1066800 4980385 ) M1M2_PR
NEW met2 ( 1066800 4980570 ) via2_FR
NEW met1 ( 1066800 4979275 ) M1M2_PR
NEW met1 ( 217200 1230065 ) M1M2_PR
NEW met2 ( 217200 1296850 ) via2_FR
NEW met3 ( 210720 1297590 ) M3M4_PR_M
NEW met3 ( 210720 3627850 ) M3M4_PR_M
NEW met3 ( 217440 3627850 ) M3M4_PR_M
NEW met3 ( 217440 3529430 ) M3M4_PR_M
NEW met3 ( 211680 3528690 ) M3M4_PR_M
NEW met1 ( 1323600 4980015 ) M1M2_PR
NEW met2 ( 1323600 4979830 ) via2_FR
NEW met1 ( 1339440 4980015 ) M1M2_PR
NEW met1 ( 1338960 4980015 ) M1M2_PR
NEW met1 ( 637680 4980385 ) M1M2_PR
NEW met1 ( 637680 4979275 ) M1M2_PR
NEW met1 ( 1598640 4980015 ) M1M2_PR
NEW met1 ( 1599120 4982235 ) M1M2_PR
NEW met1 ( 1701360 1229695 ) M1M2_PR
NEW met1 ( 1701360 1230805 ) M1M2_PR
NEW met1 ( 1736880 1230805 ) M1M2_PR
NEW met1 ( 1736880 1230065 ) M1M2_PR
NEW met2 ( 217200 2799050 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2549040 1211010 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3369360 1211010 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3383520 2059790 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3369360 1123505 ) RECT ( -70 -300 70 0 )
NEW met3 ( 3369840 3584930 ) RECT ( -560 -150 0 150 )
NEW met4 ( 203040 1945830 ) RECT ( -150 -800 150 0 )
NEW met2 ( 3383280 2678245 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mprj_io_oeb\[0\] ( padframe mprj_io_oeb[0] ) ( gpio_control_bidir\[0\] pad_gpio_outenb )
+ ROUTED met2 ( 3377090 576090 ) ( 3377520 576090 )
NEW met2 ( 3377520 576090 ) ( 3377520 577755 )
NEW met1 ( 3377520 577755 ) ( 3377520 578865 )
NEW met2 ( 3377520 578865 ) ( 3377520 658970 )
NEW met3 ( 3377520 658970 ) ( 3377760 658970 )
NEW met3 ( 3377760 658970 ) ( 3377760 660450 0 )
NEW met2 ( 3377040 575720 0 ) ( 3377090 575720 )
NEW met2 ( 3377090 575720 ) ( 3377090 576090 )
NEW met1 ( 3377520 577755 ) M1M2_PR
NEW met1 ( 3377520 578865 ) M1M2_PR
NEW met2 ( 3377520 658970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[10\] ( padframe mprj_io_oeb[10] ) ( gpio_control_in\[10\] pad_gpio_outenb )
+ ROUTED met3 ( 3377520 3489470 ) ( 3377760 3489470 )
NEW met2 ( 3377520 3489470 ) ( 3377520 3491690 0 )
NEW met3 ( 3377760 3193470 ) ( 3378720 3193470 )
NEW met3 ( 3378720 3190510 ) ( 3378720 3193470 )
NEW met3 ( 3378720 3190510 ) ( 3378960 3190510 )
NEW met2 ( 3378960 3154990 ) ( 3378960 3190510 )
NEW met3 ( 3378960 3154990 ) ( 3379680 3154990 )
NEW met3 ( 3379680 3154250 ) ( 3379680 3154990 )
NEW met3 ( 3379680 3125390 0 ) ( 3379680 3128350 )
NEW met4 ( 3379680 3128350 ) ( 3379680 3154250 )
NEW met4 ( 3377760 3193470 ) ( 3377760 3489470 )
NEW met3 ( 3377760 3489470 ) M3M4_PR_M
NEW met2 ( 3377520 3489470 ) via2_FR
NEW met3 ( 3377760 3193470 ) M3M4_PR_M
NEW met2 ( 3378960 3190510 ) via2_FR
NEW met2 ( 3378960 3154990 ) via2_FR
NEW met3 ( 3379680 3154250 ) M3M4_PR_M
NEW met3 ( 3379680 3128350 ) M3M4_PR_M
NEW met3 ( 3377760 3489470 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_oeb\[11\] ( padframe mprj_io_oeb[11] ) ( gpio_control_in\[11\] pad_gpio_outenb )
+ ROUTED met3 ( 3372960 3715910 ) ( 3377040 3715910 )
NEW met2 ( 3377040 3715910 ) ( 3377040 3716650 0 )
NEW met3 ( 3372960 3352570 ) ( 3373920 3352570 )
NEW met3 ( 3373920 3350350 0 ) ( 3373920 3352570 )
NEW met4 ( 3372960 3352570 ) ( 3372960 3715910 )
NEW met3 ( 3372960 3715910 ) M3M4_PR_M
NEW met2 ( 3377040 3715910 ) via2_FR
NEW met3 ( 3372960 3352570 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[12\] ( padframe mprj_io_oeb[12] ) ( gpio_control_in\[12\] pad_gpio_outenb )
+ ROUTED met3 ( 3378720 3576420 0 ) ( 3378720 3579010 )
NEW met3 ( 3378480 3940130 ) ( 3378720 3940130 )
NEW met2 ( 3378480 3940130 ) ( 3378480 3941610 0 )
NEW met4 ( 3378720 3579010 ) ( 3378720 3940130 )
NEW met3 ( 3378720 3579010 ) M3M4_PR_M
NEW met3 ( 3378720 3940130 ) M3M4_PR_M
NEW met2 ( 3378480 3940130 ) via2_FR
NEW met3 ( 3378720 3940130 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_oeb\[13\] ( padframe mprj_io_oeb[13] ) ( gpio_control_in\[13\] pad_gpio_outenb )
+ ROUTED met2 ( 3378960 4384870 ) ( 3378960 4387615 0 )
NEW met3 ( 3378960 4384870 ) ( 3380640 4384870 )
NEW met3 ( 3380640 3801380 0 ) ( 3380640 3802490 )
NEW met4 ( 3380640 3802490 ) ( 3380640 4384870 )
NEW met2 ( 3378960 4384870 ) via2_FR
NEW met3 ( 3380640 4384870 ) M3M4_PR_M
NEW met3 ( 3380640 3802490 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[14\] ( padframe mprj_io_oeb[14] ) ( gpio_control_in\[14\] pad_gpio_outenb )
+ ROUTED met3 ( 3383520 4693450 0 ) ( 3383520 4696410 )
NEW met3 ( 3378960 4831830 ) ( 3383520 4831830 )
NEW met2 ( 3378960 4831830 ) ( 3378960 4833680 0 )
NEW met4 ( 3383520 4696410 ) ( 3383520 4831830 )
NEW met3 ( 3383520 4696410 ) M3M4_PR_M
NEW met3 ( 3383520 4831830 ) M3M4_PR_M
NEW met2 ( 3378960 4831830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[15\] ( padframe mprj_io_oeb[15] ) ( gpio_control_in\[15\] pad_gpio_outenb )
+ ROUTED met1 ( 2802960 5012205 ) ( 2832240 5012205 )
NEW met2 ( 2802960 5012205 ) ( 2802960 5012390 )
NEW met3 ( 2801280 5012390 0 ) ( 2802960 5012390 )
NEW met2 ( 2832240 4988895 ) ( 2832240 5012205 )
NEW met2 ( 2994000 4988895 ) ( 2994000 4997405 )
NEW met1 ( 2832240 4988895 ) ( 2994000 4988895 )
NEW met2 ( 3132720 4979090 ) ( 3134400 4979090 0 )
NEW met2 ( 3132720 4978905 ) ( 3132720 4979090 )
NEW met1 ( 3128880 4978905 ) ( 3132720 4978905 )
NEW met2 ( 3128880 4978905 ) ( 3128880 4998515 )
NEW met1 ( 3080400 4998515 ) ( 3128880 4998515 )
NEW met2 ( 3080400 4997405 ) ( 3080400 4998515 )
NEW met1 ( 2994000 4997405 ) ( 3080400 4997405 )
NEW met1 ( 2832240 5012205 ) M1M2_PR
NEW met1 ( 2802960 5012205 ) M1M2_PR
NEW met2 ( 2802960 5012390 ) via2_FR
NEW met1 ( 2832240 4988895 ) M1M2_PR
NEW met1 ( 2994000 4988895 ) M1M2_PR
NEW met1 ( 2994000 4997405 ) M1M2_PR
NEW met1 ( 3132720 4978905 ) M1M2_PR
NEW met1 ( 3128880 4978905 ) M1M2_PR
NEW met1 ( 3128880 4998515 ) M1M2_PR
NEW met1 ( 3080400 4998515 ) M1M2_PR
NEW met1 ( 3080400 4997405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[16\] ( padframe mprj_io_oeb[16] ) ( gpio_control_in\[16\] pad_gpio_outenb )
+ ROUTED met2 ( 2623920 4978350 ) ( 2625360 4978350 0 )
NEW met2 ( 2623920 4978350 ) ( 2623920 4978535 )
NEW met1 ( 2619120 4978535 ) ( 2623920 4978535 )
NEW met2 ( 2619120 4978535 ) ( 2619120 5001290 )
NEW met3 ( 2583600 5001290 ) ( 2619120 5001290 )
NEW met2 ( 2583600 5001290 ) ( 2583600 5003325 )
NEW met2 ( 2547120 5003325 ) ( 2547120 5003510 )
NEW met3 ( 2544480 5003510 0 ) ( 2547120 5003510 )
NEW met1 ( 2547120 5003325 ) ( 2583600 5003325 )
NEW met1 ( 2623920 4978535 ) M1M2_PR
NEW met1 ( 2619120 4978535 ) M1M2_PR
NEW met2 ( 2619120 5001290 ) via2_FR
NEW met2 ( 2583600 5001290 ) via2_FR
NEW met1 ( 2583600 5003325 ) M1M2_PR
NEW met1 ( 2547120 5003325 ) M1M2_PR
NEW met2 ( 2547120 5003510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[17\] ( padframe mprj_io_oeb[17] ) ( gpio_control_in\[17\] pad_gpio_outenb )
+ ROUTED met2 ( 2162640 5004805 ) ( 2162640 5004990 )
NEW met3 ( 2159520 5004990 0 ) ( 2162640 5004990 )
NEW met2 ( 2366640 4979090 ) ( 2368320 4979090 0 )
NEW met2 ( 2366640 4978905 ) ( 2366640 4979090 )
NEW met1 ( 2363280 4978905 ) ( 2366640 4978905 )
NEW met2 ( 2363280 4978905 ) ( 2363280 5004805 )
NEW met1 ( 2162640 5004805 ) ( 2363280 5004805 )
NEW met1 ( 2162640 5004805 ) M1M2_PR
NEW met2 ( 2162640 5004990 ) via2_FR
NEW met1 ( 2366640 4978905 ) M1M2_PR
NEW met1 ( 2363280 4978905 ) M1M2_PR
NEW met1 ( 2363280 5004805 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[18\] ( padframe mprj_io_oeb[18] ) ( gpio_control_in\[18\] pad_gpio_outenb )
+ ROUTED met2 ( 1922160 4978350 ) ( 1923360 4978350 0 )
NEW met2 ( 1922160 4978165 ) ( 1922160 4978350 )
NEW met1 ( 1918800 4978165 ) ( 1922160 4978165 )
NEW met2 ( 1918800 4978165 ) ( 1918800 5004990 )
NEW met2 ( 1918320 5004990 ) ( 1918800 5004990 )
NEW met2 ( 1918320 5004990 ) ( 1918320 5027375 )
NEW met2 ( 1825680 5027190 ) ( 1825680 5027375 )
NEW met3 ( 1822560 5027190 0 ) ( 1825680 5027190 )
NEW met1 ( 1825680 5027375 ) ( 1918320 5027375 )
NEW met1 ( 1922160 4978165 ) M1M2_PR
NEW met1 ( 1918800 4978165 ) M1M2_PR
NEW met1 ( 1918320 5027375 ) M1M2_PR
NEW met1 ( 1825680 5027375 ) M1M2_PR
NEW met2 ( 1825680 5027190 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[19\] ( padframe mprj_io_oeb[19] ) ( gpio_control_in\[19\] pad_gpio_outenb )
+ ROUTED met2 ( 1414320 4979090 0 ) ( 1415760 4979090 )
NEW met2 ( 1569360 5027005 ) ( 1569360 5027190 )
NEW met3 ( 1569360 5027190 ) ( 1570080 5027190 0 )
NEW met3 ( 1473120 4979090 ) ( 1473120 4979830 )
NEW met3 ( 1473120 4979830 ) ( 1492080 4979830 )
NEW met2 ( 1492080 4979830 ) ( 1492080 5027005 )
NEW met3 ( 1415760 4979090 ) ( 1473120 4979090 )
NEW met1 ( 1492080 5027005 ) ( 1569360 5027005 )
NEW met2 ( 1415760 4979090 ) via2_FR
NEW met1 ( 1569360 5027005 ) M1M2_PR
NEW met2 ( 1569360 5027190 ) via2_FR
NEW met2 ( 1492080 4979830 ) via2_FR
NEW met1 ( 1492080 5027005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[1\] ( padframe mprj_io_oeb[1] ) ( gpio_control_bidir\[1\] pad_gpio_outenb )
+ ROUTED met1 ( 3374640 803825 ) ( 3377040 803825 )
NEW met2 ( 3377040 801615 0 ) ( 3377040 803825 )
NEW met3 ( 3374640 885410 ) ( 3374880 885410 )
NEW met3 ( 3374880 885410 ) ( 3374880 886150 0 )
NEW met2 ( 3374640 803825 ) ( 3374640 885410 )
NEW met1 ( 3374640 803825 ) M1M2_PR
NEW met1 ( 3377040 803825 ) M1M2_PR
NEW met2 ( 3374640 885410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[20\] ( padframe mprj_io_oeb[20] ) ( gpio_control_in\[20\] pad_gpio_outenb )
+ ROUTED met2 ( 1157520 4968915 ) ( 1157520 4977610 )
NEW met2 ( 1156320 4977610 0 ) ( 1157520 4977610 )
NEW met2 ( 1312080 4968915 ) ( 1312080 4978350 )
NEW met3 ( 1312080 4978350 ) ( 1312320 4978350 )
NEW met3 ( 1312320 4978350 ) ( 1312320 4979090 0 )
NEW met1 ( 1157520 4968915 ) ( 1312080 4968915 )
NEW met1 ( 1157520 4968915 ) M1M2_PR
NEW met1 ( 1312080 4968915 ) M1M2_PR
NEW met2 ( 1312080 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[21\] ( padframe mprj_io_oeb[21] ) ( gpio_control_in\[21\] pad_gpio_outenb )
+ ROUTED met2 ( 899280 4968175 ) ( 899280 4977610 0 )
NEW met2 ( 1055280 4968175 ) ( 1055280 4976870 )
NEW met3 ( 1055280 4976870 ) ( 1055520 4976870 )
NEW met3 ( 1055520 4976870 ) ( 1055520 4979090 0 )
NEW met1 ( 899280 4968175 ) ( 1055280 4968175 )
NEW met1 ( 899280 4968175 ) M1M2_PR
NEW met1 ( 1055280 4968175 ) M1M2_PR
NEW met2 ( 1055280 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[22\] ( padframe mprj_io_oeb[22] ) ( gpio_control_in\[22\] pad_gpio_outenb )
+ ROUTED met2 ( 798000 4968175 ) ( 798000 4978350 )
NEW met3 ( 798000 4978350 ) ( 798240 4978350 )
NEW met3 ( 798240 4978350 ) ( 798240 4979090 0 )
NEW met2 ( 642480 4968175 ) ( 642480 4977610 0 )
NEW met1 ( 642480 4968175 ) ( 798000 4968175 )
NEW met1 ( 798000 4968175 ) M1M2_PR
NEW met2 ( 798000 4978350 ) via2_FR
NEW met1 ( 642480 4968175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[23\] ( padframe mprj_io_oeb[23] ) ( gpio_control_in\[23\] pad_gpio_outenb )
+ ROUTED met2 ( 386640 4968175 ) ( 386640 4977610 )
NEW met2 ( 385440 4977610 0 ) ( 386640 4977610 )
NEW met2 ( 541200 4968175 ) ( 541200 4976870 )
NEW met3 ( 541200 4976870 ) ( 541440 4976870 )
NEW met3 ( 541440 4976870 ) ( 541440 4979090 0 )
NEW met1 ( 386640 4968175 ) ( 541200 4968175 )
NEW met1 ( 386640 4968175 ) M1M2_PR
NEW met1 ( 541200 4968175 ) M1M2_PR
NEW met2 ( 541200 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[24\] ( padframe mprj_io_oeb[24] ) ( gpio_control_in\[24\] pad_gpio_outenb )
+ ROUTED met2 ( 209040 4773185 ) ( 209040 4775385 0 )
NEW met1 ( 209040 4772075 ) ( 209040 4773185 )
NEW met1 ( 186960 4760975 ) ( 209040 4760975 )
NEW met2 ( 186960 4714170 ) ( 186960 4760975 )
NEW met3 ( 186720 4714170 ) ( 186960 4714170 )
NEW met3 ( 186720 4711210 0 ) ( 186720 4714170 )
NEW met2 ( 209040 4760975 ) ( 209040 4772075 )
NEW met1 ( 209040 4773185 ) M1M2_PR
NEW met1 ( 209040 4772075 ) M1M2_PR
NEW met1 ( 209040 4760975 ) M1M2_PR
NEW met1 ( 186960 4760975 ) M1M2_PR
NEW met2 ( 186960 4714170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[25\] ( padframe mprj_io_oeb[25] ) ( gpio_control_in\[25\] pad_gpio_outenb )
+ ROUTED met3 ( 208800 3952710 ) ( 213840 3952710 )
NEW met2 ( 213840 3928845 ) ( 213840 3952710 )
NEW met1 ( 210480 3928845 ) ( 213840 3928845 )
NEW met2 ( 210480 3926440 0 ) ( 210480 3928845 )
NEW met3 ( 201360 4009690 ) ( 208800 4009690 )
NEW met4 ( 208800 3952710 ) ( 208800 4009690 )
NEW met3 ( 201120 4079250 ) ( 201360 4079250 )
NEW met3 ( 201120 4079250 ) ( 201120 4082210 0 )
NEW met2 ( 201360 4009690 ) ( 201360 4079250 )
NEW met3 ( 208800 3952710 ) M3M4_PR_M
NEW met2 ( 213840 3952710 ) via2_FR
NEW met1 ( 213840 3928845 ) M1M2_PR
NEW met1 ( 210480 3928845 ) M1M2_PR
NEW met2 ( 201360 4009690 ) via2_FR
NEW met3 ( 208800 4009690 ) M3M4_PR_M
NEW met2 ( 201360 4079250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[26\] ( padframe mprj_io_oeb[26] ) ( gpio_control_in\[26\] pad_gpio_outenb )
+ ROUTED met3 ( 194160 3863170 ) ( 194400 3863170 )
NEW met3 ( 194400 3863170 ) ( 194400 3866130 0 )
NEW met3 ( 194160 3801010 ) ( 205920 3801010 )
NEW met2 ( 194160 3801010 ) ( 194160 3863170 )
NEW met3 ( 205920 3733670 ) ( 214800 3733670 )
NEW met2 ( 214800 3713135 ) ( 214800 3733670 )
NEW met1 ( 210960 3713135 ) ( 214800 3713135 )
NEW met2 ( 210960 3710360 0 ) ( 210960 3713135 )
NEW met4 ( 205920 3733670 ) ( 205920 3801010 )
NEW met2 ( 194160 3863170 ) via2_FR
NEW met2 ( 194160 3801010 ) via2_FR
NEW met3 ( 205920 3801010 ) M3M4_PR_M
NEW met3 ( 205920 3733670 ) M3M4_PR_M
NEW met2 ( 214800 3733670 ) via2_FR
NEW met1 ( 214800 3713135 ) M1M2_PR
NEW met1 ( 210960 3713135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[27\] ( padframe mprj_io_oeb[27] ) ( gpio_control_in\[27\] pad_gpio_outenb )
+ ROUTED met3 ( 211680 3647830 ) ( 215520 3647830 )
NEW met3 ( 211680 3647830 ) ( 211680 3650420 0 )
NEW met2 ( 210960 3494280 0 ) ( 210960 3495945 )
NEW met1 ( 210960 3495945 ) ( 215280 3495945 )
NEW met2 ( 215280 3495945 ) ( 215280 3513890 )
NEW met3 ( 215280 3513890 ) ( 215520 3513890 )
NEW met4 ( 215520 3513890 ) ( 215520 3647830 )
NEW met3 ( 215520 3647830 ) M3M4_PR_M
NEW met1 ( 210960 3495945 ) M1M2_PR
NEW met1 ( 215280 3495945 ) M1M2_PR
NEW met2 ( 215280 3513890 ) via2_FR
NEW met3 ( 215520 3513890 ) M3M4_PR_M
NEW met3 ( 215280 3513890 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_oeb\[28\] ( padframe mprj_io_oeb[28] ) ( gpio_control_in\[28\] pad_gpio_outenb )
+ ROUTED met3 ( 201360 3369590 ) ( 204000 3369590 )
NEW met2 ( 201360 3369590 ) ( 201360 3431750 )
NEW met3 ( 201120 3431750 ) ( 201360 3431750 )
NEW met3 ( 201120 3431750 ) ( 201120 3434340 0 )
NEW met3 ( 204000 3311870 ) ( 215760 3311870 )
NEW met2 ( 215760 3280975 ) ( 215760 3311870 )
NEW met1 ( 210960 3280975 ) ( 215760 3280975 )
NEW met2 ( 210960 3278385 0 ) ( 210960 3280975 )
NEW met4 ( 204000 3311870 ) ( 204000 3369590 )
NEW met3 ( 204000 3369590 ) M3M4_PR_M
NEW met2 ( 201360 3369590 ) via2_FR
NEW met2 ( 201360 3431750 ) via2_FR
NEW met3 ( 204000 3311870 ) M3M4_PR_M
NEW met2 ( 215760 3311870 ) via2_FR
NEW met1 ( 215760 3280975 ) M1M2_PR
NEW met1 ( 210960 3280975 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[29\] ( padframe mprj_io_oeb[29] ) ( gpio_control_in\[29\] pad_gpio_outenb )
+ ROUTED met3 ( 199920 3215670 ) ( 200160 3215670 )
NEW met3 ( 200160 3215670 ) ( 200160 3218630 0 )
NEW met3 ( 199920 3139450 ) ( 203040 3139450 )
NEW met4 ( 203040 3063970 ) ( 203040 3139450 )
NEW met3 ( 203040 3063970 ) ( 209040 3063970 )
NEW met2 ( 209040 3062490 0 ) ( 209040 3063970 )
NEW met2 ( 199920 3139450 ) ( 199920 3215670 )
NEW met2 ( 199920 3215670 ) via2_FR
NEW met2 ( 199920 3139450 ) via2_FR
NEW met3 ( 203040 3139450 ) M3M4_PR_M
NEW met3 ( 203040 3063970 ) M3M4_PR_M
NEW met2 ( 209040 3063970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[2\] ( padframe mprj_io_oeb[2] ) ( gpio_control_in\[2\] pad_gpio_outenb )
+ ROUTED met3 ( 3372240 1111110 ) ( 3373920 1111110 0 )
NEW met1 ( 3372240 1028785 ) ( 3377520 1028785 )
NEW met2 ( 3377520 1026750 0 ) ( 3377520 1028785 )
NEW met2 ( 3372240 1028785 ) ( 3372240 1111110 )
NEW met2 ( 3372240 1111110 ) via2_FR
NEW met1 ( 3372240 1028785 ) M1M2_PR
NEW met1 ( 3377520 1028785 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[30\] ( padframe mprj_io_oeb[30] ) ( gpio_control_in\[30\] pad_gpio_outenb )
+ ROUTED met1 ( 210480 2848815 ) ( 214800 2848815 )
NEW met2 ( 210480 2846410 0 ) ( 210480 2848815 )
NEW met3 ( 204960 2999590 ) ( 204960 3002550 0 )
NEW met3 ( 204960 2865650 ) ( 212400 2865650 )
NEW met2 ( 212400 2863245 ) ( 212400 2865650 )
NEW met1 ( 212400 2863245 ) ( 214800 2863245 )
NEW met4 ( 204960 2865650 ) ( 204960 2999590 )
NEW met2 ( 214800 2848815 ) ( 214800 2863245 )
NEW met1 ( 214800 2848815 ) M1M2_PR
NEW met1 ( 210480 2848815 ) M1M2_PR
NEW met3 ( 204960 2999590 ) M3M4_PR_M
NEW met3 ( 204960 2865650 ) M3M4_PR_M
NEW met2 ( 212400 2865650 ) via2_FR
NEW met1 ( 212400 2863245 ) M1M2_PR
NEW met1 ( 214800 2863245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[31\] ( padframe mprj_io_oeb[31] ) ( gpio_control_in\[31\] pad_gpio_outenb )
+ ROUTED met3 ( 204000 2783510 ) ( 204000 2786470 0 )
NEW met3 ( 204000 2632550 ) ( 209040 2632550 )
NEW met2 ( 209040 2630330 0 ) ( 209040 2632550 )
NEW met4 ( 204000 2632550 ) ( 204000 2783510 )
NEW met3 ( 204000 2783510 ) M3M4_PR_M
NEW met3 ( 204000 2632550 ) M3M4_PR_M
NEW met2 ( 209040 2632550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[32\] ( padframe mprj_io_oeb[32] ) ( gpio_control_in\[32\] pad_gpio_outenb )
+ ROUTED met3 ( 204960 1993930 ) ( 209040 1993930 )
NEW met2 ( 209040 1992450 0 ) ( 209040 1993930 )
NEW met3 ( 204960 2147110 ) ( 204960 2148590 0 )
NEW met4 ( 204960 1993930 ) ( 204960 2147110 )
NEW met3 ( 204960 1993930 ) M3M4_PR_M
NEW met2 ( 209040 1993930 ) via2_FR
NEW met3 ( 204960 2147110 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[33\] ( padframe mprj_io_oeb[33] ) ( gpio_control_in\[33\] pad_gpio_outenb )
+ ROUTED met3 ( 204960 1777850 ) ( 209040 1777850 )
NEW met2 ( 209040 1776370 0 ) ( 209040 1777850 )
NEW met3 ( 204960 1930290 ) ( 204960 1932510 0 )
NEW met4 ( 204960 1777850 ) ( 204960 1930290 )
NEW met3 ( 204960 1777850 ) M3M4_PR_M
NEW met2 ( 209040 1777850 ) via2_FR
NEW met3 ( 204960 1930290 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[34\] ( padframe mprj_io_oeb[34] ) ( gpio_control_in\[34\] pad_gpio_outenb )
+ ROUTED met3 ( 204000 1561770 ) ( 209040 1561770 )
NEW met2 ( 209040 1560290 0 ) ( 209040 1561770 )
NEW met3 ( 204000 1714210 ) ( 204000 1716430 0 )
NEW met4 ( 204000 1561770 ) ( 204000 1714210 )
NEW met3 ( 204000 1561770 ) M3M4_PR_M
NEW met2 ( 209040 1561770 ) via2_FR
NEW met3 ( 204000 1714210 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[35\] ( padframe mprj_io_oeb[35] ) ( gpio_control_in\[35\] pad_gpio_outenb )
+ ROUTED met3 ( 204960 1498130 ) ( 204960 1500350 0 )
NEW met3 ( 204960 1345690 ) ( 209040 1345690 )
NEW met2 ( 209040 1344385 0 ) ( 209040 1345690 )
NEW met4 ( 204960 1345690 ) ( 204960 1498130 )
NEW met3 ( 204960 1498130 ) M3M4_PR_M
NEW met3 ( 204960 1345690 ) M3M4_PR_M
NEW met2 ( 209040 1345690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[36\] ( padframe mprj_io_oeb[36] ) ( gpio_control_in\[36\] pad_gpio_outenb )
+ ROUTED met3 ( 202080 1281310 ) ( 202080 1284270 0 )
NEW met3 ( 202080 1209530 ) ( 211680 1209530 )
NEW met4 ( 211680 1131090 ) ( 211680 1209530 )
NEW met3 ( 210480 1131090 ) ( 211680 1131090 )
NEW met2 ( 210480 1128500 0 ) ( 210480 1131090 )
NEW met4 ( 202080 1209530 ) ( 202080 1281310 )
NEW met3 ( 202080 1281310 ) M3M4_PR_M
NEW met3 ( 202080 1209530 ) M3M4_PR_M
NEW met3 ( 211680 1209530 ) M3M4_PR_M
NEW met3 ( 211680 1131090 ) M3M4_PR_M
NEW met2 ( 210480 1131090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[37\] ( padframe mprj_io_oeb[37] ) ( gpio_control_in\[37\] pad_gpio_outenb )
+ ROUTED met3 ( 203040 914270 ) ( 209040 914270 )
NEW met2 ( 209040 912420 0 ) ( 209040 914270 )
NEW met3 ( 203040 1065970 ) ( 203040 1068190 0 )
NEW met4 ( 203040 914270 ) ( 203040 1065970 )
NEW met3 ( 203040 914270 ) M3M4_PR_M
NEW met2 ( 209040 914270 ) via2_FR
NEW met3 ( 203040 1065970 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[3\] ( padframe mprj_io_oeb[3] ) ( gpio_control_in\[3\] pad_gpio_outenb )
+ ROUTED met1 ( 3372720 1254855 ) ( 3377040 1254855 )
NEW met2 ( 3377040 1252615 0 ) ( 3377040 1254855 )
NEW met3 ( 3372720 1336070 ) ( 3373920 1336070 )
NEW met3 ( 3373920 1336070 ) ( 3373920 1337550 0 )
NEW met2 ( 3372720 1254855 ) ( 3372720 1336070 )
NEW met1 ( 3372720 1254855 ) M1M2_PR
NEW met1 ( 3377040 1254855 ) M1M2_PR
NEW met2 ( 3372720 1336070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[4\] ( padframe mprj_io_oeb[4] ) ( gpio_control_in\[4\] pad_gpio_outenb )
+ ROUTED met2 ( 3375600 1477615 ) ( 3377040 1477615 0 )
NEW met3 ( 3375600 1561030 ) ( 3375840 1561030 )
NEW met3 ( 3375840 1561030 ) ( 3375840 1562510 0 )
NEW met2 ( 3375600 1477615 ) ( 3375600 1561030 )
NEW met2 ( 3375600 1561030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[5\] ( padframe mprj_io_oeb[5] ) ( gpio_control_in\[5\] pad_gpio_outenb )
+ ROUTED met3 ( 3373200 1785990 ) ( 3373920 1785990 )
NEW met3 ( 3373920 1785990 ) ( 3373920 1787470 0 )
NEW met1 ( 3373200 1704775 ) ( 3377520 1704775 )
NEW met2 ( 3377520 1702740 0 ) ( 3377520 1704775 )
NEW met2 ( 3373200 1704775 ) ( 3373200 1785990 )
NEW met2 ( 3373200 1785990 ) via2_FR
NEW met1 ( 3373200 1704775 ) M1M2_PR
NEW met1 ( 3377520 1704775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[6\] ( padframe mprj_io_oeb[6] ) ( gpio_control_in\[6\] pad_gpio_outenb )
+ ROUTED met2 ( 3377040 1928615 0 ) ( 3377040 1930845 )
NEW met1 ( 3377040 1930845 ) ( 3377040 1931955 )
NEW met2 ( 3377040 1931955 ) ( 3377040 2010210 )
NEW met3 ( 3376800 2010210 ) ( 3377040 2010210 )
NEW met3 ( 3376800 2010210 ) ( 3376800 2013170 0 )
NEW met1 ( 3377040 1930845 ) M1M2_PR
NEW met1 ( 3377040 1931955 ) M1M2_PR
NEW met2 ( 3377040 2010210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[7\] ( padframe mprj_io_oeb[7] ) ( gpio_control_in\[7\] pad_gpio_outenb )
+ ROUTED met3 ( 3376800 2454210 0 ) ( 3376800 2457170 )
NEW met3 ( 3376800 2812370 ) ( 3377520 2812370 )
NEW met2 ( 3377520 2812370 ) ( 3377520 2814590 0 )
NEW met4 ( 3376800 2457170 ) ( 3376800 2812370 )
NEW met3 ( 3376800 2457170 ) M3M4_PR_M
NEW met3 ( 3376800 2812370 ) M3M4_PR_M
NEW met2 ( 3377520 2812370 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[8\] ( padframe mprj_io_oeb[8] ) ( gpio_control_in\[8\] pad_gpio_outenb )
+ ROUTED met3 ( 3370080 3038810 ) ( 3377040 3038810 )
NEW met2 ( 3377040 3038810 ) ( 3377040 3040660 0 )
NEW met3 ( 3370080 2676950 ) ( 3373920 2676950 )
NEW met3 ( 3373920 2674360 0 ) ( 3373920 2676950 )
NEW met4 ( 3370080 2676950 ) ( 3370080 3038810 )
NEW met3 ( 3370080 3038810 ) M3M4_PR_M
NEW met2 ( 3377040 3038810 ) via2_FR
NEW met3 ( 3370080 2676950 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[9\] ( padframe mprj_io_oeb[9] ) ( gpio_control_in\[9\] pad_gpio_outenb )
+ ROUTED met3 ( 3376800 2899320 0 ) ( 3376800 2901910 )
NEW met3 ( 3376800 3263030 ) ( 3377040 3263030 )
NEW met2 ( 3377040 3263030 ) ( 3377040 3265620 0 )
NEW met4 ( 3376800 2901910 ) ( 3376800 3263030 )
NEW met3 ( 3376800 2901910 ) M3M4_PR_M
NEW met3 ( 3376800 3263030 ) M3M4_PR_M
NEW met2 ( 3377040 3263030 ) via2_FR
NEW met3 ( 3376800 3263030 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_out\[0\] ( padframe mprj_io_out[0] ) ( gpio_control_bidir\[0\] pad_gpio_out )
+ ROUTED met3 ( 3375840 655270 ) ( 3376080 655270 )
NEW met3 ( 3375840 655270 ) ( 3375840 656010 0 )
NEW met2 ( 3376080 559975 ) ( 3377040 559975 0 )
NEW met2 ( 3376080 559975 ) ( 3376080 655270 )
NEW met2 ( 3376080 655270 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[10\] ( padframe mprj_io_out[10] ) ( gpio_control_in\[10\] pad_gpio_out )
+ ROUTED met3 ( 3378480 3473930 ) ( 3378720 3473930 )
NEW met2 ( 3378480 3473930 ) ( 3378480 3475975 0 )
NEW met4 ( 3378720 3205125 ) ( 3379680 3205125 )
NEW met4 ( 3379680 3199390 ) ( 3379680 3205125 )
NEW met3 ( 3379680 3197170 ) ( 3379680 3199390 )
NEW met3 ( 3378720 3197170 ) ( 3379680 3197170 )
NEW met4 ( 3378720 3154250 ) ( 3378720 3197170 )
NEW met3 ( 3378720 3154250 ) ( 3378960 3154250 )
NEW met3 ( 3378720 3152030 ) ( 3378960 3152030 )
NEW met4 ( 3378720 3123910 ) ( 3378720 3152030 )
NEW met3 ( 3378720 3121320 0 ) ( 3378720 3123910 )
NEW met2 ( 3378960 3152030 ) ( 3378960 3154250 )
NEW met4 ( 3378720 3205125 ) ( 3378720 3473930 )
NEW met3 ( 3378720 3473930 ) M3M4_PR_M
NEW met2 ( 3378480 3473930 ) via2_FR
NEW met3 ( 3379680 3199390 ) M3M4_PR_M
NEW met3 ( 3378720 3197170 ) M3M4_PR_M
NEW met3 ( 3378720 3154250 ) M3M4_PR_M
NEW met2 ( 3378960 3154250 ) via2_FR
NEW met2 ( 3378960 3152030 ) via2_FR
NEW met3 ( 3378720 3152030 ) M3M4_PR_M
NEW met3 ( 3378720 3123910 ) M3M4_PR_M
NEW met3 ( 3378720 3473930 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3378720 3154250 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3378960 3152030 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_out\[11\] ( padframe mprj_io_out[11] ) ( gpio_control_in\[11\] pad_gpio_out )
+ ROUTED met3 ( 3374880 3701850 ) ( 3377520 3701850 )
NEW met2 ( 3377520 3701110 0 ) ( 3377520 3701850 )
NEW met3 ( 3374880 3346280 0 ) ( 3374880 3348870 )
NEW met4 ( 3374880 3348870 ) ( 3374880 3701850 )
NEW met3 ( 3374880 3701850 ) M3M4_PR_M
NEW met2 ( 3377520 3701850 ) via2_FR
NEW met3 ( 3374880 3348870 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[12\] ( padframe mprj_io_out[12] ) ( gpio_control_in\[12\] pad_gpio_out )
+ ROUTED met3 ( 3379680 3572350 0 ) ( 3379680 3575310 )
NEW met3 ( 3378960 3923850 ) ( 3379680 3923850 )
NEW met2 ( 3378960 3923850 ) ( 3378960 3926070 0 )
NEW met4 ( 3379680 3575310 ) ( 3379680 3923850 )
NEW met3 ( 3379680 3575310 ) M3M4_PR_M
NEW met3 ( 3379680 3923850 ) M3M4_PR_M
NEW met2 ( 3378960 3923850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[13\] ( padframe mprj_io_out[13] ) ( gpio_control_in\[13\] pad_gpio_out )
+ ROUTED met3 ( 3372960 4370070 ) ( 3377520 4370070 )
NEW met2 ( 3377520 4370070 ) ( 3377520 4371920 0 )
NEW met3 ( 3372960 3800270 ) ( 3373920 3800270 )
NEW met3 ( 3373920 3797310 0 ) ( 3373920 3800270 )
NEW met4 ( 3372960 3800270 ) ( 3372960 4370070 )
NEW met3 ( 3372960 4370070 ) M3M4_PR_M
NEW met2 ( 3377520 4370070 ) via2_FR
NEW met3 ( 3372960 3800270 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[14\] ( padframe mprj_io_out[14] ) ( gpio_control_in\[14\] pad_gpio_out )
+ ROUTED met3 ( 3382560 4689010 0 ) ( 3382560 4690490 )
NEW met2 ( 3378960 4816290 ) ( 3378960 4817975 0 )
NEW met3 ( 3378960 4816290 ) ( 3382560 4816290 )
NEW met4 ( 3382560 4690490 ) ( 3382560 4816290 )
NEW met3 ( 3382560 4690490 ) M3M4_PR_M
NEW met2 ( 3378960 4816290 ) via2_FR
NEW met3 ( 3382560 4816290 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[15\] ( padframe mprj_io_out[15] ) ( gpio_control_in\[15\] pad_gpio_out )
+ ROUTED met1 ( 2800560 5011835 ) ( 2823600 5011835 )
NEW met2 ( 2800560 5011650 ) ( 2800560 5011835 )
NEW met3 ( 2797440 5011650 0 ) ( 2800560 5011650 )
NEW met1 ( 2823600 5005175 ) ( 2850960 5005175 )
NEW met2 ( 2850960 4988710 ) ( 2850960 5005175 )
NEW met2 ( 2823600 5005175 ) ( 2823600 5011835 )
NEW met2 ( 2851440 4987230 ) ( 2851440 4988710 )
NEW met2 ( 2851440 4987230 ) ( 2852400 4987230 )
NEW met2 ( 2852400 4975390 ) ( 2852400 4987230 )
NEW met2 ( 2850960 4988710 ) ( 2851440 4988710 )
NEW met2 ( 3150000 4975390 ) ( 3150000 4977610 0 )
NEW met3 ( 2852400 4975390 ) ( 3150000 4975390 )
NEW met1 ( 2823600 5011835 ) M1M2_PR
NEW met1 ( 2800560 5011835 ) M1M2_PR
NEW met2 ( 2800560 5011650 ) via2_FR
NEW met1 ( 2823600 5005175 ) M1M2_PR
NEW met1 ( 2850960 5005175 ) M1M2_PR
NEW met2 ( 2852400 4975390 ) via2_FR
NEW met2 ( 3150000 4975390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[16\] ( padframe mprj_io_out[16] ) ( gpio_control_in\[16\] pad_gpio_out )
+ ROUTED met2 ( 2639760 4979090 ) ( 2640960 4979090 0 )
NEW met3 ( 2620080 4979090 ) ( 2639760 4979090 )
NEW met2 ( 2620080 4979090 ) ( 2620080 4997405 )
NEW met2 ( 2543280 4997405 ) ( 2543280 4997590 )
NEW met3 ( 2540160 4997590 0 ) ( 2543280 4997590 )
NEW met1 ( 2543280 4997405 ) ( 2620080 4997405 )
NEW met2 ( 2639760 4979090 ) via2_FR
NEW met2 ( 2620080 4979090 ) via2_FR
NEW met1 ( 2620080 4997405 ) M1M2_PR
NEW met1 ( 2543280 4997405 ) M1M2_PR
NEW met2 ( 2543280 4997590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[17\] ( padframe mprj_io_out[17] ) ( gpio_control_in\[17\] pad_gpio_out )
+ ROUTED met2 ( 2232240 5005175 ) ( 2232240 5011650 )
NEW met2 ( 2231760 5011650 ) ( 2232240 5011650 )
NEW met2 ( 2231760 5011650 ) ( 2231760 5026635 )
NEW met1 ( 2157840 5026635 ) ( 2231760 5026635 )
NEW met2 ( 2157840 5026450 ) ( 2157840 5026635 )
NEW met3 ( 2155200 5026450 0 ) ( 2157840 5026450 )
NEW met2 ( 2382000 4977610 ) ( 2383920 4977610 0 )
NEW met2 ( 2382000 4977610 ) ( 2382000 4977795 )
NEW met1 ( 2361840 4977795 ) ( 2382000 4977795 )
NEW met2 ( 2361840 4977795 ) ( 2361840 5005175 )
NEW met1 ( 2232240 5005175 ) ( 2361840 5005175 )
NEW met1 ( 2232240 5005175 ) M1M2_PR
NEW met1 ( 2231760 5026635 ) M1M2_PR
NEW met1 ( 2157840 5026635 ) M1M2_PR
NEW met2 ( 2157840 5026450 ) via2_FR
NEW met1 ( 2382000 4977795 ) M1M2_PR
NEW met1 ( 2361840 4977795 ) M1M2_PR
NEW met1 ( 2361840 5005175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[18\] ( padframe mprj_io_out[18] ) ( gpio_control_in\[18\] pad_gpio_out )
+ ROUTED met2 ( 1821360 5025525 ) ( 1821360 5025710 )
NEW met3 ( 1818240 5025710 0 ) ( 1821360 5025710 )
NEW met2 ( 1937520 4979090 ) ( 1938960 4979090 0 )
NEW met2 ( 1937520 4978905 ) ( 1937520 4979090 )
NEW met1 ( 1937520 4978905 ) ( 1937520 4979275 )
NEW met1 ( 1914960 4979275 ) ( 1937520 4979275 )
NEW met2 ( 1914960 4979275 ) ( 1914960 5025525 )
NEW met1 ( 1900560 5025525 ) ( 1914960 5025525 )
NEW met1 ( 1900560 5025525 ) ( 1900560 5025895 )
NEW met1 ( 1844880 5025895 ) ( 1900560 5025895 )
NEW met1 ( 1844880 5025525 ) ( 1844880 5025895 )
NEW met1 ( 1821360 5025525 ) ( 1844880 5025525 )
NEW met1 ( 1821360 5025525 ) M1M2_PR
NEW met2 ( 1821360 5025710 ) via2_FR
NEW met1 ( 1937520 4978905 ) M1M2_PR
NEW met1 ( 1914960 4979275 ) M1M2_PR
NEW met1 ( 1914960 5025525 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[19\] ( padframe mprj_io_out[19] ) ( gpio_control_in\[19\] pad_gpio_out )
+ ROUTED met2 ( 1430160 4978350 0 ) ( 1431600 4978350 )
NEW met2 ( 1564080 5027190 ) ( 1564080 5027375 )
NEW met3 ( 1564080 5027190 ) ( 1566240 5027190 0 )
NEW met2 ( 1492560 4978350 ) ( 1492560 5027375 )
NEW met3 ( 1431600 4978350 ) ( 1492560 4978350 )
NEW met1 ( 1492560 5027375 ) ( 1564080 5027375 )
NEW met2 ( 1431600 4978350 ) via2_FR
NEW met1 ( 1564080 5027375 ) M1M2_PR
NEW met2 ( 1564080 5027190 ) via2_FR
NEW met2 ( 1492560 4978350 ) via2_FR
NEW met1 ( 1492560 5027375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[1\] ( padframe mprj_io_out[1] ) ( gpio_control_bidir\[1\] pad_gpio_out )
+ ROUTED met2 ( 3378960 785880 0 ) ( 3378960 788470 )
NEW met3 ( 3378960 788470 ) ( 3379680 788470 )
NEW met4 ( 3379680 788470 ) ( 3379680 806970 )
NEW met3 ( 3379680 806970 ) ( 3385200 806970 )
NEW met3 ( 3385200 880970 ) ( 3385440 880970 )
NEW met3 ( 3385440 880970 ) ( 3385440 882450 0 )
NEW met2 ( 3385200 806970 ) ( 3385200 880970 )
NEW met2 ( 3378960 788470 ) via2_FR
NEW met3 ( 3379680 788470 ) M3M4_PR_M
NEW met3 ( 3379680 806970 ) M3M4_PR_M
NEW met2 ( 3385200 806970 ) via2_FR
NEW met2 ( 3385200 880970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[20\] ( padframe mprj_io_out[20] ) ( gpio_control_in\[20\] pad_gpio_out )
+ ROUTED met2 ( 1171920 4970950 ) ( 1171920 4977610 0 )
NEW met2 ( 1273680 4970950 ) ( 1273680 4976870 )
NEW met3 ( 1273680 4976870 ) ( 1308000 4976870 )
NEW met3 ( 1308000 4976870 ) ( 1308000 4978350 )
NEW met3 ( 1308000 4978350 ) ( 1308960 4978350 )
NEW met3 ( 1308960 4978350 ) ( 1308960 4979090 )
NEW met3 ( 1308000 4979090 0 ) ( 1308960 4979090 )
NEW met3 ( 1171920 4970950 ) ( 1273680 4970950 )
NEW met2 ( 1171920 4970950 ) via2_FR
NEW met2 ( 1273680 4970950 ) via2_FR
NEW met2 ( 1273680 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[21\] ( padframe mprj_io_out[21] ) ( gpio_control_in\[21\] pad_gpio_out )
+ ROUTED met2 ( 915120 4970950 ) ( 915120 4977610 0 )
NEW met2 ( 1051440 4970950 ) ( 1051440 4976870 )
NEW met3 ( 1051200 4976870 ) ( 1051440 4976870 )
NEW met3 ( 1051200 4976870 ) ( 1051200 4979090 0 )
NEW met3 ( 915120 4970950 ) ( 1051440 4970950 )
NEW met2 ( 915120 4970950 ) via2_FR
NEW met2 ( 1051440 4970950 ) via2_FR
NEW met2 ( 1051440 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[22\] ( padframe mprj_io_out[22] ) ( gpio_control_in\[22\] pad_gpio_out )
+ ROUTED met2 ( 794160 4970765 ) ( 794160 4977610 )
NEW met3 ( 794160 4977610 ) ( 794400 4977610 )
NEW met3 ( 794400 4977610 ) ( 794400 4979090 0 )
NEW met2 ( 659280 4970765 ) ( 659280 4977610 )
NEW met2 ( 658080 4977610 0 ) ( 659280 4977610 )
NEW met1 ( 659280 4970765 ) ( 794160 4970765 )
NEW met1 ( 794160 4970765 ) M1M2_PR
NEW met2 ( 794160 4977610 ) via2_FR
NEW met1 ( 659280 4970765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[23\] ( padframe mprj_io_out[23] ) ( gpio_control_in\[23\] pad_gpio_out )
+ ROUTED met2 ( 401040 4968730 ) ( 401040 4977610 0 )
NEW met2 ( 532560 4968730 ) ( 532560 4979830 )
NEW met3 ( 401040 4968730 ) ( 532560 4968730 )
NEW met3 ( 534960 4979830 ) ( 537120 4979830 0 )
NEW met2 ( 532560 4979830 ) ( 534960 4979830 )
NEW met2 ( 401040 4968730 ) via2_FR
NEW met2 ( 532560 4968730 ) via2_FR
NEW met2 ( 534960 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[24\] ( padframe mprj_io_out[24] ) ( gpio_control_in\[24\] pad_gpio_out )
+ ROUTED met3 ( 210960 4788910 ) ( 212640 4788910 )
NEW met2 ( 210960 4788910 ) ( 210960 4791130 0 )
NEW met3 ( 211680 4707510 0 ) ( 211680 4708250 )
NEW met3 ( 211680 4708250 ) ( 212640 4708250 )
NEW met4 ( 212640 4708250 ) ( 212640 4788910 )
NEW met3 ( 212640 4788910 ) M3M4_PR_M
NEW met2 ( 210960 4788910 ) via2_FR
NEW met3 ( 212640 4708250 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[25\] ( padframe mprj_io_out[25] ) ( gpio_control_in\[25\] pad_gpio_out )
+ ROUTED met2 ( 210960 3941980 0 ) ( 210960 3943090 )
NEW met3 ( 210960 3943090 ) ( 211680 3943090 )
NEW met3 ( 211680 3961590 ) ( 215280 3961590 )
NEW met2 ( 215280 3961590 ) ( 215280 3991930 )
NEW met3 ( 213600 3991930 ) ( 215280 3991930 )
NEW met4 ( 213600 3991930 ) ( 213600 4011170 )
NEW met3 ( 212400 4011170 ) ( 213600 4011170 )
NEW met2 ( 212400 4011170 ) ( 212400 4035405 )
NEW met1 ( 212400 4035405 ) ( 214320 4035405 )
NEW met4 ( 211680 3943090 ) ( 211680 3961590 )
NEW met3 ( 211680 4078510 0 ) ( 214320 4078510 )
NEW met2 ( 214320 4035405 ) ( 214320 4078510 )
NEW met2 ( 210960 3943090 ) via2_FR
NEW met3 ( 211680 3943090 ) M3M4_PR_M
NEW met3 ( 211680 3961590 ) M3M4_PR_M
NEW met2 ( 215280 3961590 ) via2_FR
NEW met2 ( 215280 3991930 ) via2_FR
NEW met3 ( 213600 3991930 ) M3M4_PR_M
NEW met3 ( 213600 4011170 ) M3M4_PR_M
NEW met2 ( 212400 4011170 ) via2_FR
NEW met1 ( 212400 4035405 ) M1M2_PR
NEW met1 ( 214320 4035405 ) M1M2_PR
NEW met2 ( 214320 4078510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[26\] ( padframe mprj_io_out[26] ) ( gpio_control_in\[26\] pad_gpio_out )
+ ROUTED met3 ( 211680 3862430 0 ) ( 215280 3862430 )
NEW met2 ( 210960 3725900 0 ) ( 210960 3727565 )
NEW met1 ( 210960 3727565 ) ( 212400 3727565 )
NEW met2 ( 212400 3727565 ) ( 212400 3727750 )
NEW met2 ( 212400 3727750 ) ( 212880 3727750 )
NEW met2 ( 212400 3729970 ) ( 212880 3729970 )
NEW met3 ( 212400 3729970 ) ( 214560 3729970 )
NEW met4 ( 214560 3729970 ) ( 214560 3802490 )
NEW met3 ( 214560 3802490 ) ( 215280 3802490 )
NEW met2 ( 212880 3727750 ) ( 212880 3729970 )
NEW met2 ( 215280 3802490 ) ( 215280 3862430 )
NEW met2 ( 215280 3862430 ) via2_FR
NEW met1 ( 210960 3727565 ) M1M2_PR
NEW met1 ( 212400 3727565 ) M1M2_PR
NEW met2 ( 212400 3729970 ) via2_FR
NEW met3 ( 214560 3729970 ) M3M4_PR_M
NEW met3 ( 214560 3802490 ) M3M4_PR_M
NEW met2 ( 215280 3802490 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[27\] ( padframe mprj_io_out[27] ) ( gpio_control_in\[27\] pad_gpio_out )
+ ROUTED met3 ( 208560 3570870 ) ( 214560 3570870 )
NEW met2 ( 208560 3570870 ) ( 208560 3644130 )
NEW met3 ( 208560 3644130 ) ( 208800 3644130 )
NEW met3 ( 208800 3644130 ) ( 208800 3646350 0 )
NEW met2 ( 210960 3510025 0 ) ( 210960 3512225 )
NEW met1 ( 210960 3512225 ) ( 213840 3512225 )
NEW met2 ( 213840 3512225 ) ( 213840 3539790 )
NEW met3 ( 213840 3539790 ) ( 214560 3539790 )
NEW met4 ( 214560 3539790 ) ( 214560 3570870 )
NEW met3 ( 214560 3570870 ) M3M4_PR_M
NEW met2 ( 208560 3570870 ) via2_FR
NEW met2 ( 208560 3644130 ) via2_FR
NEW met1 ( 210960 3512225 ) M1M2_PR
NEW met1 ( 213840 3512225 ) M1M2_PR
NEW met2 ( 213840 3539790 ) via2_FR
NEW met3 ( 214560 3539790 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[28\] ( padframe mprj_io_out[28] ) ( gpio_control_in\[28\] pad_gpio_out )
+ ROUTED met1 ( 209040 3372735 ) ( 210000 3372735 )
NEW met2 ( 209040 3372735 ) ( 209040 3428050 )
NEW met3 ( 208800 3428050 ) ( 209040 3428050 )
NEW met3 ( 208800 3428050 ) ( 208800 3430270 0 )
NEW met3 ( 209760 3354050 ) ( 210000 3354050 )
NEW met4 ( 209760 3295590 ) ( 209760 3354050 )
NEW met3 ( 209520 3295590 ) ( 209760 3295590 )
NEW met2 ( 209520 3294110 0 ) ( 209520 3295590 )
NEW met2 ( 210000 3354050 ) ( 210000 3372735 )
NEW met1 ( 210000 3372735 ) M1M2_PR
NEW met1 ( 209040 3372735 ) M1M2_PR
NEW met2 ( 209040 3428050 ) via2_FR
NEW met2 ( 210000 3354050 ) via2_FR
NEW met3 ( 209760 3354050 ) M3M4_PR_M
NEW met3 ( 209760 3295590 ) M3M4_PR_M
NEW met2 ( 209520 3295590 ) via2_FR
NEW met3 ( 210000 3354050 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209760 3295590 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_out\[29\] ( padframe mprj_io_out[29] ) ( gpio_control_in\[29\] pad_gpio_out )
+ ROUTED met3 ( 207600 3211970 ) ( 207840 3211970 )
NEW met3 ( 207840 3211970 ) ( 207840 3214190 0 )
NEW met1 ( 207600 3138895 ) ( 217680 3138895 )
NEW met2 ( 217680 3116695 ) ( 217680 3138895 )
NEW met1 ( 214800 3116695 ) ( 217680 3116695 )
NEW met2 ( 214800 3100230 ) ( 214800 3116695 )
NEW met2 ( 214800 3100230 ) ( 215760 3100230 )
NEW met2 ( 215760 3079325 ) ( 215760 3100230 )
NEW met1 ( 210960 3079325 ) ( 215760 3079325 )
NEW met2 ( 210960 3078030 0 ) ( 210960 3079325 )
NEW met2 ( 207600 3138895 ) ( 207600 3211970 )
NEW met2 ( 207600 3211970 ) via2_FR
NEW met1 ( 207600 3138895 ) M1M2_PR
NEW met1 ( 217680 3138895 ) M1M2_PR
NEW met1 ( 217680 3116695 ) M1M2_PR
NEW met1 ( 214800 3116695 ) M1M2_PR
NEW met1 ( 215760 3079325 ) M1M2_PR
NEW met1 ( 210960 3079325 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[2\] ( padframe mprj_io_out[2] ) ( gpio_control_in\[2\] pad_gpio_out )
+ ROUTED met3 ( 3374880 1105930 ) ( 3375120 1105930 )
NEW met3 ( 3374880 1105930 ) ( 3374880 1107410 0 )
NEW met1 ( 3375120 1011025 ) ( 3376560 1011025 )
NEW met2 ( 3376560 1011025 ) ( 3376560 1011210 )
NEW met2 ( 3376560 1011210 ) ( 3377040 1011210 )
NEW met2 ( 3377040 1010840 0 ) ( 3377040 1011210 )
NEW met2 ( 3375120 1011025 ) ( 3375120 1105930 )
NEW met2 ( 3375120 1105930 ) via2_FR
NEW met1 ( 3375120 1011025 ) M1M2_PR
NEW met1 ( 3376560 1011025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[30\] ( padframe mprj_io_out[30] ) ( gpio_control_in\[30\] pad_gpio_out )
+ ROUTED met3 ( 209520 2995890 ) ( 209760 2995890 )
NEW met3 ( 209760 2995890 ) ( 209760 2998110 0 )
NEW met1 ( 209520 2930585 ) ( 213840 2930585 )
NEW met2 ( 213840 2923370 ) ( 213840 2930585 )
NEW met3 ( 213840 2923370 ) ( 214560 2923370 )
NEW met4 ( 214560 2891550 ) ( 214560 2923370 )
NEW met3 ( 214560 2891550 ) ( 214800 2891550 )
NEW met2 ( 214800 2863985 ) ( 214800 2891550 )
NEW met1 ( 210960 2863985 ) ( 214800 2863985 )
NEW met2 ( 210960 2861950 0 ) ( 210960 2863985 )
NEW met2 ( 209520 2930585 ) ( 209520 2995890 )
NEW met2 ( 209520 2995890 ) via2_FR
NEW met1 ( 209520 2930585 ) M1M2_PR
NEW met1 ( 213840 2930585 ) M1M2_PR
NEW met2 ( 213840 2923370 ) via2_FR
NEW met3 ( 214560 2923370 ) M3M4_PR_M
NEW met3 ( 214560 2891550 ) M3M4_PR_M
NEW met2 ( 214800 2891550 ) via2_FR
NEW met1 ( 214800 2863985 ) M1M2_PR
NEW met1 ( 210960 2863985 ) M1M2_PR
NEW met3 ( 214560 2891550 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_out\[31\] ( padframe mprj_io_out[31] ) ( gpio_control_in\[31\] pad_gpio_out )
+ ROUTED met3 ( 208560 2779810 ) ( 208800 2779810 )
NEW met3 ( 208800 2779810 ) ( 208800 2782030 0 )
NEW met2 ( 210960 2646025 0 ) ( 210960 2647165 )
NEW met1 ( 210960 2647165 ) ( 212400 2647165 )
NEW met2 ( 207600 2722830 ) ( 208560 2722830 )
NEW met2 ( 207600 2706550 ) ( 207600 2722830 )
NEW met3 ( 206880 2706550 ) ( 207600 2706550 )
NEW met4 ( 206880 2656970 ) ( 206880 2706550 )
NEW met3 ( 206880 2656970 ) ( 212400 2656970 )
NEW met2 ( 208560 2722830 ) ( 208560 2779810 )
NEW met2 ( 212400 2647165 ) ( 212400 2656970 )
NEW met2 ( 208560 2779810 ) via2_FR
NEW met1 ( 210960 2647165 ) M1M2_PR
NEW met1 ( 212400 2647165 ) M1M2_PR
NEW met2 ( 207600 2706550 ) via2_FR
NEW met3 ( 206880 2706550 ) M3M4_PR_M
NEW met3 ( 206880 2656970 ) M3M4_PR_M
NEW met2 ( 212400 2656970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[32\] ( padframe mprj_io_out[32] ) ( gpio_control_in\[32\] pad_gpio_out )
+ ROUTED met3 ( 206880 2009470 ) ( 209040 2009470 )
NEW met2 ( 209040 2007990 0 ) ( 209040 2009470 )
NEW met3 ( 206880 2141930 ) ( 206880 2144150 0 )
NEW met4 ( 206880 2009470 ) ( 206880 2141930 )
NEW met3 ( 206880 2009470 ) M3M4_PR_M
NEW met2 ( 209040 2009470 ) via2_FR
NEW met3 ( 206880 2141930 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[33\] ( padframe mprj_io_out[33] ) ( gpio_control_in\[33\] pad_gpio_out )
+ ROUTED met3 ( 206880 1793390 ) ( 209040 1793390 )
NEW met2 ( 209040 1791910 0 ) ( 209040 1793390 )
NEW met3 ( 206880 1925850 ) ( 206880 1928070 0 )
NEW met4 ( 206880 1793390 ) ( 206880 1925850 )
NEW met3 ( 206880 1793390 ) M3M4_PR_M
NEW met2 ( 209040 1793390 ) via2_FR
NEW met3 ( 206880 1925850 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[34\] ( padframe mprj_io_out[34] ) ( gpio_control_in\[34\] pad_gpio_out )
+ ROUTED met3 ( 205920 1577310 ) ( 209040 1577310 )
NEW met2 ( 209040 1576025 0 ) ( 209040 1577310 )
NEW met3 ( 205920 1709770 ) ( 205920 1712360 0 )
NEW met4 ( 205920 1577310 ) ( 205920 1709770 )
NEW met3 ( 205920 1577310 ) M3M4_PR_M
NEW met2 ( 209040 1577310 ) via2_FR
NEW met3 ( 205920 1709770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[35\] ( padframe mprj_io_out[35] ) ( gpio_control_in\[35\] pad_gpio_out )
+ ROUTED met3 ( 205920 1493690 ) ( 205920 1496280 0 )
NEW met3 ( 205920 1361230 ) ( 209040 1361230 )
NEW met2 ( 209040 1360120 0 ) ( 209040 1361230 )
NEW met4 ( 205920 1361230 ) ( 205920 1493690 )
NEW met3 ( 205920 1493690 ) M3M4_PR_M
NEW met3 ( 205920 1361230 ) M3M4_PR_M
NEW met2 ( 209040 1361230 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[36\] ( padframe mprj_io_out[36] ) ( gpio_control_in\[36\] pad_gpio_out )
+ ROUTED met3 ( 204960 1145150 ) ( 209040 1145150 )
NEW met2 ( 209040 1144040 0 ) ( 209040 1145150 )
NEW met3 ( 204960 1278350 ) ( 204960 1280570 0 )
NEW met4 ( 204960 1145150 ) ( 204960 1278350 )
NEW met3 ( 204960 1145150 ) M3M4_PR_M
NEW met2 ( 209040 1145150 ) via2_FR
NEW met3 ( 204960 1278350 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[37\] ( padframe mprj_io_out[37] ) ( gpio_control_in\[37\] pad_gpio_out )
+ ROUTED met3 ( 204960 929810 ) ( 209040 929810 )
NEW met2 ( 209040 927960 0 ) ( 209040 929810 )
NEW met3 ( 204960 1062270 ) ( 204960 1064490 0 )
NEW met4 ( 204960 929810 ) ( 204960 1062270 )
NEW met3 ( 204960 929810 ) M3M4_PR_M
NEW met2 ( 209040 929810 ) via2_FR
NEW met3 ( 204960 1062270 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[3\] ( padframe mprj_io_out[3] ) ( gpio_control_in\[3\] pad_gpio_out )
+ ROUTED met1 ( 3369840 1239685 ) ( 3377040 1239685 )
NEW met2 ( 3377040 1236910 0 ) ( 3377040 1239685 )
NEW met3 ( 3369840 1333110 ) ( 3373920 1333110 0 )
NEW met2 ( 3369840 1239685 ) ( 3369840 1333110 )
NEW met1 ( 3369840 1239685 ) M1M2_PR
NEW met1 ( 3377040 1239685 ) M1M2_PR
NEW met2 ( 3369840 1333110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[4\] ( padframe mprj_io_out[4] ) ( gpio_control_in\[4\] pad_gpio_out )
+ ROUTED met1 ( 3369840 1464645 ) ( 3377040 1464645 )
NEW met2 ( 3377040 1461870 0 ) ( 3377040 1464645 )
NEW met3 ( 3369840 1558070 ) ( 3373920 1558070 0 )
NEW met2 ( 3369840 1464645 ) ( 3369840 1558070 )
NEW met1 ( 3369840 1464645 ) M1M2_PR
NEW met1 ( 3377040 1464645 ) M1M2_PR
NEW met2 ( 3369840 1558070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[5\] ( padframe mprj_io_out[5] ) ( gpio_control_in\[5\] pad_gpio_out )
+ ROUTED met2 ( 3376080 1686975 ) ( 3377040 1686975 0 )
NEW met2 ( 3376080 1686975 ) ( 3376080 1782290 )
NEW met3 ( 3375840 1782290 ) ( 3376080 1782290 )
NEW met3 ( 3375840 1782290 ) ( 3375840 1783030 0 )
NEW met2 ( 3376080 1782290 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[6\] ( padframe mprj_io_out[6] ) ( gpio_control_in\[6\] pad_gpio_out )
+ ROUTED met3 ( 3369840 2009470 ) ( 3373920 2009470 0 )
NEW met1 ( 3369840 1915675 ) ( 3376560 1915675 )
NEW met2 ( 3376560 1913270 ) ( 3376560 1915675 )
NEW met2 ( 3376560 1913270 ) ( 3377040 1913270 )
NEW met2 ( 3377040 1912900 0 ) ( 3377040 1913270 )
NEW met2 ( 3369840 1915675 ) ( 3369840 2009470 )
NEW met2 ( 3369840 2009470 ) via2_FR
NEW met1 ( 3369840 1915675 ) M1M2_PR
NEW met1 ( 3376560 1915675 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[7\] ( padframe mprj_io_out[7] ) ( gpio_control_in\[7\] pad_gpio_out )
+ ROUTED met2 ( 3373680 2489730 ) ( 3375120 2489730 )
NEW met2 ( 3375120 2451250 ) ( 3375120 2489730 )
NEW met3 ( 3374880 2451250 ) ( 3375120 2451250 )
NEW met3 ( 3374880 2450510 0 ) ( 3374880 2451250 )
NEW met1 ( 3373680 2797755 ) ( 3377040 2797755 )
NEW met2 ( 3377040 2797755 ) ( 3377040 2799050 0 )
NEW met2 ( 3373680 2489730 ) ( 3373680 2797755 )
NEW met2 ( 3375120 2451250 ) via2_FR
NEW met1 ( 3373680 2797755 ) M1M2_PR
NEW met1 ( 3377040 2797755 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[8\] ( padframe mprj_io_out[8] ) ( gpio_control_in\[8\] pad_gpio_out )
+ ROUTED met3 ( 3375840 3024010 ) ( 3377040 3024010 )
NEW met2 ( 3377040 3024010 ) ( 3377040 3024975 0 )
NEW met3 ( 3375840 2670290 0 ) ( 3375840 2673250 )
NEW met4 ( 3375840 2673250 ) ( 3375840 3024010 )
NEW met3 ( 3375840 3024010 ) M3M4_PR_M
NEW met2 ( 3377040 3024010 ) via2_FR
NEW met3 ( 3375840 2673250 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[9\] ( padframe mprj_io_out[9] ) ( gpio_control_in\[9\] pad_gpio_out )
+ ROUTED met3 ( 3379680 2967770 ) ( 3383520 2967770 )
NEW met3 ( 3378960 3248970 ) ( 3383520 3248970 )
NEW met2 ( 3378960 3248970 ) ( 3378960 3250080 0 )
NEW met3 ( 3379680 2895250 0 ) ( 3379680 2898210 )
NEW met4 ( 3379680 2898210 ) ( 3379680 2967770 )
NEW met4 ( 3383520 2967770 ) ( 3383520 3248970 )
NEW met3 ( 3383520 2967770 ) M3M4_PR_M
NEW met3 ( 3379680 2967770 ) M3M4_PR_M
NEW met3 ( 3383520 3248970 ) M3M4_PR_M
NEW met2 ( 3378960 3248970 ) via2_FR
NEW met3 ( 3379680 2898210 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[0\] ( padframe mprj_io_slow_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3374880 662670 ) ( 3374880 664520 0 )
NEW met3 ( 3374880 516150 ) ( 3377040 516150 )
NEW met2 ( 3377040 513930 0 ) ( 3377040 516150 )
NEW met4 ( 3374880 516150 ) ( 3374880 662670 )
NEW met3 ( 3374880 662670 ) M3M4_PR_M
NEW met3 ( 3374880 516150 ) M3M4_PR_M
NEW met2 ( 3377040 516150 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[10\] ( padframe mprj_io_slow_sel[10] ) ( gpio_control_in\[10\] pad_gpio_slow_sel )
+ ROUTED met1 ( 3372240 3427495 ) ( 3377520 3427495 )
NEW met2 ( 3377520 3427495 ) ( 3377520 3429900 0 )
NEW met3 ( 3372240 3129460 ) ( 3373920 3129460 0 )
NEW met2 ( 3372240 3129460 ) ( 3372240 3427495 )
NEW met1 ( 3372240 3427495 ) M1M2_PR
NEW met1 ( 3377520 3427495 ) M1M2_PR
NEW met2 ( 3372240 3129460 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[11\] ( padframe mprj_io_slow_sel[11] ) ( gpio_control_in\[11\] pad_gpio_slow_sel )
+ ROUTED met1 ( 3372720 3652455 ) ( 3377520 3652455 )
NEW met2 ( 3377520 3652455 ) ( 3377520 3654860 0 )
NEW met3 ( 3372720 3355530 ) ( 3373920 3355530 )
NEW met2 ( 3372720 3355530 ) ( 3372720 3652455 )
NEW met3 ( 3373920 3354420 0 ) ( 3373920 3355530 )
NEW met1 ( 3372720 3652455 ) M1M2_PR
NEW met1 ( 3377520 3652455 ) M1M2_PR
NEW met2 ( 3372720 3355530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[12\] ( padframe mprj_io_slow_sel[12] ) ( gpio_control_in\[12\] pad_gpio_slow_sel )
+ ROUTED met2 ( 3378960 3877230 ) ( 3378960 3879975 0 )
NEW met3 ( 3378960 3877230 ) ( 3381600 3877230 )
NEW met3 ( 3381600 3580490 0 ) ( 3381600 3583450 )
NEW met4 ( 3381600 3583450 ) ( 3381600 3877230 )
NEW met2 ( 3378960 3877230 ) via2_FR
NEW met3 ( 3381600 3877230 ) M3M4_PR_M
NEW met3 ( 3381600 3583450 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[13\] ( padframe mprj_io_slow_sel[13] ) ( gpio_control_in\[13\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3378960 4323450 ) ( 3383520 4323450 )
NEW met2 ( 3378960 4323450 ) ( 3378960 4326040 0 )
NEW met3 ( 3383520 3805450 0 ) ( 3383520 3808410 )
NEW met4 ( 3383520 3808410 ) ( 3383520 4323450 )
NEW met3 ( 3383520 4323450 ) M3M4_PR_M
NEW met2 ( 3378960 4323450 ) via2_FR
NEW met3 ( 3383520 3808410 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[14\] ( padframe mprj_io_slow_sel[14] ) ( gpio_control_in\[14\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3369840 4697890 ) ( 3373920 4697890 )
NEW met3 ( 3373920 4697520 0 ) ( 3373920 4697890 )
NEW met1 ( 3369840 4769855 ) ( 3377520 4769855 )
NEW met2 ( 3377520 4769855 ) ( 3377520 4771890 0 )
NEW met2 ( 3369840 4697890 ) ( 3369840 4769855 )
NEW met2 ( 3369840 4697890 ) via2_FR
NEW met1 ( 3369840 4769855 ) M1M2_PR
NEW met1 ( 3377520 4769855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[15\] ( padframe mprj_io_slow_sel[15] ) ( gpio_control_in\[15\] pad_gpio_slow_sel )
+ ROUTED met1 ( 2807760 5011465 ) ( 2826000 5011465 )
NEW met2 ( 2807760 5011465 ) ( 2807760 5011650 )
NEW met3 ( 2805600 5011650 0 ) ( 2807760 5011650 )
NEW met1 ( 2826000 5004435 ) ( 2841840 5004435 )
NEW met2 ( 2841840 4991485 ) ( 2841840 5004435 )
NEW met2 ( 2826000 5004435 ) ( 2826000 5011465 )
NEW met2 ( 3196080 4972430 ) ( 3196080 4977610 0 )
NEW met2 ( 2864880 4972430 ) ( 2864880 4991485 )
NEW met1 ( 2841840 4991485 ) ( 2864880 4991485 )
NEW met3 ( 2864880 4972430 ) ( 3196080 4972430 )
NEW met1 ( 2826000 5011465 ) M1M2_PR
NEW met1 ( 2807760 5011465 ) M1M2_PR
NEW met2 ( 2807760 5011650 ) via2_FR
NEW met1 ( 2826000 5004435 ) M1M2_PR
NEW met1 ( 2841840 5004435 ) M1M2_PR
NEW met1 ( 2841840 4991485 ) M1M2_PR
NEW met2 ( 3196080 4972430 ) via2_FR
NEW met2 ( 2864880 4972430 ) via2_FR
NEW met1 ( 2864880 4991485 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[16\] ( padframe mprj_io_slow_sel[16] ) ( gpio_control_in\[16\] pad_gpio_slow_sel )
+ ROUTED met2 ( 2549520 4998885 ) ( 2549520 4999070 )
NEW met1 ( 2549520 4998885 ) ( 2608560 4998885 )
NEW met2 ( 2608560 4976130 ) ( 2608560 4998885 )
NEW met3 ( 2548320 4999070 0 ) ( 2549520 4999070 )
NEW met2 ( 2685840 4976130 ) ( 2685840 4977610 )
NEW met2 ( 2685840 4977610 ) ( 2687040 4977610 0 )
NEW met3 ( 2608560 4976130 ) ( 2685840 4976130 )
NEW met2 ( 2549520 4999070 ) via2_FR
NEW met1 ( 2549520 4998885 ) M1M2_PR
NEW met1 ( 2608560 4998885 ) M1M2_PR
NEW met2 ( 2608560 4976130 ) via2_FR
NEW met2 ( 2685840 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[17\] ( padframe mprj_io_slow_sel[17] ) ( gpio_control_in\[17\] pad_gpio_slow_sel )
+ ROUTED met2 ( 2166000 5027190 ) ( 2166000 5027375 )
NEW met3 ( 2163360 5027190 0 ) ( 2166000 5027190 )
NEW met2 ( 2429040 4979090 ) ( 2430000 4979090 0 )
NEW met3 ( 2388960 4979090 ) ( 2429040 4979090 )
NEW met3 ( 2388960 4979090 ) ( 2388960 4979830 )
NEW met3 ( 2359440 4979830 ) ( 2388960 4979830 )
NEW met2 ( 2359440 4979830 ) ( 2359440 4995555 )
NEW met2 ( 2304240 4995555 ) ( 2304240 5027375 )
NEW met1 ( 2166000 5027375 ) ( 2304240 5027375 )
NEW met1 ( 2304240 4995555 ) ( 2359440 4995555 )
NEW met1 ( 2166000 5027375 ) M1M2_PR
NEW met2 ( 2166000 5027190 ) via2_FR
NEW met2 ( 2429040 4979090 ) via2_FR
NEW met2 ( 2359440 4979830 ) via2_FR
NEW met1 ( 2359440 4995555 ) M1M2_PR
NEW met1 ( 2304240 5027375 ) M1M2_PR
NEW met1 ( 2304240 4995555 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[18\] ( padframe mprj_io_slow_sel[18] ) ( gpio_control_in\[18\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1829520 4978535 ) ( 1829520 4979090 )
NEW met3 ( 1826400 4979090 0 ) ( 1829520 4979090 )
NEW met2 ( 1983600 4976870 ) ( 1983600 4977610 )
NEW met2 ( 1983600 4977610 ) ( 1985040 4977610 0 )
NEW met2 ( 1887120 4976870 ) ( 1887120 4978535 )
NEW met1 ( 1829520 4978535 ) ( 1887120 4978535 )
NEW met3 ( 1887120 4976870 ) ( 1983600 4976870 )
NEW met1 ( 1829520 4978535 ) M1M2_PR
NEW met2 ( 1829520 4979090 ) via2_FR
NEW met2 ( 1983600 4976870 ) via2_FR
NEW met2 ( 1887120 4976870 ) via2_FR
NEW met1 ( 1887120 4978535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[19\] ( padframe mprj_io_slow_sel[19] ) ( gpio_control_in\[19\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1573680 4997405 ) ( 1573680 4997590 )
NEW met3 ( 1573680 4997590 ) ( 1574400 4997590 0 )
NEW met2 ( 1476000 4977610 0 ) ( 1477200 4977610 )
NEW met2 ( 1477200 4977610 ) ( 1477200 4977795 )
NEW met1 ( 1477200 4977795 ) ( 1491120 4977795 )
NEW met2 ( 1491120 4977795 ) ( 1491120 4997405 )
NEW met1 ( 1491120 4997405 ) ( 1573680 4997405 )
NEW met1 ( 1573680 4997405 ) M1M2_PR
NEW met2 ( 1573680 4997590 ) via2_FR
NEW met1 ( 1477200 4977795 ) M1M2_PR
NEW met1 ( 1491120 4977795 ) M1M2_PR
NEW met1 ( 1491120 4997405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[1\] ( padframe mprj_io_slow_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3371040 742590 ) ( 3377520 742590 )
NEW met2 ( 3377520 740000 0 ) ( 3377520 742590 )
NEW met3 ( 3371040 890590 ) ( 3373920 890590 0 )
NEW met4 ( 3371040 742590 ) ( 3371040 890590 )
NEW met3 ( 3371040 742590 ) M3M4_PR_M
NEW met2 ( 3377520 742590 ) via2_FR
NEW met3 ( 3371040 890590 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[20\] ( padframe mprj_io_slow_sel[20] ) ( gpio_control_in\[20\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1218000 4979090 0 ) ( 1219440 4979090 )
NEW met2 ( 1256400 4979090 ) ( 1256400 4982605 )
NEW met1 ( 1256400 4982605 ) ( 1315440 4982605 )
NEW met2 ( 1315440 4982605 ) ( 1315440 4982790 )
NEW met3 ( 1315440 4982790 ) ( 1316640 4982790 0 )
NEW met3 ( 1219440 4979090 ) ( 1256400 4979090 )
NEW met2 ( 1219440 4979090 ) via2_FR
NEW met2 ( 1256400 4979090 ) via2_FR
NEW met1 ( 1256400 4982605 ) M1M2_PR
NEW met1 ( 1315440 4982605 ) M1M2_PR
NEW met2 ( 1315440 4982790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[21\] ( padframe mprj_io_slow_sel[21] ) ( gpio_control_in\[21\] pad_gpio_slow_sel )
+ ROUTED met2 ( 960960 4977610 0 ) ( 962640 4977610 )
NEW met2 ( 962640 4977610 ) ( 962640 4977795 )
NEW met1 ( 962640 4977795 ) ( 977040 4977795 )
NEW met2 ( 977040 4977795 ) ( 977040 5004065 )
NEW met2 ( 1058640 5004065 ) ( 1058640 5004250 )
NEW met3 ( 1058640 5004250 ) ( 1059360 5004250 0 )
NEW met1 ( 977040 5004065 ) ( 1058640 5004065 )
NEW met1 ( 962640 4977795 ) M1M2_PR
NEW met1 ( 977040 4977795 ) M1M2_PR
NEW met1 ( 977040 5004065 ) M1M2_PR
NEW met1 ( 1058640 5004065 ) M1M2_PR
NEW met2 ( 1058640 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[22\] ( padframe mprj_io_slow_sel[22] ) ( gpio_control_in\[22\] pad_gpio_slow_sel )
+ ROUTED met2 ( 801360 5004065 ) ( 801360 5004250 )
NEW met3 ( 801360 5004250 ) ( 802560 5004250 0 )
NEW met2 ( 703920 4978350 0 ) ( 705360 4978350 )
NEW met2 ( 705360 4978350 ) ( 705360 4978535 )
NEW met1 ( 705360 4978535 ) ( 719280 4978535 )
NEW met2 ( 719280 4978535 ) ( 719280 5004065 )
NEW met1 ( 719280 5004065 ) ( 801360 5004065 )
NEW met1 ( 801360 5004065 ) M1M2_PR
NEW met2 ( 801360 5004250 ) via2_FR
NEW met1 ( 705360 4978535 ) M1M2_PR
NEW met1 ( 719280 4978535 ) M1M2_PR
NEW met1 ( 719280 5004065 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[23\] ( padframe mprj_io_slow_sel[23] ) ( gpio_control_in\[23\] pad_gpio_slow_sel )
+ ROUTED met2 ( 447120 4978350 0 ) ( 449040 4978350 )
NEW met2 ( 449040 4978350 ) ( 449040 4978535 )
NEW met1 ( 449040 4978535 ) ( 462000 4978535 )
NEW met2 ( 462000 4978535 ) ( 462000 5018495 )
NEW met2 ( 544560 5018310 ) ( 544560 5018495 )
NEW met3 ( 544560 5018310 ) ( 545760 5018310 0 )
NEW met1 ( 462000 5018495 ) ( 544560 5018495 )
NEW met1 ( 462000 5018495 ) M1M2_PR
NEW met1 ( 449040 4978535 ) M1M2_PR
NEW met1 ( 462000 4978535 ) M1M2_PR
NEW met1 ( 544560 5018495 ) M1M2_PR
NEW met2 ( 544560 5018310 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[24\] ( padframe mprj_io_slow_sel[24] ) ( gpio_control_in\[24\] pad_gpio_slow_sel )
+ ROUTED met3 ( 203040 4835530 ) ( 209040 4835530 )
NEW met2 ( 209040 4835530 ) ( 209040 4837010 0 )
NEW met3 ( 203040 4715650 0 ) ( 203040 4718610 )
NEW met4 ( 203040 4718610 ) ( 203040 4835530 )
NEW met3 ( 203040 4835530 ) M3M4_PR_M
NEW met2 ( 209040 4835530 ) via2_FR
NEW met3 ( 203040 4718610 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[25\] ( padframe mprj_io_slow_sel[25] ) ( gpio_control_in\[25\] pad_gpio_slow_sel )
+ ROUTED met1 ( 200880 4017275 ) ( 214320 4017275 )
NEW met2 ( 214320 3991745 ) ( 214320 4017275 )
NEW met1 ( 214320 3990635 ) ( 214320 3991745 )
NEW met1 ( 210960 3990635 ) ( 214320 3990635 )
NEW met2 ( 210960 3988025 0 ) ( 210960 3990635 )
NEW met3 ( 200880 4083690 ) ( 201120 4083690 )
NEW met3 ( 201120 4083690 ) ( 201120 4086650 0 )
NEW met2 ( 200880 4017275 ) ( 200880 4083690 )
NEW met1 ( 200880 4017275 ) M1M2_PR
NEW met1 ( 214320 4017275 ) M1M2_PR
NEW met1 ( 214320 3991745 ) M1M2_PR
NEW met1 ( 210960 3990635 ) M1M2_PR
NEW met2 ( 200880 4083690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[26\] ( padframe mprj_io_slow_sel[26] ) ( gpio_control_in\[26\] pad_gpio_slow_sel )
+ ROUTED met3 ( 195120 3867610 ) ( 195360 3867610 )
NEW met3 ( 195360 3867610 ) ( 195360 3870570 0 )
NEW met1 ( 195120 3801565 ) ( 215280 3801565 )
NEW met2 ( 215280 3774555 ) ( 215280 3801565 )
NEW met1 ( 210480 3774555 ) ( 215280 3774555 )
NEW met2 ( 210480 3772150 0 ) ( 210480 3774555 )
NEW met2 ( 195120 3801565 ) ( 195120 3867610 )
NEW met2 ( 195120 3867610 ) via2_FR
NEW met1 ( 195120 3801565 ) M1M2_PR
NEW met1 ( 215280 3801565 ) M1M2_PR
NEW met1 ( 215280 3774555 ) M1M2_PR
NEW met1 ( 210480 3774555 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[27\] ( padframe mprj_io_slow_sel[27] ) ( gpio_control_in\[27\] pad_gpio_slow_sel )
+ ROUTED met1 ( 209520 3558475 ) ( 212880 3558475 )
NEW met1 ( 212880 3558475 ) ( 212880 3566245 )
NEW met1 ( 212400 3566245 ) ( 212880 3566245 )
NEW met2 ( 212400 3566245 ) ( 212400 3585485 )
NEW met1 ( 201360 3585485 ) ( 212400 3585485 )
NEW met2 ( 201360 3585485 ) ( 201360 3651530 )
NEW met3 ( 201120 3651530 ) ( 201360 3651530 )
NEW met3 ( 201120 3651530 ) ( 201120 3654490 0 )
NEW met2 ( 209520 3556070 0 ) ( 209520 3558475 )
NEW met1 ( 209520 3558475 ) M1M2_PR
NEW met1 ( 212400 3566245 ) M1M2_PR
NEW met1 ( 212400 3585485 ) M1M2_PR
NEW met1 ( 201360 3585485 ) M1M2_PR
NEW met2 ( 201360 3651530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[28\] ( padframe mprj_io_slow_sel[28] ) ( gpio_control_in\[28\] pad_gpio_slow_sel )
+ ROUTED met1 ( 200880 3361265 ) ( 209040 3361265 )
NEW met2 ( 200880 3361265 ) ( 200880 3435450 )
NEW met3 ( 200880 3435450 ) ( 201120 3435450 )
NEW met3 ( 201120 3435450 ) ( 201120 3438410 0 )
NEW met1 ( 209040 3353125 ) ( 215760 3353125 )
NEW met2 ( 215760 3342765 ) ( 215760 3353125 )
NEW met1 ( 210960 3342765 ) ( 215760 3342765 )
NEW met2 ( 210960 3339990 0 ) ( 210960 3342765 )
NEW met2 ( 209040 3353125 ) ( 209040 3361265 )
NEW met1 ( 209040 3361265 ) M1M2_PR
NEW met1 ( 200880 3361265 ) M1M2_PR
NEW met2 ( 200880 3435450 ) via2_FR
NEW met1 ( 209040 3353125 ) M1M2_PR
NEW met1 ( 215760 3353125 ) M1M2_PR
NEW met1 ( 215760 3342765 ) M1M2_PR
NEW met1 ( 210960 3342765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[29\] ( padframe mprj_io_slow_sel[29] ) ( gpio_control_in\[29\] pad_gpio_slow_sel )
+ ROUTED met3 ( 199200 3219370 ) ( 199440 3219370 )
NEW met3 ( 199200 3219370 ) ( 199200 3222330 0 )
NEW met1 ( 199440 3139265 ) ( 215280 3139265 )
NEW met2 ( 215280 3126685 ) ( 215280 3139265 )
NEW met1 ( 210960 3126685 ) ( 215280 3126685 )
NEW met2 ( 210960 3123910 0 ) ( 210960 3126685 )
NEW met2 ( 199440 3139265 ) ( 199440 3219370 )
NEW met2 ( 199440 3219370 ) via2_FR
NEW met1 ( 199440 3139265 ) M1M2_PR
NEW met1 ( 215280 3139265 ) M1M2_PR
NEW met1 ( 215280 3126685 ) M1M2_PR
NEW met1 ( 210960 3126685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[2\] ( padframe mprj_io_slow_sel[2] ) ( gpio_control_in\[2\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3372000 1115550 ) ( 3373920 1115550 0 )
NEW met3 ( 3372000 967550 ) ( 3377040 967550 )
NEW met2 ( 3377040 964960 0 ) ( 3377040 967550 )
NEW met4 ( 3372000 967550 ) ( 3372000 1115550 )
NEW met3 ( 3372000 1115550 ) M3M4_PR_M
NEW met3 ( 3372000 967550 ) M3M4_PR_M
NEW met2 ( 3377040 967550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[30\] ( padframe mprj_io_slow_sel[30] ) ( gpio_control_in\[30\] pad_gpio_slow_sel )
+ ROUTED met3 ( 200160 3003290 ) ( 200400 3003290 )
NEW met3 ( 200160 3003290 ) ( 200160 3006250 0 )
NEW met1 ( 200400 2929845 ) ( 209520 2929845 )
NEW met2 ( 209520 2921890 ) ( 209520 2929845 )
NEW met3 ( 209520 2921890 ) ( 209760 2921890 )
NEW met4 ( 209760 2910050 ) ( 209760 2921890 )
NEW met3 ( 209760 2910050 ) ( 210000 2910050 )
NEW met2 ( 210000 2908025 0 ) ( 210000 2910050 )
NEW met2 ( 200400 2929845 ) ( 200400 3003290 )
NEW met2 ( 200400 3003290 ) via2_FR
NEW met1 ( 200400 2929845 ) M1M2_PR
NEW met1 ( 209520 2929845 ) M1M2_PR
NEW met2 ( 209520 2921890 ) via2_FR
NEW met3 ( 209760 2921890 ) M3M4_PR_M
NEW met3 ( 209760 2910050 ) M3M4_PR_M
NEW met2 ( 210000 2910050 ) via2_FR
NEW met3 ( 209520 2921890 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209760 2910050 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[31\] ( padframe mprj_io_slow_sel[31] ) ( gpio_control_in\[31\] pad_gpio_slow_sel )
+ ROUTED met3 ( 201120 2787210 ) ( 201360 2787210 )
NEW met3 ( 201120 2787210 ) ( 201120 2790170 0 )
NEW met2 ( 201360 2721165 ) ( 201360 2787210 )
NEW met2 ( 205200 2720610 ) ( 205200 2721165 )
NEW met3 ( 205200 2720610 ) ( 205920 2720610 )
NEW met4 ( 205920 2694710 ) ( 205920 2720610 )
NEW met3 ( 205920 2694710 ) ( 209520 2694710 )
NEW met2 ( 209520 2692120 0 ) ( 209520 2694710 )
NEW met1 ( 201360 2721165 ) ( 205200 2721165 )
NEW met2 ( 201360 2787210 ) via2_FR
NEW met1 ( 201360 2721165 ) M1M2_PR
NEW met1 ( 205200 2721165 ) M1M2_PR
NEW met2 ( 205200 2720610 ) via2_FR
NEW met3 ( 205920 2720610 ) M3M4_PR_M
NEW met3 ( 205920 2694710 ) M3M4_PR_M
NEW met2 ( 209520 2694710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[32\] ( padframe mprj_io_slow_sel[32] ) ( gpio_control_in\[32\] pad_gpio_slow_sel )
+ ROUTED met3 ( 209760 2149330 ) ( 210000 2149330 )
NEW met3 ( 209760 2149330 ) ( 209760 2152290 0 )
NEW met2 ( 210000 2091610 ) ( 211920 2091610 )
NEW met2 ( 211920 2057385 ) ( 211920 2091610 )
NEW met1 ( 211920 2056645 ) ( 211920 2057385 )
NEW met1 ( 210960 2056645 ) ( 211920 2056645 )
NEW met2 ( 210960 2054025 0 ) ( 210960 2056645 )
NEW met2 ( 210000 2091610 ) ( 210000 2149330 )
NEW met2 ( 210000 2149330 ) via2_FR
NEW met1 ( 211920 2057385 ) M1M2_PR
NEW met1 ( 210960 2056645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[33\] ( padframe mprj_io_slow_sel[33] ) ( gpio_control_in\[33\] pad_gpio_slow_sel )
+ ROUTED met2 ( 210480 1838160 0 ) ( 210480 1840565 )
NEW met1 ( 210480 1840565 ) ( 211920 1840565 )
NEW met1 ( 210000 1849075 ) ( 211920 1849075 )
NEW met1 ( 210000 1849075 ) ( 210000 1850925 )
NEW met2 ( 210000 1850925 ) ( 210000 1933250 )
NEW met3 ( 209760 1933250 ) ( 210000 1933250 )
NEW met3 ( 209760 1933250 ) ( 209760 1936210 0 )
NEW met2 ( 211920 1840565 ) ( 211920 1849075 )
NEW met1 ( 210480 1840565 ) M1M2_PR
NEW met1 ( 211920 1840565 ) M1M2_PR
NEW met1 ( 211920 1849075 ) M1M2_PR
NEW met1 ( 210000 1850925 ) M1M2_PR
NEW met2 ( 210000 1933250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[34\] ( padframe mprj_io_slow_sel[34] ) ( gpio_control_in\[34\] pad_gpio_slow_sel )
+ ROUTED met1 ( 209520 1653345 ) ( 212880 1653345 )
NEW met2 ( 212880 1624485 ) ( 212880 1653345 )
NEW met1 ( 210480 1624485 ) ( 212880 1624485 )
NEW met2 ( 210480 1622080 0 ) ( 210480 1624485 )
NEW met3 ( 209520 1717910 ) ( 209760 1717910 )
NEW met3 ( 209760 1717910 ) ( 209760 1720500 0 )
NEW met2 ( 209520 1653345 ) ( 209520 1717910 )
NEW met1 ( 209520 1653345 ) M1M2_PR
NEW met1 ( 212880 1653345 ) M1M2_PR
NEW met1 ( 212880 1624485 ) M1M2_PR
NEW met1 ( 210480 1624485 ) M1M2_PR
NEW met2 ( 209520 1717910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[35\] ( padframe mprj_io_slow_sel[35] ) ( gpio_control_in\[35\] pad_gpio_slow_sel )
+ ROUTED met3 ( 209520 1501830 ) ( 209760 1501830 )
NEW met3 ( 209760 1501830 ) ( 209760 1504420 0 )
NEW met2 ( 209520 1427090 ) ( 211920 1427090 )
NEW met2 ( 211920 1408775 ) ( 211920 1427090 )
NEW met1 ( 210960 1408775 ) ( 211920 1408775 )
NEW met2 ( 210960 1406000 0 ) ( 210960 1408775 )
NEW met2 ( 209520 1427090 ) ( 209520 1501830 )
NEW met2 ( 209520 1501830 ) via2_FR
NEW met1 ( 211920 1408775 ) M1M2_PR
NEW met1 ( 210960 1408775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[36\] ( padframe mprj_io_slow_sel[36] ) ( gpio_control_in\[36\] pad_gpio_slow_sel )
+ ROUTED met2 ( 210960 1189920 0 ) ( 210960 1192695 )
NEW met1 ( 210960 1192695 ) ( 211920 1192695 )
NEW met2 ( 211920 1192695 ) ( 211920 1196395 )
NEW met1 ( 211920 1196395 ) ( 211920 1196765 )
NEW met1 ( 211920 1196765 ) ( 212880 1196765 )
NEW met1 ( 210480 1221925 ) ( 212880 1221925 )
NEW met2 ( 210480 1221925 ) ( 210480 1285750 )
NEW met3 ( 210480 1285750 ) ( 210720 1285750 )
NEW met3 ( 210720 1285750 ) ( 210720 1288710 0 )
NEW met2 ( 212880 1196765 ) ( 212880 1221925 )
NEW met1 ( 210960 1192695 ) M1M2_PR
NEW met1 ( 211920 1192695 ) M1M2_PR
NEW met1 ( 211920 1196395 ) M1M2_PR
NEW met1 ( 212880 1196765 ) M1M2_PR
NEW met1 ( 212880 1221925 ) M1M2_PR
NEW met1 ( 210480 1221925 ) M1M2_PR
NEW met2 ( 210480 1285750 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[37\] ( padframe mprj_io_slow_sel[37] ) ( gpio_control_in\[37\] pad_gpio_slow_sel )
+ ROUTED met1 ( 210000 1005475 ) ( 211920 1005475 )
NEW met2 ( 211920 976615 ) ( 211920 1005475 )
NEW met1 ( 210960 976615 ) ( 211920 976615 )
NEW met2 ( 210960 974025 0 ) ( 210960 976615 )
NEW met3 ( 209760 1069670 ) ( 210000 1069670 )
NEW met3 ( 209760 1069670 ) ( 209760 1072630 0 )
NEW met2 ( 210000 1005475 ) ( 210000 1069670 )
NEW met1 ( 210000 1005475 ) M1M2_PR
NEW met1 ( 211920 1005475 ) M1M2_PR
NEW met1 ( 211920 976615 ) M1M2_PR
NEW met1 ( 210960 976615 ) M1M2_PR
NEW met2 ( 210000 1069670 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[3\] ( padframe mprj_io_slow_sel[3] ) ( gpio_control_in\[3\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3374880 1193250 ) ( 3377520 1193250 )
NEW met2 ( 3377520 1191030 0 ) ( 3377520 1193250 )
NEW met3 ( 3374880 1339770 ) ( 3374880 1341250 0 )
NEW met4 ( 3374880 1193250 ) ( 3374880 1339770 )
NEW met3 ( 3374880 1193250 ) M3M4_PR_M
NEW met2 ( 3377520 1193250 ) via2_FR
NEW met3 ( 3374880 1339770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[4\] ( padframe mprj_io_slow_sel[4] ) ( gpio_control_in\[4\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3372000 1418210 ) ( 3377040 1418210 )
NEW met2 ( 3377040 1415990 0 ) ( 3377040 1418210 )
NEW met3 ( 3372000 1566210 ) ( 3373920 1566210 0 )
NEW met4 ( 3372000 1418210 ) ( 3372000 1566210 )
NEW met3 ( 3372000 1418210 ) M3M4_PR_M
NEW met2 ( 3377040 1418210 ) via2_FR
NEW met3 ( 3372000 1566210 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[5\] ( padframe mprj_io_slow_sel[5] ) ( gpio_control_in\[5\] pad_gpio_slow_sel )
+ ROUTED met2 ( 3378960 1640210 ) ( 3378960 1640950 0 )
NEW met3 ( 3378960 1640210 ) ( 3382560 1640210 )
NEW met3 ( 3385440 1790430 ) ( 3385680 1790430 )
NEW met3 ( 3385440 1790430 ) ( 3385440 1791170 0 )
NEW met3 ( 3382560 1727530 ) ( 3385680 1727530 )
NEW met4 ( 3382560 1640210 ) ( 3382560 1727530 )
NEW met2 ( 3385680 1727530 ) ( 3385680 1790430 )
NEW met2 ( 3378960 1640210 ) via2_FR
NEW met3 ( 3382560 1640210 ) M3M4_PR_M
NEW met2 ( 3385680 1790430 ) via2_FR
NEW met3 ( 3382560 1727530 ) M3M4_PR_M
NEW met2 ( 3385680 1727530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[6\] ( padframe mprj_io_slow_sel[6] ) ( gpio_control_in\[6\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3374880 2016130 ) ( 3374880 2017610 0 )
NEW met3 ( 3374880 1869610 ) ( 3377520 1869610 )
NEW met2 ( 3377520 1867020 0 ) ( 3377520 1869610 )
NEW met4 ( 3374880 1869610 ) ( 3374880 2016130 )
NEW met3 ( 3374880 2016130 ) M3M4_PR_M
NEW met3 ( 3374880 1869610 ) M3M4_PR_M
NEW met2 ( 3377520 1869610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[7\] ( padframe mprj_io_slow_sel[7] ) ( gpio_control_in\[7\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3372720 2459390 ) ( 3373920 2459390 )
NEW met3 ( 3373920 2458650 0 ) ( 3373920 2459390 )
NEW met1 ( 3372720 2696375 ) ( 3375600 2696375 )
NEW met2 ( 3375600 2696375 ) ( 3375600 2705810 )
NEW met2 ( 3375120 2705810 ) ( 3375600 2705810 )
NEW met2 ( 3375120 2705810 ) ( 3375120 2750395 )
NEW met1 ( 3375120 2750395 ) ( 3377520 2750395 )
NEW met2 ( 3372720 2459390 ) ( 3372720 2696375 )
NEW met2 ( 3377520 2750395 ) ( 3377520 2752975 0 )
NEW met2 ( 3372720 2459390 ) via2_FR
NEW met1 ( 3372720 2696375 ) M1M2_PR
NEW met1 ( 3375600 2696375 ) M1M2_PR
NEW met1 ( 3375120 2750395 ) M1M2_PR
NEW met1 ( 3377520 2750395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[8\] ( padframe mprj_io_slow_sel[8] ) ( gpio_control_in\[8\] pad_gpio_slow_sel )
+ ROUTED met1 ( 3374640 2976465 ) ( 3377520 2976465 )
NEW met2 ( 3377520 2976465 ) ( 3377520 2978870 0 )
NEW met3 ( 3381600 2678430 0 ) ( 3381600 2681390 )
NEW met3 ( 3374640 2843450 ) ( 3374880 2843450 )
NEW met4 ( 3374880 2794610 ) ( 3374880 2843450 )
NEW met3 ( 3374880 2794610 ) ( 3378720 2794610 )
NEW met3 ( 3378720 2793870 ) ( 3378720 2794610 )
NEW met3 ( 3378720 2793870 ) ( 3381600 2793870 )
NEW met2 ( 3374640 2843450 ) ( 3374640 2976465 )
NEW met4 ( 3381600 2681390 ) ( 3381600 2793870 )
NEW met1 ( 3374640 2976465 ) M1M2_PR
NEW met1 ( 3377520 2976465 ) M1M2_PR
NEW met3 ( 3381600 2681390 ) M3M4_PR_M
NEW met2 ( 3374640 2843450 ) via2_FR
NEW met3 ( 3374880 2843450 ) M3M4_PR_M
NEW met3 ( 3374880 2794610 ) M3M4_PR_M
NEW met3 ( 3381600 2793870 ) M3M4_PR_M
NEW met3 ( 3374640 2843450 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[9\] ( padframe mprj_io_slow_sel[9] ) ( gpio_control_in\[9\] pad_gpio_slow_sel )
+ ROUTED met4 ( 3381600 2982015 ) ( 3382560 2982015 )
NEW met3 ( 3370080 3201610 ) ( 3377040 3201610 )
NEW met2 ( 3377040 3201610 ) ( 3377040 3203975 0 )
NEW met3 ( 3382560 2903390 0 ) ( 3382560 2906350 )
NEW met4 ( 3382560 2906350 ) ( 3382560 2982015 )
NEW met3 ( 3370080 3066930 ) ( 3381600 3066930 )
NEW met4 ( 3370080 3066930 ) ( 3370080 3201610 )
NEW met4 ( 3381600 2982015 ) ( 3381600 3066930 )
NEW met3 ( 3370080 3201610 ) M3M4_PR_M
NEW met2 ( 3377040 3201610 ) via2_FR
NEW met3 ( 3382560 2906350 ) M3M4_PR_M
NEW met3 ( 3370080 3066930 ) M3M4_PR_M
NEW met3 ( 3381600 3066930 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[0\] ( padframe mprj_io_vtrip_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3371040 668590 ) ( 3373920 668590 0 )
NEW met3 ( 3371040 570910 ) ( 3377040 570910 )
NEW met2 ( 3377040 569060 0 ) ( 3377040 570910 )
NEW met4 ( 3371040 570910 ) ( 3371040 668590 )
NEW met3 ( 3371040 668590 ) M3M4_PR_M
NEW met3 ( 3371040 570910 ) M3M4_PR_M
NEW met2 ( 3377040 570910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[10\] ( padframe mprj_io_vtrip_sel[10] ) ( gpio_control_in\[10\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 3378960 3485175 0 ) ( 3378960 3485770 )
NEW met3 ( 3378960 3485770 ) ( 3379680 3485770 )
NEW met4 ( 3379680 3228435 ) ( 3381600 3228435 )
NEW met3 ( 3381600 3133530 0 ) ( 3381600 3136490 )
NEW met4 ( 3381600 3136490 ) ( 3381600 3228435 )
NEW met4 ( 3379680 3228435 ) ( 3379680 3485770 )
NEW met2 ( 3378960 3485770 ) via2_FR
NEW met3 ( 3379680 3485770 ) M3M4_PR_M
NEW met3 ( 3381600 3136490 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[11\] ( padframe mprj_io_vtrip_sel[11] ) ( gpio_control_in\[11\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 3378960 3708510 ) ( 3378960 3710175 0 )
NEW met3 ( 3378960 3708510 ) ( 3380640 3708510 )
NEW met3 ( 3380640 3358490 0 ) ( 3380640 3361450 )
NEW met4 ( 3380640 3361450 ) ( 3380640 3708510 )
NEW met2 ( 3378960 3708510 ) via2_FR
NEW met3 ( 3380640 3708510 ) M3M4_PR_M
NEW met3 ( 3380640 3361450 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[12\] ( padframe mprj_io_vtrip_sel[12] ) ( gpio_control_in\[12\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3382560 3584560 0 ) ( 3382560 3585670 )
NEW met2 ( 3378960 3933470 ) ( 3378960 3935175 0 )
NEW met3 ( 3378960 3933470 ) ( 3382560 3933470 )
NEW met4 ( 3382560 3585670 ) ( 3382560 3933470 )
NEW met3 ( 3382560 3585670 ) M3M4_PR_M
NEW met2 ( 3378960 3933470 ) via2_FR
NEW met3 ( 3382560 3933470 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[13\] ( padframe mprj_io_vtrip_sel[13] ) ( gpio_control_in\[13\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3373920 4379690 ) ( 3377040 4379690 )
NEW met2 ( 3377040 4379690 ) ( 3377040 4381170 0 )
NEW met3 ( 3373920 3809520 0 ) ( 3373920 3812110 )
NEW met4 ( 3373920 3812110 ) ( 3373920 4379690 )
NEW met3 ( 3373920 4379690 ) M3M4_PR_M
NEW met2 ( 3377040 4379690 ) via2_FR
NEW met3 ( 3373920 3812110 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[14\] ( padframe mprj_io_vtrip_sel[14] ) ( gpio_control_in\[14\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3375840 4701590 0 ) ( 3375840 4704550 )
NEW met3 ( 3375840 4824430 ) ( 3376080 4824430 )
NEW met2 ( 3376080 4824430 ) ( 3376080 4827175 )
NEW met2 ( 3376080 4827175 ) ( 3377040 4827175 0 )
NEW met4 ( 3375840 4704550 ) ( 3375840 4824430 )
NEW met3 ( 3375840 4704550 ) M3M4_PR_M
NEW met3 ( 3375840 4824430 ) M3M4_PR_M
NEW met2 ( 3376080 4824430 ) via2_FR
NEW met3 ( 3375840 4824430 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[15\] ( padframe mprj_io_vtrip_sel[15] ) ( gpio_control_in\[15\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 2812560 5025710 ) ( 2812560 5025895 )
NEW met3 ( 2809440 5025710 0 ) ( 2812560 5025710 )
NEW met2 ( 3002160 4988155 ) ( 3002160 4997775 )
NEW met2 ( 2852880 4988155 ) ( 2852880 5025895 )
NEW met1 ( 2812560 5025895 ) ( 2852880 5025895 )
NEW met1 ( 2852880 4988155 ) ( 3002160 4988155 )
NEW met2 ( 3139440 4978350 ) ( 3140880 4978350 0 )
NEW met2 ( 3139440 4978165 ) ( 3139440 4978350 )
NEW met1 ( 3128400 4978165 ) ( 3139440 4978165 )
NEW met2 ( 3128400 4978165 ) ( 3128400 4997405 )
NEW met1 ( 3081360 4997405 ) ( 3128400 4997405 )
NEW met1 ( 3081360 4997405 ) ( 3081360 4997775 )
NEW met1 ( 3002160 4997775 ) ( 3081360 4997775 )
NEW met1 ( 2812560 5025895 ) M1M2_PR
NEW met2 ( 2812560 5025710 ) via2_FR
NEW met1 ( 3002160 4988155 ) M1M2_PR
NEW met1 ( 3002160 4997775 ) M1M2_PR
NEW met1 ( 2852880 5025895 ) M1M2_PR
NEW met1 ( 2852880 4988155 ) M1M2_PR
NEW met1 ( 3139440 4978165 ) M1M2_PR
NEW met1 ( 3128400 4978165 ) M1M2_PR
NEW met1 ( 3128400 4997405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[16\] ( padframe mprj_io_vtrip_sel[16] ) ( gpio_control_in\[16\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 2630640 4977610 ) ( 2631840 4977610 0 )
NEW met2 ( 2630640 4976870 ) ( 2630640 4977610 )
NEW met3 ( 2552640 4976870 ) ( 2630640 4976870 )
NEW met3 ( 2552640 4976870 ) ( 2552640 4979090 0 )
NEW met2 ( 2630640 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[17\] ( padframe mprj_io_vtrip_sel[17] ) ( gpio_control_in\[17\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 2170320 5005545 ) ( 2170320 5005730 )
NEW met3 ( 2167680 5005730 0 ) ( 2170320 5005730 )
NEW met2 ( 2373360 4978350 ) ( 2374800 4978350 0 )
NEW met2 ( 2373360 4978165 ) ( 2373360 4978350 )
NEW met1 ( 2363760 4978165 ) ( 2373360 4978165 )
NEW met2 ( 2363760 4978165 ) ( 2363760 5005730 )
NEW met2 ( 2363280 5005730 ) ( 2363760 5005730 )
NEW met2 ( 2363280 5005545 ) ( 2363280 5005730 )
NEW met1 ( 2170320 5005545 ) ( 2363280 5005545 )
NEW met1 ( 2170320 5005545 ) M1M2_PR
NEW met2 ( 2170320 5005730 ) via2_FR
NEW met1 ( 2373360 4978165 ) M1M2_PR
NEW met1 ( 2363760 4978165 ) M1M2_PR
NEW met1 ( 2363280 5005545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[18\] ( padframe mprj_io_vtrip_sel[18] ) ( gpio_control_in\[18\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 1833360 4978905 ) ( 1833360 4979090 )
NEW met3 ( 1830240 4979090 0 ) ( 1833360 4979090 )
NEW met2 ( 1859280 4978905 ) ( 1859280 4979090 )
NEW met3 ( 1859280 4979090 ) ( 1929360 4979090 )
NEW met2 ( 1929360 4979090 ) ( 1929840 4979090 0 )
NEW met1 ( 1833360 4978905 ) ( 1859280 4978905 )
NEW met1 ( 1833360 4978905 ) M1M2_PR
NEW met2 ( 1833360 4979090 ) via2_FR
NEW met1 ( 1859280 4978905 ) M1M2_PR
NEW met2 ( 1859280 4979090 ) via2_FR
NEW met2 ( 1929360 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[19\] ( padframe mprj_io_vtrip_sel[19] ) ( gpio_control_in\[19\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 1422000 4977425 ) ( 1422000 4977610 )
NEW met2 ( 1420800 4977610 0 ) ( 1422000 4977610 )
NEW met2 ( 1577520 4997590 ) ( 1577520 4997775 )
NEW met3 ( 1577520 4997590 ) ( 1578720 4997590 0 )
NEW met1 ( 1468080 4977055 ) ( 1468080 4977425 )
NEW met1 ( 1468080 4977055 ) ( 1493040 4977055 )
NEW met2 ( 1493040 4977055 ) ( 1493040 4997775 )
NEW met1 ( 1422000 4977425 ) ( 1468080 4977425 )
NEW met1 ( 1493040 4997775 ) ( 1577520 4997775 )
NEW met1 ( 1422000 4977425 ) M1M2_PR
NEW met1 ( 1577520 4997775 ) M1M2_PR
NEW met2 ( 1577520 4997590 ) via2_FR
NEW met1 ( 1493040 4977055 ) M1M2_PR
NEW met1 ( 1493040 4997775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[1\] ( padframe mprj_io_vtrip_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3373920 796610 ) ( 3377040 796610 )
NEW met2 ( 3377040 795130 0 ) ( 3377040 796610 )
NEW met3 ( 3373920 892810 ) ( 3373920 894290 0 )
NEW met4 ( 3373920 796610 ) ( 3373920 892810 )
NEW met3 ( 3373920 796610 ) M3M4_PR_M
NEW met2 ( 3377040 796610 ) via2_FR
NEW met3 ( 3373920 892810 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[20\] ( padframe mprj_io_vtrip_sel[20] ) ( gpio_control_in\[20\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 1162800 4968545 ) ( 1162800 4977610 0 )
NEW met2 ( 1320240 4968545 ) ( 1320240 4976870 )
NEW met3 ( 1320240 4976870 ) ( 1320480 4976870 )
NEW met3 ( 1320480 4976870 ) ( 1320480 4979090 0 )
NEW met1 ( 1162800 4968545 ) ( 1320240 4968545 )
NEW met1 ( 1162800 4968545 ) M1M2_PR
NEW met1 ( 1320240 4968545 ) M1M2_PR
NEW met2 ( 1320240 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[21\] ( padframe mprj_io_vtrip_sel[21] ) ( gpio_control_in\[21\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 906960 4968545 ) ( 906960 4977610 )
NEW met2 ( 905760 4977610 0 ) ( 906960 4977610 )
NEW met2 ( 1063440 4968545 ) ( 1063440 4976870 )
NEW met3 ( 1063440 4976870 ) ( 1063680 4976870 )
NEW met3 ( 1063680 4976870 ) ( 1063680 4979090 0 )
NEW met1 ( 906960 4968545 ) ( 1063440 4968545 )
NEW met1 ( 906960 4968545 ) M1M2_PR
NEW met1 ( 1063440 4968545 ) M1M2_PR
NEW met2 ( 1063440 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[22\] ( padframe mprj_io_vtrip_sel[22] ) ( gpio_control_in\[22\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 795120 4969470 ) ( 795120 4977610 )
NEW met3 ( 795120 4977610 ) ( 806400 4977610 )
NEW met3 ( 806400 4977610 ) ( 806400 4979090 0 )
NEW met2 ( 648720 4969470 ) ( 648720 4977610 0 )
NEW met3 ( 648720 4969470 ) ( 795120 4969470 )
NEW met2 ( 795120 4969470 ) via2_FR
NEW met2 ( 795120 4977610 ) via2_FR
NEW met2 ( 648720 4969470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[23\] ( padframe mprj_io_vtrip_sel[23] ) ( gpio_control_in\[23\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 391920 4968545 ) ( 391920 4977610 0 )
NEW met2 ( 549360 4968545 ) ( 549360 4976130 )
NEW met3 ( 549360 4976130 ) ( 549600 4976130 )
NEW met3 ( 549600 4976130 ) ( 549600 4979090 0 )
NEW met1 ( 391920 4968545 ) ( 549360 4968545 )
NEW met1 ( 391920 4968545 ) M1M2_PR
NEW met1 ( 549360 4968545 ) M1M2_PR
NEW met2 ( 549360 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[24\] ( padframe mprj_io_vtrip_sel[24] ) ( gpio_control_in\[24\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 209040 4781880 0 ) ( 209040 4782990 )
NEW met3 ( 205920 4782990 ) ( 209040 4782990 )
NEW met3 ( 205920 4719720 0 ) ( 205920 4722310 )
NEW met4 ( 205920 4722310 ) ( 205920 4782990 )
NEW met2 ( 209040 4782990 ) via2_FR
NEW met3 ( 205920 4782990 ) M3M4_PR_M
NEW met3 ( 205920 4722310 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[25\] ( padframe mprj_io_vtrip_sel[25] ) ( gpio_control_in\[25\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 210720 3958630 ) ( 214800 3958630 )
NEW met2 ( 214800 3935135 ) ( 214800 3958630 )
NEW met1 ( 210960 3935135 ) ( 214800 3935135 )
NEW met2 ( 210960 3932730 0 ) ( 210960 3935135 )
NEW met3 ( 208560 4002290 ) ( 211680 4002290 )
NEW met4 ( 211680 3967695 ) ( 211680 4002290 )
NEW met4 ( 210720 3967695 ) ( 211680 3967695 )
NEW met4 ( 210720 3958630 ) ( 210720 3967695 )
NEW met3 ( 208560 4089610 ) ( 208800 4089610 )
NEW met3 ( 208800 4089610 ) ( 208800 4090350 0 )
NEW met2 ( 208560 4002290 ) ( 208560 4089610 )
NEW met3 ( 210720 3958630 ) M3M4_PR_M
NEW met2 ( 214800 3958630 ) via2_FR
NEW met1 ( 214800 3935135 ) M1M2_PR
NEW met1 ( 210960 3935135 ) M1M2_PR
NEW met2 ( 208560 4002290 ) via2_FR
NEW met3 ( 211680 4002290 ) M3M4_PR_M
NEW met2 ( 208560 4089610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[26\] ( padframe mprj_io_vtrip_sel[26] ) ( gpio_control_in\[26\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 211680 3874270 0 ) ( 214800 3874270 )
NEW met2 ( 210960 3716825 0 ) ( 210960 3719055 )
NEW met1 ( 210960 3719055 ) ( 215760 3719055 )
NEW met1 ( 214800 3830055 ) ( 219120 3830055 )
NEW met2 ( 219120 3751245 ) ( 219120 3830055 )
NEW met1 ( 215760 3751245 ) ( 219120 3751245 )
NEW met2 ( 214800 3830055 ) ( 214800 3874270 )
NEW met2 ( 215760 3719055 ) ( 215760 3751245 )
NEW met2 ( 214800 3874270 ) via2_FR
NEW met1 ( 210960 3719055 ) M1M2_PR
NEW met1 ( 215760 3719055 ) M1M2_PR
NEW met1 ( 214800 3830055 ) M1M2_PR
NEW met1 ( 219120 3830055 ) M1M2_PR
NEW met1 ( 219120 3751245 ) M1M2_PR
NEW met1 ( 215760 3751245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[27\] ( padframe mprj_io_vtrip_sel[27] ) ( gpio_control_in\[27\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 215760 3557365 ) ( 218160 3557365 )
NEW met2 ( 210480 3500940 0 ) ( 210480 3502235 )
NEW met1 ( 210480 3502235 ) ( 215760 3502235 )
NEW met2 ( 215760 3502235 ) ( 215760 3517035 )
NEW met1 ( 215760 3517035 ) ( 218160 3517035 )
NEW met2 ( 218160 3517035 ) ( 218160 3557365 )
NEW met3 ( 211680 3658190 ) ( 215760 3658190 )
NEW met3 ( 211680 3658190 ) ( 211680 3658560 0 )
NEW met2 ( 215760 3557365 ) ( 215760 3658190 )
NEW met1 ( 215760 3557365 ) M1M2_PR
NEW met1 ( 218160 3557365 ) M1M2_PR
NEW met1 ( 210480 3502235 ) M1M2_PR
NEW met1 ( 215760 3502235 ) M1M2_PR
NEW met1 ( 215760 3517035 ) M1M2_PR
NEW met1 ( 218160 3517035 ) M1M2_PR
NEW met2 ( 215760 3658190 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[28\] ( padframe mprj_io_vtrip_sel[28] ) ( gpio_control_in\[28\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 208560 3441370 ) ( 208800 3441370 )
NEW met3 ( 208800 3441370 ) ( 208800 3442480 0 )
NEW met1 ( 208560 3354235 ) ( 210960 3354235 )
NEW met2 ( 210960 3354050 ) ( 210960 3354235 )
NEW met3 ( 210960 3354050 ) ( 212640 3354050 )
NEW met4 ( 212640 3314090 ) ( 212640 3354050 )
NEW met3 ( 212400 3314090 ) ( 212640 3314090 )
NEW met2 ( 212400 3286895 ) ( 212400 3314090 )
NEW met1 ( 210480 3286895 ) ( 212400 3286895 )
NEW met2 ( 210480 3284860 0 ) ( 210480 3286895 )
NEW met2 ( 208560 3354235 ) ( 208560 3441370 )
NEW met2 ( 208560 3441370 ) via2_FR
NEW met1 ( 208560 3354235 ) M1M2_PR
NEW met1 ( 210960 3354235 ) M1M2_PR
NEW met2 ( 210960 3354050 ) via2_FR
NEW met3 ( 212640 3354050 ) M3M4_PR_M
NEW met3 ( 212640 3314090 ) M3M4_PR_M
NEW met2 ( 212400 3314090 ) via2_FR
NEW met1 ( 212400 3286895 ) M1M2_PR
NEW met1 ( 210480 3286895 ) M1M2_PR
NEW met3 ( 212640 3314090 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[29\] ( padframe mprj_io_vtrip_sel[29] ) ( gpio_control_in\[29\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 211680 3226770 0 ) ( 215760 3226770 )
NEW met1 ( 215760 3154065 ) ( 218640 3154065 )
NEW met2 ( 215760 3154065 ) ( 215760 3226770 )
NEW met2 ( 210960 3068780 0 ) ( 210960 3070815 )
NEW met1 ( 210960 3070815 ) ( 218640 3070815 )
NEW met2 ( 218640 3070815 ) ( 218640 3154065 )
NEW met2 ( 215760 3226770 ) via2_FR
NEW met1 ( 218640 3154065 ) M1M2_PR
NEW met1 ( 215760 3154065 ) M1M2_PR
NEW met1 ( 210960 3070815 ) M1M2_PR
NEW met1 ( 218640 3070815 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[2\] ( padframe mprj_io_vtrip_sel[2] ) ( gpio_control_in\[2\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3369840 1119250 ) ( 3373920 1119250 0 )
NEW met1 ( 3369840 1022125 ) ( 3377040 1022125 )
NEW met2 ( 3377040 1020090 0 ) ( 3377040 1022125 )
NEW met2 ( 3369840 1022125 ) ( 3369840 1119250 )
NEW met2 ( 3369840 1119250 ) via2_FR
NEW met1 ( 3369840 1022125 ) M1M2_PR
NEW met1 ( 3377040 1022125 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[30\] ( padframe mprj_io_vtrip_sel[30] ) ( gpio_control_in\[30\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 207840 3009210 ) ( 208080 3009210 )
NEW met3 ( 207840 3009210 ) ( 207840 3010690 0 )
NEW met3 ( 208080 2924110 ) ( 212640 2924110 )
NEW met4 ( 212640 2887850 ) ( 212640 2924110 )
NEW met3 ( 212640 2887850 ) ( 215280 2887850 )
NEW met2 ( 215280 2855105 ) ( 215280 2887850 )
NEW met1 ( 210960 2855105 ) ( 215280 2855105 )
NEW met2 ( 210960 2852700 0 ) ( 210960 2855105 )
NEW met2 ( 208080 2924110 ) ( 208080 3009210 )
NEW met2 ( 208080 3009210 ) via2_FR
NEW met2 ( 208080 2924110 ) via2_FR
NEW met3 ( 212640 2924110 ) M3M4_PR_M
NEW met3 ( 212640 2887850 ) M3M4_PR_M
NEW met2 ( 215280 2887850 ) via2_FR
NEW met1 ( 215280 2855105 ) M1M2_PR
NEW met1 ( 210960 2855105 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[31\] ( padframe mprj_io_vtrip_sel[31] ) ( gpio_control_in\[31\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 211680 2794610 0 ) ( 214800 2794610 )
NEW met2 ( 210960 2636825 0 ) ( 210960 2638285 )
NEW met1 ( 210960 2638285 ) ( 215760 2638285 )
NEW met2 ( 214800 2747250 ) ( 215280 2747250 )
NEW met2 ( 215280 2746880 ) ( 215280 2747250 )
NEW met2 ( 215280 2746880 ) ( 215760 2746880 )
NEW met2 ( 214800 2747250 ) ( 214800 2794610 )
NEW met2 ( 215760 2638285 ) ( 215760 2746880 )
NEW met2 ( 214800 2794610 ) via2_FR
NEW met1 ( 210960 2638285 ) M1M2_PR
NEW met1 ( 215760 2638285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[32\] ( padframe mprj_io_vtrip_sel[32] ) ( gpio_control_in\[32\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204000 2000590 ) ( 209040 2000590 )
NEW met2 ( 209040 1998740 0 ) ( 209040 2000590 )
NEW met3 ( 204000 2153770 ) ( 204000 2156730 0 )
NEW met4 ( 204000 2000590 ) ( 204000 2153770 )
NEW met3 ( 204000 2000590 ) M3M4_PR_M
NEW met2 ( 209040 2000590 ) via2_FR
NEW met3 ( 204000 2153770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[33\] ( padframe mprj_io_vtrip_sel[33] ) ( gpio_control_in\[33\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204000 1784510 ) ( 209040 1784510 )
NEW met2 ( 209040 1782825 0 ) ( 209040 1784510 )
NEW met3 ( 204000 1937690 ) ( 204000 1940650 0 )
NEW met4 ( 204000 1784510 ) ( 204000 1937690 )
NEW met3 ( 204000 1784510 ) M3M4_PR_M
NEW met2 ( 209040 1784510 ) via2_FR
NEW met3 ( 204000 1937690 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[34\] ( padframe mprj_io_vtrip_sel[34] ) ( gpio_control_in\[34\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204960 1568430 ) ( 209520 1568430 )
NEW met2 ( 209520 1566950 0 ) ( 209520 1568430 )
NEW met3 ( 204960 1721610 ) ( 204960 1724570 0 )
NEW met4 ( 204960 1568430 ) ( 204960 1721610 )
NEW met3 ( 204960 1568430 ) M3M4_PR_M
NEW met2 ( 209520 1568430 ) via2_FR
NEW met3 ( 204960 1721610 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[35\] ( padframe mprj_io_vtrip_sel[35] ) ( gpio_control_in\[35\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204000 1505530 ) ( 204000 1508490 0 )
NEW met3 ( 204000 1353090 ) ( 209040 1353090 )
NEW met2 ( 209040 1350870 0 ) ( 209040 1353090 )
NEW met4 ( 204000 1353090 ) ( 204000 1505530 )
NEW met3 ( 204000 1505530 ) M3M4_PR_M
NEW met3 ( 204000 1353090 ) M3M4_PR_M
NEW met2 ( 209040 1353090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[36\] ( padframe mprj_io_vtrip_sel[36] ) ( gpio_control_in\[36\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 207840 1136270 ) ( 209040 1136270 )
NEW met2 ( 209040 1134790 0 ) ( 209040 1136270 )
NEW met3 ( 207840 1289450 ) ( 207840 1292410 0 )
NEW met4 ( 207840 1136270 ) ( 207840 1289450 )
NEW met3 ( 207840 1136270 ) M3M4_PR_M
NEW met2 ( 209040 1136270 ) via2_FR
NEW met3 ( 207840 1289450 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[37\] ( padframe mprj_io_vtrip_sel[37] ) ( gpio_control_in\[37\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 202080 920930 ) ( 209040 920930 )
NEW met2 ( 209040 918710 0 ) ( 209040 920930 )
NEW met3 ( 202080 1073370 ) ( 202080 1076330 0 )
NEW met4 ( 202080 920930 ) ( 202080 1073370 )
NEW met3 ( 202080 920930 ) M3M4_PR_M
NEW met2 ( 209040 920930 ) via2_FR
NEW met3 ( 202080 1073370 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[3\] ( padframe mprj_io_vtrip_sel[3] ) ( gpio_control_in\[3\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 3370800 1247825 ) ( 3377040 1247825 )
NEW met2 ( 3377040 1246160 0 ) ( 3377040 1247825 )
NEW met3 ( 3370800 1345690 ) ( 3373920 1345690 0 )
NEW met2 ( 3370800 1247825 ) ( 3370800 1345690 )
NEW met1 ( 3370800 1247825 ) M1M2_PR
NEW met1 ( 3377040 1247825 ) M1M2_PR
NEW met2 ( 3370800 1345690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[4\] ( padframe mprj_io_vtrip_sel[4] ) ( gpio_control_in\[4\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 3370320 1473895 ) ( 3376080 1473895 )
NEW met2 ( 3376080 1471120 ) ( 3376080 1473895 )
NEW met2 ( 3376080 1471120 ) ( 3377040 1471120 0 )
NEW met3 ( 3370320 1570650 ) ( 3373920 1570650 0 )
NEW met2 ( 3370320 1473895 ) ( 3370320 1570650 )
NEW met1 ( 3370320 1473895 ) M1M2_PR
NEW met1 ( 3376080 1473895 ) M1M2_PR
NEW met2 ( 3370320 1570650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[5\] ( padframe mprj_io_vtrip_sel[5] ) ( gpio_control_in\[5\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3369840 1795610 ) ( 3373920 1795610 0 )
NEW met1 ( 3369840 1697745 ) ( 3377040 1697745 )
NEW met2 ( 3377040 1696080 0 ) ( 3377040 1697745 )
NEW met2 ( 3369840 1697745 ) ( 3369840 1795610 )
NEW met2 ( 3369840 1795610 ) via2_FR
NEW met1 ( 3369840 1697745 ) M1M2_PR
NEW met1 ( 3377040 1697745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[6\] ( padframe mprj_io_vtrip_sel[6] ) ( gpio_control_in\[6\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3370320 2021310 ) ( 3373920 2021310 0 )
NEW met1 ( 3370320 1924185 ) ( 3377040 1924185 )
NEW met2 ( 3377040 1922150 0 ) ( 3377040 1924185 )
NEW met2 ( 3370320 1924185 ) ( 3370320 2021310 )
NEW met2 ( 3370320 2021310 ) via2_FR
NEW met1 ( 3370320 1924185 ) M1M2_PR
NEW met1 ( 3377040 1924185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[7\] ( padframe mprj_io_vtrip_sel[7] ) ( gpio_control_in\[7\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3377760 2462350 0 ) ( 3377760 2463830 )
NEW met3 ( 3377520 2808670 ) ( 3377760 2808670 )
NEW met2 ( 3377520 2808300 0 ) ( 3377520 2808670 )
NEW met4 ( 3377760 2463830 ) ( 3377760 2808670 )
NEW met3 ( 3377760 2463830 ) M3M4_PR_M
NEW met3 ( 3377760 2808670 ) M3M4_PR_M
NEW met2 ( 3377520 2808670 ) via2_FR
NEW met3 ( 3377760 2808670 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[8\] ( padframe mprj_io_vtrip_sel[8] ) ( gpio_control_in\[8\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3378480 3032150 ) ( 3378720 3032150 )
NEW met2 ( 3378480 3032150 ) ( 3378480 3034175 0 )
NEW met3 ( 3378720 2682500 0 ) ( 3378720 2685090 )
NEW met4 ( 3378720 2685090 ) ( 3378720 3032150 )
NEW met3 ( 3378720 3032150 ) M3M4_PR_M
NEW met2 ( 3378480 3032150 ) via2_FR
NEW met3 ( 3378720 2685090 ) M3M4_PR_M
NEW met3 ( 3378720 3032150 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[9\] ( padframe mprj_io_vtrip_sel[9] ) ( gpio_control_in\[9\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3374880 2907830 0 ) ( 3374880 2908570 )
NEW met3 ( 3374880 3257110 ) ( 3377520 3257110 )
NEW met2 ( 3377520 3257110 ) ( 3377520 3259175 0 )
NEW met4 ( 3374880 2908570 ) ( 3374880 3257110 )
NEW met3 ( 3374880 2908570 ) M3M4_PR_M
NEW met3 ( 3374880 3257110 ) M3M4_PR_M
NEW met2 ( 3377520 3257110 ) via2_FR
+ USE SIGNAL ;
- mprj_reset ( mprj wb_rst_i ) ( mgmt_buffers user_reset )
+ ROUTED met2 ( 335280 1367335 ) ( 335280 1389350 0 )
NEW met2 ( 1048560 1359195 ) ( 1048560 1367335 )
NEW met1 ( 1048560 1359195 ) ( 1066320 1359195 )
NEW met1 ( 335280 1367335 ) ( 1048560 1367335 )
NEW met2 ( 1066320 1325710 0 ) ( 1066320 1359195 )
NEW met1 ( 335280 1367335 ) M1M2_PR
NEW met1 ( 1048560 1367335 ) M1M2_PR
NEW met1 ( 1048560 1359195 ) M1M2_PR
NEW met1 ( 1066320 1359195 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_core\[0\] ( soc mprj_sel_o[0] ) ( mgmt_buffers mprj_sel_o_core[0] )
+ ROUTED met2 ( 1910640 1167350 ) ( 1911600 1167350 0 )
NEW met2 ( 1910640 1167350 ) ( 1910640 1232470 )
NEW met2 ( 1909440 1232470 0 ) ( 1910640 1232470 )
+ USE SIGNAL ;
- mprj_sel_o_core\[1\] ( soc mprj_sel_o[1] ) ( mgmt_buffers mprj_sel_o_core[1] )
+ ROUTED met2 ( 1913520 1167350 0 ) ( 1914480 1167350 )
NEW met2 ( 1914480 1167350 ) ( 1914480 1195470 )
NEW met1 ( 1915440 1221185 ) ( 1917360 1221185 )
NEW met2 ( 1917360 1221185 ) ( 1917360 1233210 )
NEW met2 ( 1915920 1233210 0 ) ( 1917360 1233210 )
NEW met3 ( 1915440 1195470 ) ( 1915680 1195470 )
NEW met4 ( 1915680 1195470 ) ( 1915680 1208790 )
NEW met3 ( 1915440 1208790 ) ( 1915680 1208790 )
NEW met2 ( 1914480 1195470 ) ( 1915440 1195470 )
NEW met2 ( 1915440 1208790 ) ( 1915440 1221185 )
NEW met1 ( 1915440 1221185 ) M1M2_PR
NEW met1 ( 1917360 1221185 ) M1M2_PR
NEW met2 ( 1915440 1195470 ) via2_FR
NEW met3 ( 1915680 1195470 ) M3M4_PR_M
NEW met3 ( 1915680 1208790 ) M3M4_PR_M
NEW met2 ( 1915440 1208790 ) via2_FR
NEW met3 ( 1915680 1195470 ) RECT ( 0 -150 380 150 )
NEW met3 ( 1915680 1208790 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_sel_o_core\[2\] ( soc mprj_sel_o[2] ) ( mgmt_buffers mprj_sel_o_core[2] )
+ ROUTED met2 ( 1915440 1167350 0 ) ( 1915440 1171605 )
NEW met1 ( 1915440 1171605 ) ( 1922160 1171605 )
NEW met2 ( 1922160 1171605 ) ( 1922160 1232470 0 )
NEW met1 ( 1915440 1171605 ) M1M2_PR
NEW met1 ( 1922160 1171605 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_core\[3\] ( soc mprj_sel_o[3] ) ( mgmt_buffers mprj_sel_o_core[3] )
+ ROUTED met2 ( 1917120 1167350 0 ) ( 1918320 1167350 )
NEW met2 ( 1918320 1167350 ) ( 1918320 1207495 )
NEW met1 ( 1918320 1207495 ) ( 1927920 1207495 )
NEW met2 ( 1927920 1207495 ) ( 1927920 1232470 )
NEW met2 ( 1927920 1232470 ) ( 1929120 1232470 0 )
NEW met1 ( 1918320 1207495 ) M1M2_PR
NEW met1 ( 1927920 1207495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_user\[0\] ( mprj wbs_sel_i[0] ) ( mgmt_buffers mprj_sel_o_user[0] )
+ ROUTED met2 ( 382800 1362710 ) ( 382800 1389350 0 )
NEW met2 ( 1917840 1327190 ) ( 1917840 1362710 )
NEW met2 ( 1917840 1327190 ) ( 1918080 1327190 )
NEW met2 ( 1918080 1325710 0 ) ( 1918080 1327190 )
NEW met3 ( 382800 1362710 ) ( 1917840 1362710 )
NEW met2 ( 382800 1362710 ) via2_FR
NEW met2 ( 1917840 1362710 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_user\[1\] ( mprj wbs_sel_i[1] ) ( mgmt_buffers mprj_sel_o_user[1] )
+ ROUTED met2 ( 406800 1364930 ) ( 406800 1389350 0 )
NEW met3 ( 1916880 1360490 ) ( 1924560 1360490 )
NEW met2 ( 1924560 1325710 0 ) ( 1924560 1360490 )
NEW met3 ( 406800 1364930 ) ( 1894800 1364930 )
NEW met3 ( 1898640 1367890 ) ( 1915680 1367890 )
NEW met1 ( 1894800 1368445 ) ( 1898640 1368445 )
NEW met3 ( 1915680 1368630 ) ( 1916880 1368630 )
NEW met2 ( 1894800 1364930 ) ( 1894800 1368445 )
NEW met2 ( 1898640 1367890 ) ( 1898640 1368445 )
NEW met3 ( 1915680 1367890 ) ( 1915680 1368630 )
NEW met2 ( 1916880 1360490 ) ( 1916880 1368630 )
NEW met2 ( 406800 1364930 ) via2_FR
NEW met2 ( 1916880 1360490 ) via2_FR
NEW met2 ( 1924560 1360490 ) via2_FR
NEW met2 ( 1894800 1364930 ) via2_FR
NEW met2 ( 1898640 1367890 ) via2_FR
NEW met1 ( 1894800 1368445 ) M1M2_PR
NEW met1 ( 1898640 1368445 ) M1M2_PR
NEW met2 ( 1916880 1368630 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_user\[2\] ( mprj wbs_sel_i[2] ) ( mgmt_buffers mprj_sel_o_user[2] )
+ ROUTED met2 ( 430320 1365670 ) ( 430320 1389350 0 )
NEW met2 ( 1930800 1325710 ) ( 1931040 1325710 0 )
NEW met2 ( 1930800 1325710 ) ( 1930800 1361970 )
NEW met2 ( 1909200 1361970 ) ( 1909200 1367150 )
NEW met3 ( 1909200 1361970 ) ( 1930800 1361970 )
NEW met2 ( 1881840 1365670 ) ( 1881840 1366225 )
NEW met1 ( 1881840 1366225 ) ( 1896720 1366225 )
NEW met2 ( 1896720 1366225 ) ( 1896720 1367150 )
NEW met2 ( 1896720 1367150 ) ( 1897200 1367150 )
NEW met3 ( 430320 1365670 ) ( 1881840 1365670 )
NEW met3 ( 1897200 1367150 ) ( 1909200 1367150 )
NEW met2 ( 430320 1365670 ) via2_FR
NEW met2 ( 1930800 1361970 ) via2_FR
NEW met2 ( 1909200 1367150 ) via2_FR
NEW met2 ( 1909200 1361970 ) via2_FR
NEW met2 ( 1881840 1365670 ) via2_FR
NEW met1 ( 1881840 1366225 ) M1M2_PR
NEW met1 ( 1896720 1366225 ) M1M2_PR
NEW met2 ( 1897200 1367150 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_user\[3\] ( mprj wbs_sel_i[3] ) ( mgmt_buffers mprj_sel_o_user[3] )
+ ROUTED met2 ( 582960 1358270 ) ( 582960 1367890 )
NEW met2 ( 734640 1367890 ) ( 735600 1367890 )
NEW met2 ( 735600 1358270 ) ( 735600 1367890 )
NEW met3 ( 735600 1358270 ) ( 786000 1358270 )
NEW met2 ( 786000 1358270 ) ( 786000 1366410 )
NEW met4 ( 985440 1355310 ) ( 985440 1356975 )
NEW met4 ( 985440 1356975 ) ( 987360 1356975 )
NEW met4 ( 987360 1356975 ) ( 987360 1363450 )
NEW met2 ( 454320 1358270 ) ( 454320 1389350 0 )
NEW met3 ( 454320 1358270 ) ( 582960 1358270 )
NEW met3 ( 582960 1367890 ) ( 734640 1367890 )
NEW met2 ( 887280 1355310 ) ( 887280 1366410 )
NEW met3 ( 786000 1366410 ) ( 887280 1366410 )
NEW met3 ( 887280 1355310 ) ( 985440 1355310 )
NEW met2 ( 1241040 1363450 ) ( 1241040 1367890 )
NEW met3 ( 987360 1363450 ) ( 1241040 1363450 )
NEW met4 ( 1920480 1353645 ) ( 1920480 1353830 )
NEW met3 ( 1920480 1353830 ) ( 1938000 1353830 )
NEW met2 ( 1938000 1325710 0 ) ( 1938000 1353830 )
NEW met4 ( 1842720 1353645 ) ( 1842720 1367890 )
NEW met3 ( 1241040 1367890 ) ( 1842720 1367890 )
NEW met5 ( 1842720 1353645 ) ( 1920480 1353645 )
NEW met2 ( 582960 1358270 ) via2_FR
NEW met2 ( 582960 1367890 ) via2_FR
NEW met2 ( 734640 1367890 ) via2_FR
NEW met2 ( 735600 1358270 ) via2_FR
NEW met2 ( 786000 1358270 ) via2_FR
NEW met2 ( 786000 1366410 ) via2_FR
NEW met3 ( 985440 1355310 ) M3M4_PR_M
NEW met3 ( 987360 1363450 ) M3M4_PR_M
NEW met2 ( 454320 1358270 ) via2_FR
NEW met2 ( 887280 1366410 ) via2_FR
NEW met2 ( 887280 1355310 ) via2_FR
NEW met2 ( 1241040 1363450 ) via2_FR
NEW met2 ( 1241040 1367890 ) via2_FR
NEW met4 ( 1920480 1353645 ) via4_FR
NEW met3 ( 1920480 1353830 ) M3M4_PR_M
NEW met2 ( 1938000 1353830 ) via2_FR
NEW met4 ( 1842720 1353645 ) via4_FR
NEW met3 ( 1842720 1367890 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_stb_o_core ( soc mprj_stb_o ) ( mgmt_buffers mprj_stb_o_core )
+ ROUTED met2 ( 1918800 1167350 ) ( 1919040 1167350 0 )
NEW met2 ( 1918800 1167350 ) ( 1918800 1208050 )
NEW met2 ( 1917840 1208050 ) ( 1918800 1208050 )
NEW met2 ( 1917840 1207495 ) ( 1917840 1208050 )
NEW met1 ( 1900560 1207495 ) ( 1917840 1207495 )
NEW met2 ( 1900560 1207495 ) ( 1900560 1232470 0 )
NEW met1 ( 1917840 1207495 ) M1M2_PR
NEW met1 ( 1900560 1207495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_stb_o_user ( mprj wbs_stb_i ) ( mgmt_buffers mprj_stb_o_user )
+ ROUTED met2 ( 353040 1361970 ) ( 353040 1389350 0 )
NEW met2 ( 1908240 1361415 ) ( 1908240 1361970 )
NEW met2 ( 1908240 1361415 ) ( 1909680 1361415 )
NEW met2 ( 1909680 1327190 ) ( 1909680 1361415 )
NEW met2 ( 1909440 1327190 ) ( 1909680 1327190 )
NEW met2 ( 1909440 1325710 0 ) ( 1909440 1327190 )
NEW met3 ( 353040 1361970 ) ( 1908240 1361970 )
NEW met2 ( 353040 1361970 ) via2_FR
NEW met2 ( 1908240 1361970 ) via2_FR
+ USE SIGNAL ;
- mprj_vcc_pwrgood ( soc mprj_vcc_pwrgood ) ( mgmt_buffers user1_vcc_powergood )
+ ROUTED met2 ( 2052240 1189365 ) ( 2052240 1232470 )
NEW met2 ( 2052240 1232470 ) ( 2053440 1232470 0 )
NEW met2 ( 1920720 1167350 0 ) ( 1920720 1189365 )
NEW met1 ( 1920720 1189365 ) ( 2052240 1189365 )
NEW met1 ( 2052240 1189365 ) M1M2_PR
NEW met1 ( 1920720 1189365 ) M1M2_PR
+ USE SIGNAL ;
- mprj_vdd_pwrgood ( soc mprj_vdd_pwrgood ) ( mgmt_buffers user1_vdd_powergood )
+ ROUTED met2 ( 2055120 1188995 ) ( 2055120 1232470 0 )
NEW met2 ( 1922640 1167350 0 ) ( 1922640 1188995 )
NEW met1 ( 1922640 1188995 ) ( 2055120 1188995 )
NEW met1 ( 2055120 1188995 ) M1M2_PR
NEW met1 ( 1922640 1188995 ) M1M2_PR
+ USE SIGNAL ;
- mprj_we_o_core ( soc mprj_we_o ) ( mgmt_buffers mprj_we_o_core )
+ ROUTED met2 ( 1924560 1167350 0 ) ( 1924560 1198985 )
NEW met1 ( 1902960 1198985 ) ( 1924560 1198985 )
NEW met2 ( 1902960 1198985 ) ( 1902960 1232470 0 )
NEW met1 ( 1924560 1198985 ) M1M2_PR
NEW met1 ( 1902960 1198985 ) M1M2_PR
+ USE SIGNAL ;
- mprj_we_o_user ( mprj wbs_we_i ) ( mgmt_buffers mprj_we_o_user )
+ ROUTED met2 ( 358800 1364190 ) ( 358800 1389350 0 )
NEW met2 ( 1792560 1353645 ) ( 1792560 1354755 )
NEW met1 ( 1086960 1353645 ) ( 1086960 1355495 )
NEW met1 ( 1065360 1355495 ) ( 1086960 1355495 )
NEW met2 ( 1065360 1355495 ) ( 1065360 1364190 )
NEW met3 ( 358800 1364190 ) ( 1065360 1364190 )
NEW met1 ( 1086960 1353645 ) ( 1792560 1353645 )
NEW met2 ( 1910160 1325710 ) ( 1911600 1325710 0 )
NEW met2 ( 1910160 1325710 ) ( 1910160 1354385 )
NEW met1 ( 1893840 1354385 ) ( 1910160 1354385 )
NEW met1 ( 1893840 1354385 ) ( 1893840 1354755 )
NEW met1 ( 1792560 1354755 ) ( 1893840 1354755 )
NEW met2 ( 358800 1364190 ) via2_FR
NEW met1 ( 1792560 1353645 ) M1M2_PR
NEW met1 ( 1792560 1354755 ) M1M2_PR
NEW met1 ( 1065360 1355495 ) M1M2_PR
NEW met2 ( 1065360 1364190 ) via2_FR
NEW met1 ( 1910160 1354385 ) M1M2_PR
+ USE SIGNAL ;
- por_l ( por por_l ) ( padframe por )
+ ROUTED met2 ( 3203760 203130 ) ( 3203760 496725 )
NEW met4 ( 974880 203130 ) ( 974880 209050 )
NEW met3 ( 971760 209050 ) ( 974880 209050 )
NEW met2 ( 970320 209050 0 ) ( 971760 209050 )
NEW met3 ( 974880 203130 ) ( 3203760 203130 )
NEW met2 ( 3341040 496725 ) ( 3341040 525770 )
NEW met3 ( 3326880 525770 ) ( 3341040 525770 )
NEW met3 ( 3326880 525770 ) ( 3326880 526795 0 )
NEW met1 ( 3203760 496725 ) ( 3341040 496725 )
NEW met2 ( 3203760 203130 ) via2_FR
NEW met1 ( 3203760 496725 ) M1M2_PR
NEW met3 ( 974880 203130 ) M3M4_PR_M
NEW met3 ( 974880 209050 ) M3M4_PR_M
NEW met2 ( 971760 209050 ) via2_FR
NEW met1 ( 3341040 496725 ) M1M2_PR
NEW met2 ( 3341040 525770 ) via2_FR
+ USE SIGNAL ;
- porb_l ( soc porb ) ( por porb_l )
+ ROUTED met3 ( 3202080 521330 0 ) ( 3239760 521330 )
NEW met2 ( 3239760 521330 ) ( 3239760 522625 )
NEW met2 ( 3326160 522625 ) ( 3326160 522810 )
NEW met3 ( 3325920 522810 ) ( 3326160 522810 )
NEW met3 ( 3325920 522810 ) ( 3325920 524970 0 )
NEW met1 ( 3239760 522625 ) ( 3326160 522625 )
NEW met2 ( 3239760 521330 ) via2_FR
NEW met1 ( 3239760 522625 ) M1M2_PR
NEW met1 ( 3326160 522625 ) M1M2_PR
NEW met2 ( 3326160 522810 ) via2_FR
+ USE SIGNAL ;
- rstb_h ( rstb_level A ) ( padframe resetb_core_h )
+ ROUTED met2 ( 682800 200910 ) ( 682800 214045 )
NEW met3 ( 682800 200910 ) ( 708960 200910 )
NEW met3 ( 708960 199430 0 ) ( 708960 200910 )
NEW met1 ( 668400 214045 ) ( 682800 214045 )
NEW met2 ( 668160 233470 ) ( 668400 233470 )
NEW met2 ( 668160 233470 ) ( 668160 234950 0 )
NEW met2 ( 668400 214045 ) ( 668400 233470 )
NEW met1 ( 668400 214045 ) M1M2_PR
NEW met1 ( 682800 214045 ) M1M2_PR
NEW met2 ( 682800 200910 ) via2_FR
+ USE SIGNAL ;
- rstb_l ( soc resetb ) ( rstb_level X )
+ ROUTED met2 ( 1219440 258075 ) ( 1219440 268250 )
NEW met2 ( 1219440 268250 ) ( 1220640 268250 0 )
NEW met2 ( 686400 259370 0 ) ( 687600 259370 )
NEW met2 ( 687600 259370 ) ( 687600 261405 )
NEW met2 ( 1109040 258075 ) ( 1109040 261405 )
NEW met1 ( 687600 261405 ) ( 1109040 261405 )
NEW met1 ( 1109040 258075 ) ( 1219440 258075 )
NEW met1 ( 1219440 258075 ) M1M2_PR
NEW met1 ( 687600 261405 ) M1M2_PR
NEW met1 ( 1109040 261405 ) M1M2_PR
NEW met1 ( 1109040 258075 ) M1M2_PR
+ USE SIGNAL ;
- sdo_out ( soc sdo_out ) ( gpio_control_bidir\[1\] mgmt_gpio_out )
+ ROUTED met3 ( 3202080 828430 0 ) ( 3202080 829910 )
NEW met3 ( 3202080 829910 ) ( 3235440 829910 )
NEW met2 ( 3235440 829910 ) ( 3235440 836015 )
NEW met2 ( 3373680 836015 ) ( 3373680 836570 )
NEW met3 ( 3373680 836570 ) ( 3373920 836570 )
NEW met3 ( 3373920 836570 ) ( 3373920 838790 0 )
NEW met1 ( 3235440 836015 ) ( 3373680 836015 )
NEW met2 ( 3235440 829910 ) via2_FR
NEW met1 ( 3235440 836015 ) M1M2_PR
NEW met1 ( 3373680 836015 ) M1M2_PR
NEW met2 ( 3373680 836570 ) via2_FR
+ USE SIGNAL ;
- sdo_outenb ( soc sdo_outenb ) ( gpio_control_bidir\[1\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3202080 832870 ) ( 3202080 834350 0 )
NEW met3 ( 3202080 832870 ) ( 3231600 832870 )
NEW met2 ( 3231600 821215 ) ( 3231600 832870 )
NEW met2 ( 3373200 821215 ) ( 3373200 832870 )
NEW met3 ( 3373200 832870 ) ( 3373920 832870 )
NEW met3 ( 3373920 832870 ) ( 3373920 834720 0 )
NEW met1 ( 3231600 821215 ) ( 3373200 821215 )
NEW met2 ( 3231600 832870 ) via2_FR
NEW met1 ( 3231600 821215 ) M1M2_PR
NEW met1 ( 3373200 821215 ) M1M2_PR
NEW met2 ( 3373200 832870 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[0\] ( padframe mprj_analog_io[0] ) ( mprj analog_io[0] )
+ ROUTED met3 ( 3251040 1423390 0 ) ( 3272400 1423390 )
NEW met2 ( 3272400 1423390 ) ( 3272400 1424685 )
NEW met1 ( 3272400 1424685 ) ( 3304560 1424685 )
NEW met2 ( 3304560 1424685 ) ( 3304560 2779255 )
NEW met2 ( 3375120 2758165 ) ( 3375120 2779255 )
NEW met1 ( 3375120 2758165 ) ( 3377040 2758165 )
NEW met2 ( 3377040 2756130 0 ) ( 3377040 2758165 )
NEW met1 ( 3304560 2779255 ) ( 3375120 2779255 )
NEW met2 ( 3272400 1423390 ) via2_FR
NEW met1 ( 3272400 1424685 ) M1M2_PR
NEW met1 ( 3304560 1424685 ) M1M2_PR
NEW met1 ( 3304560 2779255 ) M1M2_PR
NEW met1 ( 3375120 2779255 ) M1M2_PR
NEW met1 ( 3375120 2758165 ) M1M2_PR
NEW met1 ( 3377040 2758165 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[10\] ( padframe mprj_analog_io[10] ) ( mprj analog_io[10] )
+ ROUTED met3 ( 3249840 3772890 ) ( 3250080 3772890 )
NEW met3 ( 3250080 3769190 0 ) ( 3250080 3772890 )
NEW met1 ( 3203760 4953745 ) ( 3211440 4953745 )
NEW met1 ( 3211440 4953375 ) ( 3211440 4953745 )
NEW met1 ( 3211440 4953375 ) ( 3225840 4953375 )
NEW met2 ( 3225840 4924515 ) ( 3225840 4953375 )
NEW met1 ( 3225840 4924515 ) ( 3249840 4924515 )
NEW met2 ( 3249840 3772890 ) ( 3249840 4924515 )
NEW met2 ( 2426880 4979090 0 ) ( 2428560 4979090 )
NEW met2 ( 2428560 4978905 ) ( 2428560 4979090 )
NEW met1 ( 2428560 4978905 ) ( 2444880 4978905 )
NEW met2 ( 2444880 4978905 ) ( 2444880 4997035 )
NEW met1 ( 2598960 4996665 ) ( 2598960 4997035 )
NEW met1 ( 2598960 4996665 ) ( 2606640 4996665 )
NEW met2 ( 2606640 4976685 ) ( 2606640 4996665 )
NEW met2 ( 3203760 4953745 ) ( 3203760 4976685 )
NEW met1 ( 2444880 4997035 ) ( 2598960 4997035 )
NEW met1 ( 2606640 4976685 ) ( 3203760 4976685 )
NEW met2 ( 3249840 3772890 ) via2_FR
NEW met1 ( 3203760 4953745 ) M1M2_PR
NEW met1 ( 3225840 4953375 ) M1M2_PR
NEW met1 ( 3225840 4924515 ) M1M2_PR
NEW met1 ( 3249840 4924515 ) M1M2_PR
NEW met1 ( 2428560 4978905 ) M1M2_PR
NEW met1 ( 2444880 4978905 ) M1M2_PR
NEW met1 ( 2444880 4997035 ) M1M2_PR
NEW met1 ( 2606640 4996665 ) M1M2_PR
NEW met1 ( 2606640 4976685 ) M1M2_PR
NEW met1 ( 3203760 4976685 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[11\] ( padframe mprj_analog_io[11] ) ( mprj analog_io[11] )
+ ROUTED met3 ( 3248160 4006730 ) ( 3248400 4006730 )
NEW met3 ( 3248160 4003770 0 ) ( 3248160 4006730 )
NEW met1 ( 3217200 4938205 ) ( 3248400 4938205 )
NEW met2 ( 3248400 4006730 ) ( 3248400 4938205 )
NEW met2 ( 1981680 4979090 0 ) ( 1983120 4979090 )
NEW met2 ( 1983120 4978905 ) ( 1983120 4979090 )
NEW met1 ( 1983120 4978905 ) ( 1999920 4978905 )
NEW met2 ( 1999920 4978905 ) ( 1999920 4997035 )
NEW met2 ( 2358480 4975945 ) ( 2358480 4997035 )
NEW met2 ( 3217200 4938205 ) ( 3217200 4975945 )
NEW met1 ( 1999920 4997035 ) ( 2358480 4997035 )
NEW met1 ( 2358480 4975945 ) ( 3217200 4975945 )
NEW met2 ( 3248400 4006730 ) via2_FR
NEW met1 ( 3217200 4938205 ) M1M2_PR
NEW met1 ( 3248400 4938205 ) M1M2_PR
NEW met1 ( 1983120 4978905 ) M1M2_PR
NEW met1 ( 1999920 4978905 ) M1M2_PR
NEW met1 ( 1999920 4997035 ) M1M2_PR
NEW met1 ( 2358480 4997035 ) M1M2_PR
NEW met1 ( 2358480 4975945 ) M1M2_PR
NEW met1 ( 3217200 4975945 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[12\] ( padframe mprj_analog_io[12] ) ( mprj analog_io[12] )
+ ROUTED met3 ( 3250800 4241310 ) ( 3251040 4241310 )
NEW met3 ( 3251040 4238350 0 ) ( 3251040 4241310 )
NEW met2 ( 3218160 4938575 ) ( 3218160 4967805 )
NEW met1 ( 3218160 4938575 ) ( 3250800 4938575 )
NEW met2 ( 3250800 4241310 ) ( 3250800 4938575 )
NEW met1 ( 3182640 4967805 ) ( 3218160 4967805 )
NEW met1 ( 1591440 4997035 ) ( 1591440 4998515 )
NEW met2 ( 3182640 4967805 ) ( 3182640 4975575 )
NEW met2 ( 1472880 4979090 0 ) ( 1474320 4979090 )
NEW met3 ( 1474320 4979090 ) ( 1491600 4979090 )
NEW met2 ( 1491600 4979090 ) ( 1491600 4998515 )
NEW met1 ( 1491600 4998515 ) ( 1591440 4998515 )
NEW met2 ( 1659120 4989265 ) ( 1659120 4997035 )
NEW met1 ( 1591440 4997035 ) ( 1659120 4997035 )
NEW met2 ( 1915920 4975575 ) ( 1915920 4989265 )
NEW met1 ( 1659120 4989265 ) ( 1915920 4989265 )
NEW met1 ( 1915920 4975575 ) ( 3182640 4975575 )
NEW met2 ( 3250800 4241310 ) via2_FR
NEW met1 ( 3218160 4967805 ) M1M2_PR
NEW met1 ( 3218160 4938575 ) M1M2_PR
NEW met1 ( 3250800 4938575 ) M1M2_PR
NEW met1 ( 3182640 4967805 ) M1M2_PR
NEW met1 ( 3182640 4975575 ) M1M2_PR
NEW met2 ( 1474320 4979090 ) via2_FR
NEW met2 ( 1491600 4979090 ) via2_FR
NEW met1 ( 1491600 4998515 ) M1M2_PR
NEW met1 ( 1659120 4997035 ) M1M2_PR
NEW met1 ( 1659120 4989265 ) M1M2_PR
NEW met1 ( 1915920 4989265 ) M1M2_PR
NEW met1 ( 1915920 4975575 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[13\] ( padframe mprj_analog_io[13] ) ( mprj analog_io[13] )
+ ROUTED met3 ( 3250080 4475890 ) ( 3250320 4475890 )
NEW met3 ( 3250080 4472930 0 ) ( 3250080 4475890 )
NEW met2 ( 1216080 4970210 ) ( 1216080 4977610 )
NEW met2 ( 1214880 4977610 0 ) ( 1216080 4977610 )
NEW met1 ( 3204720 4937835 ) ( 3250320 4937835 )
NEW met2 ( 3250320 4475890 ) ( 3250320 4937835 )
NEW met2 ( 3204720 4937835 ) ( 3204720 4970210 )
NEW met3 ( 1216080 4970210 ) ( 3204720 4970210 )
NEW met2 ( 3250320 4475890 ) via2_FR
NEW met2 ( 1216080 4970210 ) via2_FR
NEW met1 ( 3204720 4937835 ) M1M2_PR
NEW met1 ( 3250320 4937835 ) M1M2_PR
NEW met2 ( 3204720 4970210 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[14\] ( padframe mprj_analog_io[14] ) ( mprj analog_io[14] )
+ ROUTED met3 ( 3247920 4708990 ) ( 3248160 4708990 )
NEW met3 ( 3248160 4707510 0 ) ( 3248160 4708990 )
NEW met1 ( 3202800 4938945 ) ( 3247920 4938945 )
NEW met2 ( 3247920 4708990 ) ( 3247920 4938945 )
NEW met2 ( 957840 4969470 ) ( 957840 4977610 0 )
NEW met2 ( 3202800 4938945 ) ( 3202800 4969470 )
NEW met3 ( 957840 4969470 ) ( 3202800 4969470 )
NEW met2 ( 3247920 4708990 ) via2_FR
NEW met1 ( 3202800 4938945 ) M1M2_PR
NEW met1 ( 3247920 4938945 ) M1M2_PR
NEW met2 ( 957840 4969470 ) via2_FR
NEW met2 ( 3202800 4969470 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[15\] ( padframe mprj_analog_io[15] ) ( mprj analog_io[15] )
+ ROUTED met3 ( 2347680 4976130 ) ( 2347680 4976870 )
NEW met2 ( 3205680 4917670 0 ) ( 3205680 4975390 )
NEW met2 ( 702000 4976870 ) ( 702000 4977610 )
NEW met2 ( 700800 4977610 0 ) ( 702000 4977610 )
NEW met3 ( 2094240 4977610 ) ( 2094240 4980570 )
NEW met3 ( 2094240 4977610 ) ( 2145120 4977610 )
NEW met3 ( 2145120 4976130 ) ( 2145120 4977610 )
NEW met3 ( 2498400 4975390 ) ( 2498400 4976130 )
NEW met3 ( 2347680 4976130 ) ( 2498400 4976130 )
NEW met3 ( 2700000 4973910 ) ( 2700000 4974650 )
NEW met3 ( 3151200 4975390 ) ( 3151200 4976130 )
NEW met3 ( 3151200 4975390 ) ( 3205680 4975390 )
NEW met2 ( 834960 4976130 ) ( 835920 4976130 )
NEW met2 ( 835920 4975390 ) ( 835920 4976130 )
NEW met3 ( 1008480 4974650 ) ( 1008480 4975390 )
NEW met3 ( 1008480 4974650 ) ( 1108320 4974650 )
NEW met3 ( 1108320 4974650 ) ( 1108320 4975390 )
NEW met2 ( 1842480 4973910 ) ( 1842480 4976130 )
NEW met2 ( 2217840 4976130 ) ( 2217840 4976315 )
NEW met1 ( 2217840 4976315 ) ( 2318160 4976315 )
NEW met2 ( 2318160 4976315 ) ( 2318160 4976870 )
NEW met3 ( 2145120 4976130 ) ( 2217840 4976130 )
NEW met3 ( 2318160 4976870 ) ( 2347680 4976870 )
NEW met2 ( 705840 4976870 ) ( 705840 4977055 )
NEW met2 ( 705840 4977055 ) ( 706320 4977055 )
NEW met2 ( 706320 4975945 ) ( 706320 4977055 )
NEW met1 ( 706320 4975945 ) ( 806160 4975945 )
NEW met2 ( 806160 4975945 ) ( 806160 4976130 )
NEW met3 ( 702000 4976870 ) ( 705840 4976870 )
NEW met3 ( 806160 4976130 ) ( 834960 4976130 )
NEW met2 ( 907440 4975390 ) ( 907440 4975945 )
NEW met1 ( 907440 4975945 ) ( 1007760 4975945 )
NEW met2 ( 1007760 4975390 ) ( 1007760 4975945 )
NEW met3 ( 835920 4975390 ) ( 907440 4975390 )
NEW met3 ( 1007760 4975390 ) ( 1008480 4975390 )
NEW met2 ( 1136880 4975390 ) ( 1137840 4975390 )
NEW met3 ( 1108320 4975390 ) ( 1136880 4975390 )
NEW met2 ( 1311120 4973910 ) ( 1311120 4975390 )
NEW met3 ( 1714080 4975390 ) ( 1714080 4976130 )
NEW met3 ( 1714080 4975390 ) ( 1814160 4975390 )
NEW met2 ( 1814160 4975390 ) ( 1814160 4976130 )
NEW met3 ( 1814160 4976130 ) ( 1842480 4976130 )
NEW met2 ( 1999440 4973910 ) ( 1999440 4980570 )
NEW met3 ( 1842480 4973910 ) ( 1999440 4973910 )
NEW met3 ( 1999440 4980570 ) ( 2094240 4980570 )
NEW met2 ( 2548560 4975390 ) ( 2549520 4975390 )
NEW met2 ( 2549520 4973910 ) ( 2549520 4975390 )
NEW met3 ( 2498400 4975390 ) ( 2548560 4975390 )
NEW met3 ( 2549520 4973910 ) ( 2700000 4973910 )
NEW met3 ( 2749920 4974650 ) ( 2749920 4975390 )
NEW met3 ( 2749920 4975390 ) ( 2750880 4975390 )
NEW met3 ( 2750880 4975390 ) ( 2750880 4976870 )
NEW met3 ( 2700000 4974650 ) ( 2749920 4974650 )
NEW met3 ( 2923680 4976130 ) ( 2923680 4976870 )
NEW met3 ( 2923680 4976130 ) ( 2923920 4976130 )
NEW met2 ( 2923920 4975205 ) ( 2923920 4976130 )
NEW met1 ( 2923920 4975205 ) ( 3023760 4975205 )
NEW met2 ( 3023760 4975205 ) ( 3023760 4976130 )
NEW met3 ( 3023760 4976130 ) ( 3151200 4976130 )
NEW met2 ( 1237200 4974835 ) ( 1237200 4975390 )
NEW met1 ( 1237200 4974835 ) ( 1295760 4974835 )
NEW met2 ( 1295760 4974835 ) ( 1295760 4975390 )
NEW met3 ( 1137840 4975390 ) ( 1237200 4975390 )
NEW met3 ( 1295760 4975390 ) ( 1311120 4975390 )
NEW met3 ( 1598400 4974650 ) ( 1598400 4976130 )
NEW met3 ( 1598400 4974650 ) ( 1698960 4974650 )
NEW met2 ( 1698960 4974650 ) ( 1698960 4976130 )
NEW met3 ( 1698960 4976130 ) ( 1714080 4976130 )
NEW met2 ( 2808240 4976130 ) ( 2808240 4976870 )
NEW met2 ( 2808240 4976130 ) ( 2808720 4976130 )
NEW met2 ( 2808720 4976130 ) ( 2808720 4977055 )
NEW met1 ( 2808720 4977055 ) ( 2908560 4977055 )
NEW met2 ( 2908560 4976870 ) ( 2908560 4977055 )
NEW met3 ( 2750880 4976870 ) ( 2808240 4976870 )
NEW met3 ( 2908560 4976870 ) ( 2923680 4976870 )
NEW met3 ( 1483440 4973910 ) ( 1483440 4975390 )
NEW met3 ( 1483440 4975390 ) ( 1583760 4975390 )
NEW met2 ( 1583760 4975390 ) ( 1583760 4976130 )
NEW met3 ( 1311120 4973910 ) ( 1483440 4973910 )
NEW met3 ( 1583760 4976130 ) ( 1598400 4976130 )
NEW met2 ( 3205680 4975390 ) via2_FR
NEW met2 ( 702000 4976870 ) via2_FR
NEW met2 ( 834960 4976130 ) via2_FR
NEW met2 ( 835920 4975390 ) via2_FR
NEW met2 ( 1842480 4976130 ) via2_FR
NEW met2 ( 1842480 4973910 ) via2_FR
NEW met2 ( 2217840 4976130 ) via2_FR
NEW met1 ( 2217840 4976315 ) M1M2_PR
NEW met1 ( 2318160 4976315 ) M1M2_PR
NEW met2 ( 2318160 4976870 ) via2_FR
NEW met2 ( 705840 4976870 ) via2_FR
NEW met1 ( 706320 4975945 ) M1M2_PR
NEW met1 ( 806160 4975945 ) M1M2_PR
NEW met2 ( 806160 4976130 ) via2_FR
NEW met2 ( 907440 4975390 ) via2_FR
NEW met1 ( 907440 4975945 ) M1M2_PR
NEW met1 ( 1007760 4975945 ) M1M2_PR
NEW met2 ( 1007760 4975390 ) via2_FR
NEW met2 ( 1136880 4975390 ) via2_FR
NEW met2 ( 1137840 4975390 ) via2_FR
NEW met2 ( 1311120 4975390 ) via2_FR
NEW met2 ( 1311120 4973910 ) via2_FR
NEW met2 ( 1814160 4975390 ) via2_FR
NEW met2 ( 1814160 4976130 ) via2_FR
NEW met2 ( 1999440 4973910 ) via2_FR
NEW met2 ( 1999440 4980570 ) via2_FR
NEW met2 ( 2548560 4975390 ) via2_FR
NEW met2 ( 2549520 4973910 ) via2_FR
NEW met2 ( 2923920 4976130 ) via2_FR
NEW met1 ( 2923920 4975205 ) M1M2_PR
NEW met1 ( 3023760 4975205 ) M1M2_PR
NEW met2 ( 3023760 4976130 ) via2_FR
NEW met2 ( 1237200 4975390 ) via2_FR
NEW met1 ( 1237200 4974835 ) M1M2_PR
NEW met1 ( 1295760 4974835 ) M1M2_PR
NEW met2 ( 1295760 4975390 ) via2_FR
NEW met2 ( 1698960 4974650 ) via2_FR
NEW met2 ( 1698960 4976130 ) via2_FR
NEW met2 ( 2808240 4976870 ) via2_FR
NEW met1 ( 2808720 4977055 ) M1M2_PR
NEW met1 ( 2908560 4977055 ) M1M2_PR
NEW met2 ( 2908560 4976870 ) via2_FR
NEW met2 ( 1583760 4975390 ) via2_FR
NEW met2 ( 1583760 4976130 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[16\] ( padframe mprj_analog_io[16] ) ( mprj analog_io[16] )
+ ROUTED met2 ( 443760 4979090 0 ) ( 445200 4979090 )
NEW met2 ( 445200 4978905 ) ( 445200 4979090 )
NEW met1 ( 445200 4978905 ) ( 445200 4979275 )
NEW met1 ( 445200 4979275 ) ( 469680 4979275 )
NEW met2 ( 469680 4979275 ) ( 469680 5054755 )
NEW met2 ( 2881680 4917670 0 ) ( 2881680 4941165 )
NEW met1 ( 2807760 4941165 ) ( 2881680 4941165 )
NEW met2 ( 2807760 4941165 ) ( 2807760 4975205 )
NEW met2 ( 485520 5027375 ) ( 485520 5054755 )
NEW met1 ( 469680 5054755 ) ( 485520 5054755 )
NEW met2 ( 591120 4975205 ) ( 591120 5027375 )
NEW met1 ( 485520 5027375 ) ( 591120 5027375 )
NEW met1 ( 591120 4975205 ) ( 2807760 4975205 )
NEW met1 ( 469680 5054755 ) M1M2_PR
NEW met1 ( 445200 4978905 ) M1M2_PR
NEW met1 ( 469680 4979275 ) M1M2_PR
NEW met1 ( 2881680 4941165 ) M1M2_PR
NEW met1 ( 2807760 4941165 ) M1M2_PR
NEW met1 ( 2807760 4975205 ) M1M2_PR
NEW met1 ( 485520 5027375 ) M1M2_PR
NEW met1 ( 485520 5054755 ) M1M2_PR
NEW met1 ( 591120 5027375 ) M1M2_PR
NEW met1 ( 591120 4975205 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[17\] ( padframe mprj_analog_io[17] ) ( mprj analog_io[17] )
+ ROUTED met2 ( 210960 4833680 0 ) ( 210960 4836455 )
NEW met1 ( 210960 4836455 ) ( 215760 4836455 )
NEW met2 ( 215760 4836455 ) ( 215760 4939315 )
NEW met2 ( 2557200 4917670 0 ) ( 2557200 4939315 )
NEW met1 ( 215760 4939315 ) ( 2557200 4939315 )
NEW met1 ( 210960 4836455 ) M1M2_PR
NEW met1 ( 215760 4836455 ) M1M2_PR
NEW met1 ( 215760 4939315 ) M1M2_PR
NEW met1 ( 2557200 4939315 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[18\] ( padframe mprj_analog_io[18] ) ( mprj analog_io[18] )
+ ROUTED met2 ( 2232720 4917670 0 ) ( 2232720 4939685 )
NEW met1 ( 225840 4939685 ) ( 2232720 4939685 )
NEW met2 ( 210480 3984900 0 ) ( 210480 3986565 )
NEW met1 ( 210480 3986565 ) ( 217200 3986565 )
NEW met2 ( 217200 3986565 ) ( 217200 4003215 )
NEW met1 ( 217200 4003215 ) ( 225840 4003215 )
NEW met2 ( 225840 4003215 ) ( 225840 4939685 )
NEW met1 ( 225840 4939685 ) M1M2_PR
NEW met1 ( 2232720 4939685 ) M1M2_PR
NEW met1 ( 210480 3986565 ) M1M2_PR
NEW met1 ( 217200 3986565 ) M1M2_PR
NEW met1 ( 217200 4003215 ) M1M2_PR
NEW met1 ( 225840 4003215 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[19\] ( padframe mprj_analog_io[19] ) ( mprj analog_io[19] )
+ ROUTED met2 ( 1908240 4917670 0 ) ( 1908240 4940055 )
NEW met1 ( 225360 4940055 ) ( 1908240 4940055 )
NEW met2 ( 210960 3768820 0 ) ( 210960 3770485 )
NEW met1 ( 210960 3770485 ) ( 216240 3770485 )
NEW met2 ( 216240 3770485 ) ( 216240 3787505 )
NEW met1 ( 216240 3787505 ) ( 225360 3787505 )
NEW met2 ( 225360 3787505 ) ( 225360 4940055 )
NEW met1 ( 225360 4940055 ) M1M2_PR
NEW met1 ( 1908240 4940055 ) M1M2_PR
NEW met1 ( 210960 3770485 ) M1M2_PR
NEW met1 ( 216240 3770485 ) M1M2_PR
NEW met1 ( 216240 3787505 ) M1M2_PR
NEW met1 ( 225360 3787505 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[1\] ( padframe mprj_analog_io[1] ) ( mprj analog_io[1] )
+ ROUTED met3 ( 3251040 1657970 0 ) ( 3272400 1657970 )
NEW met2 ( 3272400 1657970 ) ( 3272400 1661485 )
NEW met1 ( 3272400 1661485 ) ( 3318960 1661485 )
NEW met1 ( 3318960 3009765 ) ( 3372240 3009765 )
NEW met1 ( 3372240 2984235 ) ( 3377040 2984235 )
NEW met2 ( 3377040 2982200 0 ) ( 3377040 2984235 )
NEW met2 ( 3318960 1661485 ) ( 3318960 3009765 )
NEW met2 ( 3372240 2984235 ) ( 3372240 3009765 )
NEW met2 ( 3272400 1657970 ) via2_FR
NEW met1 ( 3272400 1661485 ) M1M2_PR
NEW met1 ( 3318960 1661485 ) M1M2_PR
NEW met1 ( 3318960 3009765 ) M1M2_PR
NEW met1 ( 3372240 3009765 ) M1M2_PR
NEW met1 ( 3372240 2984235 ) M1M2_PR
NEW met1 ( 3377040 2984235 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[20\] ( padframe mprj_analog_io[20] ) ( mprj analog_io[20] )
+ ROUTED met2 ( 1583760 4917670 0 ) ( 1583760 4940425 )
NEW met1 ( 224880 4940425 ) ( 1583760 4940425 )
NEW met1 ( 217680 3571425 ) ( 224880 3571425 )
NEW met2 ( 210960 3552740 0 ) ( 210960 3554405 )
NEW met1 ( 210960 3554405 ) ( 217680 3554405 )
NEW met2 ( 217680 3554405 ) ( 217680 3571425 )
NEW met2 ( 224880 3571425 ) ( 224880 4940425 )
NEW met1 ( 224880 4940425 ) M1M2_PR
NEW met1 ( 1583760 4940425 ) M1M2_PR
NEW met1 ( 217680 3571425 ) M1M2_PR
NEW met1 ( 224880 3571425 ) M1M2_PR
NEW met1 ( 210960 3554405 ) M1M2_PR
NEW met1 ( 217680 3554405 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[21\] ( padframe mprj_analog_io[21] ) ( mprj analog_io[21] )
+ ROUTED met2 ( 1259280 4917670 0 ) ( 1259280 4940795 )
NEW met1 ( 224400 4940795 ) ( 1259280 4940795 )
NEW met1 ( 218160 3368665 ) ( 224400 3368665 )
NEW met2 ( 210960 3336805 0 ) ( 210960 3339435 )
NEW met1 ( 210960 3339435 ) ( 218640 3339435 )
NEW met2 ( 218640 3339435 ) ( 218640 3346650 )
NEW met2 ( 218160 3346650 ) ( 218640 3346650 )
NEW met2 ( 218160 3346650 ) ( 218160 3368665 )
NEW met2 ( 224400 3368665 ) ( 224400 4940795 )
NEW met1 ( 224400 4940795 ) M1M2_PR
NEW met1 ( 1259280 4940795 ) M1M2_PR
NEW met1 ( 218160 3368665 ) M1M2_PR
NEW met1 ( 224400 3368665 ) M1M2_PR
NEW met1 ( 210960 3339435 ) M1M2_PR
NEW met1 ( 218640 3339435 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[22\] ( padframe mprj_analog_io[22] ) ( mprj analog_io[22] )
+ ROUTED met2 ( 934800 4917670 0 ) ( 934800 4941165 )
NEW met1 ( 223920 4941165 ) ( 934800 4941165 )
NEW met2 ( 210960 3120805 0 ) ( 210960 3123355 )
NEW met1 ( 210960 3123355 ) ( 217200 3123355 )
NEW met2 ( 217200 3123355 ) ( 217200 3139635 )
NEW met1 ( 217200 3139635 ) ( 223920 3139635 )
NEW met2 ( 223920 3139635 ) ( 223920 4941165 )
NEW met1 ( 223920 4941165 ) M1M2_PR
NEW met1 ( 934800 4941165 ) M1M2_PR
NEW met1 ( 210960 3123355 ) M1M2_PR
NEW met1 ( 217200 3123355 ) M1M2_PR
NEW met1 ( 217200 3139635 ) M1M2_PR
NEW met1 ( 223920 3139635 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[23\] ( padframe mprj_analog_io[23] ) ( mprj analog_io[23] )
+ ROUTED met2 ( 238320 2923555 ) ( 238320 4941535 )
NEW met2 ( 610320 4917670 0 ) ( 610320 4941535 )
NEW met1 ( 238320 4941535 ) ( 610320 4941535 )
NEW met2 ( 210480 2904870 0 ) ( 210480 2907275 )
NEW met1 ( 210480 2907275 ) ( 215760 2907275 )
NEW met2 ( 215760 2907275 ) ( 215760 2923555 )
NEW met1 ( 215760 2923555 ) ( 238320 2923555 )
NEW met1 ( 238320 2923555 ) M1M2_PR
NEW met1 ( 238320 4941535 ) M1M2_PR
NEW met1 ( 610320 4941535 ) M1M2_PR
NEW met1 ( 210480 2907275 ) M1M2_PR
NEW met1 ( 215760 2907275 ) M1M2_PR
NEW met1 ( 215760 2923555 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[24\] ( padframe mprj_analog_io[24] ) ( mprj analog_io[24] )
+ ROUTED met2 ( 252720 2707475 ) ( 252720 4867535 )
NEW met2 ( 297360 4867535 ) ( 297360 4876970 )
NEW met3 ( 297360 4876970 ) ( 322080 4876970 0 )
NEW met1 ( 252720 4867535 ) ( 297360 4867535 )
NEW met2 ( 210960 2688790 0 ) ( 210960 2691565 )
NEW met1 ( 210960 2691565 ) ( 214800 2691565 )
NEW met2 ( 214800 2691565 ) ( 214800 2707475 )
NEW met1 ( 214800 2707475 ) ( 252720 2707475 )
NEW met1 ( 252720 4867535 ) M1M2_PR
NEW met1 ( 252720 2707475 ) M1M2_PR
NEW met1 ( 297360 4867535 ) M1M2_PR
NEW met2 ( 297360 4876970 ) via2_FR
NEW met1 ( 210960 2691565 ) M1M2_PR
NEW met1 ( 214800 2691565 ) M1M2_PR
NEW met1 ( 214800 2707475 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[25\] ( padframe mprj_analog_io[25] ) ( mprj analog_io[25] )
+ ROUTED met2 ( 267120 2030375 ) ( 267120 4579305 )
NEW met2 ( 297840 4579305 ) ( 297840 4589110 )
NEW met3 ( 297840 4589110 ) ( 322080 4589110 0 )
NEW met1 ( 267120 4579305 ) ( 297840 4579305 )
NEW met1 ( 212880 2030375 ) ( 267120 2030375 )
NEW met1 ( 210960 2048505 ) ( 212880 2048505 )
NEW met2 ( 210960 2048505 ) ( 210960 2050910 0 )
NEW met2 ( 212880 2030375 ) ( 212880 2048505 )
NEW met1 ( 267120 2030375 ) M1M2_PR
NEW met1 ( 267120 4579305 ) M1M2_PR
NEW met1 ( 297840 4579305 ) M1M2_PR
NEW met2 ( 297840 4589110 ) via2_FR
NEW met1 ( 212880 2030375 ) M1M2_PR
NEW met1 ( 212880 2048505 ) M1M2_PR
NEW met1 ( 210960 2048505 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[26\] ( padframe mprj_analog_io[26] ) ( mprj analog_io[26] )
+ ROUTED met2 ( 237360 1836865 ) ( 237360 4291445 )
NEW met2 ( 293040 4291445 ) ( 293040 4301990 )
NEW met3 ( 293040 4301990 ) ( 322080 4301990 0 )
NEW met1 ( 237360 4291445 ) ( 293040 4291445 )
NEW met2 ( 210480 1834830 0 ) ( 210480 1836865 )
NEW met1 ( 210480 1836865 ) ( 237360 1836865 )
NEW met1 ( 237360 1836865 ) M1M2_PR
NEW met1 ( 237360 4291445 ) M1M2_PR
NEW met1 ( 293040 4291445 ) M1M2_PR
NEW met2 ( 293040 4301990 ) via2_FR
NEW met1 ( 210480 1836865 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[27\] ( padframe mprj_analog_io[27] ) ( mprj analog_io[27] )
+ ROUTED met2 ( 210960 1618750 0 ) ( 210960 1620785 )
NEW met1 ( 210960 1620785 ) ( 251760 1620785 )
NEW met2 ( 251760 1620785 ) ( 251760 4003215 )
NEW met2 ( 297840 4003215 ) ( 297840 4014130 )
NEW met3 ( 297840 4014130 ) ( 322080 4014130 0 )
NEW met1 ( 251760 4003215 ) ( 297840 4003215 )
NEW met1 ( 210960 1620785 ) M1M2_PR
NEW met1 ( 251760 1620785 ) M1M2_PR
NEW met1 ( 251760 4003215 ) M1M2_PR
NEW met1 ( 297840 4003215 ) M1M2_PR
NEW met2 ( 297840 4014130 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[28\] ( padframe mprj_analog_io[28] ) ( mprj analog_io[28] )
+ ROUTED met2 ( 268080 1382135 ) ( 268080 3715355 )
NEW met2 ( 297840 3715355 ) ( 297840 3727750 )
NEW met3 ( 297840 3727750 ) ( 322080 3727750 0 )
NEW met1 ( 268080 3715355 ) ( 297840 3715355 )
NEW met2 ( 210480 1400635 ) ( 210480 1402670 0 )
NEW met1 ( 210480 1400635 ) ( 211920 1400635 )
NEW met2 ( 211920 1382135 ) ( 211920 1400635 )
NEW met1 ( 211920 1382135 ) ( 268080 1382135 )
NEW met1 ( 268080 1382135 ) M1M2_PR
NEW met1 ( 268080 3715355 ) M1M2_PR
NEW met1 ( 297840 3715355 ) M1M2_PR
NEW met2 ( 297840 3727750 ) via2_FR
NEW met1 ( 210480 1400635 ) M1M2_PR
NEW met1 ( 211920 1400635 ) M1M2_PR
NEW met1 ( 211920 1382135 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[29\] ( padframe mprj_analog_io[29] ) ( mprj analog_io[29] )
+ ROUTED met2 ( 297840 3427495 ) ( 297840 3439890 )
NEW met3 ( 297840 3439890 ) ( 322080 3439890 0 )
NEW met1 ( 237840 3427495 ) ( 297840 3427495 )
NEW met2 ( 210960 1186805 0 ) ( 210960 1189365 )
NEW met1 ( 210960 1189365 ) ( 237840 1189365 )
NEW met2 ( 237840 1189365 ) ( 237840 3427495 )
NEW met1 ( 237840 3427495 ) M1M2_PR
NEW met1 ( 297840 3427495 ) M1M2_PR
NEW met2 ( 297840 3439890 ) via2_FR
NEW met1 ( 210960 1189365 ) M1M2_PR
NEW met1 ( 237840 1189365 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[2\] ( padframe mprj_analog_io[2] ) ( mprj analog_io[2] )
+ ROUTED met3 ( 3251040 1892550 0 ) ( 3272400 1892550 )
NEW met2 ( 3272400 1892550 ) ( 3272400 1900505 )
NEW met1 ( 3272400 1900505 ) ( 3333840 1900505 )
NEW met2 ( 3333840 1900505 ) ( 3333840 3205495 )
NEW met2 ( 3377520 3205495 ) ( 3377520 3207160 0 )
NEW met1 ( 3333840 3205495 ) ( 3377520 3205495 )
NEW met2 ( 3272400 1892550 ) via2_FR
NEW met1 ( 3272400 1900505 ) M1M2_PR
NEW met1 ( 3333840 3205495 ) M1M2_PR
NEW met1 ( 3333840 1900505 ) M1M2_PR
NEW met1 ( 3377520 3205495 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[30\] ( padframe mprj_analog_io[30] ) ( mprj analog_io[30] )
+ ROUTED met2 ( 297840 3139265 ) ( 297840 3152770 )
NEW met3 ( 297840 3152770 ) ( 322080 3152770 0 )
NEW met1 ( 252240 3139265 ) ( 297840 3139265 )
NEW met2 ( 210480 970880 0 ) ( 210480 972545 )
NEW met1 ( 210480 972545 ) ( 252240 972545 )
NEW met2 ( 252240 972545 ) ( 252240 3139265 )
NEW met1 ( 252240 972545 ) M1M2_PR
NEW met1 ( 252240 3139265 ) M1M2_PR
NEW met1 ( 297840 3139265 ) M1M2_PR
NEW met2 ( 297840 3152770 ) via2_FR
NEW met1 ( 210480 972545 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[3\] ( padframe mprj_analog_io[3] ) ( mprj analog_io[3] )
+ ROUTED met3 ( 3251040 2127130 0 ) ( 3272400 2127130 )
NEW met2 ( 3272400 2127130 ) ( 3272400 2131015 )
NEW met1 ( 3272400 2131015 ) ( 3348240 2131015 )
NEW met2 ( 3348240 2131015 ) ( 3348240 3431195 )
NEW met2 ( 3377040 3431195 ) ( 3377040 3433230 0 )
NEW met1 ( 3348240 3431195 ) ( 3377040 3431195 )
NEW met2 ( 3272400 2127130 ) via2_FR
NEW met1 ( 3272400 2131015 ) M1M2_PR
NEW met1 ( 3348240 3431195 ) M1M2_PR
NEW met1 ( 3348240 2131015 ) M1M2_PR
NEW met1 ( 3377040 3431195 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[4\] ( padframe mprj_analog_io[4] ) ( mprj analog_io[4] )
+ ROUTED met2 ( 3272400 2360970 ) ( 3272400 2361155 )
NEW met3 ( 3251040 2360970 0 ) ( 3272400 2360970 )
NEW met1 ( 3305040 3686495 ) ( 3372720 3686495 )
NEW met2 ( 3372720 3660225 ) ( 3372720 3686495 )
NEW met1 ( 3372720 3660225 ) ( 3377040 3660225 )
NEW met2 ( 3377040 3658190 0 ) ( 3377040 3660225 )
NEW met1 ( 3272400 2361155 ) ( 3305040 2361155 )
NEW met2 ( 3305040 2361155 ) ( 3305040 3686495 )
NEW met1 ( 3272400 2361155 ) M1M2_PR
NEW met2 ( 3272400 2360970 ) via2_FR
NEW met1 ( 3305040 3686495 ) M1M2_PR
NEW met1 ( 3372720 3686495 ) M1M2_PR
NEW met1 ( 3372720 3660225 ) M1M2_PR
NEW met1 ( 3377040 3660225 ) M1M2_PR
NEW met1 ( 3305040 2361155 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[5\] ( padframe mprj_analog_io[5] ) ( mprj analog_io[5] )
+ ROUTED met3 ( 3251040 2596290 0 ) ( 3270480 2596290 )
NEW met2 ( 3270480 2596290 ) ( 3270480 2596845 )
NEW met1 ( 3270480 2596845 ) ( 3319440 2596845 )
NEW met1 ( 3375600 3885185 ) ( 3377040 3885185 )
NEW met2 ( 3377040 3883150 0 ) ( 3377040 3885185 )
NEW met1 ( 3319440 3902575 ) ( 3375600 3902575 )
NEW met2 ( 3319440 2596845 ) ( 3319440 3902575 )
NEW met2 ( 3375600 3885185 ) ( 3375600 3902575 )
NEW met2 ( 3270480 2596290 ) via2_FR
NEW met1 ( 3270480 2596845 ) M1M2_PR
NEW met1 ( 3319440 2596845 ) M1M2_PR
NEW met1 ( 3375600 3885185 ) M1M2_PR
NEW met1 ( 3377040 3885185 ) M1M2_PR
NEW met1 ( 3319440 3902575 ) M1M2_PR
NEW met1 ( 3375600 3902575 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[6\] ( padframe mprj_analog_io[6] ) ( mprj analog_io[6] )
+ ROUTED met3 ( 3251040 2830870 0 ) ( 3271920 2830870 )
NEW met2 ( 3271920 2830870 ) ( 3271920 2836605 )
NEW met1 ( 3271920 2836605 ) ( 3333360 2836605 )
NEW met1 ( 3333360 4326595 ) ( 3377040 4326595 )
NEW met2 ( 3377040 4326595 ) ( 3377040 4329195 0 )
NEW met2 ( 3333360 2836605 ) ( 3333360 4326595 )
NEW met2 ( 3271920 2830870 ) via2_FR
NEW met1 ( 3271920 2836605 ) M1M2_PR
NEW met1 ( 3333360 2836605 ) M1M2_PR
NEW met1 ( 3333360 4326595 ) M1M2_PR
NEW met1 ( 3377040 4326595 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[7\] ( padframe mprj_analog_io[7] ) ( mprj analog_io[7] )
+ ROUTED met3 ( 3251040 3065450 0 ) ( 3271920 3065450 )
NEW met2 ( 3271920 3065450 ) ( 3271920 3067115 )
NEW met1 ( 3271920 3067115 ) ( 3347760 3067115 )
NEW met1 ( 3347760 4773555 ) ( 3377040 4773555 )
NEW met2 ( 3377040 4773555 ) ( 3377040 4775220 0 )
NEW met2 ( 3347760 3067115 ) ( 3347760 4773555 )
NEW met2 ( 3271920 3065450 ) via2_FR
NEW met1 ( 3271920 3067115 ) M1M2_PR
NEW met1 ( 3347760 3067115 ) M1M2_PR
NEW met1 ( 3347760 4773555 ) M1M2_PR
NEW met1 ( 3377040 4773555 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[8\] ( padframe mprj_analog_io[8] ) ( mprj analog_io[8] )
+ ROUTED met3 ( 3249120 3302990 ) ( 3249360 3302990 )
NEW met3 ( 3249120 3300030 0 ) ( 3249120 3302990 )
NEW met3 ( 3192720 4973170 ) ( 3249360 4973170 )
NEW met2 ( 3192720 4973170 ) ( 3192720 4977610 0 )
NEW met2 ( 3249360 3302990 ) ( 3249360 4973170 )
NEW met2 ( 3249360 3302990 ) via2_FR
NEW met2 ( 3249360 4973170 ) via2_FR
NEW met2 ( 3192720 4973170 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[9\] ( padframe mprj_analog_io[9] ) ( mprj analog_io[9] )
+ ROUTED met3 ( 3248880 3537570 ) ( 3249120 3537570 )
NEW met3 ( 3249120 3534610 0 ) ( 3249120 3537570 )
NEW met2 ( 3248880 3537570 ) ( 3248880 4933025 )
NEW met1 ( 2779920 4997035 ) ( 2779920 4998145 )
NEW met1 ( 3146160 4933025 ) ( 3248880 4933025 )
NEW met2 ( 2683920 4979090 0 ) ( 2685840 4979090 )
NEW met2 ( 2685840 4978905 ) ( 2685840 4979090 )
NEW met1 ( 2685840 4978905 ) ( 2701680 4978905 )
NEW met2 ( 2701680 4978905 ) ( 2701680 4998145 )
NEW met1 ( 2701680 4998145 ) ( 2779920 4998145 )
NEW met2 ( 2862480 4989635 ) ( 2862480 4997035 )
NEW met1 ( 2779920 4997035 ) ( 2862480 4997035 )
NEW met2 ( 3089040 4977795 ) ( 3089040 4989635 )
NEW met1 ( 3089040 4977795 ) ( 3146160 4977795 )
NEW met1 ( 2862480 4989635 ) ( 3089040 4989635 )
NEW met2 ( 3146160 4933025 ) ( 3146160 4977795 )
NEW met2 ( 3248880 3537570 ) via2_FR
NEW met1 ( 3248880 4933025 ) M1M2_PR
NEW met1 ( 3146160 4933025 ) M1M2_PR
NEW met1 ( 2685840 4978905 ) M1M2_PR
NEW met1 ( 2701680 4978905 ) M1M2_PR
NEW met1 ( 2701680 4998145 ) M1M2_PR
NEW met1 ( 2862480 4997035 ) M1M2_PR
NEW met1 ( 2862480 4989635 ) M1M2_PR
NEW met1 ( 3089040 4989635 ) M1M2_PR
NEW met1 ( 3089040 4977795 ) M1M2_PR
NEW met1 ( 3146160 4977795 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[0\] ( mprj io_in[0] ) ( gpio_control_bidir\[0\] user_gpio_in )
+ ROUTED met3 ( 3251040 1481110 0 ) ( 3277200 1481110 )
NEW met2 ( 3277200 678025 ) ( 3277200 1481110 )
NEW met2 ( 3373200 678025 ) ( 3373200 686350 )
NEW met3 ( 3373200 686350 ) ( 3373920 686350 )
NEW met3 ( 3373920 686350 ) ( 3373920 688200 0 )
NEW met1 ( 3277200 678025 ) ( 3373200 678025 )
NEW met2 ( 3277200 1481110 ) via2_FR
NEW met1 ( 3277200 678025 ) M1M2_PR
NEW met1 ( 3373200 678025 ) M1M2_PR
NEW met2 ( 3373200 686350 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[10\] ( mprj io_in[10] ) ( gpio_control_in\[10\] user_gpio_in )
+ ROUTED met3 ( 3251040 3827650 0 ) ( 3270000 3827650 )
NEW met2 ( 3270000 3816365 ) ( 3270000 3827650 )
NEW met1 ( 3270000 3816365 ) ( 3306000 3816365 )
NEW met2 ( 3306000 3153325 ) ( 3306000 3816365 )
NEW met2 ( 3370800 3153325 ) ( 3370800 3153510 )
NEW met3 ( 3370800 3153510 ) ( 3373920 3153510 0 )
NEW met1 ( 3306000 3153325 ) ( 3370800 3153325 )
NEW met2 ( 3270000 3827650 ) via2_FR
NEW met1 ( 3270000 3816365 ) M1M2_PR
NEW met1 ( 3306000 3816365 ) M1M2_PR
NEW met1 ( 3306000 3153325 ) M1M2_PR
NEW met1 ( 3370800 3153325 ) M1M2_PR
NEW met2 ( 3370800 3153510 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[11\] ( mprj io_in[11] ) ( gpio_control_in\[11\] user_gpio_in )
+ ROUTED met3 ( 3251040 4062970 0 ) ( 3272400 4062970 )
NEW met2 ( 3272400 4060935 ) ( 3272400 4062970 )
NEW met1 ( 3272400 4060935 ) ( 3320400 4060935 )
NEW met2 ( 3320400 3379395 ) ( 3320400 4060935 )
NEW met2 ( 3373680 3379210 ) ( 3373680 3379395 )
NEW met3 ( 3373680 3379210 ) ( 3373920 3379210 )
NEW met3 ( 3373920 3378470 0 ) ( 3373920 3379210 )
NEW met1 ( 3320400 3379395 ) ( 3373680 3379395 )
NEW met2 ( 3272400 4062970 ) via2_FR
NEW met1 ( 3272400 4060935 ) M1M2_PR
NEW met1 ( 3320400 4060935 ) M1M2_PR
NEW met1 ( 3320400 3379395 ) M1M2_PR
NEW met1 ( 3373680 3379395 ) M1M2_PR
NEW met2 ( 3373680 3379210 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[12\] ( mprj io_in[12] ) ( gpio_control_in\[12\] user_gpio_in )
+ ROUTED met3 ( 3251040 4297550 0 ) ( 3270960 4297550 )
NEW met2 ( 3270960 4291445 ) ( 3270960 4297550 )
NEW met1 ( 3305520 3604355 ) ( 3372240 3604355 )
NEW met2 ( 3372240 3604170 ) ( 3372240 3604355 )
NEW met3 ( 3372240 3604170 ) ( 3373920 3604170 0 )
NEW met1 ( 3270960 4291445 ) ( 3305520 4291445 )
NEW met2 ( 3305520 3604355 ) ( 3305520 4291445 )
NEW met2 ( 3270960 4297550 ) via2_FR
NEW met1 ( 3270960 4291445 ) M1M2_PR
NEW met1 ( 3305520 3604355 ) M1M2_PR
NEW met1 ( 3372240 3604355 ) M1M2_PR
NEW met2 ( 3372240 3604170 ) via2_FR
NEW met1 ( 3305520 4291445 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[13\] ( mprj io_in[13] ) ( gpio_control_in\[13\] user_gpio_in )
+ ROUTED met3 ( 3251040 4532130 0 ) ( 3272400 4532130 )
NEW met2 ( 3272400 4521955 ) ( 3272400 4532130 )
NEW met1 ( 3272400 4521955 ) ( 3319920 4521955 )
NEW met2 ( 3319920 3829315 ) ( 3319920 4521955 )
NEW met2 ( 3372240 3829130 ) ( 3372240 3829315 )
NEW met3 ( 3372240 3829130 ) ( 3373920 3829130 0 )
NEW met1 ( 3319920 3829315 ) ( 3372240 3829315 )
NEW met2 ( 3272400 4532130 ) via2_FR
NEW met1 ( 3272400 4521955 ) M1M2_PR
NEW met1 ( 3319920 3829315 ) M1M2_PR
NEW met1 ( 3319920 4521955 ) M1M2_PR
NEW met1 ( 3372240 3829315 ) M1M2_PR
NEW met2 ( 3372240 3829130 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[14\] ( mprj io_in[14] ) ( gpio_control_in\[14\] user_gpio_in )
+ ROUTED met3 ( 3251040 4766710 0 ) ( 3272400 4766710 )
NEW met2 ( 3272400 4709545 ) ( 3272400 4766710 )
NEW met2 ( 3374640 4709545 ) ( 3374640 4720090 )
NEW met3 ( 3374640 4720090 ) ( 3374880 4720090 )
NEW met3 ( 3374880 4720090 ) ( 3374880 4721200 0 )
NEW met1 ( 3272400 4709545 ) ( 3374640 4709545 )
NEW met2 ( 3272400 4766710 ) via2_FR
NEW met1 ( 3272400 4709545 ) M1M2_PR
NEW met1 ( 3374640 4709545 ) M1M2_PR
NEW met2 ( 3374640 4720090 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[15\] ( mprj io_in[15] ) ( gpio_control_in\[15\] user_gpio_in )
+ ROUTED met2 ( 2831760 4978905 ) ( 2831760 4979090 )
NEW met3 ( 2829120 4979090 0 ) ( 2831760 4979090 )
NEW met2 ( 3125040 4917670 0 ) ( 3125040 4946715 )
NEW met1 ( 2851920 4946715 ) ( 3125040 4946715 )
NEW met1 ( 2831760 4978905 ) ( 2851920 4978905 )
NEW met2 ( 2851920 4946715 ) ( 2851920 4978905 )
NEW met1 ( 2851920 4946715 ) M1M2_PR
NEW met1 ( 2831760 4978905 ) M1M2_PR
NEW met2 ( 2831760 4979090 ) via2_FR
NEW met1 ( 3125040 4946715 ) M1M2_PR
NEW met1 ( 2851920 4978905 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[16\] ( mprj io_in[16] ) ( gpio_control_in\[16\] user_gpio_in )
+ ROUTED met2 ( 2800560 4917670 0 ) ( 2800560 4946345 )
NEW met1 ( 2593680 4946345 ) ( 2800560 4946345 )
NEW met3 ( 2572320 4977610 ) ( 2593680 4977610 )
NEW met3 ( 2572320 4977610 ) ( 2572320 4979090 0 )
NEW met2 ( 2593680 4946345 ) ( 2593680 4977610 )
NEW met1 ( 2593680 4946345 ) M1M2_PR
NEW met1 ( 2800560 4946345 ) M1M2_PR
NEW met2 ( 2593680 4977610 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[17\] ( mprj io_in[17] ) ( gpio_control_in\[17\] user_gpio_in )
+ ROUTED met2 ( 2476080 4917670 0 ) ( 2476080 4946345 )
NEW met1 ( 2203920 4946345 ) ( 2476080 4946345 )
NEW met3 ( 2187360 4978350 ) ( 2203920 4978350 )
NEW met3 ( 2187360 4978350 ) ( 2187360 4979090 0 )
NEW met2 ( 2203920 4946345 ) ( 2203920 4978350 )
NEW met1 ( 2203920 4946345 ) M1M2_PR
NEW met1 ( 2476080 4946345 ) M1M2_PR
NEW met2 ( 2203920 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[18\] ( mprj io_in[18] ) ( gpio_control_in\[18\] user_gpio_in )
+ ROUTED met2 ( 2151600 4917670 0 ) ( 2151600 4946345 )
NEW met1 ( 1872720 4946345 ) ( 2151600 4946345 )
NEW met3 ( 1850400 4977610 ) ( 1872720 4977610 )
NEW met3 ( 1850400 4977610 ) ( 1850400 4979090 0 )
NEW met2 ( 1872720 4946345 ) ( 1872720 4977610 )
NEW met1 ( 1872720 4946345 ) M1M2_PR
NEW met1 ( 2151600 4946345 ) M1M2_PR
NEW met2 ( 1872720 4977610 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[19\] ( mprj io_in[19] ) ( gpio_control_in\[19\] user_gpio_in )
+ ROUTED met2 ( 1827120 4917670 0 ) ( 1827120 4946345 )
NEW met1 ( 1626480 4946345 ) ( 1827120 4946345 )
NEW met3 ( 1598400 4978350 ) ( 1626480 4978350 )
NEW met3 ( 1598400 4978350 ) ( 1598400 4979090 0 )
NEW met2 ( 1626480 4946345 ) ( 1626480 4978350 )
NEW met1 ( 1626480 4946345 ) M1M2_PR
NEW met1 ( 1827120 4946345 ) M1M2_PR
NEW met2 ( 1626480 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[1\] ( mprj io_in[1] ) ( gpio_control_bidir\[1\] user_gpio_in )
+ ROUTED met2 ( 3272400 1713655 ) ( 3272400 1715690 )
NEW met3 ( 3251040 1715690 0 ) ( 3272400 1715690 )
NEW met1 ( 3333840 914455 ) ( 3372240 914455 )
NEW met2 ( 3372240 914270 ) ( 3372240 914455 )
NEW met3 ( 3372240 914270 ) ( 3373920 914270 0 )
NEW met1 ( 3272400 1713655 ) ( 3333840 1713655 )
NEW met2 ( 3333840 914455 ) ( 3333840 1713655 )
NEW met1 ( 3272400 1713655 ) M1M2_PR
NEW met2 ( 3272400 1715690 ) via2_FR
NEW met1 ( 3333840 914455 ) M1M2_PR
NEW met1 ( 3372240 914455 ) M1M2_PR
NEW met2 ( 3372240 914270 ) via2_FR
NEW met1 ( 3333840 1713655 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[20\] ( mprj io_in[20] ) ( gpio_control_in\[20\] user_gpio_in )
+ ROUTED met2 ( 1368720 4946345 ) ( 1368720 4978905 )
NEW met1 ( 1341360 4978905 ) ( 1368720 4978905 )
NEW met2 ( 1341360 4978905 ) ( 1341360 4979090 )
NEW met3 ( 1340160 4979090 0 ) ( 1341360 4979090 )
NEW met2 ( 1502640 4917670 0 ) ( 1502640 4946345 )
NEW met1 ( 1368720 4946345 ) ( 1502640 4946345 )
NEW met1 ( 1368720 4946345 ) M1M2_PR
NEW met1 ( 1368720 4978905 ) M1M2_PR
NEW met1 ( 1341360 4978905 ) M1M2_PR
NEW met2 ( 1341360 4979090 ) via2_FR
NEW met1 ( 1502640 4946345 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[21\] ( mprj io_in[21] ) ( gpio_control_in\[21\] user_gpio_in )
+ ROUTED met2 ( 1178160 4917670 0 ) ( 1178160 4946715 )
NEW met1 ( 1114800 4946715 ) ( 1178160 4946715 )
NEW met3 ( 1083360 4978350 ) ( 1114800 4978350 )
NEW met3 ( 1083360 4978350 ) ( 1083360 4979090 0 )
NEW met2 ( 1114800 4946715 ) ( 1114800 4978350 )
NEW met1 ( 1178160 4946715 ) M1M2_PR
NEW met1 ( 1114800 4946715 ) M1M2_PR
NEW met2 ( 1114800 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[22\] ( mprj io_in[22] ) ( gpio_control_in\[22\] user_gpio_in )
+ ROUTED met2 ( 851760 4917670 ) ( 853680 4917670 0 )
NEW met3 ( 827040 4978350 ) ( 827040 4979090 )
NEW met3 ( 826080 4979090 0 ) ( 827040 4979090 )
NEW met3 ( 827040 4978350 ) ( 851760 4978350 )
NEW met2 ( 851760 4917670 ) ( 851760 4978350 )
NEW met2 ( 851760 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[23\] ( mprj io_in[23] ) ( gpio_control_in\[23\] user_gpio_in )
+ ROUTED met2 ( 529200 4917670 0 ) ( 530640 4917670 )
NEW met2 ( 530640 4917670 ) ( 530640 4978905 )
NEW met2 ( 566640 4978905 ) ( 566640 4979830 )
NEW met3 ( 566640 4979830 ) ( 569280 4979830 0 )
NEW met1 ( 530640 4978905 ) ( 566640 4978905 )
NEW met1 ( 530640 4978905 ) M1M2_PR
NEW met1 ( 566640 4978905 ) M1M2_PR
NEW met2 ( 566640 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[24\] ( mprj io_in[24] ) ( gpio_control_in\[24\] user_gpio_in )
+ ROUTED met2 ( 230640 4739515 ) ( 230640 4795385 )
NEW met2 ( 297840 4795385 ) ( 297840 4804450 )
NEW met3 ( 297840 4804450 ) ( 322080 4804450 0 )
NEW met1 ( 230640 4795385 ) ( 297840 4795385 )
NEW met3 ( 211680 4739330 0 ) ( 213360 4739330 )
NEW met2 ( 213360 4739330 ) ( 213360 4739515 )
NEW met1 ( 213360 4739515 ) ( 230640 4739515 )
NEW met1 ( 230640 4739515 ) M1M2_PR
NEW met1 ( 230640 4795385 ) M1M2_PR
NEW met1 ( 297840 4795385 ) M1M2_PR
NEW met2 ( 297840 4804450 ) via2_FR
NEW met2 ( 213360 4739330 ) via2_FR
NEW met1 ( 213360 4739515 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[25\] ( mprj io_in[25] ) ( gpio_control_in\[25\] user_gpio_in )
+ ROUTED met2 ( 251760 4111255 ) ( 251760 4507525 )
NEW met2 ( 297840 4507525 ) ( 297840 4517330 )
NEW met3 ( 297840 4517330 ) ( 322080 4517330 0 )
NEW met1 ( 251760 4507525 ) ( 297840 4507525 )
NEW met3 ( 210720 4110330 0 ) ( 210720 4111070 )
NEW met3 ( 210720 4111070 ) ( 210960 4111070 )
NEW met2 ( 210960 4111070 ) ( 210960 4111255 )
NEW met1 ( 210960 4111255 ) ( 251760 4111255 )
NEW met1 ( 251760 4111255 ) M1M2_PR
NEW met1 ( 251760 4507525 ) M1M2_PR
NEW met1 ( 297840 4507525 ) M1M2_PR
NEW met2 ( 297840 4517330 ) via2_FR
NEW met2 ( 210960 4111070 ) via2_FR
NEW met1 ( 210960 4111255 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[26\] ( mprj io_in[26] ) ( gpio_control_in\[26\] user_gpio_in )
+ ROUTED met3 ( 211680 3894250 0 ) ( 213840 3894250 )
NEW met2 ( 213840 3894250 ) ( 213840 3894435 )
NEW met1 ( 213840 3894435 ) ( 266640 3894435 )
NEW met2 ( 266640 3894435 ) ( 266640 4219295 )
NEW met2 ( 297840 4219295 ) ( 297840 4230210 )
NEW met3 ( 297840 4230210 ) ( 322080 4230210 0 )
NEW met1 ( 266640 4219295 ) ( 297840 4219295 )
NEW met2 ( 213840 3894250 ) via2_FR
NEW met1 ( 213840 3894435 ) M1M2_PR
NEW met1 ( 266640 3894435 ) M1M2_PR
NEW met1 ( 266640 4219295 ) M1M2_PR
NEW met1 ( 297840 4219295 ) M1M2_PR
NEW met2 ( 297840 4230210 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[27\] ( mprj io_in[27] ) ( gpio_control_in\[27\] user_gpio_in )
+ ROUTED met2 ( 237840 3678355 ) ( 237840 3931435 )
NEW met2 ( 297840 3931435 ) ( 297840 3943090 )
NEW met3 ( 297840 3943090 ) ( 322080 3943090 0 )
NEW met1 ( 237840 3931435 ) ( 297840 3931435 )
NEW met3 ( 211680 3678170 0 ) ( 214320 3678170 )
NEW met2 ( 214320 3678170 ) ( 214320 3678355 )
NEW met1 ( 214320 3678355 ) ( 237840 3678355 )
NEW met1 ( 237840 3678355 ) M1M2_PR
NEW met1 ( 237840 3931435 ) M1M2_PR
NEW met1 ( 297840 3931435 ) M1M2_PR
NEW met2 ( 297840 3943090 ) via2_FR
NEW met2 ( 214320 3678170 ) via2_FR
NEW met1 ( 214320 3678355 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[28\] ( mprj io_in[28] ) ( gpio_control_in\[28\] user_gpio_in )
+ ROUTED met2 ( 237840 3463755 ) ( 237840 3643205 )
NEW met2 ( 297840 3643205 ) ( 297840 3655230 )
NEW met3 ( 297840 3655230 ) ( 322080 3655230 0 )
NEW met1 ( 237840 3643205 ) ( 297840 3643205 )
NEW met3 ( 211680 3462090 0 ) ( 211680 3463570 )
NEW met3 ( 211680 3463570 ) ( 212400 3463570 )
NEW met2 ( 212400 3463570 ) ( 212400 3463755 )
NEW met1 ( 212400 3463755 ) ( 237840 3463755 )
NEW met1 ( 237840 3463755 ) M1M2_PR
NEW met1 ( 237840 3643205 ) M1M2_PR
NEW met1 ( 297840 3643205 ) M1M2_PR
NEW met2 ( 297840 3655230 ) via2_FR
NEW met2 ( 212400 3463570 ) via2_FR
NEW met1 ( 212400 3463755 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[29\] ( mprj io_in[29] ) ( gpio_control_in\[29\] user_gpio_in )
+ ROUTED met3 ( 211680 3246010 0 ) ( 211680 3247490 )
NEW met3 ( 211680 3247490 ) ( 212400 3247490 )
NEW met2 ( 212400 3247490 ) ( 212400 3247675 )
NEW met1 ( 212400 3247675 ) ( 252240 3247675 )
NEW met2 ( 252240 3247675 ) ( 252240 3355345 )
NEW met2 ( 297840 3355345 ) ( 297840 3368110 )
NEW met3 ( 297840 3368110 ) ( 322080 3368110 0 )
NEW met1 ( 252240 3355345 ) ( 297840 3355345 )
NEW met2 ( 212400 3247490 ) via2_FR
NEW met1 ( 212400 3247675 ) M1M2_PR
NEW met1 ( 252240 3247675 ) M1M2_PR
NEW met1 ( 252240 3355345 ) M1M2_PR
NEW met1 ( 297840 3355345 ) M1M2_PR
NEW met2 ( 297840 3368110 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[2\] ( mprj io_in[2] ) ( gpio_control_in\[2\] user_gpio_in )
+ ROUTED met2 ( 3272400 1944165 ) ( 3272400 1950270 )
NEW met3 ( 3251040 1950270 0 ) ( 3272400 1950270 )
NEW met1 ( 3348720 1139415 ) ( 3372240 1139415 )
NEW met2 ( 3372240 1139230 ) ( 3372240 1139415 )
NEW met3 ( 3372240 1139230 ) ( 3373920 1139230 0 )
NEW met1 ( 3272400 1944165 ) ( 3348720 1944165 )
NEW met2 ( 3348720 1139415 ) ( 3348720 1944165 )
NEW met1 ( 3272400 1944165 ) M1M2_PR
NEW met2 ( 3272400 1950270 ) via2_FR
NEW met1 ( 3348720 1139415 ) M1M2_PR
NEW met1 ( 3372240 1139415 ) M1M2_PR
NEW met2 ( 3372240 1139230 ) via2_FR
NEW met1 ( 3348720 1944165 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[30\] ( mprj io_in[30] ) ( gpio_control_in\[30\] user_gpio_in )
+ ROUTED met2 ( 297840 3067485 ) ( 297840 3080990 )
NEW met3 ( 297840 3080990 ) ( 322080 3080990 0 )
NEW met3 ( 210720 3030300 0 ) ( 210720 3031410 )
NEW met3 ( 210720 3031410 ) ( 210960 3031410 )
NEW met2 ( 210960 3031410 ) ( 210960 3039365 )
NEW met1 ( 210960 3039365 ) ( 213840 3039365 )
NEW met2 ( 213840 3039365 ) ( 213840 3067485 )
NEW met1 ( 213840 3067485 ) ( 297840 3067485 )
NEW met1 ( 297840 3067485 ) M1M2_PR
NEW met2 ( 297840 3080990 ) via2_FR
NEW met2 ( 210960 3031410 ) via2_FR
NEW met1 ( 210960 3039365 ) M1M2_PR
NEW met1 ( 213840 3039365 ) M1M2_PR
NEW met1 ( 213840 3067485 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[31\] ( mprj io_in[31] ) ( gpio_control_in\[31\] user_gpio_in )
+ ROUTED met3 ( 211680 2814220 0 ) ( 214320 2814220 )
NEW met2 ( 297840 2851405 ) ( 297840 2864910 )
NEW met3 ( 297840 2864910 ) ( 322080 2864910 0 )
NEW met2 ( 214320 2814220 ) ( 214320 2851405 )
NEW met1 ( 214320 2851405 ) ( 297840 2851405 )
NEW met2 ( 214320 2814220 ) via2_FR
NEW met1 ( 297840 2851405 ) M1M2_PR
NEW met2 ( 297840 2864910 ) via2_FR
NEW met1 ( 214320 2851405 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[32\] ( mprj io_in[32] ) ( gpio_control_in\[32\] user_gpio_in )
+ ROUTED met2 ( 297840 2649755 ) ( 297840 2650310 )
NEW met3 ( 297840 2650310 ) ( 322080 2650310 0 )
NEW met3 ( 209520 2177450 ) ( 209760 2177450 )
NEW met3 ( 209760 2175970 0 ) ( 209760 2177450 )
NEW met1 ( 209520 2599065 ) ( 215280 2599065 )
NEW met2 ( 209520 2177450 ) ( 209520 2599065 )
NEW met2 ( 215280 2599065 ) ( 215280 2649755 )
NEW met1 ( 215280 2649755 ) ( 297840 2649755 )
NEW met1 ( 297840 2649755 ) M1M2_PR
NEW met2 ( 297840 2650310 ) via2_FR
NEW met2 ( 209520 2177450 ) via2_FR
NEW met1 ( 209520 2599065 ) M1M2_PR
NEW met1 ( 215280 2599065 ) M1M2_PR
NEW met1 ( 215280 2649755 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[33\] ( mprj io_in[33] ) ( gpio_control_in\[33\] user_gpio_in )
+ ROUTED met2 ( 293040 2433675 ) ( 293040 2434230 )
NEW met3 ( 293040 2434230 ) ( 322080 2434230 0 )
NEW met3 ( 211680 1960260 0 ) ( 211680 1961370 )
NEW met3 ( 211680 1961370 ) ( 211920 1961370 )
NEW met2 ( 211920 1961370 ) ( 211920 1961555 )
NEW met1 ( 211920 1961555 ) ( 223920 1961555 )
NEW met1 ( 223920 2433675 ) ( 293040 2433675 )
NEW met2 ( 223920 1961555 ) ( 223920 2433675 )
NEW met1 ( 293040 2433675 ) M1M2_PR
NEW met2 ( 293040 2434230 ) via2_FR
NEW met2 ( 211920 1961370 ) via2_FR
NEW met1 ( 211920 1961555 ) M1M2_PR
NEW met1 ( 223920 1961555 ) M1M2_PR
NEW met1 ( 223920 2433675 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[34\] ( mprj io_in[34] ) ( gpio_control_in\[34\] user_gpio_in )
+ ROUTED met2 ( 270000 1745475 ) ( 270000 2217965 )
NEW met2 ( 297840 2217965 ) ( 297840 2218890 )
NEW met3 ( 297840 2218890 ) ( 322080 2218890 0 )
NEW met1 ( 270000 2217965 ) ( 297840 2217965 )
NEW met3 ( 211680 1744550 0 ) ( 211680 1745290 )
NEW met3 ( 211680 1745290 ) ( 211920 1745290 )
NEW met2 ( 211920 1745290 ) ( 211920 1745475 )
NEW met1 ( 211920 1745475 ) ( 270000 1745475 )
NEW met1 ( 270000 2217965 ) M1M2_PR
NEW met1 ( 270000 1745475 ) M1M2_PR
NEW met1 ( 297840 2217965 ) M1M2_PR
NEW met2 ( 297840 2218890 ) via2_FR
NEW met2 ( 211920 1745290 ) via2_FR
NEW met1 ( 211920 1745475 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[35\] ( mprj io_in[35] ) ( gpio_control_in\[35\] user_gpio_in )
+ ROUTED met3 ( 211680 1526990 ) ( 211680 1528470 0 )
NEW met3 ( 211680 1526990 ) ( 211920 1526990 )
NEW met2 ( 211920 1526990 ) ( 211920 1527545 )
NEW met1 ( 281520 2001885 ) ( 288240 2001885 )
NEW met2 ( 288240 2001885 ) ( 288240 2003550 )
NEW met3 ( 288240 2003550 ) ( 322080 2003550 0 )
NEW met1 ( 211920 1527545 ) ( 281520 1527545 )
NEW met2 ( 281520 1527545 ) ( 281520 2001885 )
NEW met2 ( 211920 1526990 ) via2_FR
NEW met1 ( 211920 1527545 ) M1M2_PR
NEW met1 ( 281520 2001885 ) M1M2_PR
NEW met1 ( 288240 2001885 ) M1M2_PR
NEW met2 ( 288240 2003550 ) via2_FR
NEW met1 ( 281520 1527545 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[36\] ( mprj io_in[36] ) ( gpio_control_in\[36\] user_gpio_in )
+ ROUTED met1 ( 281040 1786915 ) ( 301200 1786915 )
NEW met2 ( 301200 1786915 ) ( 301200 1788210 )
NEW met3 ( 301200 1788210 ) ( 322080 1788210 0 )
NEW met2 ( 281040 1311465 ) ( 281040 1786915 )
NEW met3 ( 211680 1312390 0 ) ( 213360 1312390 )
NEW met2 ( 213360 1311465 ) ( 213360 1312390 )
NEW met1 ( 213360 1311465 ) ( 281040 1311465 )
NEW met1 ( 281040 1786915 ) M1M2_PR
NEW met1 ( 301200 1786915 ) M1M2_PR
NEW met2 ( 301200 1788210 ) via2_FR
NEW met1 ( 281040 1311465 ) M1M2_PR
NEW met2 ( 213360 1312390 ) via2_FR
NEW met1 ( 213360 1311465 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[37\] ( mprj io_in[37] ) ( gpio_control_in\[37\] user_gpio_in )
+ ROUTED met2 ( 290160 1569725 ) ( 290160 1572870 )
NEW met3 ( 290160 1572870 ) ( 322080 1572870 0 )
NEW met1 ( 224400 1569725 ) ( 290160 1569725 )
NEW met3 ( 211680 1096310 0 ) ( 211680 1097050 )
NEW met3 ( 211680 1097050 ) ( 211920 1097050 )
NEW met2 ( 211920 1097050 ) ( 211920 1097235 )
NEW met1 ( 211920 1097235 ) ( 224400 1097235 )
NEW met2 ( 224400 1097235 ) ( 224400 1569725 )
NEW met1 ( 224400 1569725 ) M1M2_PR
NEW met1 ( 290160 1569725 ) M1M2_PR
NEW met2 ( 290160 1572870 ) via2_FR
NEW met2 ( 211920 1097050 ) via2_FR
NEW met1 ( 211920 1097235 ) M1M2_PR
NEW met1 ( 224400 1097235 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[3\] ( mprj io_in[3] ) ( gpio_control_in\[3\] user_gpio_in )
+ ROUTED met2 ( 3272400 2174675 ) ( 3272400 2184850 )
NEW met3 ( 3251040 2184850 0 ) ( 3272400 2184850 )
NEW met1 ( 3320400 1366595 ) ( 3373680 1366595 )
NEW met2 ( 3373680 1366410 ) ( 3373680 1366595 )
NEW met3 ( 3373680 1366410 ) ( 3373920 1366410 )
NEW met3 ( 3373920 1365300 0 ) ( 3373920 1366410 )
NEW met1 ( 3272400 2174675 ) ( 3320400 2174675 )
NEW met2 ( 3320400 1366595 ) ( 3320400 2174675 )
NEW met1 ( 3272400 2174675 ) M1M2_PR
NEW met2 ( 3272400 2184850 ) via2_FR
NEW met1 ( 3320400 1366595 ) M1M2_PR
NEW met1 ( 3373680 1366595 ) M1M2_PR
NEW met2 ( 3373680 1366410 ) via2_FR
NEW met1 ( 3320400 2174675 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[4\] ( mprj io_in[4] ) ( gpio_control_in\[4\] user_gpio_in )
+ ROUTED met3 ( 3251040 2420170 0 ) ( 3271440 2420170 )
NEW met2 ( 3271440 2419245 ) ( 3271440 2420170 )
NEW met1 ( 3334800 1591555 ) ( 3373680 1591555 )
NEW met2 ( 3373680 1591370 ) ( 3373680 1591555 )
NEW met3 ( 3373680 1591370 ) ( 3373920 1591370 )
NEW met3 ( 3373920 1590260 0 ) ( 3373920 1591370 )
NEW met1 ( 3271440 2419245 ) ( 3334800 2419245 )
NEW met2 ( 3334800 1591555 ) ( 3334800 2419245 )
NEW met2 ( 3271440 2420170 ) via2_FR
NEW met1 ( 3271440 2419245 ) M1M2_PR
NEW met1 ( 3334800 1591555 ) M1M2_PR
NEW met1 ( 3373680 1591555 ) M1M2_PR
NEW met2 ( 3373680 1591370 ) via2_FR
NEW met1 ( 3334800 2419245 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[5\] ( mprj io_in[5] ) ( gpio_control_in\[5\] user_gpio_in )
+ ROUTED met3 ( 3251040 2654750 0 ) ( 3270480 2654750 )
NEW met2 ( 3270480 2649755 ) ( 3270480 2654750 )
NEW met1 ( 3362640 1816515 ) ( 3373680 1816515 )
NEW met2 ( 3373680 1816330 ) ( 3373680 1816515 )
NEW met3 ( 3373680 1816330 ) ( 3373920 1816330 )
NEW met3 ( 3373920 1815220 0 ) ( 3373920 1816330 )
NEW met1 ( 3270480 2649755 ) ( 3362640 2649755 )
NEW met2 ( 3362640 1816515 ) ( 3362640 2649755 )
NEW met2 ( 3270480 2654750 ) via2_FR
NEW met1 ( 3270480 2649755 ) M1M2_PR
NEW met1 ( 3362640 1816515 ) M1M2_PR
NEW met1 ( 3373680 1816515 ) M1M2_PR
NEW met2 ( 3373680 1816330 ) via2_FR
NEW met1 ( 3362640 2649755 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[6\] ( mprj io_in[6] ) ( gpio_control_in\[6\] user_gpio_in )
+ ROUTED met3 ( 3251040 2889330 0 ) ( 3272400 2889330 )
NEW met2 ( 3272400 2880265 ) ( 3272400 2889330 )
NEW met1 ( 3362160 2041845 ) ( 3373680 2041845 )
NEW met2 ( 3373680 2041845 ) ( 3373680 2042030 )
NEW met3 ( 3373680 2042030 ) ( 3373920 2042030 )
NEW met3 ( 3373920 2041290 0 ) ( 3373920 2042030 )
NEW met1 ( 3272400 2880265 ) ( 3362160 2880265 )
NEW met2 ( 3362160 2041845 ) ( 3362160 2880265 )
NEW met2 ( 3272400 2889330 ) via2_FR
NEW met1 ( 3272400 2880265 ) M1M2_PR
NEW met1 ( 3362160 2041845 ) M1M2_PR
NEW met1 ( 3373680 2041845 ) M1M2_PR
NEW met2 ( 3373680 2042030 ) via2_FR
NEW met1 ( 3362160 2880265 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[7\] ( mprj io_in[7] ) ( gpio_control_in\[7\] user_gpio_in )
+ ROUTED met3 ( 3251040 3123910 0 ) ( 3271920 3123910 )
NEW met2 ( 3271920 3110405 ) ( 3271920 3123910 )
NEW met1 ( 3348720 2482515 ) ( 3372240 2482515 )
NEW met2 ( 3372240 2482330 ) ( 3372240 2482515 )
NEW met3 ( 3372240 2482330 ) ( 3373920 2482330 0 )
NEW met1 ( 3271920 3110405 ) ( 3348720 3110405 )
NEW met2 ( 3348720 2482515 ) ( 3348720 3110405 )
NEW met2 ( 3271920 3123910 ) via2_FR
NEW met1 ( 3271920 3110405 ) M1M2_PR
NEW met1 ( 3348720 2482515 ) M1M2_PR
NEW met1 ( 3372240 2482515 ) M1M2_PR
NEW met2 ( 3372240 2482330 ) via2_FR
NEW met1 ( 3348720 3110405 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[8\] ( mprj io_in[8] ) ( gpio_control_in\[8\] user_gpio_in )
+ ROUTED met3 ( 3251040 3358490 0 ) ( 3272400 3358490 )
NEW met2 ( 3272400 3355345 ) ( 3272400 3358490 )
NEW met1 ( 3272400 3355345 ) ( 3305520 3355345 )
NEW met2 ( 3305520 2703775 ) ( 3305520 3355345 )
NEW met2 ( 3373200 2703590 ) ( 3373200 2703775 )
NEW met3 ( 3373200 2703590 ) ( 3373920 2703590 )
NEW met3 ( 3373920 2702110 0 ) ( 3373920 2703590 )
NEW met1 ( 3305520 2703775 ) ( 3373200 2703775 )
NEW met2 ( 3272400 3358490 ) via2_FR
NEW met1 ( 3272400 3355345 ) M1M2_PR
NEW met1 ( 3305520 2703775 ) M1M2_PR
NEW met1 ( 3305520 3355345 ) M1M2_PR
NEW met1 ( 3373200 2703775 ) M1M2_PR
NEW met2 ( 3373200 2703590 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[9\] ( mprj io_in[9] ) ( gpio_control_in\[9\] user_gpio_in )
+ ROUTED met3 ( 3251040 3593070 0 ) ( 3271440 3593070 )
NEW met2 ( 3271440 3585855 ) ( 3271440 3593070 )
NEW met1 ( 3271440 3585855 ) ( 3319920 3585855 )
NEW met2 ( 3319920 2927255 ) ( 3319920 3585855 )
NEW met2 ( 3372240 2927070 ) ( 3372240 2927255 )
NEW met3 ( 3372240 2927070 ) ( 3373920 2927070 0 )
NEW met1 ( 3319920 2927255 ) ( 3372240 2927255 )
NEW met2 ( 3271440 3593070 ) via2_FR
NEW met1 ( 3271440 3585855 ) M1M2_PR
NEW met1 ( 3319920 2927255 ) M1M2_PR
NEW met1 ( 3319920 3585855 ) M1M2_PR
NEW met1 ( 3372240 2927255 ) M1M2_PR
NEW met2 ( 3372240 2927070 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[0\] ( mprj io_oeb[0] ) ( gpio_control_bidir\[0\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 1598770 0 ) ( 3275760 1598770 )
NEW met2 ( 3275760 692085 ) ( 3275760 1598770 )
NEW met2 ( 3372240 692085 ) ( 3372240 692270 )
NEW met3 ( 3372240 692270 ) ( 3373920 692270 0 )
NEW met1 ( 3275760 692085 ) ( 3372240 692085 )
NEW met2 ( 3275760 1598770 ) via2_FR
NEW met1 ( 3275760 692085 ) M1M2_PR
NEW met1 ( 3372240 692085 ) M1M2_PR
NEW met2 ( 3372240 692270 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[10\] ( mprj io_oeb[10] ) ( gpio_control_in\[10\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3945310 0 ) ( 3270480 3945310 )
NEW met2 ( 3270480 3931435 ) ( 3270480 3945310 )
NEW met1 ( 3270480 3931435 ) ( 3334320 3931435 )
NEW met2 ( 3334320 3158875 ) ( 3334320 3931435 )
NEW met2 ( 3372720 3158690 ) ( 3372720 3158875 )
NEW met3 ( 3372720 3158690 ) ( 3373920 3158690 )
NEW met3 ( 3373920 3157210 0 ) ( 3373920 3158690 )
NEW met1 ( 3334320 3158875 ) ( 3372720 3158875 )
NEW met2 ( 3270480 3945310 ) via2_FR
NEW met1 ( 3270480 3931435 ) M1M2_PR
NEW met1 ( 3334320 3158875 ) M1M2_PR
NEW met1 ( 3334320 3931435 ) M1M2_PR
NEW met1 ( 3372720 3158875 ) M1M2_PR
NEW met2 ( 3372720 3158690 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[11\] ( mprj io_oeb[11] ) ( gpio_control_in\[11\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 4179890 0 ) ( 3270000 4179890 )
NEW met2 ( 3270000 4176005 ) ( 3270000 4179890 )
NEW met1 ( 3270000 4176005 ) ( 3348720 4176005 )
NEW met2 ( 3348720 3383465 ) ( 3348720 4176005 )
NEW met2 ( 3373680 3383465 ) ( 3373680 3383650 )
NEW met3 ( 3373680 3383650 ) ( 3373920 3383650 )
NEW met3 ( 3373920 3382170 0 ) ( 3373920 3383650 )
NEW met1 ( 3348720 3383465 ) ( 3373680 3383465 )
NEW met2 ( 3270000 4179890 ) via2_FR
NEW met1 ( 3270000 4176005 ) M1M2_PR
NEW met1 ( 3348720 3383465 ) M1M2_PR
NEW met1 ( 3348720 4176005 ) M1M2_PR
NEW met1 ( 3373680 3383465 ) M1M2_PR
NEW met2 ( 3373680 3383650 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[12\] ( mprj io_oeb[12] ) ( gpio_control_in\[12\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 4414470 0 ) ( 3270000 4414470 )
NEW met2 ( 3270000 4406515 ) ( 3270000 4414470 )
NEW met1 ( 3304560 3609535 ) ( 3373680 3609535 )
NEW met2 ( 3373680 3609350 ) ( 3373680 3609535 )
NEW met3 ( 3373680 3609350 ) ( 3373920 3609350 )
NEW met3 ( 3373920 3608610 0 ) ( 3373920 3609350 )
NEW met1 ( 3270000 4406515 ) ( 3304560 4406515 )
NEW met2 ( 3304560 3609535 ) ( 3304560 4406515 )
NEW met2 ( 3270000 4414470 ) via2_FR
NEW met1 ( 3270000 4406515 ) M1M2_PR
NEW met1 ( 3304560 3609535 ) M1M2_PR
NEW met1 ( 3373680 3609535 ) M1M2_PR
NEW met2 ( 3373680 3609350 ) via2_FR
NEW met1 ( 3304560 4406515 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[13\] ( mprj io_oeb[13] ) ( gpio_control_in\[13\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 4649050 0 ) ( 3272400 4649050 )
NEW met2 ( 3272400 4637395 ) ( 3272400 4649050 )
NEW met1 ( 3272400 4637395 ) ( 3333840 4637395 )
NEW met2 ( 3333840 3834495 ) ( 3333840 4637395 )
NEW met2 ( 3373200 3834310 ) ( 3373200 3834495 )
NEW met3 ( 3373200 3834310 ) ( 3373920 3834310 )
NEW met3 ( 3373920 3833570 0 ) ( 3373920 3834310 )
NEW met1 ( 3333840 3834495 ) ( 3373200 3834495 )
NEW met2 ( 3272400 4649050 ) via2_FR
NEW met1 ( 3272400 4637395 ) M1M2_PR
NEW met1 ( 3333840 3834495 ) M1M2_PR
NEW met1 ( 3333840 4637395 ) M1M2_PR
NEW met1 ( 3373200 3834495 ) M1M2_PR
NEW met2 ( 3373200 3834310 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[14\] ( mprj io_oeb[14] ) ( gpio_control_in\[14\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 4883630 0 ) ( 3275760 4883630 )
NEW met2 ( 3275760 4723235 ) ( 3275760 4883630 )
NEW met2 ( 3372720 4723235 ) ( 3372720 4723790 )
NEW met3 ( 3372720 4723790 ) ( 3373920 4723790 )
NEW met3 ( 3373920 4723790 ) ( 3373920 4725270 0 )
NEW met1 ( 3275760 4723235 ) ( 3372720 4723235 )
NEW met2 ( 3275760 4883630 ) via2_FR
NEW met1 ( 3275760 4723235 ) M1M2_PR
NEW met1 ( 3372720 4723235 ) M1M2_PR
NEW met2 ( 3372720 4723790 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[15\] ( mprj io_oeb[15] ) ( gpio_control_in\[15\] user_gpio_oeb )
+ ROUTED met2 ( 2835600 4979645 ) ( 2835600 4979830 )
NEW met3 ( 2833440 4979830 0 ) ( 2835600 4979830 )
NEW met2 ( 2962320 4917670 0 ) ( 2962320 4947455 )
NEW met1 ( 2851440 4947455 ) ( 2962320 4947455 )
NEW met1 ( 2835600 4979645 ) ( 2851440 4979645 )
NEW met2 ( 2851440 4947455 ) ( 2851440 4979645 )
NEW met1 ( 2851440 4947455 ) M1M2_PR
NEW met1 ( 2835600 4979645 ) M1M2_PR
NEW met2 ( 2835600 4979830 ) via2_FR
NEW met1 ( 2962320 4947455 ) M1M2_PR
NEW met1 ( 2851440 4979645 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[16\] ( mprj io_oeb[16] ) ( gpio_control_in\[16\] user_gpio_oeb )
+ ROUTED met2 ( 2638320 4917670 0 ) ( 2638320 4946715 )
NEW met1 ( 2592720 4946715 ) ( 2638320 4946715 )
NEW met3 ( 2579040 4978350 ) ( 2592720 4978350 )
NEW met3 ( 2579040 4978350 ) ( 2579040 4979090 )
NEW met3 ( 2576160 4979090 0 ) ( 2579040 4979090 )
NEW met2 ( 2592720 4946715 ) ( 2592720 4978350 )
NEW met1 ( 2638320 4946715 ) M1M2_PR
NEW met1 ( 2592720 4946715 ) M1M2_PR
NEW met2 ( 2592720 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[17\] ( mprj io_oeb[17] ) ( gpio_control_in\[17\] user_gpio_oeb )
+ ROUTED met2 ( 2313840 4917670 0 ) ( 2313840 4952635 )
NEW met1 ( 2222640 4952635 ) ( 2313840 4952635 )
NEW met1 ( 2193840 4978905 ) ( 2222640 4978905 )
NEW met2 ( 2193840 4978905 ) ( 2193840 4979090 )
NEW met3 ( 2191200 4979090 0 ) ( 2193840 4979090 )
NEW met2 ( 2222640 4952635 ) ( 2222640 4978905 )
NEW met1 ( 2222640 4952635 ) M1M2_PR
NEW met1 ( 2313840 4952635 ) M1M2_PR
NEW met1 ( 2222640 4978905 ) M1M2_PR
NEW met1 ( 2193840 4978905 ) M1M2_PR
NEW met2 ( 2193840 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[18\] ( mprj io_oeb[18] ) ( gpio_control_in\[18\] user_gpio_oeb )
+ ROUTED met2 ( 1989360 4917670 0 ) ( 1989360 4947085 )
NEW met1 ( 1872240 4947085 ) ( 1989360 4947085 )
NEW met3 ( 1854240 4978350 ) ( 1872240 4978350 )
NEW met3 ( 1854240 4978350 ) ( 1854240 4979090 0 )
NEW met2 ( 1872240 4947085 ) ( 1872240 4978350 )
NEW met1 ( 1872240 4947085 ) M1M2_PR
NEW met1 ( 1989360 4947085 ) M1M2_PR
NEW met2 ( 1872240 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[19\] ( mprj io_oeb[19] ) ( gpio_control_in\[19\] user_gpio_oeb )
+ ROUTED met2 ( 1662960 4917670 ) ( 1664880 4917670 0 )
NEW met2 ( 1662960 4917670 ) ( 1662960 4962995 )
NEW met1 ( 1656240 4962995 ) ( 1662960 4962995 )
NEW met2 ( 1627440 5025895 ) ( 1627440 5027190 )
NEW met1 ( 1627440 5025895 ) ( 1656240 5025895 )
NEW met2 ( 1656240 4962995 ) ( 1656240 5025895 )
NEW met2 ( 1605360 5027190 ) ( 1607280 5027190 )
NEW met3 ( 1602240 5027190 0 ) ( 1605360 5027190 )
NEW met3 ( 1607280 5027190 ) ( 1627440 5027190 )
NEW met1 ( 1662960 4962995 ) M1M2_PR
NEW met1 ( 1656240 4962995 ) M1M2_PR
NEW met1 ( 1627440 5025895 ) M1M2_PR
NEW met2 ( 1627440 5027190 ) via2_FR
NEW met1 ( 1656240 5025895 ) M1M2_PR
NEW met2 ( 1607280 5027190 ) via2_FR
NEW met2 ( 1605360 5027190 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[1\] ( mprj io_oeb[1] ) ( gpio_control_bidir\[1\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 1829095 ) ( 3272400 1832610 )
NEW met3 ( 3251040 1832610 0 ) ( 3272400 1832610 )
NEW met1 ( 3272400 1829095 ) ( 3305040 1829095 )
NEW met1 ( 3305040 919635 ) ( 3373680 919635 )
NEW met2 ( 3373680 919450 ) ( 3373680 919635 )
NEW met3 ( 3373680 919450 ) ( 3373920 919450 )
NEW met3 ( 3373920 918340 0 ) ( 3373920 919450 )
NEW met2 ( 3305040 919635 ) ( 3305040 1829095 )
NEW met1 ( 3272400 1829095 ) M1M2_PR
NEW met2 ( 3272400 1832610 ) via2_FR
NEW met1 ( 3305040 1829095 ) M1M2_PR
NEW met1 ( 3305040 919635 ) M1M2_PR
NEW met1 ( 3373680 919635 ) M1M2_PR
NEW met2 ( 3373680 919450 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[20\] ( mprj io_oeb[20] ) ( gpio_control_in\[20\] user_gpio_oeb )
+ ROUTED met2 ( 1340880 4917670 0 ) ( 1342320 4917670 )
NEW met2 ( 1342320 4917670 ) ( 1342320 4979090 )
NEW met3 ( 1342320 4979090 ) ( 1344480 4979090 0 )
NEW met2 ( 1342320 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[21\] ( mprj io_oeb[21] ) ( gpio_control_in\[21\] user_gpio_oeb )
+ ROUTED met2 ( 1015920 4917670 0 ) ( 1015920 4944125 )
NEW met1 ( 1015920 4944125 ) ( 1065360 4944125 )
NEW met1 ( 1065360 4979645 ) ( 1085520 4979645 )
NEW met2 ( 1085520 4979645 ) ( 1085520 4979830 )
NEW met3 ( 1085520 4979830 ) ( 1087200 4979830 0 )
NEW met2 ( 1065360 4944125 ) ( 1065360 4979645 )
NEW met1 ( 1015920 4944125 ) M1M2_PR
NEW met1 ( 1065360 4944125 ) M1M2_PR
NEW met1 ( 1065360 4979645 ) M1M2_PR
NEW met1 ( 1085520 4979645 ) M1M2_PR
NEW met2 ( 1085520 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[22\] ( mprj io_oeb[22] ) ( gpio_control_in\[22\] user_gpio_oeb )
+ ROUTED met2 ( 691440 4917670 0 ) ( 691440 4946345 )
NEW met1 ( 691440 4946345 ) ( 820560 4946345 )
NEW met1 ( 820560 4978905 ) ( 828240 4978905 )
NEW met2 ( 828240 4978905 ) ( 828240 4979090 )
NEW met3 ( 828240 4979090 ) ( 830400 4979090 0 )
NEW met2 ( 820560 4946345 ) ( 820560 4978905 )
NEW met1 ( 820560 4946345 ) M1M2_PR
NEW met1 ( 691440 4946345 ) M1M2_PR
NEW met1 ( 820560 4978905 ) M1M2_PR
NEW met1 ( 828240 4978905 ) M1M2_PR
NEW met2 ( 828240 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[23\] ( mprj io_oeb[23] ) ( gpio_control_in\[23\] user_gpio_oeb )
+ ROUTED met2 ( 464880 4946345 ) ( 464880 5026265 )
NEW met2 ( 367440 4917670 0 ) ( 367440 4946345 )
NEW met1 ( 367440 4946345 ) ( 464880 4946345 )
NEW met2 ( 570960 5026265 ) ( 570960 5026450 )
NEW met3 ( 570960 5026450 ) ( 573600 5026450 0 )
NEW met1 ( 464880 5026265 ) ( 570960 5026265 )
NEW met1 ( 464880 5026265 ) M1M2_PR
NEW met1 ( 464880 4946345 ) M1M2_PR
NEW met1 ( 367440 4946345 ) M1M2_PR
NEW met1 ( 570960 5026265 ) M1M2_PR
NEW met2 ( 570960 5026450 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[24\] ( mprj io_oeb[24] ) ( gpio_control_in\[24\] user_gpio_oeb )
+ ROUTED met2 ( 266160 4665515 ) ( 266160 4742105 )
NEW met2 ( 297840 4661630 ) ( 297840 4665515 )
NEW met3 ( 297840 4661630 ) ( 322080 4661630 0 )
NEW met1 ( 266160 4665515 ) ( 297840 4665515 )
NEW met3 ( 211680 4742290 ) ( 211680 4743400 0 )
NEW met3 ( 211680 4742290 ) ( 211920 4742290 )
NEW met2 ( 211920 4742105 ) ( 211920 4742290 )
NEW met1 ( 211920 4742105 ) ( 266160 4742105 )
NEW met1 ( 266160 4665515 ) M1M2_PR
NEW met1 ( 266160 4742105 ) M1M2_PR
NEW met1 ( 297840 4665515 ) M1M2_PR
NEW met2 ( 297840 4661630 ) via2_FR
NEW met2 ( 211920 4742290 ) via2_FR
NEW met1 ( 211920 4742105 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[25\] ( mprj io_oeb[25] ) ( gpio_control_in\[25\] user_gpio_oeb )
+ ROUTED met2 ( 237840 4115695 ) ( 237840 4363225 )
NEW met2 ( 297840 4363225 ) ( 297840 4373770 )
NEW met3 ( 297840 4373770 ) ( 322080 4373770 0 )
NEW met1 ( 237840 4363225 ) ( 297840 4363225 )
NEW met3 ( 210720 4114400 0 ) ( 210720 4115510 )
NEW met3 ( 210720 4115510 ) ( 210960 4115510 )
NEW met2 ( 210960 4115510 ) ( 210960 4115695 )
NEW met1 ( 210960 4115695 ) ( 237840 4115695 )
NEW met1 ( 237840 4115695 ) M1M2_PR
NEW met1 ( 237840 4363225 ) M1M2_PR
NEW met1 ( 297840 4363225 ) M1M2_PR
NEW met2 ( 297840 4373770 ) via2_FR
NEW met2 ( 210960 4115510 ) via2_FR
NEW met1 ( 210960 4115695 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[26\] ( mprj io_oeb[26] ) ( gpio_control_in\[26\] user_gpio_oeb )
+ ROUTED met3 ( 211680 3898320 0 ) ( 213840 3898320 )
NEW met2 ( 213840 3898320 ) ( 213840 3898505 )
NEW met1 ( 213840 3898505 ) ( 252240 3898505 )
NEW met2 ( 252240 3898505 ) ( 252240 4075365 )
NEW met2 ( 297840 4075365 ) ( 297840 4086650 )
NEW met3 ( 297840 4086650 ) ( 322080 4086650 0 )
NEW met1 ( 252240 4075365 ) ( 297840 4075365 )
NEW met2 ( 213840 3898320 ) via2_FR
NEW met1 ( 213840 3898505 ) M1M2_PR
NEW met1 ( 252240 3898505 ) M1M2_PR
NEW met1 ( 252240 4075365 ) M1M2_PR
NEW met1 ( 297840 4075365 ) M1M2_PR
NEW met2 ( 297840 4086650 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[27\] ( mprj io_oeb[27] ) ( gpio_control_in\[27\] user_gpio_oeb )
+ ROUTED met2 ( 268560 3683535 ) ( 268560 3787505 )
NEW met2 ( 297840 3787505 ) ( 297840 3798790 )
NEW met3 ( 297840 3798790 ) ( 322080 3798790 0 )
NEW met1 ( 268560 3787505 ) ( 297840 3787505 )
NEW met3 ( 210720 3682610 0 ) ( 210720 3683350 )
NEW met3 ( 210720 3683350 ) ( 210960 3683350 )
NEW met2 ( 210960 3683350 ) ( 210960 3683535 )
NEW met1 ( 210960 3683535 ) ( 268560 3683535 )
NEW met1 ( 268560 3683535 ) M1M2_PR
NEW met1 ( 268560 3787505 ) M1M2_PR
NEW met1 ( 297840 3787505 ) M1M2_PR
NEW met2 ( 297840 3798790 ) via2_FR
NEW met2 ( 210960 3683350 ) via2_FR
NEW met1 ( 210960 3683535 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[28\] ( mprj io_oeb[28] ) ( gpio_control_in\[28\] user_gpio_oeb )
+ ROUTED met2 ( 297840 3499275 ) ( 297840 3511670 )
NEW met3 ( 297840 3511670 ) ( 322080 3511670 0 )
NEW met3 ( 211680 3466530 0 ) ( 211680 3467270 )
NEW met3 ( 211680 3467270 ) ( 212400 3467270 )
NEW met2 ( 212400 3467270 ) ( 212400 3499275 )
NEW met1 ( 212400 3499275 ) ( 297840 3499275 )
NEW met1 ( 297840 3499275 ) M1M2_PR
NEW met2 ( 297840 3511670 ) via2_FR
NEW met2 ( 212400 3467270 ) via2_FR
NEW met1 ( 212400 3499275 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[29\] ( mprj io_oeb[29] ) ( gpio_control_in\[29\] user_gpio_oeb )
+ ROUTED met3 ( 211680 3248970 ) ( 211680 3250450 0 )
NEW met3 ( 211680 3248970 ) ( 212400 3248970 )
NEW met2 ( 212400 3248785 ) ( 212400 3248970 )
NEW met1 ( 212400 3248785 ) ( 259440 3248785 )
NEW met2 ( 259440 3222515 ) ( 259440 3248785 )
NEW met2 ( 296400 3222515 ) ( 296400 3224550 )
NEW met3 ( 296400 3224550 ) ( 322080 3224550 0 )
NEW met1 ( 259440 3222515 ) ( 296400 3222515 )
NEW met2 ( 212400 3248970 ) via2_FR
NEW met1 ( 212400 3248785 ) M1M2_PR
NEW met1 ( 259440 3248785 ) M1M2_PR
NEW met1 ( 259440 3222515 ) M1M2_PR
NEW met1 ( 296400 3222515 ) M1M2_PR
NEW met2 ( 296400 3224550 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[2\] ( mprj io_oeb[2] ) ( gpio_control_in\[2\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 2067930 0 ) ( 3269520 2067930 )
NEW met2 ( 3269520 2059235 ) ( 3269520 2067930 )
NEW met1 ( 3269520 2059235 ) ( 3348240 2059235 )
NEW met1 ( 3348240 1144595 ) ( 3373680 1144595 )
NEW met2 ( 3373680 1144410 ) ( 3373680 1144595 )
NEW met3 ( 3373680 1144410 ) ( 3373920 1144410 )
NEW met3 ( 3373920 1143300 0 ) ( 3373920 1144410 )
NEW met2 ( 3348240 1144595 ) ( 3348240 2059235 )
NEW met2 ( 3269520 2067930 ) via2_FR
NEW met1 ( 3269520 2059235 ) M1M2_PR
NEW met1 ( 3348240 2059235 ) M1M2_PR
NEW met1 ( 3348240 1144595 ) M1M2_PR
NEW met1 ( 3373680 1144595 ) M1M2_PR
NEW met2 ( 3373680 1144410 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[30\] ( mprj io_oeb[30] ) ( gpio_control_in\[30\] user_gpio_oeb )
+ ROUTED met2 ( 269520 2937245 ) ( 269520 3034185 )
NEW met2 ( 291120 2937245 ) ( 291120 2937430 )
NEW met3 ( 291120 2937430 ) ( 322080 2937430 0 )
NEW met1 ( 269520 2937245 ) ( 291120 2937245 )
NEW met3 ( 211680 3034370 0 ) ( 213840 3034370 )
NEW met2 ( 213840 3034185 ) ( 213840 3034370 )
NEW met1 ( 213840 3034185 ) ( 269520 3034185 )
NEW met1 ( 269520 3034185 ) M1M2_PR
NEW met1 ( 269520 2937245 ) M1M2_PR
NEW met1 ( 291120 2937245 ) M1M2_PR
NEW met2 ( 291120 2937430 ) via2_FR
NEW met2 ( 213840 3034370 ) via2_FR
NEW met1 ( 213840 3034185 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[31\] ( mprj io_oeb[31] ) ( gpio_control_in\[31\] user_gpio_oeb )
+ ROUTED met1 ( 210960 2817365 ) ( 244560 2817365 )
NEW met2 ( 210960 2817365 ) ( 210960 2817550 )
NEW met3 ( 210720 2817550 ) ( 210960 2817550 )
NEW met3 ( 210720 2817550 ) ( 210720 2818290 0 )
NEW met2 ( 244560 2723385 ) ( 244560 2817365 )
NEW met2 ( 297840 2722090 ) ( 297840 2723385 )
NEW met3 ( 297840 2722090 ) ( 322080 2722090 0 )
NEW met1 ( 244560 2723385 ) ( 297840 2723385 )
NEW met1 ( 244560 2817365 ) M1M2_PR
NEW met1 ( 210960 2817365 ) M1M2_PR
NEW met2 ( 210960 2817550 ) via2_FR
NEW met1 ( 244560 2723385 ) M1M2_PR
NEW met1 ( 297840 2723385 ) M1M2_PR
NEW met2 ( 297840 2722090 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[32\] ( mprj io_oeb[32] ) ( gpio_control_in\[32\] user_gpio_oeb )
+ ROUTED met2 ( 238320 2181335 ) ( 238320 2505825 )
NEW met2 ( 297840 2505825 ) ( 297840 2506010 )
NEW met3 ( 297840 2506010 ) ( 322080 2506010 0 )
NEW met1 ( 238320 2505825 ) ( 297840 2505825 )
NEW met3 ( 211680 2180410 0 ) ( 211680 2181150 )
NEW met3 ( 211440 2181150 ) ( 211680 2181150 )
NEW met2 ( 211440 2181150 ) ( 211440 2181335 )
NEW met1 ( 211440 2181335 ) ( 238320 2181335 )
NEW met1 ( 238320 2181335 ) M1M2_PR
NEW met1 ( 238320 2505825 ) M1M2_PR
NEW met1 ( 297840 2505825 ) M1M2_PR
NEW met2 ( 297840 2506010 ) via2_FR
NEW met2 ( 211440 2181150 ) via2_FR
NEW met1 ( 211440 2181335 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[33\] ( mprj io_oeb[33] ) ( gpio_control_in\[33\] user_gpio_oeb )
+ ROUTED met2 ( 252720 1964515 ) ( 252720 2289745 )
NEW met2 ( 297840 2289745 ) ( 297840 2290670 )
NEW met3 ( 297840 2290670 ) ( 322080 2290670 0 )
NEW met1 ( 252720 2289745 ) ( 297840 2289745 )
NEW met3 ( 211680 1964330 0 ) ( 213360 1964330 )
NEW met2 ( 213360 1964330 ) ( 213360 1964515 )
NEW met1 ( 213360 1964515 ) ( 252720 1964515 )
NEW met1 ( 252720 1964515 ) M1M2_PR
NEW met1 ( 252720 2289745 ) M1M2_PR
NEW met1 ( 297840 2289745 ) M1M2_PR
NEW met2 ( 297840 2290670 ) via2_FR
NEW met2 ( 213360 1964330 ) via2_FR
NEW met1 ( 213360 1964515 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[34\] ( mprj io_oeb[34] ) ( gpio_control_in\[34\] user_gpio_oeb )
+ ROUTED met2 ( 292080 2073665 ) ( 292080 2075330 )
NEW met3 ( 292080 2075330 ) ( 322080 2075330 0 )
NEW met3 ( 211680 1748250 0 ) ( 211680 1749730 )
NEW met3 ( 211680 1749730 ) ( 211920 1749730 )
NEW met2 ( 211920 1749730 ) ( 211920 1749915 )
NEW met1 ( 211920 1749915 ) ( 225360 1749915 )
NEW met2 ( 225360 1749915 ) ( 225360 2073665 )
NEW met1 ( 225360 2073665 ) ( 292080 2073665 )
NEW met1 ( 292080 2073665 ) M1M2_PR
NEW met2 ( 292080 2075330 ) via2_FR
NEW met2 ( 211920 1749730 ) via2_FR
NEW met1 ( 211920 1749915 ) M1M2_PR
NEW met1 ( 225360 1749915 ) M1M2_PR
NEW met1 ( 225360 2073665 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[35\] ( mprj io_oeb[35] ) ( gpio_control_in\[35\] user_gpio_oeb )
+ ROUTED met3 ( 211680 1532170 0 ) ( 211680 1533650 )
NEW met3 ( 211680 1533650 ) ( 211920 1533650 )
NEW met2 ( 211920 1533650 ) ( 211920 1533835 )
NEW met1 ( 211920 1533835 ) ( 238800 1533835 )
NEW met2 ( 238800 1533835 ) ( 238800 1857955 )
NEW met2 ( 297840 1857955 ) ( 297840 1859250 )
NEW met3 ( 297840 1859250 ) ( 322080 1859250 0 )
NEW met1 ( 238800 1857955 ) ( 297840 1857955 )
NEW met1 ( 238800 1857955 ) M1M2_PR
NEW met2 ( 211920 1533650 ) via2_FR
NEW met1 ( 211920 1533835 ) M1M2_PR
NEW met1 ( 238800 1533835 ) M1M2_PR
NEW met1 ( 297840 1857955 ) M1M2_PR
NEW met2 ( 297840 1859250 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[36\] ( mprj io_oeb[36] ) ( gpio_control_in\[36\] user_gpio_oeb )
+ ROUTED met2 ( 267120 1316275 ) ( 267120 1641875 )
NEW met2 ( 289200 1641875 ) ( 289200 1643910 )
NEW met3 ( 289200 1643910 ) ( 322080 1643910 0 )
NEW met1 ( 267120 1641875 ) ( 289200 1641875 )
NEW met3 ( 211680 1316090 0 ) ( 213360 1316090 )
NEW met2 ( 213360 1316090 ) ( 213360 1316275 )
NEW met1 ( 213360 1316275 ) ( 267120 1316275 )
NEW met1 ( 267120 1641875 ) M1M2_PR
NEW met1 ( 267120 1316275 ) M1M2_PR
NEW met1 ( 289200 1641875 ) M1M2_PR
NEW met2 ( 289200 1643910 ) via2_FR
NEW met2 ( 213360 1316090 ) via2_FR
NEW met1 ( 213360 1316275 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[37\] ( mprj io_oeb[37] ) ( gpio_control_in\[37\] user_gpio_oeb )
+ ROUTED met2 ( 290640 1425795 ) ( 290640 1429310 )
NEW met3 ( 290640 1429310 ) ( 322080 1429310 0 )
NEW met1 ( 225840 1425795 ) ( 290640 1425795 )
NEW met3 ( 211680 1100380 0 ) ( 211680 1101490 )
NEW met3 ( 211680 1101490 ) ( 211920 1101490 )
NEW met2 ( 211920 1101490 ) ( 211920 1101675 )
NEW met1 ( 211920 1101675 ) ( 225840 1101675 )
NEW met2 ( 225840 1101675 ) ( 225840 1425795 )
NEW met1 ( 290640 1425795 ) M1M2_PR
NEW met2 ( 290640 1429310 ) via2_FR
NEW met1 ( 225840 1425795 ) M1M2_PR
NEW met2 ( 211920 1101490 ) via2_FR
NEW met1 ( 211920 1101675 ) M1M2_PR
NEW met1 ( 225840 1101675 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[3\] ( mprj io_oeb[3] ) ( gpio_control_in\[3\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 2289745 ) ( 3272400 2302510 )
NEW met3 ( 3251040 2302510 0 ) ( 3272400 2302510 )
NEW met1 ( 3305520 1370295 ) ( 3373680 1370295 )
NEW met2 ( 3373680 1370110 ) ( 3373680 1370295 )
NEW met3 ( 3373680 1370110 ) ( 3373920 1370110 )
NEW met3 ( 3373920 1369370 0 ) ( 3373920 1370110 )
NEW met1 ( 3272400 2289745 ) ( 3305520 2289745 )
NEW met2 ( 3305520 1370295 ) ( 3305520 2289745 )
NEW met1 ( 3272400 2289745 ) M1M2_PR
NEW met2 ( 3272400 2302510 ) via2_FR
NEW met1 ( 3305520 1370295 ) M1M2_PR
NEW met1 ( 3373680 1370295 ) M1M2_PR
NEW met2 ( 3373680 1370110 ) via2_FR
NEW met1 ( 3305520 2289745 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[4\] ( mprj io_oeb[4] ) ( gpio_control_in\[4\] user_gpio_oeb )
+ ROUTED met2 ( 3282000 2534685 ) ( 3282000 2537090 )
NEW met3 ( 3251040 2537090 0 ) ( 3282000 2537090 )
NEW met1 ( 3347760 1594515 ) ( 3372240 1594515 )
NEW met2 ( 3372240 1594330 ) ( 3372240 1594515 )
NEW met3 ( 3372240 1594330 ) ( 3373920 1594330 0 )
NEW met1 ( 3282000 2534685 ) ( 3347760 2534685 )
NEW met2 ( 3347760 1594515 ) ( 3347760 2534685 )
NEW met1 ( 3282000 2534685 ) M1M2_PR
NEW met2 ( 3282000 2537090 ) via2_FR
NEW met1 ( 3347760 1594515 ) M1M2_PR
NEW met1 ( 3372240 1594515 ) M1M2_PR
NEW met2 ( 3372240 1594330 ) via2_FR
NEW met1 ( 3347760 2534685 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[5\] ( mprj io_oeb[5] ) ( gpio_control_in\[5\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 2772410 0 ) ( 3272400 2772410 )
NEW met2 ( 3272400 2764825 ) ( 3272400 2772410 )
NEW met1 ( 3319920 1819475 ) ( 3372240 1819475 )
NEW met2 ( 3372240 1819290 ) ( 3372240 1819475 )
NEW met3 ( 3372240 1819290 ) ( 3373920 1819290 0 )
NEW met1 ( 3272400 2764825 ) ( 3319920 2764825 )
NEW met2 ( 3319920 1819475 ) ( 3319920 2764825 )
NEW met2 ( 3272400 2772410 ) via2_FR
NEW met1 ( 3272400 2764825 ) M1M2_PR
NEW met1 ( 3319920 1819475 ) M1M2_PR
NEW met1 ( 3372240 1819475 ) M1M2_PR
NEW met2 ( 3372240 1819290 ) via2_FR
NEW met1 ( 3319920 2764825 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[6\] ( mprj io_oeb[6] ) ( gpio_control_in\[6\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3006990 0 ) ( 3272400 3006990 )
NEW met2 ( 3272400 2995335 ) ( 3272400 3006990 )
NEW met1 ( 3334320 2045915 ) ( 3372240 2045915 )
NEW met2 ( 3372240 2045360 ) ( 3372240 2045915 )
NEW met3 ( 3372240 2045360 ) ( 3373920 2045360 0 )
NEW met1 ( 3272400 2995335 ) ( 3334320 2995335 )
NEW met2 ( 3334320 2045915 ) ( 3334320 2995335 )
NEW met2 ( 3272400 3006990 ) via2_FR
NEW met1 ( 3272400 2995335 ) M1M2_PR
NEW met1 ( 3334320 2045915 ) M1M2_PR
NEW met1 ( 3372240 2045915 ) M1M2_PR
NEW met2 ( 3372240 2045360 ) via2_FR
NEW met1 ( 3334320 2995335 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[7\] ( mprj io_oeb[7] ) ( gpio_control_in\[7\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3241570 0 ) ( 3271440 3241570 )
NEW met2 ( 3271440 3240275 ) ( 3271440 3241570 )
NEW met1 ( 3363120 2486585 ) ( 3372240 2486585 )
NEW met2 ( 3372240 2486400 ) ( 3372240 2486585 )
NEW met3 ( 3372240 2486400 ) ( 3373920 2486400 0 )
NEW met1 ( 3271440 3240275 ) ( 3363120 3240275 )
NEW met2 ( 3363120 2486585 ) ( 3363120 3240275 )
NEW met2 ( 3271440 3241570 ) via2_FR
NEW met1 ( 3271440 3240275 ) M1M2_PR
NEW met1 ( 3363120 2486585 ) M1M2_PR
NEW met1 ( 3372240 2486585 ) M1M2_PR
NEW met2 ( 3372240 2486400 ) via2_FR
NEW met1 ( 3363120 3240275 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[8\] ( mprj io_oeb[8] ) ( gpio_control_in\[8\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3476150 0 ) ( 3272400 3476150 )
NEW met2 ( 3272400 3470415 ) ( 3272400 3476150 )
NEW met1 ( 3272400 3470415 ) ( 3349200 3470415 )
NEW met2 ( 3349200 2707105 ) ( 3349200 3470415 )
NEW met2 ( 3373200 2707105 ) ( 3373200 2707290 )
NEW met3 ( 3373200 2707290 ) ( 3373920 2707290 )
NEW met3 ( 3373920 2706550 0 ) ( 3373920 2707290 )
NEW met1 ( 3349200 2707105 ) ( 3373200 2707105 )
NEW met2 ( 3272400 3476150 ) via2_FR
NEW met1 ( 3272400 3470415 ) M1M2_PR
NEW met1 ( 3349200 3470415 ) M1M2_PR
NEW met1 ( 3349200 2707105 ) M1M2_PR
NEW met1 ( 3373200 2707105 ) M1M2_PR
NEW met2 ( 3373200 2707290 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[9\] ( mprj io_oeb[9] ) ( gpio_control_in\[9\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3710730 0 ) ( 3270000 3710730 )
NEW met2 ( 3270000 3700925 ) ( 3270000 3710730 )
NEW met1 ( 3270000 3700925 ) ( 3362160 3700925 )
NEW met1 ( 3362160 2937245 ) ( 3369360 2937245 )
NEW met2 ( 3369360 2931510 ) ( 3369360 2937245 )
NEW met3 ( 3369360 2931510 ) ( 3373920 2931510 0 )
NEW met2 ( 3362160 2937245 ) ( 3362160 3700925 )
NEW met2 ( 3270000 3710730 ) via2_FR
NEW met1 ( 3270000 3700925 ) M1M2_PR
NEW met1 ( 3362160 3700925 ) M1M2_PR
NEW met1 ( 3362160 2937245 ) M1M2_PR
NEW met1 ( 3369360 2937245 ) M1M2_PR
NEW met2 ( 3369360 2931510 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[0\] ( mprj io_out[0] ) ( gpio_control_bidir\[0\] user_gpio_out )
+ ROUTED met3 ( 3251040 1539570 0 ) ( 3276240 1539570 )
NEW met2 ( 3276240 692825 ) ( 3276240 1539570 )
NEW met2 ( 3373680 692825 ) ( 3373680 695230 )
NEW met3 ( 3373680 695230 ) ( 3373920 695230 )
NEW met3 ( 3373920 695230 ) ( 3373920 696710 0 )
NEW met1 ( 3276240 692825 ) ( 3373680 692825 )
NEW met1 ( 3276240 692825 ) M1M2_PR
NEW met2 ( 3276240 1539570 ) via2_FR
NEW met1 ( 3373680 692825 ) M1M2_PR
NEW met2 ( 3373680 695230 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[10\] ( mprj io_out[10] ) ( gpio_control_in\[10\] user_gpio_out )
+ ROUTED met3 ( 3251040 3886850 0 ) ( 3270480 3886850 )
NEW met2 ( 3270480 3873715 ) ( 3270480 3886850 )
NEW met1 ( 3270480 3873715 ) ( 3334800 3873715 )
NEW met2 ( 3334800 3162575 ) ( 3334800 3873715 )
NEW met2 ( 3372720 3162390 ) ( 3372720 3162575 )
NEW met3 ( 3372720 3162390 ) ( 3373920 3162390 )
NEW met3 ( 3373920 3161650 0 ) ( 3373920 3162390 )
NEW met1 ( 3334800 3162575 ) ( 3372720 3162575 )
NEW met2 ( 3270480 3886850 ) via2_FR
NEW met1 ( 3270480 3873715 ) M1M2_PR
NEW met1 ( 3334800 3873715 ) M1M2_PR
NEW met1 ( 3334800 3162575 ) M1M2_PR
NEW met1 ( 3372720 3162575 ) M1M2_PR
NEW met2 ( 3372720 3162390 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[11\] ( mprj io_out[11] ) ( gpio_control_in\[11\] user_gpio_out )
+ ROUTED met3 ( 3251040 4121430 0 ) ( 3270000 4121430 )
NEW met2 ( 3270000 4118655 ) ( 3270000 4121430 )
NEW met1 ( 3270000 4118655 ) ( 3362640 4118655 )
NEW met1 ( 3362640 3387535 ) ( 3373680 3387535 )
NEW met2 ( 3373680 3387350 ) ( 3373680 3387535 )
NEW met3 ( 3373680 3387350 ) ( 3373920 3387350 )
NEW met3 ( 3373920 3386610 0 ) ( 3373920 3387350 )
NEW met2 ( 3362640 3387535 ) ( 3362640 4118655 )
NEW met2 ( 3270000 4121430 ) via2_FR
NEW met1 ( 3270000 4118655 ) M1M2_PR
NEW met1 ( 3362640 4118655 ) M1M2_PR
NEW met1 ( 3362640 3387535 ) M1M2_PR
NEW met1 ( 3373680 3387535 ) M1M2_PR
NEW met2 ( 3373680 3387350 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[12\] ( mprj io_out[12] ) ( gpio_control_in\[12\] user_gpio_out )
+ ROUTED met3 ( 3251040 4356010 0 ) ( 3272400 4356010 )
NEW met2 ( 3272400 4349165 ) ( 3272400 4356010 )
NEW met1 ( 3318960 3612495 ) ( 3372240 3612495 )
NEW met2 ( 3372240 3612310 ) ( 3372240 3612495 )
NEW met3 ( 3372240 3612310 ) ( 3373920 3612310 0 )
NEW met1 ( 3272400 4349165 ) ( 3318960 4349165 )
NEW met2 ( 3318960 3612495 ) ( 3318960 4349165 )
NEW met2 ( 3272400 4356010 ) via2_FR
NEW met1 ( 3272400 4349165 ) M1M2_PR
NEW met1 ( 3318960 3612495 ) M1M2_PR
NEW met1 ( 3372240 3612495 ) M1M2_PR
NEW met2 ( 3372240 3612310 ) via2_FR
NEW met1 ( 3318960 4349165 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[13\] ( mprj io_out[13] ) ( gpio_control_in\[13\] user_gpio_out )
+ ROUTED met3 ( 3251040 4590590 0 ) ( 3270000 4590590 )
NEW met2 ( 3270000 4579305 ) ( 3270000 4590590 )
NEW met1 ( 3270000 4579305 ) ( 3305040 4579305 )
NEW met2 ( 3305040 3837455 ) ( 3305040 4579305 )
NEW met2 ( 3372240 3837270 ) ( 3372240 3837455 )
NEW met3 ( 3372240 3837270 ) ( 3373920 3837270 0 )
NEW met1 ( 3305040 3837455 ) ( 3372240 3837455 )
NEW met2 ( 3270000 4590590 ) via2_FR
NEW met1 ( 3270000 4579305 ) M1M2_PR
NEW met1 ( 3305040 3837455 ) M1M2_PR
NEW met1 ( 3305040 4579305 ) M1M2_PR
NEW met1 ( 3372240 3837455 ) M1M2_PR
NEW met2 ( 3372240 3837270 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[14\] ( mprj io_out[14] ) ( gpio_control_in\[14\] user_gpio_out )
+ ROUTED met3 ( 3251040 4825170 0 ) ( 3276240 4825170 )
NEW met2 ( 3276240 4724345 ) ( 3276240 4825170 )
NEW met2 ( 3372720 4724345 ) ( 3372720 4726750 )
NEW met3 ( 3372720 4726750 ) ( 3373920 4726750 )
NEW met3 ( 3373920 4726750 ) ( 3373920 4729710 0 )
NEW met1 ( 3276240 4724345 ) ( 3372720 4724345 )
NEW met2 ( 3276240 4825170 ) via2_FR
NEW met1 ( 3276240 4724345 ) M1M2_PR
NEW met1 ( 3372720 4724345 ) M1M2_PR
NEW met2 ( 3372720 4726750 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[15\] ( mprj io_out[15] ) ( gpio_control_in\[15\] user_gpio_out )
+ ROUTED met2 ( 3043920 4917670 0 ) ( 3043920 4947085 )
NEW met1 ( 2865840 4947085 ) ( 3043920 4947085 )
NEW met3 ( 2837280 4979090 0 ) ( 2865840 4979090 )
NEW met2 ( 2865840 4947085 ) ( 2865840 4979090 )
NEW met1 ( 2865840 4947085 ) M1M2_PR
NEW met1 ( 3043920 4947085 ) M1M2_PR
NEW met2 ( 2865840 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[16\] ( mprj io_out[16] ) ( gpio_control_in\[16\] user_gpio_out )
+ ROUTED met1 ( 2692560 4946715 ) ( 2692560 4947455 )
NEW met1 ( 2607120 4947455 ) ( 2692560 4947455 )
NEW met2 ( 2718960 4917670 0 ) ( 2718960 4946715 )
NEW met1 ( 2692560 4946715 ) ( 2718960 4946715 )
NEW met3 ( 2580480 4979830 0 ) ( 2607120 4979830 )
NEW met2 ( 2607120 4947455 ) ( 2607120 4979830 )
NEW met1 ( 2607120 4947455 ) M1M2_PR
NEW met1 ( 2718960 4946715 ) M1M2_PR
NEW met2 ( 2607120 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[17\] ( mprj io_out[17] ) ( gpio_control_in\[17\] user_gpio_out )
+ ROUTED met2 ( 2394960 4917670 0 ) ( 2394960 4946715 )
NEW met1 ( 2231280 4946715 ) ( 2394960 4946715 )
NEW met3 ( 2195520 4979830 0 ) ( 2231280 4979830 )
NEW met2 ( 2231280 4946715 ) ( 2231280 4979830 )
NEW met1 ( 2231280 4946715 ) M1M2_PR
NEW met1 ( 2394960 4946715 ) M1M2_PR
NEW met2 ( 2231280 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[18\] ( mprj io_out[18] ) ( gpio_control_in\[18\] user_gpio_out )
+ ROUTED met2 ( 2070480 4917670 0 ) ( 2070480 4946715 )
NEW met1 ( 1886640 4946715 ) ( 2070480 4946715 )
NEW met3 ( 1858560 4979830 0 ) ( 1886640 4979830 )
NEW met2 ( 1886640 4946715 ) ( 1886640 4979830 )
NEW met1 ( 2070480 4946715 ) M1M2_PR
NEW met1 ( 1886640 4946715 ) M1M2_PR
NEW met2 ( 1886640 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[19\] ( mprj io_out[19] ) ( gpio_control_in\[19\] user_gpio_out )
+ ROUTED met2 ( 1746000 4917670 0 ) ( 1746000 4946715 )
NEW met1 ( 1641360 4946715 ) ( 1746000 4946715 )
NEW met2 ( 1641360 4946715 ) ( 1641360 4979830 )
NEW met3 ( 1606560 4979830 0 ) ( 1641360 4979830 )
NEW met1 ( 1641360 4946715 ) M1M2_PR
NEW met1 ( 1746000 4946715 ) M1M2_PR
NEW met2 ( 1641360 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[1\] ( mprj io_out[1] ) ( gpio_control_bidir\[1\] user_gpio_out )
+ ROUTED met3 ( 3251040 1774150 0 ) ( 3276720 1774150 )
NEW met2 ( 3276720 921855 ) ( 3276720 1774150 )
NEW met2 ( 3372240 921855 ) ( 3372240 922410 )
NEW met3 ( 3372240 922410 ) ( 3373920 922410 0 )
NEW met1 ( 3276720 921855 ) ( 3372240 921855 )
NEW met1 ( 3276720 921855 ) M1M2_PR
NEW met2 ( 3276720 1774150 ) via2_FR
NEW met1 ( 3372240 921855 ) M1M2_PR
NEW met2 ( 3372240 922410 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[20\] ( mprj io_out[20] ) ( gpio_control_in\[20\] user_gpio_out )
+ ROUTED met2 ( 1421520 4917670 0 ) ( 1421520 4946715 )
NEW met2 ( 1368240 4946715 ) ( 1368240 4979090 )
NEW met3 ( 1348320 4979090 0 ) ( 1368240 4979090 )
NEW met1 ( 1368240 4946715 ) ( 1421520 4946715 )
NEW met1 ( 1421520 4946715 ) M1M2_PR
NEW met1 ( 1368240 4946715 ) M1M2_PR
NEW met2 ( 1368240 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[21\] ( mprj io_out[21] ) ( gpio_control_in\[21\] user_gpio_out )
+ ROUTED met2 ( 1097520 4917670 0 ) ( 1097520 4952635 )
NEW met1 ( 1097520 4952635 ) ( 1109040 4952635 )
NEW met3 ( 1091520 4979830 0 ) ( 1109040 4979830 )
NEW met2 ( 1109040 4952635 ) ( 1109040 4979830 )
NEW met1 ( 1097520 4952635 ) M1M2_PR
NEW met1 ( 1109040 4952635 ) M1M2_PR
NEW met2 ( 1109040 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[22\] ( mprj io_out[22] ) ( gpio_control_in\[22\] user_gpio_out )
+ ROUTED met2 ( 772560 4917670 0 ) ( 772560 4946715 )
NEW met1 ( 772560 4946715 ) ( 820080 4946715 )
NEW met1 ( 820080 4978535 ) ( 831120 4978535 )
NEW met2 ( 831120 4978535 ) ( 831120 4979090 )
NEW met3 ( 831120 4979090 ) ( 834720 4979090 0 )
NEW met2 ( 820080 4946715 ) ( 820080 4978535 )
NEW met1 ( 820080 4946715 ) M1M2_PR
NEW met1 ( 772560 4946715 ) M1M2_PR
NEW met1 ( 820080 4978535 ) M1M2_PR
NEW met1 ( 831120 4978535 ) M1M2_PR
NEW met2 ( 831120 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[23\] ( mprj io_out[23] ) ( gpio_control_in\[23\] user_gpio_out )
+ ROUTED met2 ( 448080 4917670 0 ) ( 448080 4952635 )
NEW met1 ( 448080 4952635 ) ( 468720 4952635 )
NEW met2 ( 468720 4952635 ) ( 468720 5027745 )
NEW met1 ( 568080 5027745 ) ( 568080 5028115 )
NEW met1 ( 568080 5028115 ) ( 578640 5028115 )
NEW met2 ( 578640 5027930 ) ( 578640 5028115 )
NEW met3 ( 577440 5027930 0 ) ( 578640 5027930 )
NEW met1 ( 468720 5027745 ) ( 568080 5027745 )
NEW met1 ( 468720 5027745 ) M1M2_PR
NEW met1 ( 448080 4952635 ) M1M2_PR
NEW met1 ( 468720 4952635 ) M1M2_PR
NEW met1 ( 578640 5028115 ) M1M2_PR
NEW met2 ( 578640 5027930 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[24\] ( mprj io_out[24] ) ( gpio_control_in\[24\] user_gpio_out )
+ ROUTED met2 ( 289200 4733410 ) ( 289200 4737295 )
NEW met3 ( 289200 4733410 ) ( 322080 4733410 0 )
NEW met3 ( 211680 4746730 ) ( 211680 4747470 0 )
NEW met3 ( 211680 4746730 ) ( 212400 4746730 )
NEW met2 ( 212400 4737295 ) ( 212400 4746730 )
NEW met1 ( 212400 4737295 ) ( 289200 4737295 )
NEW met1 ( 289200 4737295 ) M1M2_PR
NEW met2 ( 289200 4733410 ) via2_FR
NEW met2 ( 212400 4746730 ) via2_FR
NEW met1 ( 212400 4737295 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[25\] ( mprj io_out[25] ) ( gpio_control_in\[25\] user_gpio_out )
+ ROUTED met2 ( 266160 4119395 ) ( 266160 4435745 )
NEW met2 ( 297840 4435745 ) ( 297840 4445550 )
NEW met3 ( 297840 4445550 ) ( 322080 4445550 0 )
NEW met1 ( 266160 4435745 ) ( 297840 4435745 )
NEW met3 ( 210720 4118470 0 ) ( 210720 4119210 )
NEW met3 ( 210720 4119210 ) ( 210960 4119210 )
NEW met2 ( 210960 4119210 ) ( 210960 4119395 )
NEW met1 ( 210960 4119395 ) ( 266160 4119395 )
NEW met1 ( 266160 4435745 ) M1M2_PR
NEW met1 ( 266160 4119395 ) M1M2_PR
NEW met1 ( 297840 4435745 ) M1M2_PR
NEW met2 ( 297840 4445550 ) via2_FR
NEW met2 ( 210960 4119210 ) via2_FR
NEW met1 ( 210960 4119395 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[26\] ( mprj io_out[26] ) ( gpio_control_in\[26\] user_gpio_out )
+ ROUTED met3 ( 211680 3902390 0 ) ( 213840 3902390 )
NEW met2 ( 213840 3902205 ) ( 213840 3902390 )
NEW met1 ( 213840 3902205 ) ( 238800 3902205 )
NEW met2 ( 238800 3902205 ) ( 238800 4147515 )
NEW met2 ( 297840 4147515 ) ( 297840 4158430 )
NEW met3 ( 297840 4158430 ) ( 322080 4158430 0 )
NEW met1 ( 238800 4147515 ) ( 297840 4147515 )
NEW met2 ( 213840 3902390 ) via2_FR
NEW met1 ( 213840 3902205 ) M1M2_PR
NEW met1 ( 238800 3902205 ) M1M2_PR
NEW met1 ( 238800 4147515 ) M1M2_PR
NEW met1 ( 297840 4147515 ) M1M2_PR
NEW met2 ( 297840 4158430 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[27\] ( mprj io_out[27] ) ( gpio_control_in\[27\] user_gpio_out )
+ ROUTED met2 ( 267600 3686125 ) ( 267600 3859285 )
NEW met2 ( 297840 3859285 ) ( 297840 3870570 )
NEW met3 ( 297840 3870570 ) ( 322080 3870570 0 )
NEW met1 ( 267600 3859285 ) ( 297840 3859285 )
NEW met3 ( 211680 3686310 0 ) ( 214320 3686310 )
NEW met2 ( 214320 3686125 ) ( 214320 3686310 )
NEW met1 ( 214320 3686125 ) ( 267600 3686125 )
NEW met1 ( 267600 3686125 ) M1M2_PR
NEW met1 ( 267600 3859285 ) M1M2_PR
NEW met1 ( 297840 3859285 ) M1M2_PR
NEW met2 ( 297840 3870570 ) via2_FR
NEW met2 ( 214320 3686310 ) via2_FR
NEW met1 ( 214320 3686125 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[28\] ( mprj io_out[28] ) ( gpio_control_in\[28\] user_gpio_out )
+ ROUTED met2 ( 252240 3470045 ) ( 252240 3571425 )
NEW met2 ( 297840 3571425 ) ( 297840 3583450 )
NEW met3 ( 297840 3583450 ) ( 322080 3583450 0 )
NEW met1 ( 252240 3571425 ) ( 297840 3571425 )
NEW met3 ( 211680 3470230 0 ) ( 214320 3470230 )
NEW met2 ( 214320 3470045 ) ( 214320 3470230 )
NEW met1 ( 214320 3470045 ) ( 252240 3470045 )
NEW met1 ( 252240 3470045 ) M1M2_PR
NEW met1 ( 252240 3571425 ) M1M2_PR
NEW met1 ( 297840 3571425 ) M1M2_PR
NEW met2 ( 297840 3583450 ) via2_FR
NEW met2 ( 214320 3470230 ) via2_FR
NEW met1 ( 214320 3470045 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[29\] ( mprj io_out[29] ) ( gpio_control_in\[29\] user_gpio_out )
+ ROUTED met2 ( 297840 3283565 ) ( 297840 3296330 )
NEW met3 ( 297840 3296330 ) ( 322080 3296330 0 )
NEW met3 ( 211680 3255630 ) ( 212400 3255630 )
NEW met2 ( 212400 3255630 ) ( 212400 3283565 )
NEW met3 ( 211680 3254150 0 ) ( 211680 3255630 )
NEW met1 ( 212400 3283565 ) ( 297840 3283565 )
NEW met1 ( 297840 3283565 ) M1M2_PR
NEW met2 ( 297840 3296330 ) via2_FR
NEW met2 ( 212400 3255630 ) via2_FR
NEW met1 ( 212400 3283565 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[2\] ( mprj io_out[2] ) ( gpio_control_in\[2\] user_gpio_out )
+ ROUTED met2 ( 3272400 2001885 ) ( 3272400 2008730 )
NEW met3 ( 3251040 2008730 0 ) ( 3272400 2008730 )
NEW met1 ( 3272400 2001885 ) ( 3333360 2001885 )
NEW met1 ( 3333360 1147555 ) ( 3372240 1147555 )
NEW met2 ( 3372240 1147370 ) ( 3372240 1147555 )
NEW met3 ( 3372240 1147370 ) ( 3373920 1147370 0 )
NEW met2 ( 3333360 1147555 ) ( 3333360 2001885 )
NEW met1 ( 3272400 2001885 ) M1M2_PR
NEW met2 ( 3272400 2008730 ) via2_FR
NEW met1 ( 3333360 2001885 ) M1M2_PR
NEW met1 ( 3333360 1147555 ) M1M2_PR
NEW met1 ( 3372240 1147555 ) M1M2_PR
NEW met2 ( 3372240 1147370 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[30\] ( mprj io_out[30] ) ( gpio_control_in\[30\] user_gpio_out )
+ ROUTED met2 ( 259440 3009395 ) ( 259440 3038625 )
NEW met2 ( 297840 3009210 ) ( 297840 3009395 )
NEW met3 ( 297840 3009210 ) ( 322080 3009210 0 )
NEW met1 ( 259440 3009395 ) ( 297840 3009395 )
NEW met3 ( 211680 3038440 0 ) ( 213840 3038440 )
NEW met2 ( 213840 3038440 ) ( 213840 3038625 )
NEW met1 ( 213840 3038625 ) ( 259440 3038625 )
NEW met1 ( 259440 3038625 ) M1M2_PR
NEW met1 ( 259440 3009395 ) M1M2_PR
NEW met1 ( 297840 3009395 ) M1M2_PR
NEW met2 ( 297840 3009210 ) via2_FR
NEW met2 ( 213840 3038440 ) via2_FR
NEW met1 ( 213840 3038625 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[31\] ( mprj io_out[31] ) ( gpio_control_in\[31\] user_gpio_out )
+ ROUTED met2 ( 259440 2807745 ) ( 259440 2822545 )
NEW met3 ( 172320 2822360 0 ) ( 172320 2823470 )
NEW met3 ( 172320 2823470 ) ( 172560 2823470 )
NEW met2 ( 172560 2822545 ) ( 172560 2823470 )
NEW met1 ( 172560 2822545 ) ( 259440 2822545 )
NEW met2 ( 297360 2794610 ) ( 297360 2807745 )
NEW met3 ( 297360 2794610 ) ( 322080 2794610 0 )
NEW met1 ( 259440 2807745 ) ( 297360 2807745 )
NEW met1 ( 259440 2822545 ) M1M2_PR
NEW met1 ( 259440 2807745 ) M1M2_PR
NEW met2 ( 172560 2823470 ) via2_FR
NEW met1 ( 172560 2822545 ) M1M2_PR
NEW met1 ( 297360 2807745 ) M1M2_PR
NEW met2 ( 297360 2794610 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[32\] ( mprj io_out[32] ) ( gpio_control_in\[32\] user_gpio_out )
+ ROUTED met2 ( 269520 2185775 ) ( 269520 2577605 )
NEW met2 ( 297840 2577605 ) ( 297840 2578530 )
NEW met3 ( 297840 2578530 ) ( 322080 2578530 0 )
NEW met1 ( 269520 2577605 ) ( 297840 2577605 )
NEW met3 ( 211680 2184480 0 ) ( 211680 2185590 )
NEW met3 ( 211440 2185590 ) ( 211680 2185590 )
NEW met2 ( 211440 2185590 ) ( 211440 2185775 )
NEW met1 ( 211440 2185775 ) ( 269520 2185775 )
NEW met1 ( 269520 2185775 ) M1M2_PR
NEW met1 ( 269520 2577605 ) M1M2_PR
NEW met1 ( 297840 2577605 ) M1M2_PR
NEW met2 ( 297840 2578530 ) via2_FR
NEW met2 ( 211440 2185590 ) via2_FR
NEW met1 ( 211440 2185775 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[33\] ( mprj io_out[33] ) ( gpio_control_in\[33\] user_gpio_out )
+ ROUTED met2 ( 297840 2361895 ) ( 297840 2362450 )
NEW met3 ( 297840 2362450 ) ( 322080 2362450 0 )
NEW met3 ( 211680 1968400 0 ) ( 211680 1969510 )
NEW met3 ( 211680 1969510 ) ( 211920 1969510 )
NEW met2 ( 211920 1969510 ) ( 211920 1969695 )
NEW met1 ( 211920 1969695 ) ( 224400 1969695 )
NEW met1 ( 224400 2361895 ) ( 297840 2361895 )
NEW met2 ( 224400 1969695 ) ( 224400 2361895 )
NEW met1 ( 297840 2361895 ) M1M2_PR
NEW met2 ( 297840 2362450 ) via2_FR
NEW met2 ( 211920 1969510 ) via2_FR
NEW met1 ( 211920 1969695 ) M1M2_PR
NEW met1 ( 224400 1969695 ) M1M2_PR
NEW met1 ( 224400 2361895 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[34\] ( mprj io_out[34] ) ( gpio_control_in\[34\] user_gpio_out )
+ ROUTED met2 ( 238320 1753615 ) ( 238320 2145815 )
NEW met2 ( 297840 2145815 ) ( 297840 2147110 )
NEW met3 ( 297840 2147110 ) ( 322080 2147110 0 )
NEW met1 ( 238320 2145815 ) ( 297840 2145815 )
NEW met3 ( 211680 1752690 0 ) ( 211680 1753430 )
NEW met3 ( 211680 1753430 ) ( 211920 1753430 )
NEW met2 ( 211920 1753430 ) ( 211920 1753615 )
NEW met1 ( 211920 1753615 ) ( 238320 1753615 )
NEW met1 ( 238320 1753615 ) M1M2_PR
NEW met1 ( 238320 2145815 ) M1M2_PR
NEW met1 ( 297840 2145815 ) M1M2_PR
NEW met2 ( 297840 2147110 ) via2_FR
NEW met2 ( 211920 1753430 ) via2_FR
NEW met1 ( 211920 1753615 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[35\] ( mprj io_out[35] ) ( gpio_control_in\[35\] user_gpio_out )
+ ROUTED met3 ( 211680 1536610 0 ) ( 211680 1537350 )
NEW met3 ( 211680 1537350 ) ( 211920 1537350 )
NEW met2 ( 211920 1537350 ) ( 211920 1537535 )
NEW met1 ( 211920 1537535 ) ( 224880 1537535 )
NEW met2 ( 296400 1929735 ) ( 296400 1931770 )
NEW met3 ( 296400 1931770 ) ( 322080 1931770 0 )
NEW met2 ( 224880 1537535 ) ( 224880 1929735 )
NEW met1 ( 224880 1929735 ) ( 296400 1929735 )
NEW met2 ( 211920 1537350 ) via2_FR
NEW met1 ( 211920 1537535 ) M1M2_PR
NEW met1 ( 224880 1537535 ) M1M2_PR
NEW met1 ( 296400 1929735 ) M1M2_PR
NEW met2 ( 296400 1931770 ) via2_FR
NEW met1 ( 224880 1929735 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[36\] ( mprj io_out[36] ) ( gpio_control_in\[36\] user_gpio_out )
+ ROUTED met2 ( 252720 1321455 ) ( 252720 1714025 )
NEW met2 ( 292080 1714025 ) ( 292080 1715690 )
NEW met3 ( 292080 1715690 ) ( 322080 1715690 0 )
NEW met1 ( 252720 1714025 ) ( 292080 1714025 )
NEW met3 ( 211680 1320530 0 ) ( 211680 1321270 )
NEW met3 ( 211440 1321270 ) ( 211680 1321270 )
NEW met2 ( 211440 1321270 ) ( 211440 1321455 )
NEW met1 ( 211440 1321455 ) ( 252720 1321455 )
NEW met1 ( 252720 1321455 ) M1M2_PR
NEW met1 ( 252720 1714025 ) M1M2_PR
NEW met1 ( 292080 1714025 ) M1M2_PR
NEW met2 ( 292080 1715690 ) via2_FR
NEW met2 ( 211440 1321270 ) via2_FR
NEW met1 ( 211440 1321455 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[37\] ( mprj io_out[37] ) ( gpio_control_in\[37\] user_gpio_out )
+ ROUTED met2 ( 297360 1497945 ) ( 297360 1500350 )
NEW met3 ( 297360 1500350 ) ( 322080 1500350 0 )
NEW met1 ( 224880 1497945 ) ( 297360 1497945 )
NEW met3 ( 211680 1104450 0 ) ( 211680 1105190 )
NEW met3 ( 211680 1105190 ) ( 211920 1105190 )
NEW met2 ( 211920 1105190 ) ( 211920 1105375 )
NEW met1 ( 211920 1105375 ) ( 224880 1105375 )
NEW met2 ( 224880 1105375 ) ( 224880 1497945 )
NEW met1 ( 224880 1497945 ) M1M2_PR
NEW met1 ( 297360 1497945 ) M1M2_PR
NEW met2 ( 297360 1500350 ) via2_FR
NEW met2 ( 211920 1105190 ) via2_FR
NEW met1 ( 211920 1105375 ) M1M2_PR
NEW met1 ( 224880 1105375 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[3\] ( mprj io_out[3] ) ( gpio_control_in\[3\] user_gpio_out )
+ ROUTED met3 ( 3251040 2244050 0 ) ( 3271920 2244050 )
NEW met2 ( 3271920 2232025 ) ( 3271920 2244050 )
NEW met1 ( 3271920 2232025 ) ( 3319440 2232025 )
NEW met1 ( 3319440 1374735 ) ( 3373680 1374735 )
NEW met2 ( 3373680 1374550 ) ( 3373680 1374735 )
NEW met3 ( 3373680 1374550 ) ( 3373920 1374550 )
NEW met3 ( 3373920 1373440 0 ) ( 3373920 1374550 )
NEW met2 ( 3319440 1374735 ) ( 3319440 2232025 )
NEW met2 ( 3271920 2244050 ) via2_FR
NEW met1 ( 3271920 2232025 ) M1M2_PR
NEW met1 ( 3319440 2232025 ) M1M2_PR
NEW met1 ( 3319440 1374735 ) M1M2_PR
NEW met1 ( 3373680 1374735 ) M1M2_PR
NEW met2 ( 3373680 1374550 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[4\] ( mprj io_out[4] ) ( gpio_control_in\[4\] user_gpio_out )
+ ROUTED met3 ( 3251040 2478630 0 ) ( 3276240 2478630 )
NEW met2 ( 3276240 1598585 ) ( 3276240 2478630 )
NEW met2 ( 3372240 1598400 ) ( 3372240 1598585 )
NEW met3 ( 3372240 1598400 ) ( 3373920 1598400 0 )
NEW met1 ( 3276240 1598585 ) ( 3372240 1598585 )
NEW met1 ( 3276240 1598585 ) M1M2_PR
NEW met2 ( 3276240 2478630 ) via2_FR
NEW met1 ( 3372240 1598585 ) M1M2_PR
NEW met2 ( 3372240 1598400 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[5\] ( mprj io_out[5] ) ( gpio_control_in\[5\] user_gpio_out )
+ ROUTED met3 ( 3251040 2713210 0 ) ( 3270960 2713210 )
NEW met2 ( 3270960 2707845 ) ( 3270960 2713210 )
NEW met1 ( 3306000 1824655 ) ( 3373680 1824655 )
NEW met2 ( 3373680 1824470 ) ( 3373680 1824655 )
NEW met3 ( 3373680 1824470 ) ( 3373920 1824470 )
NEW met3 ( 3373920 1823360 0 ) ( 3373920 1824470 )
NEW met1 ( 3270960 2707845 ) ( 3306000 2707845 )
NEW met2 ( 3306000 1824655 ) ( 3306000 2707845 )
NEW met2 ( 3270960 2713210 ) via2_FR
NEW met1 ( 3270960 2707845 ) M1M2_PR
NEW met1 ( 3306000 1824655 ) M1M2_PR
NEW met1 ( 3373680 1824655 ) M1M2_PR
NEW met2 ( 3373680 1824470 ) via2_FR
NEW met1 ( 3306000 2707845 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[6\] ( mprj io_out[6] ) ( gpio_control_in\[6\] user_gpio_out )
+ ROUTED met3 ( 3251040 2947790 0 ) ( 3275760 2947790 )
NEW met2 ( 3275760 2045545 ) ( 3275760 2947790 )
NEW met2 ( 3373680 2045545 ) ( 3373680 2046470 )
NEW met3 ( 3373680 2046470 ) ( 3373920 2046470 )
NEW met3 ( 3373920 2046470 ) ( 3373920 2049430 0 )
NEW met1 ( 3275760 2045545 ) ( 3373680 2045545 )
NEW met1 ( 3275760 2045545 ) M1M2_PR
NEW met2 ( 3275760 2947790 ) via2_FR
NEW met1 ( 3373680 2045545 ) M1M2_PR
NEW met2 ( 3373680 2046470 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[7\] ( mprj io_out[7] ) ( gpio_control_in\[7\] user_gpio_out )
+ ROUTED met3 ( 3251040 3183110 0 ) ( 3276720 3183110 )
NEW met2 ( 3276720 2477705 ) ( 3276720 3183110 )
NEW met2 ( 3373680 2477705 ) ( 3373680 2488990 )
NEW met3 ( 3373680 2488990 ) ( 3373920 2488990 )
NEW met3 ( 3373920 2488990 ) ( 3373920 2490470 0 )
NEW met1 ( 3276720 2477705 ) ( 3373680 2477705 )
NEW met1 ( 3276720 2477705 ) M1M2_PR
NEW met2 ( 3276720 3183110 ) via2_FR
NEW met1 ( 3373680 2477705 ) M1M2_PR
NEW met2 ( 3373680 2488990 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[8\] ( mprj io_out[8] ) ( gpio_control_in\[8\] user_gpio_out )
+ ROUTED met3 ( 3251040 3417690 0 ) ( 3277200 3417690 )
NEW met2 ( 3277200 2707475 ) ( 3277200 3417690 )
NEW met2 ( 3371760 2707475 ) ( 3371760 2710250 )
NEW met3 ( 3371760 2710250 ) ( 3373920 2710250 0 )
NEW met1 ( 3277200 2707475 ) ( 3371760 2707475 )
NEW met2 ( 3277200 3417690 ) via2_FR
NEW met1 ( 3277200 2707475 ) M1M2_PR
NEW met1 ( 3371760 2707475 ) M1M2_PR
NEW met2 ( 3371760 2710250 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[9\] ( mprj io_out[9] ) ( gpio_control_in\[9\] user_gpio_out )
+ ROUTED met3 ( 3251040 3652270 0 ) ( 3276240 3652270 )
NEW met2 ( 3276240 2923925 ) ( 3276240 3652270 )
NEW met2 ( 3373200 2923925 ) ( 3373200 2933730 )
NEW met3 ( 3373200 2933730 ) ( 3373920 2933730 )
NEW met3 ( 3373920 2933730 ) ( 3373920 2935210 0 )
NEW met1 ( 3276240 2923925 ) ( 3373200 2923925 )
NEW met2 ( 3276240 3652270 ) via2_FR
NEW met1 ( 3276240 2923925 ) M1M2_PR
NEW met1 ( 3373200 2923925 ) M1M2_PR
NEW met2 ( 3373200 2933730 ) via2_FR
+ USE SIGNAL ;
END NETS
END DESIGN