blob: 7b1b9e125b90879ba21dca9f44e2aa3b938f9742 [file] [log] [blame]
test.elf: file format elf32-littleriscv
Disassembly of section .text:
00000000 <reset_vector>:
0: a041 j 80 <reset_hand>
...
00000004 <nmi_vector>:
4: a8f5 j 100 <ebreak_hand>
...
00000008 <tmr_vector>:
8: a8e5 j 100 <ebreak_hand>
...
0000000c <ecall_vector>:
c: a8d5 j 100 <ebreak_hand>
...
00000010 <ebreak_vector>:
10: a8c5 j 100 <ebreak_hand>
12: 0000 unimp
14: 0000 unimp
16: 0000 unimp
18: a001 j 18 <ebreak_vector+0x8>
1a: 0000 unimp
1c: a001 j 1c <ebreak_vector+0xc>
...
3e: 0000 unimp
40: 6cd0006f j f0c <IRQ>
44: 6c90006f j f0c <IRQ>
48: 6c50006f j f0c <IRQ>
4c: 6c10006f j f0c <IRQ>
50: 6bd0006f j f0c <IRQ>
54: 6b90006f j f0c <IRQ>
58: 6b50006f j f0c <IRQ>
5c: 6b10006f j f0c <IRQ>
60: 6ad0006f j f0c <IRQ>
64: 6a90006f j f0c <IRQ>
68: 6a50006f j f0c <IRQ>
...
00000080 <reset_hand>:
80: 4281 li t0,0
82: 30429073 csrw mie,t0
00000086 <_start>:
86: 4401 li s0,0
88: 4481 li s1,0
8a: 4901 li s2,0
8c: 4981 li s3,0
8e: 4a01 li s4,0
90: 4a81 li s5,0
92: 4b01 li s6,0
94: 4b81 li s7,0
96: 4c01 li s8,0
98: 4c81 li s9,0
9a: 4d01 li s10,0
9c: 4d81 li s11,0
9e: a48d j 300 <___App>
a0: 00000013 nop
a4: 00000013 nop
a8: 00000013 nop
ac: 00000013 nop
b0: 00000013 nop
b4: 00000013 nop
b8: 00000013 nop
bc: 00000013 nop
c0: 00000013 nop
c4: 00000013 nop
c8: 00000013 nop
cc: 00000013 nop
d0: 00000013 nop
d4: 00000013 nop
d8: 00000013 nop
dc: 00000013 nop
e0: 00000013 nop
e4: 00000013 nop
e8: 00000013 nop
ec: 00000013 nop
f0: 00000013 nop
f4: 00000013 nop
f8: 00000013 nop
fc: 00000013 nop
00000100 <ebreak_hand>:
100: 60d0006f j f0c <IRQ>
104: 00000013 nop
108: 00000013 nop
10c: 00000013 nop
110: 00000013 nop
114: 00000013 nop
118: 00000013 nop
11c: 00000013 nop
120: 00000013 nop
124: 00000013 nop
128: 00000013 nop
12c: 00000013 nop
130: 00000013 nop
134: 00000013 nop
138: 00000013 nop
13c: 00000013 nop
140: 00000013 nop
144: 00000013 nop
148: 00000013 nop
14c: 00000013 nop
150: 00000013 nop
154: 00000013 nop
158: 00000013 nop
15c: 00000013 nop
160: 00000013 nop
164: 00000013 nop
168: 00000013 nop
16c: 00000013 nop
170: 00000013 nop
174: 00000013 nop
178: 00000013 nop
17c: 00000013 nop
180: 00000013 nop
184: 00000013 nop
188: 00000013 nop
18c: 00000013 nop
190: 00000013 nop
194: 00000013 nop
198: 00000013 nop
19c: 00000013 nop
1a0: 00000013 nop
1a4: 00000013 nop
1a8: 00000013 nop
1ac: 00000013 nop
1b0: 00000013 nop
1b4: 00000013 nop
1b8: 00000013 nop
1bc: 00000013 nop
1c0: 00000013 nop
1c4: 00000013 nop
1c8: 00000013 nop
1cc: 00000013 nop
1d0: 00000013 nop
1d4: 00000013 nop
1d8: 00000013 nop
1dc: 00000013 nop
1e0: 00000013 nop
1e4: 00000013 nop
1e8: 00000013 nop
1ec: 00000013 nop
1f0: 00000013 nop
1f4: 00000013 nop
1f8: 00000013 nop
1fc: 00000013 nop
00000200 <__mulsi3>:
200: 490002b7 lui t0,0x49000
204: 00a2a023 sw a0,0(t0) # 49000000 <_fstack+0x28ffd004>
208: 00b2a223 sw a1,4(t0)
20c: 0002a503 lw a0,0(t0)
210: 8082 ret
212: 00000013 nop
216: 00000013 nop
21a: 00000013 nop
21e: 00000013 nop
222: 00000013 nop
226: 00000013 nop
22a: 00000013 nop
22e: 00000013 nop
232: 00000013 nop
236: 00000013 nop
23a: 00000013 nop
23e: 00000013 nop
242: 00000013 nop
246: 00000013 nop
24a: 00000013 nop
24e: 00000013 nop
252: 00000013 nop
256: 00000013 nop
25a: 00000013 nop
25e: 00000013 nop
262: 00000013 nop
266: 00000013 nop
26a: 00000013 nop
26e: 00000013 nop
272: 00000013 nop
276: 00000013 nop
27a: 00000013 nop
27e: 00000013 nop
282: 00000013 nop
286: 00000013 nop
28a: 00000013 nop
28e: 00000013 nop
292: 00000013 nop
296: 00000013 nop
29a: 00000013 nop
29e: 00000013 nop
2a2: 00000013 nop
2a6: 00000013 nop
2aa: 00000013 nop
2ae: 00000013 nop
2b2: 00000013 nop
2b6: 00000013 nop
2ba: 00000013 nop
2be: 00000013 nop
2c2: 00000013 nop
2c6: 00000013 nop
2ca: 00000013 nop
2ce: 00000013 nop
2d2: 00000013 nop
2d6: 00000013 nop
2da: 00000013 nop
2de: 00000013 nop
2e2: 00000013 nop
2e6: 00000013 nop
2ea: 00000013 nop
2ee: 00000013 nop
2f2: 00000013 nop
2f6: 00000013 nop
2fa: 00000013 nop
2fe: 0001 nop
00000300 <___App>:
300: 20000517 auipc a0,0x20000
304: d0050513 addi a0,a0,-768 # 20000000 <A>
308: 20000597 auipc a1,0x20000
30c: e8858593 addi a1,a1,-376 # 20000190 <__bss_end__>
310: 00b55763 bge a0,a1,31e <end_init_bss>
00000314 <loop_init_bss>:
314: 00052023 sw zero,0(a0)
318: 0511 addi a0,a0,4
31a: feb54de3 blt a0,a1,314 <loop_init_bss>
0000031e <end_init_bss>:
31e: 00001517 auipc a0,0x1
322: 05a50513 addi a0,a0,90 # 1378 <__idata__>
326: 20000597 auipc a1,0x20000
32a: e6a58593 addi a1,a1,-406 # 20000190 <__bss_end__>
32e: 20000617 auipc a2,0x20000
332: e6260613 addi a2,a2,-414 # 20000190 <__bss_end__>
336: 00c5d863 bge a1,a2,346 <end_init_data>
0000033a <loop_init_data>:
33a: 4114 lw a3,0(a0)
33c: c194 sw a3,0(a1)
33e: 0511 addi a0,a0,4
340: 0591 addi a1,a1,4
342: fec5cce3 blt a1,a2,33a <loop_init_data>
00000346 <end_init_data>:
346: 20003137 lui sp,0x20003
34a: ffc10113 addi sp,sp,-4 # 20002ffc <_fstack>
34e: 583000ef jal ra,10d0 <main>
352: 9002 ebreak
354: 00000013 nop
358: 00000013 nop
35c: 00000013 nop
360: 00000013 nop
364: 00000013 nop
368: 00000013 nop
36c: 00000013 nop
370: 00000013 nop
374: 00000013 nop
378: 00000013 nop
37c: 00000013 nop
380: 00000013 nop
384: 00000013 nop
388: 00000013 nop
38c: 00000013 nop
390: 00000013 nop
394: 00000013 nop
398: 00000013 nop
39c: 00000013 nop
3a0: 00000013 nop
3a4: 00000013 nop
3a8: 00000013 nop
3ac: 00000013 nop
3b0: 00000013 nop
3b4: 00000013 nop
3b8: 00000013 nop
3bc: 00000013 nop
3c0: 00000013 nop
3c4: 00000013 nop
3c8: 00000013 nop
3cc: 00000013 nop
3d0: 00000013 nop
3d4: 00000013 nop
3d8: 00000013 nop
3dc: 00000013 nop
3e0: 00000013 nop
3e4: 00000013 nop
3e8: 00000013 nop
3ec: 00000013 nop
3f0: 00000013 nop
3f4: 00000013 nop
3f8: 00000013 nop
3fc: 00000013 nop
...
00000500 <gpio_set_dir>:
500: 1101 addi sp,sp,-32
502: ce22 sw s0,28(sp)
504: 1000 addi s0,sp,32
506: fea42623 sw a0,-20(s0)
50a: 480007b7 lui a5,0x48000
50e: 07c1 addi a5,a5,16
510: fec42703 lw a4,-20(s0)
514: c398 sw a4,0(a5)
516: 0001 nop
518: 4472 lw s0,28(sp)
51a: 6105 addi sp,sp,32
51c: 8082 ret
51e: 0001 nop
00000520 <gpio_write>:
520: 1101 addi sp,sp,-32
522: ce22 sw s0,28(sp)
524: 1000 addi s0,sp,32
526: fea42623 sw a0,-20(s0)
52a: 480007b7 lui a5,0x48000
52e: 0791 addi a5,a5,4
530: fec42703 lw a4,-20(s0)
534: c398 sw a4,0(a5)
536: 0001 nop
538: 4472 lw s0,28(sp)
53a: 6105 addi sp,sp,32
53c: 8082 ret
53e: 0001 nop
00000540 <gpio_read>:
540: 1141 addi sp,sp,-16
542: c622 sw s0,12(sp)
544: 0800 addi s0,sp,16
546: 480007b7 lui a5,0x48000
54a: 439c lw a5,0(a5)
54c: 853e mv a0,a5
54e: 4432 lw s0,12(sp)
550: 0141 addi sp,sp,16
552: 8082 ret
00000554 <gpio_pull>:
554: 1101 addi sp,sp,-32
556: ce22 sw s0,28(sp)
558: 1000 addi s0,sp,32
55a: 87aa mv a5,a0
55c: fef407a3 sb a5,-17(s0)
560: 480007b7 lui a5,0x48000
564: 07b1 addi a5,a5,12
566: 0007a023 sw zero,0(a5) # 48000000 <_fstack+0x27ffd004>
56a: 480007b7 lui a5,0x48000
56e: 07a1 addi a5,a5,8
570: 0007a023 sw zero,0(a5) # 48000000 <_fstack+0x27ffd004>
574: fef44783 lbu a5,-17(s0)
578: e799 bnez a5,586 <gpio_pull+0x32>
57a: 480007b7 lui a5,0x48000
57e: 07b1 addi a5,a5,12
580: 4705 li a4,1
582: c398 sw a4,0(a5)
584: a031 j 590 <gpio_pull+0x3c>
586: 480007b7 lui a5,0x48000
58a: 07a1 addi a5,a5,8
58c: 4705 li a4,1
58e: c398 sw a4,0(a5)
590: 0001 nop
592: 4472 lw s0,28(sp)
594: 6105 addi sp,sp,32
596: 8082 ret
00000598 <gpio_im>:
598: 1101 addi sp,sp,-32
59a: ce22 sw s0,28(sp)
59c: 1000 addi s0,sp,32
59e: fea42623 sw a0,-20(s0)
5a2: 480007b7 lui a5,0x48000
5a6: 07d1 addi a5,a5,20
5a8: fec42703 lw a4,-20(s0)
5ac: c398 sw a4,0(a5)
5ae: 0001 nop
5b0: 4472 lw s0,28(sp)
5b2: 6105 addi sp,sp,32
5b4: 8082 ret
5b6: 0001 nop
000005b8 <uart_init>:
5b8: 1101 addi sp,sp,-32
5ba: ce22 sw s0,28(sp)
5bc: 1000 addi s0,sp,32
5be: fea42623 sw a0,-20(s0)
5c2: feb42423 sw a1,-24(s0)
5c6: fec42703 lw a4,-20(s0)
5ca: 4785 li a5,1
5cc: 00e7f463 bgeu a5,a4,5d4 <uart_init+0x1c>
5d0: 57fd li a5,-1
5d2: a0b9 j 620 <uart_init+0x68>
5d4: fec42703 lw a4,-20(s0)
5d8: 4785 li a5,1
5da: 02f71363 bne a4,a5,600 <uart_init+0x48>
5de: 401007b7 lui a5,0x40100
5e2: 07a1 addi a5,a5,8
5e4: fe842703 lw a4,-24(s0)
5e8: c398 sw a4,0(a5)
5ea: 401007b7 lui a5,0x40100
5ee: 07b1 addi a5,a5,12
5f0: 0007a023 sw zero,0(a5) # 40100000 <_fstack+0x200fd004>
5f4: 401007b7 lui a5,0x40100
5f8: 0791 addi a5,a5,4
5fa: 4705 li a4,1
5fc: c398 sw a4,0(a5)
5fe: a00d j 620 <uart_init+0x68>
600: 400007b7 lui a5,0x40000
604: 07a1 addi a5,a5,8
606: fe842703 lw a4,-24(s0)
60a: c398 sw a4,0(a5)
60c: 400007b7 lui a5,0x40000
610: 07b1 addi a5,a5,12
612: 0007a023 sw zero,0(a5) # 40000000 <_fstack+0x1fffd004>
616: 400007b7 lui a5,0x40000
61a: 0791 addi a5,a5,4
61c: 4705 li a4,1
61e: c398 sw a4,0(a5)
620: 853e mv a0,a5
622: 4472 lw s0,28(sp)
624: 6105 addi sp,sp,32
626: 8082 ret
00000628 <uart_puts>:
628: 7179 addi sp,sp,-48
62a: d622 sw s0,44(sp)
62c: 1800 addi s0,sp,48
62e: fca42e23 sw a0,-36(s0)
632: fcb42c23 sw a1,-40(s0)
636: fcc42a23 sw a2,-44(s0)
63a: fdc42703 lw a4,-36(s0)
63e: 4785 li a5,1
640: 00e7f463 bgeu a5,a4,648 <uart_puts+0x20>
644: 57fd li a5,-1
646: a061 j 6ce <uart_puts+0xa6>
648: fdc42783 lw a5,-36(s0)
64c: e3a9 bnez a5,68e <uart_puts+0x66>
64e: fe042623 sw zero,-20(s0)
652: a03d j 680 <uart_puts+0x58>
654: 0001 nop
656: 400007b7 lui a5,0x40000
65a: 0791 addi a5,a5,4
65c: 439c lw a5,0(a5)
65e: 8b85 andi a5,a5,1
660: fbfd bnez a5,656 <uart_puts+0x2e>
662: fec42783 lw a5,-20(s0)
666: fd842703 lw a4,-40(s0)
66a: 97ba add a5,a5,a4
66c: 0007c703 lbu a4,0(a5) # 40000000 <_fstack+0x1fffd004>
670: 400007b7 lui a5,0x40000
674: c398 sw a4,0(a5)
676: fec42783 lw a5,-20(s0)
67a: 0785 addi a5,a5,1
67c: fef42623 sw a5,-20(s0)
680: fec42783 lw a5,-20(s0)
684: fd442703 lw a4,-44(s0)
688: fce7e6e3 bltu a5,a4,654 <uart_puts+0x2c>
68c: a081 j 6cc <uart_puts+0xa4>
68e: fe042623 sw zero,-20(s0)
692: a03d j 6c0 <uart_puts+0x98>
694: 0001 nop
696: 401007b7 lui a5,0x40100
69a: 0791 addi a5,a5,4
69c: 439c lw a5,0(a5)
69e: 8b85 andi a5,a5,1
6a0: fbfd bnez a5,696 <uart_puts+0x6e>
6a2: fec42783 lw a5,-20(s0)
6a6: fd842703 lw a4,-40(s0)
6aa: 97ba add a5,a5,a4
6ac: 0007c703 lbu a4,0(a5) # 40100000 <_fstack+0x200fd004>
6b0: 401007b7 lui a5,0x40100
6b4: c398 sw a4,0(a5)
6b6: fec42783 lw a5,-20(s0)
6ba: 0785 addi a5,a5,1
6bc: fef42623 sw a5,-20(s0)
6c0: fec42783 lw a5,-20(s0)
6c4: fd442703 lw a4,-44(s0)
6c8: fce7e6e3 bltu a5,a4,694 <uart_puts+0x6c>
6cc: 4781 li a5,0
6ce: 853e mv a0,a5
6d0: 5432 lw s0,44(sp)
6d2: 6145 addi sp,sp,48
6d4: 8082 ret
6d6: 0001 nop
000006d8 <uart_gets>:
6d8: 7179 addi sp,sp,-48
6da: d622 sw s0,44(sp)
6dc: 1800 addi s0,sp,48
6de: fca42e23 sw a0,-36(s0)
6e2: fcb42c23 sw a1,-40(s0)
6e6: fcc42a23 sw a2,-44(s0)
6ea: fdc42703 lw a4,-36(s0)
6ee: 4785 li a5,1
6f0: 00e7f463 bgeu a5,a4,6f8 <uart_gets+0x20>
6f4: 57fd li a5,-1
6f6: a841 j 786 <uart_gets+0xae>
6f8: fdc42783 lw a5,-36(s0)
6fc: e3b9 bnez a5,742 <uart_gets+0x6a>
6fe: fe042623 sw zero,-20(s0)
702: a80d j 734 <uart_gets+0x5c>
704: 0001 nop
706: 400007b7 lui a5,0x40000
70a: 0791 addi a5,a5,4
70c: 439c lw a5,0(a5)
70e: 8ba1 andi a5,a5,8
710: fbfd bnez a5,706 <uart_gets+0x2e>
712: 400007b7 lui a5,0x40000
716: 4394 lw a3,0(a5)
718: fec42783 lw a5,-20(s0)
71c: fd842703 lw a4,-40(s0)
720: 97ba add a5,a5,a4
722: 0ff6f713 andi a4,a3,255
726: 00e78023 sb a4,0(a5) # 40000000 <_fstack+0x1fffd004>
72a: fec42783 lw a5,-20(s0)
72e: 0785 addi a5,a5,1
730: fef42623 sw a5,-20(s0)
734: fec42783 lw a5,-20(s0)
738: fd442703 lw a4,-44(s0)
73c: fce7e4e3 bltu a5,a4,704 <uart_gets+0x2c>
740: a091 j 784 <uart_gets+0xac>
742: fe042623 sw zero,-20(s0)
746: a80d j 778 <uart_gets+0xa0>
748: 0001 nop
74a: 401007b7 lui a5,0x40100
74e: 0791 addi a5,a5,4
750: 439c lw a5,0(a5)
752: 8ba1 andi a5,a5,8
754: fbfd bnez a5,74a <uart_gets+0x72>
756: 401007b7 lui a5,0x40100
75a: 4394 lw a3,0(a5)
75c: fec42783 lw a5,-20(s0)
760: fd842703 lw a4,-40(s0)
764: 97ba add a5,a5,a4
766: 0ff6f713 andi a4,a3,255
76a: 00e78023 sb a4,0(a5) # 40100000 <_fstack+0x200fd004>
76e: fec42783 lw a5,-20(s0)
772: 0785 addi a5,a5,1
774: fef42623 sw a5,-20(s0)
778: fec42783 lw a5,-20(s0)
77c: fd442703 lw a4,-44(s0)
780: fce7e4e3 bltu a5,a4,748 <uart_gets+0x70>
784: 4781 li a5,0
786: 853e mv a0,a5
788: 5432 lw s0,44(sp)
78a: 6145 addi sp,sp,48
78c: 8082 ret
78e: 0001 nop
00000790 <spi_init>:
790: 7179 addi sp,sp,-48
792: d622 sw s0,44(sp)
794: 1800 addi s0,sp,48
796: fca42e23 sw a0,-36(s0)
79a: 87ae mv a5,a1
79c: 8736 mv a4,a3
79e: fcf40da3 sb a5,-37(s0)
7a2: 87b2 mv a5,a2
7a4: fcf40d23 sb a5,-38(s0)
7a8: 87ba mv a5,a4
7aa: fcf40ca3 sb a5,-39(s0)
7ae: fe042623 sw zero,-20(s0)
7b2: fdb44783 lbu a5,-37(s0)
7b6: fec42703 lw a4,-20(s0)
7ba: 8fd9 or a5,a5,a4
7bc: fef42623 sw a5,-20(s0)
7c0: fda44783 lbu a5,-38(s0)
7c4: 0786 slli a5,a5,0x1
7c6: 873e mv a4,a5
7c8: fec42783 lw a5,-20(s0)
7cc: 8fd9 or a5,a5,a4
7ce: fef42623 sw a5,-20(s0)
7d2: fd944783 lbu a5,-39(s0)
7d6: 078a slli a5,a5,0x2
7d8: fec42703 lw a4,-20(s0)
7dc: 8fd9 or a5,a5,a4
7de: fef42623 sw a5,-20(s0)
7e2: fdc42703 lw a4,-36(s0)
7e6: 4785 li a5,1
7e8: 00e7f463 bgeu a5,a4,7f0 <spi_init+0x60>
7ec: 57fd li a5,-1
7ee: a00d j 810 <spi_init+0x80>
7f0: fdc42783 lw a5,-36(s0)
7f4: eb81 bnez a5,804 <spi_init+0x74>
7f6: 402007b7 lui a5,0x40200
7fa: 07a1 addi a5,a5,8
7fc: fec42703 lw a4,-20(s0)
800: c398 sw a4,0(a5)
802: a039 j 810 <spi_init+0x80>
804: 403007b7 lui a5,0x40300
808: 07a1 addi a5,a5,8
80a: fec42703 lw a4,-20(s0)
80e: c398 sw a4,0(a5)
810: 853e mv a0,a5
812: 5432 lw s0,44(sp)
814: 6145 addi sp,sp,48
816: 8082 ret
00000818 <spi_status>:
818: 1101 addi sp,sp,-32
81a: ce22 sw s0,28(sp)
81c: 1000 addi s0,sp,32
81e: fea42623 sw a0,-20(s0)
822: fec42703 lw a4,-20(s0)
826: 4785 li a5,1
828: 00e7f463 bgeu a5,a4,830 <spi_status+0x18>
82c: 57fd li a5,-1
82e: a839 j 84c <spi_status+0x34>
830: fec42783 lw a5,-20(s0)
834: e799 bnez a5,842 <spi_status+0x2a>
836: 402007b7 lui a5,0x40200
83a: 07c1 addi a5,a5,16
83c: 439c lw a5,0(a5)
83e: 8b85 andi a5,a5,1
840: a031 j 84c <spi_status+0x34>
842: 403007b7 lui a5,0x40300
846: 07c1 addi a5,a5,16
848: 439c lw a5,0(a5)
84a: 8b85 andi a5,a5,1
84c: 853e mv a0,a5
84e: 4472 lw s0,28(sp)
850: 6105 addi sp,sp,32
852: 8082 ret
00000854 <spi_read>:
854: 1101 addi sp,sp,-32
856: ce22 sw s0,28(sp)
858: 1000 addi s0,sp,32
85a: fea42623 sw a0,-20(s0)
85e: fec42703 lw a4,-20(s0)
862: 4785 li a5,1
864: 00e7f563 bgeu a5,a4,86e <spi_read+0x1a>
868: 0ff00793 li a5,255
86c: a839 j 88a <spi_read+0x36>
86e: fec42783 lw a5,-20(s0)
872: e799 bnez a5,880 <spi_read+0x2c>
874: 402007b7 lui a5,0x40200
878: 439c lw a5,0(a5)
87a: 0ff7f793 andi a5,a5,255
87e: a031 j 88a <spi_read+0x36>
880: 403007b7 lui a5,0x40300
884: 439c lw a5,0(a5)
886: 0ff7f793 andi a5,a5,255
88a: 853e mv a0,a5
88c: 4472 lw s0,28(sp)
88e: 6105 addi sp,sp,32
890: 8082 ret
892: 0001 nop
00000894 <spi_write>:
894: 1101 addi sp,sp,-32
896: ce06 sw ra,28(sp)
898: cc22 sw s0,24(sp)
89a: 1000 addi s0,sp,32
89c: fea42623 sw a0,-20(s0)
8a0: 87ae mv a5,a1
8a2: fef405a3 sb a5,-21(s0)
8a6: fec42703 lw a4,-20(s0)
8aa: 4785 li a5,1
8ac: 00e7f463 bgeu a5,a4,8b4 <spi_write+0x20>
8b0: 57fd li a5,-1
8b2: a051 j 936 <spi_write+0xa2>
8b4: fec42783 lw a5,-20(s0)
8b8: e3a1 bnez a5,8f8 <spi_write+0x64>
8ba: 402007b7 lui a5,0x40200
8be: feb44703 lbu a4,-21(s0)
8c2: c398 sw a4,0(a5)
8c4: 402007b7 lui a5,0x40200
8c8: 0791 addi a5,a5,4
8ca: 4398 lw a4,0(a5)
8cc: 402007b7 lui a5,0x40200
8d0: 0791 addi a5,a5,4
8d2: 00176713 ori a4,a4,1
8d6: c398 sw a4,0(a5)
8d8: 402007b7 lui a5,0x40200
8dc: 0791 addi a5,a5,4
8de: 4398 lw a4,0(a5)
8e0: 402007b7 lui a5,0x40200
8e4: 0791 addi a5,a5,4
8e6: 9b79 andi a4,a4,-2
8e8: c398 sw a4,0(a5)
8ea: 0001 nop
8ec: fec42503 lw a0,-20(s0)
8f0: 3725 jal 818 <spi_status>
8f2: 87aa mv a5,a0
8f4: dfe5 beqz a5,8ec <spi_write+0x58>
8f6: a83d j 934 <spi_write+0xa0>
8f8: 403007b7 lui a5,0x40300
8fc: feb44703 lbu a4,-21(s0)
900: c398 sw a4,0(a5)
902: 403007b7 lui a5,0x40300
906: 0791 addi a5,a5,4
908: 4398 lw a4,0(a5)
90a: 403007b7 lui a5,0x40300
90e: 0791 addi a5,a5,4
910: 00176713 ori a4,a4,1
914: c398 sw a4,0(a5)
916: 403007b7 lui a5,0x40300
91a: 0791 addi a5,a5,4
91c: 4398 lw a4,0(a5)
91e: 403007b7 lui a5,0x40300
922: 0791 addi a5,a5,4
924: 9b79 andi a4,a4,-2
926: c398 sw a4,0(a5)
928: 0001 nop
92a: fec42503 lw a0,-20(s0)
92e: 35ed jal 818 <spi_status>
930: 87aa mv a5,a0
932: dfe5 beqz a5,92a <spi_write+0x96>
934: 4781 li a5,0
936: 853e mv a0,a5
938: 40f2 lw ra,28(sp)
93a: 4462 lw s0,24(sp)
93c: 6105 addi sp,sp,32
93e: 8082 ret
00000940 <spi_start>:
940: 1101 addi sp,sp,-32
942: ce22 sw s0,28(sp)
944: 1000 addi s0,sp,32
946: fea42623 sw a0,-20(s0)
94a: fec42703 lw a4,-20(s0)
94e: 4785 li a5,1
950: 00e7f463 bgeu a5,a4,958 <spi_start+0x18>
954: 57fd li a5,-1
956: a815 j 98a <spi_start+0x4a>
958: fec42783 lw a5,-20(s0)
95c: ef81 bnez a5,974 <spi_start+0x34>
95e: 402007b7 lui a5,0x40200
962: 0791 addi a5,a5,4
964: 4398 lw a4,0(a5)
966: 402007b7 lui a5,0x40200
96a: 0791 addi a5,a5,4
96c: 00276713 ori a4,a4,2
970: c398 sw a4,0(a5)
972: a819 j 988 <spi_start+0x48>
974: 403007b7 lui a5,0x40300
978: 0791 addi a5,a5,4
97a: 4398 lw a4,0(a5)
97c: 403007b7 lui a5,0x40300
980: 0791 addi a5,a5,4
982: 00276713 ori a4,a4,2
986: c398 sw a4,0(a5)
988: 4781 li a5,0
98a: 853e mv a0,a5
98c: 4472 lw s0,28(sp)
98e: 6105 addi sp,sp,32
990: 8082 ret
992: 0001 nop
00000994 <spi_end>:
994: 1101 addi sp,sp,-32
996: ce22 sw s0,28(sp)
998: 1000 addi s0,sp,32
99a: fea42623 sw a0,-20(s0)
99e: fec42703 lw a4,-20(s0)
9a2: 4785 li a5,1
9a4: 00e7f463 bgeu a5,a4,9ac <spi_end+0x18>
9a8: 57fd li a5,-1
9aa: a805 j 9da <spi_end+0x46>
9ac: fec42783 lw a5,-20(s0)
9b0: eb99 bnez a5,9c6 <spi_end+0x32>
9b2: 402007b7 lui a5,0x40200
9b6: 0791 addi a5,a5,4
9b8: 4398 lw a4,0(a5)
9ba: 402007b7 lui a5,0x40200
9be: 0791 addi a5,a5,4
9c0: 9b75 andi a4,a4,-3
9c2: c398 sw a4,0(a5)
9c4: a811 j 9d8 <spi_end+0x44>
9c6: 403007b7 lui a5,0x40300
9ca: 0791 addi a5,a5,4
9cc: 4398 lw a4,0(a5)
9ce: 403007b7 lui a5,0x40300
9d2: 0791 addi a5,a5,4
9d4: 9b75 andi a4,a4,-3
9d6: c398 sw a4,0(a5)
9d8: 4781 li a5,0
9da: 853e mv a0,a5
9dc: 4472 lw s0,28(sp)
9de: 6105 addi sp,sp,32
9e0: 8082 ret
9e2: 0001 nop
000009e4 <i2c_init>:
9e4: 1101 addi sp,sp,-32
9e6: ce22 sw s0,28(sp)
9e8: 1000 addi s0,sp,32
9ea: fea42623 sw a0,-20(s0)
9ee: feb42423 sw a1,-24(s0)
9f2: fec42703 lw a4,-20(s0)
9f6: 4785 li a5,1
9f8: 00e7f463 bgeu a5,a4,a00 <i2c_init+0x1c>
9fc: 57fd li a5,-1
9fe: a09d j a64 <i2c_init+0x80>
a00: fec42783 lw a5,-20(s0)
a04: eb8d bnez a5,a36 <i2c_init+0x52>
a06: 404007b7 lui a5,0x40400
a0a: fe842703 lw a4,-24(s0)
a0e: 0ff77713 andi a4,a4,255
a12: c398 sw a4,0(a5)
a14: 404007b7 lui a5,0x40400
a18: 0791 addi a5,a5,4
a1a: fe842683 lw a3,-24(s0)
a1e: 6741 lui a4,0x10
a20: f0070713 addi a4,a4,-256 # ff00 <TMR3_IM+0xea44>
a24: 8f75 and a4,a4,a3
a26: c398 sw a4,0(a5)
a28: 404007b7 lui a5,0x40400
a2c: 07a1 addi a5,a5,8
a2e: 0c000713 li a4,192
a32: c398 sw a4,0(a5)
a34: a805 j a64 <i2c_init+0x80>
a36: 405007b7 lui a5,0x40500
a3a: fe842703 lw a4,-24(s0)
a3e: 0ff77713 andi a4,a4,255
a42: c398 sw a4,0(a5)
a44: 405007b7 lui a5,0x40500
a48: 0791 addi a5,a5,4
a4a: fe842683 lw a3,-24(s0)
a4e: 6741 lui a4,0x10
a50: f0070713 addi a4,a4,-256 # ff00 <TMR3_IM+0xea44>
a54: 8f75 and a4,a4,a3
a56: c398 sw a4,0(a5)
a58: 405007b7 lui a5,0x40500
a5c: 07a1 addi a5,a5,8
a5e: 0c000713 li a4,192
a62: c398 sw a4,0(a5)
a64: 853e mv a0,a5
a66: 4472 lw s0,28(sp)
a68: 6105 addi sp,sp,32
a6a: 8082 ret
00000a6c <i2c_send>:
a6c: 1101 addi sp,sp,-32
a6e: ce22 sw s0,28(sp)
a70: 1000 addi s0,sp,32
a72: fea42623 sw a0,-20(s0)
a76: 87ae mv a5,a1
a78: 8732 mv a4,a2
a7a: fef405a3 sb a5,-21(s0)
a7e: 87ba mv a5,a4
a80: fef40523 sb a5,-22(s0)
a84: fec42703 lw a4,-20(s0)
a88: 4785 li a5,1
a8a: 00e7f463 bgeu a5,a4,a92 <i2c_send+0x26>
a8e: 57fd li a5,-1
a90: aa29 j baa <i2c_send+0x13e>
a92: fec42783 lw a5,-20(s0)
a96: e7d1 bnez a5,b22 <i2c_send+0xb6>
a98: 404007b7 lui a5,0x40400
a9c: 07b1 addi a5,a5,12
a9e: feb44703 lbu a4,-21(s0)
aa2: c398 sw a4,0(a5)
aa4: 404007b7 lui a5,0x40400
aa8: 07d1 addi a5,a5,20
aaa: 09000713 li a4,144
aae: c398 sw a4,0(a5)
ab0: 0001 nop
ab2: 404007b7 lui a5,0x40400
ab6: 07e1 addi a5,a5,24
ab8: 439c lw a5,0(a5)
aba: 8b89 andi a5,a5,2
abc: fbfd bnez a5,ab2 <i2c_send+0x46>
abe: 404007b7 lui a5,0x40400
ac2: 07e1 addi a5,a5,24
ac4: 439c lw a5,0(a5)
ac6: 0807f793 andi a5,a5,128
aca: cb89 beqz a5,adc <i2c_send+0x70>
acc: 404007b7 lui a5,0x40400
ad0: 07d1 addi a5,a5,20
ad2: 04000713 li a4,64
ad6: c398 sw a4,0(a5)
ad8: 4781 li a5,0
ada: a8c1 j baa <i2c_send+0x13e>
adc: 404007b7 lui a5,0x40400
ae0: 07b1 addi a5,a5,12
ae2: fea44703 lbu a4,-22(s0)
ae6: c398 sw a4,0(a5)
ae8: 404007b7 lui a5,0x40400
aec: 07d1 addi a5,a5,20
aee: 4741 li a4,16
af0: c398 sw a4,0(a5)
af2: 0001 nop
af4: 404007b7 lui a5,0x40400
af8: 07e1 addi a5,a5,24
afa: 439c lw a5,0(a5)
afc: 8b89 andi a5,a5,2
afe: fbfd bnez a5,af4 <i2c_send+0x88>
b00: 404007b7 lui a5,0x40400
b04: 07d1 addi a5,a5,20
b06: 04000713 li a4,64
b0a: c398 sw a4,0(a5)
b0c: 404007b7 lui a5,0x40400
b10: 07e1 addi a5,a5,24
b12: 439c lw a5,0(a5)
b14: 0807f793 andi a5,a5,128
b18: c399 beqz a5,b1e <i2c_send+0xb2>
b1a: 4781 li a5,0
b1c: a079 j baa <i2c_send+0x13e>
b1e: 4785 li a5,1
b20: a069 j baa <i2c_send+0x13e>
b22: 405007b7 lui a5,0x40500
b26: 07b1 addi a5,a5,12
b28: feb44703 lbu a4,-21(s0)
b2c: c398 sw a4,0(a5)
b2e: 405007b7 lui a5,0x40500
b32: 07d1 addi a5,a5,20
b34: 09000713 li a4,144
b38: c398 sw a4,0(a5)
b3a: 0001 nop
b3c: 405007b7 lui a5,0x40500
b40: 07e1 addi a5,a5,24
b42: 439c lw a5,0(a5)
b44: 8b89 andi a5,a5,2
b46: fbfd bnez a5,b3c <i2c_send+0xd0>
b48: 405007b7 lui a5,0x40500
b4c: 07e1 addi a5,a5,24
b4e: 439c lw a5,0(a5)
b50: 0807f793 andi a5,a5,128
b54: cb89 beqz a5,b66 <i2c_send+0xfa>
b56: 405007b7 lui a5,0x40500
b5a: 07d1 addi a5,a5,20
b5c: 04000713 li a4,64
b60: c398 sw a4,0(a5)
b62: 4781 li a5,0
b64: a099 j baa <i2c_send+0x13e>
b66: 405007b7 lui a5,0x40500
b6a: 07b1 addi a5,a5,12
b6c: fea44703 lbu a4,-22(s0)
b70: c398 sw a4,0(a5)
b72: 405007b7 lui a5,0x40500
b76: 07d1 addi a5,a5,20
b78: 4741 li a4,16
b7a: c398 sw a4,0(a5)
b7c: 0001 nop
b7e: 405007b7 lui a5,0x40500
b82: 07e1 addi a5,a5,24
b84: 439c lw a5,0(a5)
b86: 8b89 andi a5,a5,2
b88: fbfd bnez a5,b7e <i2c_send+0x112>
b8a: 405007b7 lui a5,0x40500
b8e: 07d1 addi a5,a5,20
b90: 04000713 li a4,64
b94: c398 sw a4,0(a5)
b96: 405007b7 lui a5,0x40500
b9a: 07e1 addi a5,a5,24
b9c: 439c lw a5,0(a5)
b9e: 0807f793 andi a5,a5,128
ba2: c399 beqz a5,ba8 <i2c_send+0x13c>
ba4: 4781 li a5,0
ba6: a011 j baa <i2c_send+0x13e>
ba8: 4785 li a5,1
baa: 853e mv a0,a5
bac: 4472 lw s0,28(sp)
bae: 6105 addi sp,sp,32
bb0: 8082 ret
bb2: 0001 nop
00000bb4 <pwm_init>:
bb4: 1101 addi sp,sp,-32
bb6: ce22 sw s0,28(sp)
bb8: 1000 addi s0,sp,32
bba: fea42623 sw a0,-20(s0)
bbe: feb42423 sw a1,-24(s0)
bc2: fec42223 sw a2,-28(s0)
bc6: fed42023 sw a3,-32(s0)
bca: fec42703 lw a4,-20(s0)
bce: 4785 li a5,1
bd0: 00e7f463 bgeu a5,a4,bd8 <pwm_init+0x24>
bd4: 57fd li a5,-1
bd6: a891 j c2a <pwm_init+0x76>
bd8: fec42783 lw a5,-20(s0)
bdc: e785 bnez a5,c04 <pwm_init+0x50>
bde: 406007b7 lui a5,0x40600
be2: 0791 addi a5,a5,4
be4: fe842703 lw a4,-24(s0)
be8: c398 sw a4,0(a5)
bea: 406007b7 lui a5,0x40600
bee: 07a1 addi a5,a5,8
bf0: fe442703 lw a4,-28(s0)
bf4: c398 sw a4,0(a5)
bf6: 406007b7 lui a5,0x40600
bfa: 07c1 addi a5,a5,16
bfc: fe042703 lw a4,-32(s0)
c00: c398 sw a4,0(a5)
c02: a01d j c28 <pwm_init+0x74>
c04: 407007b7 lui a5,0x40700
c08: 0791 addi a5,a5,4
c0a: fe842703 lw a4,-24(s0)
c0e: c398 sw a4,0(a5)
c10: 407007b7 lui a5,0x40700
c14: 07a1 addi a5,a5,8
c16: fe442703 lw a4,-28(s0)
c1a: c398 sw a4,0(a5)
c1c: 407007b7 lui a5,0x40700
c20: 07c1 addi a5,a5,16
c22: fe042703 lw a4,-32(s0)
c26: c398 sw a4,0(a5)
c28: 4781 li a5,0
c2a: 853e mv a0,a5
c2c: 4472 lw s0,28(sp)
c2e: 6105 addi sp,sp,32
c30: 8082 ret
c32: 0001 nop
00000c34 <pwm_enable>:
c34: 1101 addi sp,sp,-32
c36: ce22 sw s0,28(sp)
c38: 1000 addi s0,sp,32
c3a: fea42623 sw a0,-20(s0)
c3e: fec42703 lw a4,-20(s0)
c42: 4785 li a5,1
c44: 00e7f463 bgeu a5,a4,c4c <pwm_enable+0x18>
c48: 57fd li a5,-1
c4a: a015 j c6e <pwm_enable+0x3a>
c4c: fec42783 lw a5,-20(s0)
c50: eb81 bnez a5,c60 <pwm_enable+0x2c>
c52: 406007b7 lui a5,0x40600
c56: 02078793 addi a5,a5,32 # 40600020 <_fstack+0x205fd024>
c5a: 4705 li a4,1
c5c: c398 sw a4,0(a5)
c5e: a039 j c6c <pwm_enable+0x38>
c60: 406007b7 lui a5,0x40600
c64: 02078793 addi a5,a5,32 # 40600020 <_fstack+0x205fd024>
c68: 4705 li a4,1
c6a: c398 sw a4,0(a5)
c6c: 4781 li a5,0
c6e: 853e mv a0,a5
c70: 4472 lw s0,28(sp)
c72: 6105 addi sp,sp,32
c74: 8082 ret
c76: 0001 nop
00000c78 <pwm_disable>:
c78: 1101 addi sp,sp,-32
c7a: ce22 sw s0,28(sp)
c7c: 1000 addi s0,sp,32
c7e: fea42623 sw a0,-20(s0)
c82: fec42703 lw a4,-20(s0)
c86: 4785 li a5,1
c88: 00e7f463 bgeu a5,a4,c90 <pwm_disable+0x18>
c8c: 57fd li a5,-1
c8e: a015 j cb2 <pwm_disable+0x3a>
c90: fec42783 lw a5,-20(s0)
c94: eb81 bnez a5,ca4 <pwm_disable+0x2c>
c96: 406007b7 lui a5,0x40600
c9a: 02078793 addi a5,a5,32 # 40600020 <_fstack+0x205fd024>
c9e: 0007a023 sw zero,0(a5)
ca2: a039 j cb0 <pwm_disable+0x38>
ca4: 406007b7 lui a5,0x40600
ca8: 02078793 addi a5,a5,32 # 40600020 <_fstack+0x205fd024>
cac: 0007a023 sw zero,0(a5)
cb0: 4781 li a5,0
cb2: 853e mv a0,a5
cb4: 4472 lw s0,28(sp)
cb6: 6105 addi sp,sp,32
cb8: 8082 ret
cba: 0001 nop
00000cbc <tmr_init>:
cbc: 1101 addi sp,sp,-32
cbe: ce22 sw s0,28(sp)
cc0: 1000 addi s0,sp,32
cc2: fea42623 sw a0,-20(s0)
cc6: feb42423 sw a1,-24(s0)
cca: fec42223 sw a2,-28(s0)
cce: fec42703 lw a4,-20(s0)
cd2: 4785 li a5,1
cd4: 00e7f463 bgeu a5,a4,cdc <tmr_init+0x20>
cd8: 57fd li a5,-1
cda: a095 j d3e <tmr_init+0x82>
cdc: fec42783 lw a5,-20(s0)
ce0: eb85 bnez a5,d10 <tmr_init+0x54>
ce2: 408007b7 lui a5,0x40800
ce6: 07a1 addi a5,a5,8
ce8: fe442703 lw a4,-28(s0)
cec: c398 sw a4,0(a5)
cee: 408007b7 lui a5,0x40800
cf2: 0791 addi a5,a5,4
cf4: fe842703 lw a4,-24(s0)
cf8: c398 sw a4,0(a5)
cfa: 408007b7 lui a5,0x40800
cfe: 07c1 addi a5,a5,16
d00: 4705 li a4,1
d02: c398 sw a4,0(a5)
d04: 408007b7 lui a5,0x40800
d08: 07c1 addi a5,a5,16
d0a: 0007a023 sw zero,0(a5) # 40800000 <_fstack+0x207fd004>
d0e: a03d j d3c <tmr_init+0x80>
d10: 409007b7 lui a5,0x40900
d14: 07a1 addi a5,a5,8
d16: fe442703 lw a4,-28(s0)
d1a: c398 sw a4,0(a5)
d1c: 409007b7 lui a5,0x40900
d20: 0791 addi a5,a5,4
d22: fe842703 lw a4,-24(s0)
d26: c398 sw a4,0(a5)
d28: 409007b7 lui a5,0x40900
d2c: 07c1 addi a5,a5,16
d2e: 4705 li a4,1
d30: c398 sw a4,0(a5)
d32: 409007b7 lui a5,0x40900
d36: 07c1 addi a5,a5,16
d38: 0007a023 sw zero,0(a5) # 40900000 <_fstack+0x208fd004>
d3c: 4781 li a5,0
d3e: 853e mv a0,a5
d40: 4472 lw s0,28(sp)
d42: 6105 addi sp,sp,32
d44: 8082 ret
d46: 0001 nop
00000d48 <tmr_enable>:
d48: 1101 addi sp,sp,-32
d4a: ce22 sw s0,28(sp)
d4c: 1000 addi s0,sp,32
d4e: fea42623 sw a0,-20(s0)
d52: fec42703 lw a4,-20(s0)
d56: 4785 li a5,1
d58: 00e7f463 bgeu a5,a4,d60 <tmr_enable+0x18>
d5c: 57fd li a5,-1
d5e: a005 j d7e <tmr_enable+0x36>
d60: fec42783 lw a5,-20(s0)
d64: e799 bnez a5,d72 <tmr_enable+0x2a>
d66: 408007b7 lui a5,0x40800
d6a: 07d1 addi a5,a5,20
d6c: 4705 li a4,1
d6e: c398 sw a4,0(a5)
d70: a031 j d7c <tmr_enable+0x34>
d72: 409007b7 lui a5,0x40900
d76: 07d1 addi a5,a5,20
d78: 4705 li a4,1
d7a: c398 sw a4,0(a5)
d7c: 4781 li a5,0
d7e: 853e mv a0,a5
d80: 4472 lw s0,28(sp)
d82: 6105 addi sp,sp,32
d84: 8082 ret
d86: 0001 nop
00000d88 <tmr_disable>:
d88: 1101 addi sp,sp,-32
d8a: ce22 sw s0,28(sp)
d8c: 1000 addi s0,sp,32
d8e: fea42623 sw a0,-20(s0)
d92: fec42703 lw a4,-20(s0)
d96: 4785 li a5,1
d98: 00e7f463 bgeu a5,a4,da0 <tmr_disable+0x18>
d9c: 57fd li a5,-1
d9e: a005 j dbe <tmr_disable+0x36>
da0: fec42783 lw a5,-20(s0)
da4: e799 bnez a5,db2 <tmr_disable+0x2a>
da6: 408007b7 lui a5,0x40800
daa: 07d1 addi a5,a5,20
dac: 0007a023 sw zero,0(a5) # 40800000 <_fstack+0x207fd004>
db0: a031 j dbc <tmr_disable+0x34>
db2: 409007b7 lui a5,0x40900
db6: 07d1 addi a5,a5,20
db8: 0007a023 sw zero,0(a5) # 40900000 <_fstack+0x208fd004>
dbc: 4781 li a5,0
dbe: 853e mv a0,a5
dc0: 4472 lw s0,28(sp)
dc2: 6105 addi sp,sp,32
dc4: 8082 ret
dc6: 0001 nop
00000dc8 <tmr_wait>:
dc8: 1101 addi sp,sp,-32
dca: ce22 sw s0,28(sp)
dcc: 1000 addi s0,sp,32
dce: fea42623 sw a0,-20(s0)
dd2: fec42703 lw a4,-20(s0)
dd6: 4785 li a5,1
dd8: 00e7f463 bgeu a5,a4,de0 <tmr_wait+0x18>
ddc: 57fd li a5,-1
dde: a015 j e02 <tmr_wait+0x3a>
de0: fec42783 lw a5,-20(s0)
de4: eb81 bnez a5,df4 <tmr_wait+0x2c>
de6: 0001 nop
de8: 408007b7 lui a5,0x40800
dec: 07b1 addi a5,a5,12
dee: 439c lw a5,0(a5)
df0: dfe5 beqz a5,de8 <tmr_wait+0x20>
df2: a039 j e00 <tmr_wait+0x38>
df4: 0001 nop
df6: 409007b7 lui a5,0x40900
dfa: 07b1 addi a5,a5,12
dfc: 439c lw a5,0(a5)
dfe: dfe5 beqz a5,df6 <tmr_wait+0x2e>
e00: 4781 li a5,0
e02: 853e mv a0,a5
e04: 4472 lw s0,28(sp)
e06: 6105 addi sp,sp,32
e08: 8082 ret
e0a: 0001 nop
00000e0c <tmr_ei>:
e0c: 1101 addi sp,sp,-32
e0e: ce22 sw s0,28(sp)
e10: 1000 addi s0,sp,32
e12: fea42623 sw a0,-20(s0)
e16: fec42703 lw a4,-20(s0)
e1a: 4785 li a5,1
e1c: 00e7f463 bgeu a5,a4,e24 <tmr_ei+0x18>
e20: 57fd li a5,-1
e22: a005 j e42 <tmr_ei+0x36>
e24: fec42783 lw a5,-20(s0)
e28: e799 bnez a5,e36 <tmr_ei+0x2a>
e2a: 408007b7 lui a5,0x40800
e2e: 10078793 addi a5,a5,256 # 40800100 <_fstack+0x207fd104>
e32: 439c lw a5,0(a5)
e34: a031 j e40 <tmr_ei+0x34>
e36: 409007b7 lui a5,0x40900
e3a: 10078793 addi a5,a5,256 # 40900100 <_fstack+0x208fd104>
e3e: 439c lw a5,0(a5)
e40: 4781 li a5,0
e42: 853e mv a0,a5
e44: 4472 lw s0,28(sp)
e46: 6105 addi sp,sp,32
e48: 8082 ret
e4a: 0001 nop
00000e4c <tmr_di>:
e4c: 1101 addi sp,sp,-32
e4e: ce22 sw s0,28(sp)
e50: 1000 addi s0,sp,32
e52: fea42623 sw a0,-20(s0)
e56: fec42703 lw a4,-20(s0)
e5a: 4785 li a5,1
e5c: 00e7f463 bgeu a5,a4,e64 <tmr_di+0x18>
e60: 57fd li a5,-1
e62: a005 j e82 <tmr_di+0x36>
e64: fec42783 lw a5,-20(s0)
e68: e799 bnez a5,e76 <tmr_di+0x2a>
e6a: 408007b7 lui a5,0x40800
e6e: 10078793 addi a5,a5,256 # 40800100 <_fstack+0x207fd104>
e72: 439c lw a5,0(a5)
e74: a031 j e80 <tmr_di+0x34>
e76: 409007b7 lui a5,0x40900
e7a: 10078793 addi a5,a5,256 # 40900100 <_fstack+0x208fd104>
e7e: 439c lw a5,0(a5)
e80: 4781 li a5,0
e82: 853e mv a0,a5
e84: 4472 lw s0,28(sp)
e86: 6105 addi sp,sp,32
e88: 8082 ret
e8a: 0001 nop
00000e8c <tmr_clrov>:
e8c: 1101 addi sp,sp,-32
e8e: ce22 sw s0,28(sp)
e90: 1000 addi s0,sp,32
e92: fea42623 sw a0,-20(s0)
e96: fec42703 lw a4,-20(s0)
e9a: 4785 li a5,1
e9c: 00e7f463 bgeu a5,a4,ea4 <tmr_clrov+0x18>
ea0: 57fd li a5,-1
ea2: a035 j ece <tmr_clrov+0x42>
ea4: fec42783 lw a5,-20(s0)
ea8: eb91 bnez a5,ebc <tmr_clrov+0x30>
eaa: 408007b7 lui a5,0x40800
eae: 07c1 addi a5,a5,16
eb0: 439c lw a5,0(a5)
eb2: 408007b7 lui a5,0x40800
eb6: 07c1 addi a5,a5,16
eb8: 439c lw a5,0(a5)
eba: a809 j ecc <tmr_clrov+0x40>
ebc: 409007b7 lui a5,0x40900
ec0: 07c1 addi a5,a5,16
ec2: 439c lw a5,0(a5)
ec4: 409007b7 lui a5,0x40900
ec8: 07c1 addi a5,a5,16
eca: 439c lw a5,0(a5)
ecc: 4781 li a5,0
ece: 853e mv a0,a5
ed0: 4472 lw s0,28(sp)
ed2: 6105 addi sp,sp,32
ed4: 8082 ret
ed6: 0001 nop
00000ed8 <tmr_read>:
ed8: 1101 addi sp,sp,-32
eda: ce22 sw s0,28(sp)
edc: 1000 addi s0,sp,32
ede: fea42623 sw a0,-20(s0)
ee2: fec42703 lw a4,-20(s0)
ee6: 4785 li a5,1
ee8: 00e7f463 bgeu a5,a4,ef0 <tmr_read+0x18>
eec: 57fd li a5,-1
eee: a819 j f04 <tmr_read+0x2c>
ef0: fec42783 lw a5,-20(s0)
ef4: e789 bnez a5,efe <tmr_read+0x26>
ef6: 408007b7 lui a5,0x40800
efa: 439c lw a5,0(a5)
efc: a021 j f04 <tmr_read+0x2c>
efe: 409007b7 lui a5,0x40900
f02: 439c lw a5,0(a5)
f04: 853e mv a0,a5
f06: 4472 lw s0,28(sp)
f08: 6105 addi sp,sp,32
f0a: 8082 ret
00000f0c <IRQ>:
f0c: 715d addi sp,sp,-80
f0e: c686 sw ra,76(sp)
f10: c496 sw t0,72(sp)
f12: c29a sw t1,68(sp)
f14: c09e sw t2,64(sp)
f16: de22 sw s0,60(sp)
f18: dc2a sw a0,56(sp)
f1a: da2e sw a1,52(sp)
f1c: d832 sw a2,48(sp)
f1e: d636 sw a3,44(sp)
f20: d43a sw a4,40(sp)
f22: d23e sw a5,36(sp)
f24: d042 sw a6,32(sp)
f26: ce46 sw a7,28(sp)
f28: cc72 sw t3,24(sp)
f2a: ca76 sw t4,20(sp)
f2c: c87a sw t5,16(sp)
f2e: c67e sw t6,12(sp)
f30: 0880 addi s0,sp,80
f32: 09900513 li a0,153
f36: deaff0ef jal ra,520 <gpio_write>
f3a: 0001 nop
f3c: 40b6 lw ra,76(sp)
f3e: 42a6 lw t0,72(sp)
f40: 4316 lw t1,68(sp)
f42: 4386 lw t2,64(sp)
f44: 5472 lw s0,60(sp)
f46: 5562 lw a0,56(sp)
f48: 55d2 lw a1,52(sp)
f4a: 5642 lw a2,48(sp)
f4c: 56b2 lw a3,44(sp)
f4e: 5722 lw a4,40(sp)
f50: 5792 lw a5,36(sp)
f52: 5802 lw a6,32(sp)
f54: 48f2 lw a7,28(sp)
f56: 4e62 lw t3,24(sp)
f58: 4ed2 lw t4,20(sp)
f5a: 4f42 lw t5,16(sp)
f5c: 4fb2 lw t6,12(sp)
f5e: 6161 addi sp,sp,80
f60: 30200073 mret
00000f64 <fact>:
f64: 7179 addi sp,sp,-48
f66: d606 sw ra,44(sp)
f68: d422 sw s0,40(sp)
f6a: 1800 addi s0,sp,48
f6c: fca42e23 sw a0,-36(s0)
f70: 4785 li a5,1
f72: fef42623 sw a5,-20(s0)
f76: 4789 li a5,2
f78: fef42423 sw a5,-24(s0)
f7c: a839 j f9a <fact+0x36>
f7e: fe842583 lw a1,-24(s0)
f82: fec42503 lw a0,-20(s0)
f86: a7aff0ef jal ra,200 <__mulsi3>
f8a: 87aa mv a5,a0
f8c: fef42623 sw a5,-20(s0)
f90: fe842783 lw a5,-24(s0)
f94: 0785 addi a5,a5,1
f96: fef42423 sw a5,-24(s0)
f9a: fe842703 lw a4,-24(s0)
f9e: fdc42783 lw a5,-36(s0)
fa2: fce7dee3 bge a5,a4,f7e <fact+0x1a>
fa6: fec42783 lw a5,-20(s0)
faa: 853e mv a0,a5
fac: 50b2 lw ra,44(sp)
fae: 5422 lw s0,40(sp)
fb0: 6145 addi sp,sp,48
fb2: 8082 ret
00000fb4 <strlen>:
fb4: 7179 addi sp,sp,-48
fb6: d622 sw s0,44(sp)
fb8: 1800 addi s0,sp,48
fba: fca42e23 sw a0,-36(s0)
fbe: fe042623 sw zero,-20(s0)
fc2: a031 j fce <strlen+0x1a>
fc4: fec42783 lw a5,-20(s0)
fc8: 0785 addi a5,a5,1
fca: fef42623 sw a5,-20(s0)
fce: fdc42783 lw a5,-36(s0)
fd2: 0007c783 lbu a5,0(a5) # 40900000 <_fstack+0x208fd004>
fd6: f7fd bnez a5,fc4 <strlen+0x10>
fd8: fec42783 lw a5,-20(s0)
fdc: 853e mv a0,a5
fde: 5432 lw s0,44(sp)
fe0: 6145 addi sp,sp,48
fe2: 8082 ret
00000fe4 <M23LC_write_byte>:
fe4: 1101 addi sp,sp,-32
fe6: ce06 sw ra,28(sp)
fe8: cc22 sw s0,24(sp)
fea: 1000 addi s0,sp,32
fec: fea42623 sw a0,-20(s0)
ff0: feb42423 sw a1,-24(s0)
ff4: fec42223 sw a2,-28(s0)
ff8: fec42783 lw a5,-20(s0)
ffc: 853e mv a0,a5
ffe: 3289 jal 940 <spi_start>
1000: fec42783 lw a5,-20(s0)
1004: 4589 li a1,2
1006: 853e mv a0,a5
1008: 3071 jal 894 <spi_write>
100a: fec42703 lw a4,-20(s0)
100e: fe842783 lw a5,-24(s0)
1012: 83a1 srli a5,a5,0x8
1014: 0ff7f793 andi a5,a5,255
1018: 85be mv a1,a5
101a: 853a mv a0,a4
101c: 38a5 jal 894 <spi_write>
101e: fec42783 lw a5,-20(s0)
1022: fe842703 lw a4,-24(s0)
1026: 0ff77713 andi a4,a4,255
102a: 85ba mv a1,a4
102c: 853e mv a0,a5
102e: 309d jal 894 <spi_write>
1030: fec42783 lw a5,-20(s0)
1034: fe442703 lw a4,-28(s0)
1038: 0ff77713 andi a4,a4,255
103c: 85ba mv a1,a4
103e: 853e mv a0,a5
1040: 3891 jal 894 <spi_write>
1042: fec42783 lw a5,-20(s0)
1046: 853e mv a0,a5
1048: 32b1 jal 994 <spi_end>
104a: 0001 nop
104c: 40f2 lw ra,28(sp)
104e: 4462 lw s0,24(sp)
1050: 6105 addi sp,sp,32
1052: 8082 ret
00001054 <M23LC_read_byte>:
1054: 1101 addi sp,sp,-32
1056: ce06 sw ra,28(sp)
1058: cc22 sw s0,24(sp)
105a: 1000 addi s0,sp,32
105c: fea42623 sw a0,-20(s0)
1060: 87ae mv a5,a1
1062: fef41523 sh a5,-22(s0)
1066: fec42783 lw a5,-20(s0)
106a: 853e mv a0,a5
106c: 38d1 jal 940 <spi_start>
106e: fec42783 lw a5,-20(s0)
1072: 458d li a1,3
1074: 853e mv a0,a5
1076: 3839 jal 894 <spi_write>
1078: fec42703 lw a4,-20(s0)
107c: fea45783 lhu a5,-22(s0)
1080: 83a1 srli a5,a5,0x8
1082: 07c2 slli a5,a5,0x10
1084: 83c1 srli a5,a5,0x10
1086: 0ff7f793 andi a5,a5,255
108a: 85be mv a1,a5
108c: 853a mv a0,a4
108e: 807ff0ef jal ra,894 <spi_write>
1092: fec42783 lw a5,-20(s0)
1096: fea45703 lhu a4,-22(s0)
109a: 0ff77713 andi a4,a4,255
109e: 85ba mv a1,a4
10a0: 853e mv a0,a5
10a2: ff2ff0ef jal ra,894 <spi_write>
10a6: fec42783 lw a5,-20(s0)
10aa: 4581 li a1,0
10ac: 853e mv a0,a5
10ae: fe6ff0ef jal ra,894 <spi_write>
10b2: fec42783 lw a5,-20(s0)
10b6: 853e mv a0,a5
10b8: 38f1 jal 994 <spi_end>
10ba: fec42783 lw a5,-20(s0)
10be: 853e mv a0,a5
10c0: f94ff0ef jal ra,854 <spi_read>
10c4: 87aa mv a5,a0
10c6: 853e mv a0,a5
10c8: 40f2 lw ra,28(sp)
10ca: 4462 lw s0,24(sp)
10cc: 6105 addi sp,sp,32
10ce: 8082 ret
000010d0 <main>:
10d0: 7179 addi sp,sp,-48
10d2: d606 sw ra,44(sp)
10d4: d422 sw s0,40(sp)
10d6: 1800 addi s0,sp,48
10d8: 4581 li a1,0
10da: 4501 li a0,0
10dc: cdcff0ef jal ra,5b8 <uart_init>
10e0: 0ff00513 li a0,255
10e4: c1cff0ef jal ra,500 <gpio_set_dir>
10e8: 46d1 li a3,20
10ea: 4601 li a2,0
10ec: 4581 li a1,0
10ee: 4501 li a0,0
10f0: ea0ff0ef jal ra,790 <spi_init>
10f4: 4635 li a2,13
10f6: 6785 lui a5,0x1
10f8: 30c78593 addi a1,a5,780 # 130c <main+0x23c>
10fc: 4501 li a0,0
10fe: d2aff0ef jal ra,628 <uart_puts>
1102: 462d li a2,11
1104: 6785 lui a5,0x1
1106: 31c78593 addi a1,a5,796 # 131c <main+0x24c>
110a: 4501 li a0,0
110c: d1cff0ef jal ra,628 <uart_puts>
1110: 05500513 li a0,85
1114: c0cff0ef jal ra,520 <gpio_write>
1118: fe042623 sw zero,-20(s0)
111c: a031 j 1128 <main+0x58>
111e: fec42783 lw a5,-20(s0)
1122: 0785 addi a5,a5,1
1124: fef42623 sw a5,-20(s0)
1128: fec42703 lw a4,-20(s0)
112c: 06300793 li a5,99
1130: fee7d7e3 bge a5,a4,111e <main+0x4e>
1134: c0cff0ef jal ra,540 <gpio_read>
1138: 87aa mv a5,a0
113a: fcf42c23 sw a5,-40(s0)
113e: fd842783 lw a5,-40(s0)
1142: 4087d713 srai a4,a5,0x8
1146: 05500793 li a5,85
114a: 00f71a63 bne a4,a5,115e <main+0x8e>
114e: 4621 li a2,8
1150: 6785 lui a5,0x1
1152: 32878593 addi a1,a5,808 # 1328 <main+0x258>
1156: 4501 li a0,0
1158: cd0ff0ef jal ra,628 <uart_puts>
115c: a801 j 116c <main+0x9c>
115e: 4621 li a2,8
1160: 6785 lui a5,0x1
1162: 33478593 addi a1,a5,820 # 1334 <main+0x264>
1166: 4501 li a0,0
1168: cc0ff0ef jal ra,628 <uart_puts>
116c: 4629 li a2,10
116e: 6785 lui a5,0x1
1170: 34078593 addi a1,a5,832 # 1340 <main+0x270>
1174: 4501 li a0,0
1176: cb2ff0ef jal ra,628 <uart_puts>
117a: 4515 li a0,5
117c: 33e5 jal f64 <fact>
117e: fca42a23 sw a0,-44(s0)
1182: fe042423 sw zero,-24(s0)
1186: a031 j 1192 <main+0xc2>
1188: fe842783 lw a5,-24(s0)
118c: 0785 addi a5,a5,1
118e: fef42423 sw a5,-24(s0)
1192: fe842703 lw a4,-24(s0)
1196: 06300793 li a5,99
119a: fee7d7e3 bge a5,a4,1188 <main+0xb8>
119e: fd442703 lw a4,-44(s0)
11a2: 07800793 li a5,120
11a6: 00f71a63 bne a4,a5,11ba <main+0xea>
11aa: 4621 li a2,8
11ac: 6785 lui a5,0x1
11ae: 32878593 addi a1,a5,808 # 1328 <main+0x258>
11b2: 4501 li a0,0
11b4: c74ff0ef jal ra,628 <uart_puts>
11b8: a801 j 11c8 <main+0xf8>
11ba: 4621 li a2,8
11bc: 6785 lui a5,0x1
11be: 33478593 addi a1,a5,820 # 1334 <main+0x264>
11c2: 4501 li a0,0
11c4: c64ff0ef jal ra,628 <uart_puts>
11c8: 4629 li a2,10
11ca: 6785 lui a5,0x1
11cc: 34c78593 addi a1,a5,844 # 134c <main+0x27c>
11d0: 4501 li a0,0
11d2: c56ff0ef jal ra,628 <uart_puts>
11d6: 0a500613 li a2,165
11da: 4581 li a1,0
11dc: 4501 li a0,0
11de: 3519 jal fe4 <M23LC_write_byte>
11e0: 4581 li a1,0
11e2: 4501 li a0,0
11e4: 3d85 jal 1054 <M23LC_read_byte>
11e6: 87aa mv a5,a0
11e8: fcf42823 sw a5,-48(s0)
11ec: fe042223 sw zero,-28(s0)
11f0: a031 j 11fc <main+0x12c>
11f2: fe442783 lw a5,-28(s0)
11f6: 0785 addi a5,a5,1
11f8: fef42223 sw a5,-28(s0)
11fc: fe442703 lw a4,-28(s0)
1200: 06300793 li a5,99
1204: fee7d7e3 bge a5,a4,11f2 <main+0x122>
1208: fd042703 lw a4,-48(s0)
120c: 0a500793 li a5,165
1210: 00f71a63 bne a4,a5,1224 <main+0x154>
1214: 4621 li a2,8
1216: 6785 lui a5,0x1
1218: 32878593 addi a1,a5,808 # 1328 <main+0x258>
121c: 4501 li a0,0
121e: c0aff0ef jal ra,628 <uart_puts>
1222: a801 j 1232 <main+0x162>
1224: 4621 li a2,8
1226: 6785 lui a5,0x1
1228: 33478593 addi a1,a5,820 # 1334 <main+0x264>
122c: 4501 li a0,0
122e: bfaff0ef jal ra,628 <uart_puts>
1232: 4629 li a2,10
1234: 6785 lui a5,0x1
1236: 35878593 addi a1,a5,856 # 1358 <main+0x288>
123a: 4501 li a0,0
123c: becff0ef jal ra,628 <uart_puts>
1240: 4629 li a2,10
1242: 19000593 li a1,400
1246: 4501 li a0,0
1248: 3c95 jal cbc <tmr_init>
124a: 4501 li a0,0
124c: 3cf5 jal d48 <tmr_enable>
124e: 4501 li a0,0
1250: 3ea5 jal dc8 <tmr_wait>
1252: 4501 li a0,0
1254: 3e15 jal d88 <tmr_disable>
1256: 4501 li a0,0
1258: 3141 jal ed8 <tmr_read>
125a: 87aa mv a5,a0
125c: eb89 bnez a5,126e <main+0x19e>
125e: 4621 li a2,8
1260: 6785 lui a5,0x1
1262: 32878593 addi a1,a5,808 # 1328 <main+0x258>
1266: 4501 li a0,0
1268: bc0ff0ef jal ra,628 <uart_puts>
126c: a801 j 127c <main+0x1ac>
126e: 4621 li a2,8
1270: 6785 lui a5,0x1
1272: 33478593 addi a1,a5,820 # 1334 <main+0x264>
1276: 4501 li a0,0
1278: bb0ff0ef jal ra,628 <uart_puts>
127c: 4629 li a2,10
127e: 6785 lui a5,0x1
1280: 36478593 addi a1,a5,868 # 1364 <main+0x294>
1284: 4501 li a0,0
1286: ba2ff0ef jal ra,628 <uart_puts>
128a: 4629 li a2,10
128c: 06400593 li a1,100
1290: 4501 li a0,0
1292: 342d jal cbc <tmr_init>
1294: 4695 li a3,5
1296: 06300613 li a2,99
129a: 0fa00593 li a1,250
129e: 4501 li a0,0
12a0: 3a11 jal bb4 <pwm_init>
12a2: 4501 li a0,0
12a4: 3a41 jal c34 <pwm_enable>
12a6: fe042023 sw zero,-32(s0)
12aa: a031 j 12b6 <main+0x1e6>
12ac: fe042783 lw a5,-32(s0)
12b0: 0785 addi a5,a5,1
12b2: fef42023 sw a5,-32(s0)
12b6: fe042703 lw a4,-32(s0)
12ba: 12b00793 li a5,299
12be: fee7d7e3 bge a5,a4,12ac <main+0x1dc>
12c2: 4501 li a0,0
12c4: 3a55 jal c78 <pwm_disable>
12c6: 4621 li a2,8
12c8: 6785 lui a5,0x1
12ca: 32878593 addi a1,a5,808 # 1328 <main+0x258>
12ce: 4501 li a0,0
12d0: b58ff0ef jal ra,628 <uart_puts>
12d4: fc042e23 sw zero,-36(s0)
12d8: a031 j 12e4 <main+0x214>
12da: fdc42783 lw a5,-36(s0)
12de: 0785 addi a5,a5,1
12e0: fcf42e23 sw a5,-36(s0)
12e4: fdc42703 lw a4,-36(s0)
12e8: 18f00793 li a5,399
12ec: fee7d7e3 bge a5,a4,12da <main+0x20a>
12f0: 4615 li a2,5
12f2: 6785 lui a5,0x1
12f4: 37078593 addi a1,a5,880 # 1370 <main+0x2a0>
12f8: 4501 li a0,0
12fa: b2eff0ef jal ra,628 <uart_puts>
12fe: 4781 li a5,0
1300: 853e mv a0,a5
1302: 50b2 lw ra,44(sp)
1304: 5422 lw s0,40(sp)
1306: 6145 addi sp,sp,48
1308: 8082 ret
130a: 0000 unimp
130c: 6548 flw fa0,12(a0)
130e: 6c6c flw fa1,92(s0)
1310: 6f57206f j 74204 <TMR3_IM+0x72d48>
1314: 6c72 flw fs8,28(sp)
1316: 2164 fld fs1,192(a0)
1318: 000a c.slli zero,0x2
131a: 0000 unimp
131c: 4f495047 fmsub.q ft0,fs2,fs4,fs1,unknown
1320: 5420 lw s0,104(s0)
1322: 7365 lui t1,0xffff9
1324: 3a74 fld fa3,240(a2)
1326: 0020 addi s0,sp,8
1328: 6150 flw fa2,4(a0)
132a: 64657373 csrrci t1,0x646,10
132e: 0a21 addi s4,s4,8
1330: 0000 unimp
1332: 0000 unimp
1334: 6146 flw ft2,80(sp)
1336: 6c69 lui s8,0x1a
1338: 6465 lui s0,0x19
133a: 0a21 addi s4,s4,8
133c: 0000 unimp
133e: 0000 unimp
1340: 204d5053 0x204d5053
1344: 6554 flw fa3,12(a0)
1346: 203a7473 csrrci s0,hideleg,20
134a: 0000 unimp
134c: 20495053 0x20495053
1350: 6554 flw fa3,12(a0)
1352: 203a7473 csrrci s0,hideleg,20
1356: 0000 unimp
1358: 4d54 lw a3,28(a0)
135a: 2052 fld ft0,272(sp)
135c: 6554 flw fa3,12(a0)
135e: 203a7473 csrrci s0,hideleg,20
1362: 0000 unimp
1364: 5750 lw a2,44(a4)
1366: 204d jal 1408 <I2C0_STAT>
1368: 6554 flw fa3,12(a0)
136a: 203a7473 csrrci s0,hideleg,20
136e: 0000 unimp
1370: 6f44 flw fs1,28(a4)
1372: 656e flw fa0,216(sp)
1374: 0a21 addi s4,s4,8
...
Disassembly of section .srodata:
00001378 <GPIO_DIN>:
1378: 0000 unimp
137a: 4800 lw s0,16(s0)
0000137c <GPIO_DOUT>:
137c: 0004 0x4
137e: 4800 lw s0,16(s0)
00001380 <GPIO_PU>:
1380: 0008 0x8
1382: 4800 lw s0,16(s0)
00001384 <GPIO_PD>:
1384: 000c 0xc
1386: 4800 lw s0,16(s0)
00001388 <GPIO_DIR>:
1388: 0010 0x10
138a: 4800 lw s0,16(s0)
0000138c <GPIO_IM>:
138c: 0014 0x14
138e: 4800 lw s0,16(s0)
00001390 <UART0_DATA>:
1390: 0000 unimp
1392: 4000 lw s0,0(s0)
00001394 <UART0_STATUS>:
1394: 0004 0x4
1396: 4000 lw s0,0(s0)
00001398 <UART0_CTRL>:
1398: 0004 0x4
139a: 4000 lw s0,0(s0)
0000139c <UART0_PRESCALER>:
139c: 0008 0x8
139e: 4000 lw s0,0(s0)
000013a0 <UART0_IM>:
13a0: 000c 0xc
13a2: 4000 lw s0,0(s0)
000013a4 <UART0_TXTH>:
13a4: 0010 0x10
13a6: 4000 lw s0,0(s0)
000013a8 <UART0_RXTH>:
13a8: 0014 0x14
13aa: 4000 lw s0,0(s0)
000013ac <UART1_DATA>:
13ac: 0000 unimp
13ae: 4010 lw a2,0(s0)
000013b0 <UART1_STATUS>:
13b0: 0004 0x4
13b2: 4010 lw a2,0(s0)
000013b4 <UART1_CTRL>:
13b4: 0004 0x4
13b6: 4010 lw a2,0(s0)
000013b8 <UART1_PRESCALER>:
13b8: 0008 0x8
13ba: 4010 lw a2,0(s0)
000013bc <UART1_IM>:
13bc: 000c 0xc
13be: 4010 lw a2,0(s0)
000013c0 <UART1_TXTH>:
13c0: 0010 0x10
13c2: 4010 lw a2,0(s0)
000013c4 <UART1_RXTH>:
13c4: 0014 0x14
13c6: 4010 lw a2,0(s0)
000013c8 <SPI0_CTRL>:
13c8: 0004 0x4
13ca: 4020 lw s0,64(s0)
000013cc <SPI0_DATA>:
13cc: 0000 unimp
13ce: 4020 lw s0,64(s0)
000013d0 <SPI0_STATUS>:
13d0: 0010 0x10
13d2: 4020 lw s0,64(s0)
000013d4 <SPI0_CFG>:
13d4: 0008 0x8
13d6: 4020 lw s0,64(s0)
000013d8 <SPI0_IM>:
13d8: 0014 0x14
13da: 4020 lw s0,64(s0)
000013dc <SPI1_CTRL>:
13dc: 0004 0x4
13de: 4030 lw a2,64(s0)
000013e0 <SPI1_DATA>:
13e0: 0000 unimp
13e2: 4030 lw a2,64(s0)
000013e4 <SPI1_STATUS>:
13e4: 0010 0x10
13e6: 4030 lw a2,64(s0)
000013e8 <SPI1_CFG>:
13e8: 0008 0x8
13ea: 4030 lw a2,64(s0)
000013ec <SPI1_IM>:
13ec: 0014 0x14
13ee: 4030 lw a2,64(s0)
000013f0 <I2C0_PRE_LO>:
13f0: 0000 unimp
13f2: 4040 lw s0,4(s0)
000013f4 <I2C0_PRE_HI>:
13f4: 0004 0x4
13f6: 4040 lw s0,4(s0)
000013f8 <I2C0_CTRL>:
13f8: 0008 0x8
13fa: 4040 lw s0,4(s0)
000013fc <I2C0_TX>:
13fc: 000c 0xc
13fe: 4040 lw s0,4(s0)
00001400 <I2C0_RX>:
1400: 0010 0x10
1402: 4040 lw s0,4(s0)
00001404 <I2C0_CMD>:
1404: 0014 0x14
1406: 4040 lw s0,4(s0)
00001408 <I2C0_STAT>:
1408: 0018 0x18
140a: 4040 lw s0,4(s0)
0000140c <I2C0_IM>:
140c: 001c 0x1c
140e: 4040 lw s0,4(s0)
00001410 <I2C1_PRE_LO>:
1410: 0000 unimp
1412: 4050 lw a2,4(s0)
00001414 <I2C1_PRE_HI>:
1414: 0004 0x4
1416: 4050 lw a2,4(s0)
00001418 <I2C1_CTRL>:
1418: 0008 0x8
141a: 4050 lw a2,4(s0)
0000141c <I2C1_TX>:
141c: 000c 0xc
141e: 4050 lw a2,4(s0)
00001420 <I2C1_RX>:
1420: 0010 0x10
1422: 4050 lw a2,4(s0)
00001424 <I2C1_CMD>:
1424: 0014 0x14
1426: 4050 lw a2,4(s0)
00001428 <I2C1_STAT>:
1428: 0018 0x18
142a: 4050 lw a2,4(s0)
0000142c <I2C1_IM>:
142c: 001c 0x1c
142e: 4050 lw a2,4(s0)
00001430 <PWM0_CTRL>:
1430: 0020 addi s0,sp,8
1432: 4060 lw s0,68(s0)
00001434 <PWM0_PRE>:
1434: 0010 0x10
1436: 4060 lw s0,68(s0)
00001438 <PWM0_CMP1>:
1438: 0004 0x4
143a: 4060 lw s0,68(s0)
0000143c <PWM0_CMP2>:
143c: 0008 0x8
143e: 4060 lw s0,68(s0)
00001440 <PWM1_CTRL>:
1440: 0020 addi s0,sp,8
1442: 4070 lw a2,68(s0)
00001444 <PWM1_PRE>:
1444: 0010 0x10
1446: 4070 lw a2,68(s0)
00001448 <PWM1_CMP1>:
1448: 0004 0x4
144a: 4070 lw a2,68(s0)
0000144c <PWM1_CMP2>:
144c: 0008 0x8
144e: 4070 lw a2,68(s0)
00001450 <TMR0_EN>:
1450: 0014 0x14
1452: 4080 lw s0,0(s1)
00001454 <TMR0>:
1454: 0000 unimp
1456: 4080 lw s0,0(s1)
00001458 <TMR0_STATUS>:
1458: 000c 0xc
145a: 4080 lw s0,0(s1)
0000145c <TMR0_PRE>:
145c: 0004 0x4
145e: 4080 lw s0,0(s1)
00001460 <TMR0_CMP>:
1460: 0008 0x8
1462: 4080 lw s0,0(s1)
00001464 <TMR0_OVCLR>:
1464: 0010 0x10
1466: 4080 lw s0,0(s1)
00001468 <TMR0_IM>:
1468: 0100 addi s0,sp,128
146a: 4080 lw s0,0(s1)
0000146c <TMR1_EN>:
146c: 0014 0x14
146e: 4090 lw a2,0(s1)
00001470 <TMR1>:
1470: 0000 unimp
1472: 4090 lw a2,0(s1)
00001474 <TMR1_STATUS>:
1474: 000c 0xc
1476: 4090 lw a2,0(s1)
00001478 <TMR1_PRE>:
1478: 0004 0x4
147a: 4090 lw a2,0(s1)
0000147c <TMR1_CMP>:
147c: 0008 0x8
147e: 4090 lw a2,0(s1)
00001480 <TMR1_OVCLR>:
1480: 0010 0x10
1482: 4090 lw a2,0(s1)
00001484 <TMR1_IM>:
1484: 0100 addi s0,sp,128
1486: 4090 lw a2,0(s1)
00001488 <TMR2_EN>:
1488: 0014 0x14
148a: 40a0 lw s0,64(s1)
0000148c <TMR2>:
148c: 0000 unimp
148e: 40a0 lw s0,64(s1)
00001490 <TMR2_STATUS>:
1490: 000c 0xc
1492: 40a0 lw s0,64(s1)
00001494 <TMR2_PRE>:
1494: 0004 0x4
1496: 40a0 lw s0,64(s1)
00001498 <TMR2_CMP>:
1498: 0008 0x8
149a: 40a0 lw s0,64(s1)
0000149c <TMR2_OVCLR>:
149c: 0010 0x10
149e: 40a0 lw s0,64(s1)
000014a0 <TMR2_IM>:
14a0: 0100 addi s0,sp,128
14a2: 40a0 lw s0,64(s1)
000014a4 <TMR3_EN>:
14a4: 0014 0x14
14a6: 40b0 lw a2,64(s1)
000014a8 <TMR3>:
14a8: 0000 unimp
14aa: 40b0 lw a2,64(s1)
000014ac <TMR3_STATUS>:
14ac: 000c 0xc
14ae: 40b0 lw a2,64(s1)
000014b0 <TMR3_PRE>:
14b0: 0004 0x4
14b2: 40b0 lw a2,64(s1)
000014b4 <TMR3_CMP>:
14b4: 0008 0x8
14b6: 40b0 lw a2,64(s1)
000014b8 <TMR3_OVCLR>:
14b8: 0010 0x10
14ba: 40b0 lw a2,64(s1)
000014bc <TMR3_IM>:
14bc: 0100 addi s0,sp,128
14be: 40b0 lw a2,64(s1)
Disassembly of section .bss:
20000000 <A>:
...
Disassembly of section .comment:
00000000 <.comment>:
0: 3a434347 fmsub.d ft6,ft6,ft4,ft7,rmm
4: 2820 fld fs0,80(s0)
6: 29554e47 fmsub.s ft8,fa0,fs5,ft5,rmm
a: 3820 fld fs0,112(s0)
c: 322e fld ft4,232(sp)
e: 302e fld ft0,232(sp)
...