blob: 60aa17e5d1b4f2a1b1878d169f6b12afad9fad92 [file] [log] [blame]
/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
module sb_1__0_(SC_IN_TOP, SC_OUT_TOP, Test_en_N_out, Test_en_S_in, ccff_head, ccff_tail, clk_3_N_out, clk_3_S_in, left_bottom_grid_pin_11_, left_bottom_grid_pin_13_, left_bottom_grid_pin_15_, left_bottom_grid_pin_17_, left_bottom_grid_pin_1_, left_bottom_grid_pin_3_, left_bottom_grid_pin_5_, left_bottom_grid_pin_7_, left_bottom_grid_pin_9_, prog_clk_0_N_in, prog_clk_3_N_out, prog_clk_3_S_in, right_bottom_grid_pin_11_, right_bottom_grid_pin_13_, right_bottom_grid_pin_15_, right_bottom_grid_pin_17_, right_bottom_grid_pin_1_, right_bottom_grid_pin_3_, right_bottom_grid_pin_5_, right_bottom_grid_pin_7_, right_bottom_grid_pin_9_, top_left_grid_pin_42_, top_left_grid_pin_43_, top_left_grid_pin_44_, top_left_grid_pin_45_, top_left_grid_pin_46_, top_left_grid_pin_47_, top_left_grid_pin_48_, top_left_grid_pin_49_, VPWR, VGND, chanx_left_in, chanx_left_out, chanx_right_in, chanx_right_out, chany_top_in, chany_top_out);
input SC_IN_TOP;
output SC_OUT_TOP;
output Test_en_N_out;
input Test_en_S_in;
input VGND;
input VPWR;
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
input ccff_head;
output ccff_tail;
input [19:0] chanx_left_in;
output [19:0] chanx_left_out;
input [19:0] chanx_right_in;
output [19:0] chanx_right_out;
input [19:0] chany_top_in;
output [19:0] chany_top_out;
output clk_3_N_out;
input clk_3_S_in;
wire \clknet_0_mem_left_track_1.prog_clk ;
wire \clknet_1_0_0_mem_left_track_1.prog_clk ;
wire \clknet_1_1_0_mem_left_track_1.prog_clk ;
wire \clknet_2_0_0_mem_left_track_1.prog_clk ;
wire \clknet_2_1_0_mem_left_track_1.prog_clk ;
wire \clknet_2_2_0_mem_left_track_1.prog_clk ;
wire \clknet_2_3_0_mem_left_track_1.prog_clk ;
wire \clknet_3_0_0_mem_left_track_1.prog_clk ;
wire \clknet_3_1_0_mem_left_track_1.prog_clk ;
wire \clknet_3_2_0_mem_left_track_1.prog_clk ;
wire \clknet_3_3_0_mem_left_track_1.prog_clk ;
wire \clknet_3_4_0_mem_left_track_1.prog_clk ;
wire \clknet_3_5_0_mem_left_track_1.prog_clk ;
wire \clknet_3_6_0_mem_left_track_1.prog_clk ;
wire \clknet_3_7_0_mem_left_track_1.prog_clk ;
input left_bottom_grid_pin_11_;
input left_bottom_grid_pin_13_;
input left_bottom_grid_pin_15_;
input left_bottom_grid_pin_17_;
input left_bottom_grid_pin_1_;
input left_bottom_grid_pin_3_;
input left_bottom_grid_pin_5_;
input left_bottom_grid_pin_7_;
input left_bottom_grid_pin_9_;
wire \mem_left_track_1.ccff_head ;
wire \mem_left_track_1.ccff_tail ;
wire \mem_left_track_1.mem_out[0] ;
wire \mem_left_track_1.mem_out[1] ;
wire \mem_left_track_1.mem_out[2] ;
wire \mem_left_track_1.prog_clk ;
wire \mem_left_track_17.ccff_head ;
wire \mem_left_track_17.ccff_tail ;
wire \mem_left_track_17.mem_out[0] ;
wire \mem_left_track_17.mem_out[1] ;
wire \mem_left_track_25.ccff_tail ;
wire \mem_left_track_25.mem_out[0] ;
wire \mem_left_track_25.mem_out[1] ;
wire \mem_left_track_3.ccff_tail ;
wire \mem_left_track_3.mem_out[0] ;
wire \mem_left_track_3.mem_out[1] ;
wire \mem_left_track_3.mem_out[2] ;
wire \mem_left_track_33.mem_out[0] ;
wire \mem_left_track_33.mem_out[1] ;
wire \mem_left_track_5.ccff_tail ;
wire \mem_left_track_5.mem_out[0] ;
wire \mem_left_track_5.mem_out[1] ;
wire \mem_left_track_5.mem_out[2] ;
wire \mem_left_track_9.mem_out[0] ;
wire \mem_left_track_9.mem_out[1] ;
wire \mem_left_track_9.mem_out[2] ;
wire \mem_right_track_0.ccff_head ;
wire \mem_right_track_0.ccff_tail ;
wire \mem_right_track_0.mem_out[0] ;
wire \mem_right_track_0.mem_out[1] ;
wire \mem_right_track_0.mem_out[2] ;
wire \mem_right_track_16.ccff_head ;
wire \mem_right_track_16.ccff_tail ;
wire \mem_right_track_16.mem_out[0] ;
wire \mem_right_track_16.mem_out[1] ;
wire \mem_right_track_2.ccff_tail ;
wire \mem_right_track_2.mem_out[0] ;
wire \mem_right_track_2.mem_out[1] ;
wire \mem_right_track_2.mem_out[2] ;
wire \mem_right_track_24.ccff_tail ;
wire \mem_right_track_24.mem_out[0] ;
wire \mem_right_track_24.mem_out[1] ;
wire \mem_right_track_32.mem_out[0] ;
wire \mem_right_track_32.mem_out[1] ;
wire \mem_right_track_4.ccff_tail ;
wire \mem_right_track_4.mem_out[0] ;
wire \mem_right_track_4.mem_out[1] ;
wire \mem_right_track_4.mem_out[2] ;
wire \mem_right_track_8.mem_out[0] ;
wire \mem_right_track_8.mem_out[1] ;
wire \mem_right_track_8.mem_out[2] ;
wire \mem_top_track_0.ccff_tail ;
wire \mem_top_track_0.mem_out[0] ;
wire \mem_top_track_0.mem_out[1] ;
wire \mem_top_track_0.mem_out[2] ;
wire \mem_top_track_10.ccff_head ;
wire \mem_top_track_10.ccff_tail ;
wire \mem_top_track_10.mem_out[0] ;
wire \mem_top_track_10.mem_out[1] ;
wire \mem_top_track_12.ccff_tail ;
wire \mem_top_track_12.mem_out[0] ;
wire \mem_top_track_14.ccff_tail ;
wire \mem_top_track_14.mem_out[0] ;
wire \mem_top_track_16.ccff_tail ;
wire \mem_top_track_16.mem_out[0] ;
wire \mem_top_track_18.ccff_tail ;
wire \mem_top_track_18.mem_out[0] ;
wire \mem_top_track_2.ccff_tail ;
wire \mem_top_track_2.mem_out[0] ;
wire \mem_top_track_2.mem_out[1] ;
wire \mem_top_track_20.ccff_tail ;
wire \mem_top_track_20.mem_out[0] ;
wire \mem_top_track_22.ccff_tail ;
wire \mem_top_track_22.mem_out[0] ;
wire \mem_top_track_24.ccff_tail ;
wire \mem_top_track_24.mem_out[0] ;
wire \mem_top_track_38.mem_out[0] ;
wire \mem_top_track_4.ccff_tail ;
wire \mem_top_track_4.mem_out[0] ;
wire \mem_top_track_4.mem_out[1] ;
wire \mem_top_track_6.ccff_tail ;
wire \mem_top_track_6.mem_out[0] ;
wire \mem_top_track_6.mem_out[1] ;
wire \mem_top_track_8.mem_out[0] ;
wire \mem_top_track_8.mem_out[1] ;
wire \mux_left_track_1.out ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_17.out ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_25.out ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_3.out ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_33.out ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_5.out ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_9.out ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_0.out ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_16.out ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_2.out ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_24.out ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_32.out ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_4.out ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_8.out ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_0.out ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_10.out ;
wire \mux_top_track_10.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_10.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_10.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_10.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_12.out ;
wire \mux_top_track_12.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_12.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_12.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_14.out ;
wire \mux_top_track_14.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_14.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_14.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_16.out ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_18.out ;
wire \mux_top_track_18.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_18.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_18.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_2.out ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_20.out ;
wire \mux_top_track_20.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_20.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_20.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_22.out ;
wire \mux_top_track_22.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_22.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_22.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_24.out ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_38.out ;
wire \mux_top_track_38.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_38.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_4.out ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_6.out ;
wire \mux_top_track_6.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_6.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_6.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_6.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_6.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_6.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_6.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_8.out ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_3_X ;
input prog_clk_0_N_in;
output prog_clk_3_N_out;
input prog_clk_3_S_in;
input right_bottom_grid_pin_11_;
input right_bottom_grid_pin_13_;
input right_bottom_grid_pin_15_;
input right_bottom_grid_pin_17_;
input right_bottom_grid_pin_1_;
input right_bottom_grid_pin_3_;
input right_bottom_grid_pin_5_;
input right_bottom_grid_pin_7_;
input right_bottom_grid_pin_9_;
input top_left_grid_pin_42_;
input top_left_grid_pin_43_;
input top_left_grid_pin_44_;
input top_left_grid_pin_45_;
input top_left_grid_pin_46_;
input top_left_grid_pin_47_;
input top_left_grid_pin_48_;
input top_left_grid_pin_49_;
sky130_fd_sc_hd__diode_2 ANTENNA_0 (
.DIODE(chanx_left_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_1 (
.DIODE(chanx_left_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_2 (
.DIODE(chanx_right_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_106 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_118 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_125 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_137 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_149 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_156 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_168 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_187 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_199 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_0_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_75 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_87 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_94 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_113 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_134 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_10_146 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_10_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_189 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_10_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_10_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_10_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_10_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_10_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_76 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_10_87 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_108 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_11_119 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_11_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_11_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_167 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_11_177 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_11_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_11_217 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_11_22 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_26 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_11_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_11_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_11_73 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_97 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_10 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_12_113 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_12_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_174 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_12_179 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_187 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_12_205 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_43 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_54 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_12_66 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_12_83 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_13_104 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_13_116 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_13_132 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_169 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_13_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_13_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_191 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_13_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_13_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_13_34 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_13_46 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_13_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_73 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_122 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_14_142 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_14_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_14_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_162 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_14_179 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_14_206 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_14_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_100 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_15_118 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_134 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_145 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_15_174 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_182 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_15_187 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_199 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_15_20 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_41 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_15_46 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_15_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_15_79 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_113 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_124 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_16_142 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_16_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_175 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_179 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_189 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_16_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_41 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_16_52 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_64 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_75 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_16_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_107 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_161 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_17_172 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_194 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_205 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_216 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_22 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_33 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_17_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_17_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_17_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_76 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_97 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_122 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_126 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_136 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_18_157 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_18_192 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_18_20 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_201 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_18_28 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_18_43 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_18_83 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_10 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_128 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_138 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_160 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_165 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_214 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_28 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_19_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_19_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_72 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_83 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_94 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_110 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_1_147 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_196 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_208 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_1_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_1_35 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_1_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_1_54 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_1_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_98 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_10 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_128 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_138 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_20_165 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_20_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_206 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_20_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_20_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_52 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_87 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_20_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_21_115 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_157 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_168 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_197 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_207 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_21_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_57 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_21_80 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_95 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_22_105 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_127 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_137 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_22_142 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_189 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_195 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_206 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_22_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_22_84 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_22_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_164 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_23_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_209 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_23_217 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_42 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_23_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_23_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_77 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_88 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_92 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_101 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_24_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_119 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_137 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_148 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_182 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_24_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_24_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_73 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_84 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_24_89 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_24_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_25_111 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_128 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_145 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_169 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_25_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_25_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_191 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_209 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_37 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_41 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_25_99 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_113 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_124 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_128 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_138 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_149 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_183 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_187 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_192 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_26_25 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_50 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_26_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_115 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_128 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_143 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_153 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_161 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_27_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_27_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_209 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_23 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_27_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_35 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_71 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_82 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_27_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_10 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_128 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_28_136 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_176 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_28_187 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_206 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_28_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_28_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_28_40 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_49 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_28_55 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_28_85 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_97 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_100 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_105 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_29_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_29_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_133 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_157 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_175 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_217 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_23 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_29_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_29_41 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_49 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_29_83 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_105 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_166 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_178 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_190 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_2_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_2_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_2_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_80 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_12 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_131 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_149 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_30_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_182 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_190 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_201 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_30_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_30_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_30_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_75 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_31_116 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_12 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_133 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_138 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_146 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_160 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_166 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_170 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_31_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_31_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_189 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_197 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_209 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_28 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_31_42 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_31_52 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_70 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_88 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_98 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_103 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_115 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_12 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_122 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_134 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_147 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_153 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_160 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_166 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_172 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_32_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_191 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_197 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_209 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_32_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_80 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_110 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_147 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_196 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_208 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_3_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_98 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_105 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_166 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_178 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_190 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_80 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_110 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_147 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_5_196 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_5_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_5_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_98 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_105 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_166 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_178 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_6_190 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_6_198 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_6_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_6_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_80 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_110 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_147 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_7_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_7_216 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_26 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_7_38 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_42 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_7_52 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_98 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_105 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_8_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_166 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_8_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_8_208 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_8_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_8_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_40 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_80 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_108 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_147 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_206 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_9_217 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_9_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_40 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_9_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_96 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_0 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_1 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_10 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_101 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_102 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_103 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_104 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_107 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_108 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_109 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_110 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_111 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_112 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_114 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_115 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_117 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_119 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_12 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_120 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_122 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_124 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_125 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_126 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_127 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_128 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_129 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_13 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_130 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_131 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_133 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_134 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_135 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_137 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_138 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_14 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_140 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_141 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_142 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_143 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_144 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_145 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_146 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_147 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_150 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_151 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_153 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_155 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_156 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_157 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_158 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_159 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_160 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_162 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_163 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_164 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_165 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_166 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_167 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_168 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_169 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_170 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_171 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_172 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_174 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_175 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_176 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_178 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_179 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_181 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_182 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_183 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_185 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_186 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_187 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_19 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_2 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_20 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_22 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_23 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_25 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_26 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_28 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_31 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_33 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_34 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_35 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_37 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_38 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_4 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_40 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_41 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_42 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_43 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_46 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_49 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_5 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_50 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_52 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_53 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_54 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_55 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_57 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_6 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_61 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_64 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_66 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_67 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_68 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_69 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_70 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_72 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_73 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_75 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_76 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_77 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_78 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_79 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_8 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_81 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_82 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_83 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_84 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_85 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_86 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_87 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_89 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_9 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_90 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_92 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_94 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_95 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_96 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_97 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_98 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_99 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__buf_4 Test_en_N_FTB01 (
.A(Test_en_S_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(Test_en_N_out)
);
sky130_fd_sc_hd__conb_1 _028_ (
.HI(_027_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _029_ (
.HI(_000_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _030_ (
.HI(_001_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _031_ (
.HI(_002_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _032_ (
.HI(_003_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _033_ (
.HI(_004_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _034_ (
.HI(_005_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _035_ (
.HI(_006_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _036_ (
.HI(_007_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _037_ (
.HI(_008_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _038_ (
.HI(_009_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _039_ (
.HI(_010_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _040_ (
.HI(_011_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _041_ (
.HI(_012_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _042_ (
.HI(_013_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _043_ (
.HI(_014_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _044_ (
.HI(_015_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _045_ (
.HI(_016_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _046_ (
.HI(_017_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _047_ (
.HI(_018_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _048_ (
.HI(_019_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _049_ (
.HI(_020_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _050_ (
.HI(_021_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _051_ (
.HI(_022_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _052_ (
.HI(_023_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _053_ (
.HI(_024_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _054_ (
.HI(_025_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _055_ (
.HI(_026_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__buf_2 _056_ (
.A(SC_IN_TOP),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(SC_OUT_TOP)
);
sky130_fd_sc_hd__buf_2 _057_ (
.A(\mux_left_track_1.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[0])
);
sky130_fd_sc_hd__buf_2 _058_ (
.A(\mux_left_track_3.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[1])
);
sky130_fd_sc_hd__buf_2 _059_ (
.A(\mux_left_track_5.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[2])
);
sky130_fd_sc_hd__buf_2 _060_ (
.A(chanx_right_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[3])
);
sky130_fd_sc_hd__buf_2 _061_ (
.A(\mux_left_track_9.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[4])
);
sky130_fd_sc_hd__buf_2 _062_ (
.A(chanx_right_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[5])
);
sky130_fd_sc_hd__buf_2 _063_ (
.A(chanx_right_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[6])
);
sky130_fd_sc_hd__buf_2 _064_ (
.A(chanx_right_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[7])
);
sky130_fd_sc_hd__buf_2 _065_ (
.A(\mux_left_track_17.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[8])
);
sky130_fd_sc_hd__buf_2 _066_ (
.A(chanx_right_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[9])
);
sky130_fd_sc_hd__buf_2 _067_ (
.A(chanx_right_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[10])
);
sky130_fd_sc_hd__buf_2 _068_ (
.A(chanx_right_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[11])
);
sky130_fd_sc_hd__buf_2 _069_ (
.A(\mux_left_track_25.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[12])
);
sky130_fd_sc_hd__buf_2 _070_ (
.A(chanx_right_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[13])
);
sky130_fd_sc_hd__buf_2 _071_ (
.A(chanx_right_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[14])
);
sky130_fd_sc_hd__buf_2 _072_ (
.A(chanx_right_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[15])
);
sky130_fd_sc_hd__buf_2 _073_ (
.A(\mux_left_track_33.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[16])
);
sky130_fd_sc_hd__buf_2 _074_ (
.A(chanx_right_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[17])
);
sky130_fd_sc_hd__buf_2 _075_ (
.A(chanx_right_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[18])
);
sky130_fd_sc_hd__buf_2 _076_ (
.A(chanx_right_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[19])
);
sky130_fd_sc_hd__buf_2 _077_ (
.A(\mux_right_track_0.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[0])
);
sky130_fd_sc_hd__buf_2 _078_ (
.A(\mux_right_track_2.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[1])
);
sky130_fd_sc_hd__buf_2 _079_ (
.A(\mux_right_track_4.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[2])
);
sky130_fd_sc_hd__buf_2 _080_ (
.A(chanx_left_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[3])
);
sky130_fd_sc_hd__buf_2 _081_ (
.A(\mux_right_track_8.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[4])
);
sky130_fd_sc_hd__buf_2 _082_ (
.A(chanx_left_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[5])
);
sky130_fd_sc_hd__buf_2 _083_ (
.A(chanx_left_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[6])
);
sky130_fd_sc_hd__buf_2 _084_ (
.A(chanx_left_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[7])
);
sky130_fd_sc_hd__buf_2 _085_ (
.A(\mux_right_track_16.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[8])
);
sky130_fd_sc_hd__buf_2 _086_ (
.A(chanx_left_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[9])
);
sky130_fd_sc_hd__buf_2 _087_ (
.A(chanx_left_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[10])
);
sky130_fd_sc_hd__buf_2 _088_ (
.A(chanx_left_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[11])
);
sky130_fd_sc_hd__buf_2 _089_ (
.A(\mux_right_track_24.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[12])
);
sky130_fd_sc_hd__buf_2 _090_ (
.A(chanx_left_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[13])
);
sky130_fd_sc_hd__buf_2 _091_ (
.A(chanx_left_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[14])
);
sky130_fd_sc_hd__buf_2 _092_ (
.A(chanx_left_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[15])
);
sky130_fd_sc_hd__buf_2 _093_ (
.A(\mux_right_track_32.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[16])
);
sky130_fd_sc_hd__buf_2 _094_ (
.A(chanx_left_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[17])
);
sky130_fd_sc_hd__buf_2 _095_ (
.A(chanx_left_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[18])
);
sky130_fd_sc_hd__buf_2 _096_ (
.A(chanx_left_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[19])
);
sky130_fd_sc_hd__buf_2 _097_ (
.A(\mux_top_track_0.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[0])
);
sky130_fd_sc_hd__buf_2 _098_ (
.A(\mux_top_track_2.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[1])
);
sky130_fd_sc_hd__buf_2 _099_ (
.A(\mux_top_track_4.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[2])
);
sky130_fd_sc_hd__buf_2 _100_ (
.A(\mux_top_track_6.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[3])
);
sky130_fd_sc_hd__buf_2 _101_ (
.A(\mux_top_track_8.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[4])
);
sky130_fd_sc_hd__buf_2 _102_ (
.A(\mux_top_track_10.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[5])
);
sky130_fd_sc_hd__buf_2 _103_ (
.A(\mux_top_track_12.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[6])
);
sky130_fd_sc_hd__buf_2 _104_ (
.A(\mux_top_track_14.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[7])
);
sky130_fd_sc_hd__buf_2 _105_ (
.A(\mux_top_track_16.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[8])
);
sky130_fd_sc_hd__buf_2 _106_ (
.A(\mux_top_track_18.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[9])
);
sky130_fd_sc_hd__buf_2 _107_ (
.A(\mux_top_track_20.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[10])
);
sky130_fd_sc_hd__buf_2 _108_ (
.A(\mux_top_track_22.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[11])
);
sky130_fd_sc_hd__buf_2 _109_ (
.A(\mux_top_track_24.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[12])
);
sky130_fd_sc_hd__buf_2 _110_ (
.A(top_left_grid_pin_43_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[13])
);
sky130_fd_sc_hd__buf_2 _111_ (
.A(chanx_left_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[14])
);
sky130_fd_sc_hd__buf_2 _112_ (
.A(chanx_left_in[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[15])
);
sky130_fd_sc_hd__buf_2 _113_ (
.A(chanx_left_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[16])
);
sky130_fd_sc_hd__buf_2 _114_ (
.A(chanx_left_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[17])
);
sky130_fd_sc_hd__buf_2 _115_ (
.A(chanx_left_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[18])
);
sky130_fd_sc_hd__buf_2 _116_ (
.A(\mux_top_track_38.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[19])
);
sky130_fd_sc_hd__buf_4 clk_3_N_FTB01 (
.A(clk_3_S_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_3_N_out)
);
sky130_fd_sc_hd__clkbuf_16 \clkbuf_0_mem_left_track_1.prog_clk (
.A(\mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_1_0_0_mem_left_track_1.prog_clk (
.A(\clknet_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_1_0_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_1_1_0_mem_left_track_1.prog_clk (
.A(\clknet_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_1_1_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_0_0_mem_left_track_1.prog_clk (
.A(\clknet_1_0_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_0_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_1_0_mem_left_track_1.prog_clk (
.A(\clknet_1_0_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_1_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_2_0_mem_left_track_1.prog_clk (
.A(\clknet_1_1_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_2_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_3_0_mem_left_track_1.prog_clk (
.A(\clknet_1_1_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_3_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_0_0_mem_left_track_1.prog_clk (
.A(\clknet_2_0_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_0_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_1_0_mem_left_track_1.prog_clk (
.A(\clknet_2_0_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_1_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_2_0_mem_left_track_1.prog_clk (
.A(\clknet_2_1_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_2_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_3_0_mem_left_track_1.prog_clk (
.A(\clknet_2_1_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_3_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_4_0_mem_left_track_1.prog_clk (
.A(\clknet_2_2_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_4_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_5_0_mem_left_track_1.prog_clk (
.A(\clknet_2_2_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_5_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_6_0_mem_left_track_1.prog_clk (
.A(\clknet_2_3_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_6_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_7_0_mem_left_track_1.prog_clk (
.A(\clknet_2_3_0_mem_left_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_7_0_mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_1.ccff_head ),
.Q(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_1.mem_out[0] ),
.Q(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_1.mem_out[1] ),
.Q(\mem_left_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_1.mem_out[2] ),
.Q(\mem_left_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_17.ccff_head ),
.Q(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_2_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_17.mem_out[0] ),
.Q(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_17.mem_out[1] ),
.Q(\mem_left_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_17.ccff_tail ),
.Q(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_25.mem_out[0] ),
.Q(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_25.mem_out[1] ),
.Q(\mem_left_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_1.ccff_tail ),
.Q(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_3.mem_out[0] ),
.Q(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_3.mem_out[1] ),
.Q(\mem_left_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_3.mem_out[2] ),
.Q(\mem_left_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_33.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_25.ccff_tail ),
.Q(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_33.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_33.mem_out[0] ),
.Q(\mem_left_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_33.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_1_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_33.mem_out[1] ),
.Q(ccff_tail),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_3.ccff_tail ),
.Q(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[0] ),
.Q(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[1] ),
.Q(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[2] ),
.Q(\mem_left_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_5.ccff_tail ),
.Q(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_9.mem_out[0] ),
.Q(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_9.mem_out[1] ),
.Q(\mem_left_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(\mem_left_track_9.mem_out[2] ),
.Q(\mem_left_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_0.ccff_head ),
.Q(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_0.mem_out[0] ),
.Q(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_0.mem_out[1] ),
.Q(\mem_right_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_0.mem_out[2] ),
.Q(\mem_right_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_16.ccff_head ),
.Q(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_16.mem_out[0] ),
.Q(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_16.mem_out[1] ),
.Q(\mem_right_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_4_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_0.ccff_tail ),
.Q(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_4_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_2.mem_out[0] ),
.Q(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_2.mem_out[1] ),
.Q(\mem_right_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_2.mem_out[2] ),
.Q(\mem_right_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_16.ccff_tail ),
.Q(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_4_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_24.mem_out[0] ),
.Q(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_24.mem_out[1] ),
.Q(\mem_right_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_32.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_4_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_24.ccff_tail ),
.Q(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_32.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_4_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_32.mem_out[0] ),
.Q(\mem_right_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_32.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_32.mem_out[1] ),
.Q(\mem_left_track_1.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_2.ccff_tail ),
.Q(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[0] ),
.Q(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[1] ),
.Q(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[2] ),
.Q(\mem_right_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_4.ccff_tail ),
.Q(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_8.mem_out[0] ),
.Q(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_8.mem_out[1] ),
.Q(\mem_right_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_right_track_8.mem_out[2] ),
.Q(\mem_right_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_left_track_1.prog_clk ),
.D(ccff_head),
.Q(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_2_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_0.mem_out[0] ),
.Q(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_0.mem_out[1] ),
.Q(\mem_top_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_0.mem_out[2] ),
.Q(\mem_top_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_10.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_10.ccff_head ),
.Q(\mem_top_track_10.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_10.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_10.mem_out[0] ),
.Q(\mem_top_track_10.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_10.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_10.mem_out[1] ),
.Q(\mem_top_track_10.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_12.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_10.ccff_tail ),
.Q(\mem_top_track_12.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_12.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_12.mem_out[0] ),
.Q(\mem_top_track_12.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_14.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_12.ccff_tail ),
.Q(\mem_top_track_14.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_14.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_2_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_14.mem_out[0] ),
.Q(\mem_top_track_14.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_14.ccff_tail ),
.Q(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_16.mem_out[0] ),
.Q(\mem_top_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_18.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_16.ccff_tail ),
.Q(\mem_top_track_18.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_18.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_18.mem_out[0] ),
.Q(\mem_top_track_18.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_0.ccff_tail ),
.Q(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_2.mem_out[0] ),
.Q(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_2.mem_out[1] ),
.Q(\mem_top_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_20.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_18.ccff_tail ),
.Q(\mem_top_track_20.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_20.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_20.mem_out[0] ),
.Q(\mem_top_track_20.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_22.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_20.ccff_tail ),
.Q(\mem_top_track_22.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_22.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_22.mem_out[0] ),
.Q(\mem_top_track_22.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_22.ccff_tail ),
.Q(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_24.mem_out[0] ),
.Q(\mem_top_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_38.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_24.ccff_tail ),
.Q(\mem_top_track_38.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_38.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_38.mem_out[0] ),
.Q(\mem_right_track_0.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_2.ccff_tail ),
.Q(\mem_top_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[0] ),
.Q(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[1] ),
.Q(\mem_top_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_6.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_4.ccff_tail ),
.Q(\mem_top_track_6.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_6.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_6.mem_out[0] ),
.Q(\mem_top_track_6.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_6.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_6_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_6.mem_out[1] ),
.Q(\mem_top_track_6.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_6.ccff_tail ),
.Q(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_8.mem_out[0] ),
.Q(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_7_0_mem_left_track_1.prog_clk ),
.D(\mem_top_track_8.mem_out[1] ),
.Q(\mem_top_track_10.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_0_ (
.A0(chany_top_in[7]),
.A1(chany_top_in[0]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_1_ (
.A0(chanx_right_in[2]),
.A1(chany_top_in[14]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_2_ (
.A0(left_bottom_grid_pin_1_),
.A1(chanx_right_in[12]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_0_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_1_ (
.A0(left_bottom_grid_pin_5_),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_13_),
.A1(left_bottom_grid_pin_9_),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_3_ (
.A0(_015_),
.A1(left_bottom_grid_pin_17_),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l3_in_0_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l3_in_1_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l4_in_0_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_1.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_0_ (
.A0(chany_top_in[10]),
.A1(chany_top_in[3]),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_1_ (
.A0(chanx_right_in[8]),
.A1(chany_top_in[17]),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_2_ (
.A0(left_bottom_grid_pin_3_),
.A1(chanx_right_in[17]),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_3_ (
.A0(_016_),
.A1(left_bottom_grid_pin_11_),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_0_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_1_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l3_in_0_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_left_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_17.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_0_ (
.A0(chany_top_in[9]),
.A1(chany_top_in[2]),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_1_ (
.A0(chanx_right_in[9]),
.A1(chany_top_in[16]),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_2_ (
.A0(left_bottom_grid_pin_5_),
.A1(chanx_right_in[18]),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_3_ (
.A0(_017_),
.A1(left_bottom_grid_pin_13_),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_0_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_1_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l3_in_0_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_left_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_25.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_0_ (
.A0(chany_top_in[13]),
.A1(chany_top_in[6]),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_0_ (
.A0(chanx_right_in[4]),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_1_ (
.A0(left_bottom_grid_pin_3_),
.A1(chanx_right_in[13]),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_11_),
.A1(left_bottom_grid_pin_7_),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_3_ (
.A0(_018_),
.A1(left_bottom_grid_pin_15_),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l3_in_0_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_left_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l3_in_1_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l4_in_0_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_3.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_7_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_0_ (
.A0(chany_top_in[8]),
.A1(chany_top_in[1]),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_1_ (
.A0(chanx_right_in[10]),
.A1(chany_top_in[15]),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_2_ (
.A0(left_bottom_grid_pin_15_),
.A1(left_bottom_grid_pin_7_),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l2_in_0_ (
.A0(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l2_in_1_ (
.A0(_019_),
.A1(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l3_in_0_ (
.A0(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_3_X ),
.S(ccff_tail),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_33.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_5_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l1_in_0_ (
.A0(chany_top_in[12]),
.A1(chany_top_in[5]),
.S(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l1_in_1_ (
.A0(chanx_right_in[5]),
.A1(chany_top_in[19]),
.S(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l1_in_2_ (
.A0(left_bottom_grid_pin_1_),
.A1(chanx_right_in[14]),
.S(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l1_in_3_ (
.A0(left_bottom_grid_pin_5_),
.A1(left_bottom_grid_pin_3_),
.S(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l1_in_4_ (
.A0(left_bottom_grid_pin_9_),
.A1(left_bottom_grid_pin_7_),
.S(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l1_in_5_ (
.A0(left_bottom_grid_pin_13_),
.A1(left_bottom_grid_pin_11_),
.S(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l1_in_6_ (
.A0(left_bottom_grid_pin_17_),
.A1(left_bottom_grid_pin_15_),
.S(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_0_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_1_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_2_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_3_ (
.A0(_020_),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_6_X ),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_0_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_1_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l4_in_0_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_left_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_5.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_13_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l1_in_0_ (
.A0(chany_top_in[11]),
.A1(chany_top_in[4]),
.S(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_0_ (
.A0(chany_top_in[18]),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_1_ (
.A0(chanx_right_in[16]),
.A1(chanx_right_in[6]),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_9_),
.A1(left_bottom_grid_pin_1_),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_3_ (
.A0(_021_),
.A1(left_bottom_grid_pin_17_),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l3_in_0_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_left_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l3_in_1_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l4_in_0_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_9.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_7_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_0_ (
.A0(chany_top_in[13]),
.A1(chany_top_in[6]),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_5_),
.A1(right_bottom_grid_pin_1_),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_0_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_1_ (
.A0(right_bottom_grid_pin_13_),
.A1(right_bottom_grid_pin_9_),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_2_ (
.A0(chanx_left_in[2]),
.A1(right_bottom_grid_pin_17_),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_3_ (
.A0(_022_),
.A1(chanx_left_in[12]),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l3_in_0_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l3_in_1_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l4_in_0_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_7_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_6_X ),
.S(\mem_right_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_0.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_8_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_0_ (
.A0(chany_top_in[10]),
.A1(chany_top_in[3]),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_3_),
.A1(chany_top_in[17]),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_2_ (
.A0(chanx_left_in[8]),
.A1(right_bottom_grid_pin_11_),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_3_ (
.A0(_023_),
.A1(chanx_left_in[17]),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_0_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_1_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l3_in_0_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_16.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_0_ (
.A0(chany_top_in[7]),
.A1(chany_top_in[0]),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_3_),
.A1(chany_top_in[14]),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_0_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_1_ (
.A0(right_bottom_grid_pin_11_),
.A1(right_bottom_grid_pin_7_),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_2_ (
.A0(chanx_left_in[4]),
.A1(right_bottom_grid_pin_15_),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_3_ (
.A0(_024_),
.A1(chanx_left_in[13]),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l3_in_0_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l3_in_1_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l4_in_0_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_7_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_6_X ),
.S(\mem_right_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_2.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_8_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_0_ (
.A0(chany_top_in[11]),
.A1(chany_top_in[4]),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_5_),
.A1(chany_top_in[18]),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_2_ (
.A0(chanx_left_in[9]),
.A1(right_bottom_grid_pin_13_),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_3_ (
.A0(_025_),
.A1(chanx_left_in[18]),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_0_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_1_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l3_in_0_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_24.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_0_ (
.A0(chany_top_in[12]),
.A1(chany_top_in[5]),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_7_),
.A1(chany_top_in[19]),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_2_ (
.A0(chanx_left_in[10]),
.A1(right_bottom_grid_pin_15_),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l2_in_0_ (
.A0(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l2_in_1_ (
.A0(_026_),
.A1(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l3_in_0_ (
.A0(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_1.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_32.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_5_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l1_in_0_ (
.A0(chany_top_in[8]),
.A1(chany_top_in[1]),
.S(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_1_),
.A1(chany_top_in[15]),
.S(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l1_in_2_ (
.A0(right_bottom_grid_pin_5_),
.A1(right_bottom_grid_pin_3_),
.S(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l1_in_3_ (
.A0(right_bottom_grid_pin_9_),
.A1(right_bottom_grid_pin_7_),
.S(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l1_in_4_ (
.A0(right_bottom_grid_pin_13_),
.A1(right_bottom_grid_pin_11_),
.S(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l1_in_5_ (
.A0(right_bottom_grid_pin_17_),
.A1(right_bottom_grid_pin_15_),
.S(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l1_in_6_ (
.A0(chanx_left_in[14]),
.A1(chanx_left_in[5]),
.S(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_0_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_1_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_2_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_3_ (
.A0(_027_),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_6_X ),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_0_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_1_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l4_in_0_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_right_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_4.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_13_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l1_in_0_ (
.A0(chany_top_in[9]),
.A1(chany_top_in[2]),
.S(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_0_ (
.A0(chany_top_in[16]),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_1_ (
.A0(right_bottom_grid_pin_9_),
.A1(right_bottom_grid_pin_1_),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_2_ (
.A0(chanx_left_in[6]),
.A1(right_bottom_grid_pin_17_),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_3_ (
.A0(_000_),
.A1(chanx_left_in[16]),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l3_in_0_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_right_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l3_in_1_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_right_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l4_in_0_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_8.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_7_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_0_ (
.A0(top_left_grid_pin_44_),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_0_ (
.A0(top_left_grid_pin_46_),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_1_ (
.A0(chanx_right_in[1]),
.A1(top_left_grid_pin_48_),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_2_ (
.A0(chanx_left_in[0]),
.A1(chanx_right_in[2]),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_3_ (
.A0(_001_),
.A1(chanx_left_in[2]),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l3_in_0_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_top_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l3_in_1_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_top_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l4_in_0_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_0.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_7_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_10.mux_l1_in_0_ (
.A0(chanx_right_in[9]),
.A1(top_left_grid_pin_43_),
.S(\mem_top_track_10.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_10.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_10.mux_l2_in_0_ (
.A0(chanx_right_in[19]),
.A1(\mux_top_track_10.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_10.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_10.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_10.mux_l2_in_1_ (
.A0(_002_),
.A1(chanx_left_in[9]),
.S(\mem_top_track_10.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_10.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_10.mux_l3_in_0_ (
.A0(\mux_top_track_10.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_top_track_10.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_top_track_10.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_10.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_10.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_10.sky130_fd_sc_hd__mux2_1_3_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_10.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_12.mux_l1_in_0_ (
.A0(chanx_right_in[10]),
.A1(top_left_grid_pin_44_),
.S(\mem_top_track_12.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_12.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_12.mux_l1_in_1_ (
.A0(_003_),
.A1(chanx_left_in[10]),
.S(\mem_top_track_12.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_12.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_12.mux_l2_in_0_ (
.A0(\mux_top_track_12.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_12.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_12.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_12.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_12.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_12.sky130_fd_sc_hd__mux2_1_2_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_12.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_14.mux_l1_in_0_ (
.A0(chanx_right_in[12]),
.A1(top_left_grid_pin_45_),
.S(\mem_top_track_14.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_14.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_14.mux_l1_in_1_ (
.A0(_004_),
.A1(chanx_left_in[12]),
.S(\mem_top_track_14.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_14.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_14.mux_l2_in_0_ (
.A0(\mux_top_track_14.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_14.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_14.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_14.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_14.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_14.sky130_fd_sc_hd__mux2_1_2_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_14.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l1_in_0_ (
.A0(chanx_right_in[13]),
.A1(top_left_grid_pin_46_),
.S(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l1_in_1_ (
.A0(_005_),
.A1(chanx_left_in[13]),
.S(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l2_in_0_ (
.A0(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_16.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_2_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_18.mux_l1_in_0_ (
.A0(chanx_right_in[14]),
.A1(top_left_grid_pin_47_),
.S(\mem_top_track_18.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_18.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_18.mux_l1_in_1_ (
.A0(_006_),
.A1(chanx_left_in[14]),
.S(\mem_top_track_18.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_18.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_18.mux_l2_in_0_ (
.A0(\mux_top_track_18.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_18.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_18.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_18.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_18.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_18.sky130_fd_sc_hd__mux2_1_2_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_18.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_0_ (
.A0(top_left_grid_pin_45_),
.A1(top_left_grid_pin_43_),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_1_ (
.A0(top_left_grid_pin_49_),
.A1(top_left_grid_pin_47_),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_2_ (
.A0(chanx_right_in[4]),
.A1(chanx_right_in[3]),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_3_ (
.A0(_007_),
.A1(chanx_left_in[4]),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_0_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_1_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l3_in_0_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_top_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_2.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_20.mux_l1_in_0_ (
.A0(chanx_right_in[16]),
.A1(top_left_grid_pin_48_),
.S(\mem_top_track_20.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_20.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_20.mux_l1_in_1_ (
.A0(_008_),
.A1(chanx_left_in[16]),
.S(\mem_top_track_20.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_20.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_20.mux_l2_in_0_ (
.A0(\mux_top_track_20.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_20.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_20.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_20.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_20.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_20.sky130_fd_sc_hd__mux2_1_2_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_20.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_22.mux_l1_in_0_ (
.A0(chanx_right_in[17]),
.A1(top_left_grid_pin_49_),
.S(\mem_top_track_22.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_22.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_22.mux_l1_in_1_ (
.A0(_009_),
.A1(chanx_left_in[17]),
.S(\mem_top_track_22.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_22.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_22.mux_l2_in_0_ (
.A0(\mux_top_track_22.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_22.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_22.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_22.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_22.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_22.sky130_fd_sc_hd__mux2_1_2_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_22.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l1_in_0_ (
.A0(chanx_right_in[18]),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l1_in_1_ (
.A0(_010_),
.A1(chanx_left_in[18]),
.S(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l2_in_0_ (
.A0(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_24.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_2_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_38.mux_l1_in_0_ (
.A0(chanx_left_in[1]),
.A1(chanx_right_in[0]),
.S(\mem_top_track_38.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_38.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_38.mux_l2_in_0_ (
.A0(_011_),
.A1(\mux_top_track_38.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_0.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_38.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_38.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_38.sky130_fd_sc_hd__mux2_1_1_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_38.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l1_in_0_ (
.A0(top_left_grid_pin_44_),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l1_in_1_ (
.A0(top_left_grid_pin_48_),
.A1(top_left_grid_pin_46_),
.S(\mem_top_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l1_in_2_ (
.A0(chanx_right_in[7]),
.A1(chanx_right_in[5]),
.S(\mem_top_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l1_in_3_ (
.A0(_012_),
.A1(chanx_left_in[5]),
.S(\mem_top_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_0_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_1_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l3_in_0_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_top_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_4.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_6.mux_l1_in_0_ (
.A0(top_left_grid_pin_45_),
.A1(top_left_grid_pin_43_),
.S(\mem_top_track_6.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_6.mux_l1_in_1_ (
.A0(top_left_grid_pin_49_),
.A1(top_left_grid_pin_47_),
.S(\mem_top_track_6.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_6.mux_l1_in_2_ (
.A0(chanx_right_in[11]),
.A1(chanx_right_in[6]),
.S(\mem_top_track_6.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_6.mux_l1_in_3_ (
.A0(_013_),
.A1(chanx_left_in[6]),
.S(\mem_top_track_6.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_6.mux_l2_in_0_ (
.A0(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_6.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_6.mux_l2_in_1_ (
.A0(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_6.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_6.mux_l3_in_0_ (
.A0(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_top_track_6.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_6.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_6.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_6.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l1_in_0_ (
.A0(chanx_right_in[8]),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_0_ (
.A0(chanx_right_in[15]),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_1_ (
.A0(_014_),
.A1(chanx_left_in[8]),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l3_in_0_ (
.A0(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_top_track_10.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_8.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_3_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.out )
);
sky130_fd_sc_hd__buf_8 prog_clk_0_FTB00 (
.A(prog_clk_0_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mem_left_track_1.prog_clk )
);
sky130_fd_sc_hd__buf_4 prog_clk_3_N_FTB01 (
.A(prog_clk_3_S_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_3_N_out)
);
endmodule