blob: 01929ead96974bafc81f7611ca52cf0fb5a4b0f5 [file] [log] [blame]
/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
module sb_1__1_(Test_en_N_out, Test_en_S_in, bottom_left_grid_pin_42_, bottom_left_grid_pin_43_, bottom_left_grid_pin_44_, bottom_left_grid_pin_45_, bottom_left_grid_pin_46_, bottom_left_grid_pin_47_, bottom_left_grid_pin_48_, bottom_left_grid_pin_49_, ccff_head, ccff_tail, clk_1_E_out, clk_1_N_in, clk_1_S_in, clk_1_W_out, clk_2_E_in, clk_2_E_out, clk_2_N_in, clk_2_N_out, clk_2_S_in, clk_2_S_out, clk_2_W_in, clk_2_W_out, clk_3_E_in, clk_3_E_out, clk_3_N_in, clk_3_N_out, clk_3_S_in, clk_3_S_out, clk_3_W_in, clk_3_W_out, left_bottom_grid_pin_34_, left_bottom_grid_pin_35_, left_bottom_grid_pin_36_, left_bottom_grid_pin_37_, left_bottom_grid_pin_38_, left_bottom_grid_pin_39_, left_bottom_grid_pin_40_, left_bottom_grid_pin_41_, prog_clk_0_N_in, prog_clk_1_E_out, prog_clk_1_N_in, prog_clk_1_S_in, prog_clk_1_W_out, prog_clk_2_E_in, prog_clk_2_E_out, prog_clk_2_N_in, prog_clk_2_N_out, prog_clk_2_S_in, prog_clk_2_S_out, prog_clk_2_W_in, prog_clk_2_W_out, prog_clk_3_E_in, prog_clk_3_E_out, prog_clk_3_N_in, prog_clk_3_N_out, prog_clk_3_S_in, prog_clk_3_S_out, prog_clk_3_W_in, prog_clk_3_W_out, right_bottom_grid_pin_34_, right_bottom_grid_pin_35_, right_bottom_grid_pin_36_, right_bottom_grid_pin_37_, right_bottom_grid_pin_38_, right_bottom_grid_pin_39_, right_bottom_grid_pin_40_, right_bottom_grid_pin_41_, top_left_grid_pin_42_, top_left_grid_pin_43_, top_left_grid_pin_44_, top_left_grid_pin_45_, top_left_grid_pin_46_, top_left_grid_pin_47_, top_left_grid_pin_48_, top_left_grid_pin_49_, VPWR, VGND, chanx_left_in, chanx_left_out, chanx_right_in, chanx_right_out, chany_bottom_in, chany_bottom_out, chany_top_in, chany_top_out);
output Test_en_N_out;
input Test_en_S_in;
input VGND;
input VPWR;
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
input bottom_left_grid_pin_42_;
input bottom_left_grid_pin_43_;
input bottom_left_grid_pin_44_;
input bottom_left_grid_pin_45_;
input bottom_left_grid_pin_46_;
input bottom_left_grid_pin_47_;
input bottom_left_grid_pin_48_;
input bottom_left_grid_pin_49_;
input ccff_head;
output ccff_tail;
input [19:0] chanx_left_in;
output [19:0] chanx_left_out;
input [19:0] chanx_right_in;
output [19:0] chanx_right_out;
input [19:0] chany_bottom_in;
output [19:0] chany_bottom_out;
input [19:0] chany_top_in;
output [19:0] chany_top_out;
output clk_1_E_out;
input clk_1_N_in;
input clk_1_S_in;
output clk_1_W_out;
input clk_2_E_in;
output clk_2_E_out;
input clk_2_N_in;
output clk_2_N_out;
input clk_2_S_in;
output clk_2_S_out;
input clk_2_W_in;
output clk_2_W_out;
input clk_3_E_in;
output clk_3_E_out;
input clk_3_N_in;
output clk_3_N_out;
input clk_3_S_in;
output clk_3_S_out;
input clk_3_W_in;
output clk_3_W_out;
wire \clknet_0_mem_bottom_track_1.prog_clk ;
wire \clknet_1_0_0_mem_bottom_track_1.prog_clk ;
wire \clknet_1_1_0_mem_bottom_track_1.prog_clk ;
wire \clknet_2_0_0_mem_bottom_track_1.prog_clk ;
wire \clknet_2_1_0_mem_bottom_track_1.prog_clk ;
wire \clknet_2_2_0_mem_bottom_track_1.prog_clk ;
wire \clknet_2_3_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_0_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_1_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_2_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_3_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_4_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_5_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_6_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_7_0_mem_bottom_track_1.prog_clk ;
input left_bottom_grid_pin_34_;
input left_bottom_grid_pin_35_;
input left_bottom_grid_pin_36_;
input left_bottom_grid_pin_37_;
input left_bottom_grid_pin_38_;
input left_bottom_grid_pin_39_;
input left_bottom_grid_pin_40_;
input left_bottom_grid_pin_41_;
wire \mem_bottom_track_1.ccff_head ;
wire \mem_bottom_track_1.ccff_tail ;
wire \mem_bottom_track_1.mem_out[0] ;
wire \mem_bottom_track_1.mem_out[1] ;
wire \mem_bottom_track_1.mem_out[2] ;
wire \mem_bottom_track_1.prog_clk ;
wire \mem_bottom_track_17.ccff_head ;
wire \mem_bottom_track_17.ccff_tail ;
wire \mem_bottom_track_17.mem_out[0] ;
wire \mem_bottom_track_17.mem_out[1] ;
wire \mem_bottom_track_17.mem_out[2] ;
wire \mem_bottom_track_25.ccff_tail ;
wire \mem_bottom_track_25.mem_out[0] ;
wire \mem_bottom_track_25.mem_out[1] ;
wire \mem_bottom_track_25.mem_out[2] ;
wire \mem_bottom_track_3.ccff_tail ;
wire \mem_bottom_track_3.mem_out[0] ;
wire \mem_bottom_track_3.mem_out[1] ;
wire \mem_bottom_track_3.mem_out[2] ;
wire \mem_bottom_track_33.ccff_tail ;
wire \mem_bottom_track_33.mem_out[0] ;
wire \mem_bottom_track_33.mem_out[1] ;
wire \mem_bottom_track_5.ccff_tail ;
wire \mem_bottom_track_5.mem_out[0] ;
wire \mem_bottom_track_5.mem_out[1] ;
wire \mem_bottom_track_5.mem_out[2] ;
wire \mem_bottom_track_5.mem_out[3] ;
wire \mem_bottom_track_9.mem_out[0] ;
wire \mem_bottom_track_9.mem_out[1] ;
wire \mem_bottom_track_9.mem_out[2] ;
wire \mem_left_track_1.ccff_tail ;
wire \mem_left_track_1.mem_out[0] ;
wire \mem_left_track_1.mem_out[1] ;
wire \mem_left_track_1.mem_out[2] ;
wire \mem_left_track_17.ccff_head ;
wire \mem_left_track_17.ccff_tail ;
wire \mem_left_track_17.mem_out[0] ;
wire \mem_left_track_17.mem_out[1] ;
wire \mem_left_track_17.mem_out[2] ;
wire \mem_left_track_25.ccff_tail ;
wire \mem_left_track_25.mem_out[0] ;
wire \mem_left_track_25.mem_out[1] ;
wire \mem_left_track_25.mem_out[2] ;
wire \mem_left_track_3.ccff_tail ;
wire \mem_left_track_3.mem_out[0] ;
wire \mem_left_track_3.mem_out[1] ;
wire \mem_left_track_3.mem_out[2] ;
wire \mem_left_track_33.mem_out[0] ;
wire \mem_left_track_33.mem_out[1] ;
wire \mem_left_track_5.ccff_tail ;
wire \mem_left_track_5.mem_out[0] ;
wire \mem_left_track_5.mem_out[1] ;
wire \mem_left_track_5.mem_out[2] ;
wire \mem_left_track_5.mem_out[3] ;
wire \mem_left_track_9.mem_out[0] ;
wire \mem_left_track_9.mem_out[1] ;
wire \mem_left_track_9.mem_out[2] ;
wire \mem_right_track_0.ccff_head ;
wire \mem_right_track_0.ccff_tail ;
wire \mem_right_track_0.mem_out[0] ;
wire \mem_right_track_0.mem_out[1] ;
wire \mem_right_track_0.mem_out[2] ;
wire \mem_right_track_16.ccff_head ;
wire \mem_right_track_16.ccff_tail ;
wire \mem_right_track_16.mem_out[0] ;
wire \mem_right_track_16.mem_out[1] ;
wire \mem_right_track_16.mem_out[2] ;
wire \mem_right_track_2.ccff_tail ;
wire \mem_right_track_2.mem_out[0] ;
wire \mem_right_track_2.mem_out[1] ;
wire \mem_right_track_2.mem_out[2] ;
wire \mem_right_track_24.ccff_tail ;
wire \mem_right_track_24.mem_out[0] ;
wire \mem_right_track_24.mem_out[1] ;
wire \mem_right_track_24.mem_out[2] ;
wire \mem_right_track_32.mem_out[0] ;
wire \mem_right_track_32.mem_out[1] ;
wire \mem_right_track_4.ccff_tail ;
wire \mem_right_track_4.mem_out[0] ;
wire \mem_right_track_4.mem_out[1] ;
wire \mem_right_track_4.mem_out[2] ;
wire \mem_right_track_4.mem_out[3] ;
wire \mem_right_track_8.mem_out[0] ;
wire \mem_right_track_8.mem_out[1] ;
wire \mem_right_track_8.mem_out[2] ;
wire \mem_top_track_0.ccff_tail ;
wire \mem_top_track_0.mem_out[0] ;
wire \mem_top_track_0.mem_out[1] ;
wire \mem_top_track_0.mem_out[2] ;
wire \mem_top_track_16.ccff_head ;
wire \mem_top_track_16.ccff_tail ;
wire \mem_top_track_16.mem_out[0] ;
wire \mem_top_track_16.mem_out[1] ;
wire \mem_top_track_16.mem_out[2] ;
wire \mem_top_track_2.ccff_tail ;
wire \mem_top_track_2.mem_out[0] ;
wire \mem_top_track_2.mem_out[1] ;
wire \mem_top_track_2.mem_out[2] ;
wire \mem_top_track_24.ccff_tail ;
wire \mem_top_track_24.mem_out[0] ;
wire \mem_top_track_24.mem_out[1] ;
wire \mem_top_track_24.mem_out[2] ;
wire \mem_top_track_32.mem_out[0] ;
wire \mem_top_track_32.mem_out[1] ;
wire \mem_top_track_4.ccff_tail ;
wire \mem_top_track_4.mem_out[0] ;
wire \mem_top_track_4.mem_out[1] ;
wire \mem_top_track_4.mem_out[2] ;
wire \mem_top_track_4.mem_out[3] ;
wire \mem_top_track_8.mem_out[0] ;
wire \mem_top_track_8.mem_out[1] ;
wire \mem_top_track_8.mem_out[2] ;
wire \mux_bottom_track_1.out ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_17.out ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_25.out ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_3.out ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_33.out ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_5.out ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_14_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_15_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_9.out ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_1.out ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_17.out ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_25.out ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_3.out ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_33.out ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_5.out ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_14_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_15_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_9.out ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_0.out ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_16.out ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_2.out ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_24.out ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_32.out ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_4.out ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_14_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_15_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_8.out ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_0.out ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_16.out ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_2.out ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_24.out ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_32.out ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_4.out ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_14_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_15_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_8.out ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_9_X ;
input prog_clk_0_N_in;
output prog_clk_1_E_out;
input prog_clk_1_N_in;
input prog_clk_1_S_in;
output prog_clk_1_W_out;
input prog_clk_2_E_in;
output prog_clk_2_E_out;
input prog_clk_2_N_in;
output prog_clk_2_N_out;
input prog_clk_2_S_in;
output prog_clk_2_S_out;
input prog_clk_2_W_in;
output prog_clk_2_W_out;
input prog_clk_3_E_in;
output prog_clk_3_E_out;
input prog_clk_3_N_in;
output prog_clk_3_N_out;
input prog_clk_3_S_in;
output prog_clk_3_S_out;
input prog_clk_3_W_in;
output prog_clk_3_W_out;
input right_bottom_grid_pin_34_;
input right_bottom_grid_pin_35_;
input right_bottom_grid_pin_36_;
input right_bottom_grid_pin_37_;
input right_bottom_grid_pin_38_;
input right_bottom_grid_pin_39_;
input right_bottom_grid_pin_40_;
input right_bottom_grid_pin_41_;
input top_left_grid_pin_42_;
input top_left_grid_pin_43_;
input top_left_grid_pin_44_;
input top_left_grid_pin_45_;
input top_left_grid_pin_46_;
input top_left_grid_pin_47_;
input top_left_grid_pin_48_;
input top_left_grid_pin_49_;
sky130_fd_sc_hd__diode_2 ANTENNA_0 (
.DIODE(chanx_left_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_1 (
.DIODE(chanx_left_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_10 (
.DIODE(chany_bottom_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_11 (
.DIODE(chany_bottom_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_12 (
.DIODE(chany_top_in[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_13 (
.DIODE(chany_top_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_14 (
.DIODE(chany_top_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_2 (
.DIODE(chanx_left_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_3 (
.DIODE(chanx_left_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_4 (
.DIODE(chanx_left_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_5 (
.DIODE(chanx_left_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_6 (
.DIODE(chanx_left_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_7 (
.DIODE(chanx_right_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_8 (
.DIODE(chanx_right_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_9 (
.DIODE(chany_bottom_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_106 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_134 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_142 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_0_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_165 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_173 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_179 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_196 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_208 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_0_214 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_0_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_55 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_0_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_0_94 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_10_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_106 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_111 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_122 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_140 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_10_170 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_183 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_194 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_49 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_10_55 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_79 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_11_108 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_11_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_146 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_157 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_168 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_11_179 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_11_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_192 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_11_214 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_26 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_11_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_37 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_95 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_130 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_12_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_167 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_12_185 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_192 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_12_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_43 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_54 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_79 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_161 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_172 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_206 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_13_217 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_128 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_133 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_14_144 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_14_170 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_177 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_188 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_201 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_70 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_88 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_14_99 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_111 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_15_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_15_178 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_182 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_187 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_15_205 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_15_217 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_26 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_15_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_37 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_71 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_76 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_101 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_142 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_146 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_170 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_16_190 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_23 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_16_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_55 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_16_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_108 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_17_119 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_17_160 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_170 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_34 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_38 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_89 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_95 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_114 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_132 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_140 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_170 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_183 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_18_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_53 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_6 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_71 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_77 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_96 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_10 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_110 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_132 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_138 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_149 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_160 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_19_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_192 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_50 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_19_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_19_92 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_99 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_1_101 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_1_116 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_1_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_140 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_1_177 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_19 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_1_37 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_1_57 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_1_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_72 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_8 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_83 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_113 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_12 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_122 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_140 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_20_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_175 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_196 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_207 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_23 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_69 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_20_75 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_101 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_126 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_137 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_155 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_173 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_190 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_208 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_216 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_21_34 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_53 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_79 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_22_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_112 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_130 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_140 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_158 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_169 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_22_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_191 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_6 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_22_89 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_23_100 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_107 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_118 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_133 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_144 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_155 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_173 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_20 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_23_217 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_28 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_42 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_57 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_71 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_82 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_9 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_111 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_116 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_127 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_140 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_192 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_24_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_52 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_85 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_100 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_132 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_25_143 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_155 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_166 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_25_177 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_191 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_25_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_52 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_25_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_89 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_26_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_114 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_125 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_130 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_148 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_161 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_172 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_183 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_194 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_26_205 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_41 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_61 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_82 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_27_108 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_149 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_167 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_178 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_182 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_27_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_27_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_35 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_46 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_57 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_71 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_82 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_103 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_114 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_125 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_28_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_170 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_192 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_28_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_54 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_76 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_80 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_115 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_142 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_162 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_175 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_192 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_208 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_216 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_75 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_97 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_2_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_132 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_2_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_2_165 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_177 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_188 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_199 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_2_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_2_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_2_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_2_25 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_2_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_2_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_40 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_2_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_68 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_2_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_30_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_127 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_30_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_147 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_30_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_162 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_174 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_189 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_30_197 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_30_41 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_53 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_30_71 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_101 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_107 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_118 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_31_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_131 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_143 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_182 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_191 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_205 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_209 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_216 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_28 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_57 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_79 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_103 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_114 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_122 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_165 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_173 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_177 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_187 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_191 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_198 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_207 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_50 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_74 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_85 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_112 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_3_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_3_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_153 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_3_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_164 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_175 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_3_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_3_214 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_3_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_3_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_3_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_3_82 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_3_99 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_101 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_112 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_4_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_145 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_169 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_189 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_4_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_4_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_5_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_133 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_162 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_175 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_5_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_197 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_20 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_5_214 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_5_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_5_38 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_5_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_5_76 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_88 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_9 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_99 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_6_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_126 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_137 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_6_148 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_6_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_185 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_6_196 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_6_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_6_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_42 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_53 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_84 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_98 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_126 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_7_137 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_7_156 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_175 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_7_20 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_7_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_206 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_7_217 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_7_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_49 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_7_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_98 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_108 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_126 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_8_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_174 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_185 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_8_208 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_8_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_38 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_8_69 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_79 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_8_97 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_128 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_13 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_139 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_155 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_172 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_178 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_182 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_9_31 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_53 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_76 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_87 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_9_98 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_0 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_1 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_10 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_101 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_102 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_103 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_104 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_107 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_108 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_109 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_110 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_111 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_112 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_114 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_115 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_117 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_119 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_12 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_120 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_122 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_124 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_125 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_126 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_127 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_128 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_129 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_13 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_130 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_131 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_133 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_134 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_135 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_137 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_138 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_14 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_140 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_141 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_142 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_143 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_144 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_145 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_146 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_147 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_150 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_151 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_153 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_155 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_156 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_157 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_158 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_159 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_160 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_162 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_163 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_164 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_165 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_166 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_167 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_168 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_169 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_170 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_171 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_172 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_174 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_175 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_176 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_178 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_179 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_181 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_182 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_183 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_185 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_186 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_187 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_19 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_2 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_20 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_22 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_23 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_25 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_26 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_28 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_31 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_33 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_34 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_35 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_37 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_38 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_4 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_40 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_41 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_42 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_43 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_46 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_49 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_5 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_50 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_52 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_53 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_54 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_55 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_57 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_6 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_61 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_64 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_66 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_67 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_68 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_69 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_70 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_72 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_73 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_75 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_76 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_77 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_78 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_79 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_8 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_81 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_82 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_83 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_84 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_85 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_86 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_87 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_89 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_9 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_90 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_92 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_94 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_95 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_96 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_97 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_98 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_99 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__buf_4 Test_en_N_FTB01 (
.A(Test_en_S_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(Test_en_N_out)
);
sky130_fd_sc_hd__conb_1 _028_ (
.HI(_027_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _029_ (
.HI(_000_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _030_ (
.HI(_001_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _031_ (
.HI(_002_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _032_ (
.HI(_003_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _033_ (
.HI(_004_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _034_ (
.HI(_005_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _035_ (
.HI(_006_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _036_ (
.HI(_007_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _037_ (
.HI(_008_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _038_ (
.HI(_009_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _039_ (
.HI(_010_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _040_ (
.HI(_011_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _041_ (
.HI(_012_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _042_ (
.HI(_013_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _043_ (
.HI(_014_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _044_ (
.HI(_015_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _045_ (
.HI(_016_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _046_ (
.HI(_017_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _047_ (
.HI(_018_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _048_ (
.HI(_019_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _049_ (
.HI(_020_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _050_ (
.HI(_021_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _051_ (
.HI(_022_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _052_ (
.HI(_023_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _053_ (
.HI(_024_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _054_ (
.HI(_025_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _055_ (
.HI(_026_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__buf_2 _056_ (
.A(chanx_right_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[19])
);
sky130_fd_sc_hd__buf_2 _057_ (
.A(chanx_right_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[18])
);
sky130_fd_sc_hd__buf_2 _058_ (
.A(chanx_right_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[17])
);
sky130_fd_sc_hd__buf_2 _059_ (
.A(\mux_left_track_33.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[16])
);
sky130_fd_sc_hd__buf_2 _060_ (
.A(chanx_right_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[15])
);
sky130_fd_sc_hd__buf_2 _061_ (
.A(chanx_right_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[14])
);
sky130_fd_sc_hd__buf_2 _062_ (
.A(chanx_right_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[13])
);
sky130_fd_sc_hd__buf_2 _063_ (
.A(\mux_left_track_25.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[12])
);
sky130_fd_sc_hd__buf_2 _064_ (
.A(chanx_right_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[11])
);
sky130_fd_sc_hd__buf_2 _065_ (
.A(chanx_right_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[10])
);
sky130_fd_sc_hd__buf_2 _066_ (
.A(chanx_right_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[9])
);
sky130_fd_sc_hd__buf_2 _067_ (
.A(\mux_left_track_17.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[8])
);
sky130_fd_sc_hd__buf_2 _068_ (
.A(chanx_right_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[7])
);
sky130_fd_sc_hd__buf_2 _069_ (
.A(chanx_right_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[6])
);
sky130_fd_sc_hd__buf_2 _070_ (
.A(chanx_right_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[5])
);
sky130_fd_sc_hd__buf_2 _071_ (
.A(\mux_left_track_9.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[4])
);
sky130_fd_sc_hd__buf_2 _072_ (
.A(chanx_right_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[3])
);
sky130_fd_sc_hd__buf_2 _073_ (
.A(\mux_left_track_5.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[2])
);
sky130_fd_sc_hd__buf_2 _074_ (
.A(\mux_left_track_3.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[1])
);
sky130_fd_sc_hd__buf_2 _075_ (
.A(\mux_left_track_1.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[0])
);
sky130_fd_sc_hd__buf_2 _076_ (
.A(chanx_left_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[19])
);
sky130_fd_sc_hd__buf_2 _077_ (
.A(chanx_left_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[18])
);
sky130_fd_sc_hd__buf_2 _078_ (
.A(chanx_left_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[17])
);
sky130_fd_sc_hd__buf_2 _079_ (
.A(\mux_right_track_32.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[16])
);
sky130_fd_sc_hd__buf_2 _080_ (
.A(chanx_left_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[15])
);
sky130_fd_sc_hd__buf_2 _081_ (
.A(chanx_left_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[14])
);
sky130_fd_sc_hd__buf_2 _082_ (
.A(chanx_left_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[13])
);
sky130_fd_sc_hd__buf_2 _083_ (
.A(\mux_right_track_24.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[12])
);
sky130_fd_sc_hd__buf_2 _084_ (
.A(chanx_left_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[11])
);
sky130_fd_sc_hd__buf_2 _085_ (
.A(chanx_left_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[10])
);
sky130_fd_sc_hd__buf_2 _086_ (
.A(chanx_left_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[9])
);
sky130_fd_sc_hd__buf_2 _087_ (
.A(\mux_right_track_16.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[8])
);
sky130_fd_sc_hd__buf_2 _088_ (
.A(chanx_left_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[7])
);
sky130_fd_sc_hd__buf_2 _089_ (
.A(chanx_left_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[6])
);
sky130_fd_sc_hd__buf_2 _090_ (
.A(chanx_left_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[5])
);
sky130_fd_sc_hd__buf_2 _091_ (
.A(\mux_right_track_8.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[4])
);
sky130_fd_sc_hd__buf_2 _092_ (
.A(chanx_left_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[3])
);
sky130_fd_sc_hd__buf_2 _093_ (
.A(\mux_right_track_4.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[2])
);
sky130_fd_sc_hd__buf_2 _094_ (
.A(\mux_right_track_2.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[1])
);
sky130_fd_sc_hd__buf_2 _095_ (
.A(\mux_right_track_0.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[0])
);
sky130_fd_sc_hd__buf_2 _096_ (
.A(chany_top_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[19])
);
sky130_fd_sc_hd__buf_2 _097_ (
.A(chany_top_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[18])
);
sky130_fd_sc_hd__buf_2 _098_ (
.A(chany_top_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[17])
);
sky130_fd_sc_hd__buf_2 _099_ (
.A(\mux_bottom_track_33.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[16])
);
sky130_fd_sc_hd__buf_2 _100_ (
.A(chany_top_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[15])
);
sky130_fd_sc_hd__buf_2 _101_ (
.A(chany_top_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[14])
);
sky130_fd_sc_hd__buf_2 _102_ (
.A(chany_top_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[13])
);
sky130_fd_sc_hd__buf_2 _103_ (
.A(\mux_bottom_track_25.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[12])
);
sky130_fd_sc_hd__buf_2 _104_ (
.A(chany_top_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[11])
);
sky130_fd_sc_hd__buf_2 _105_ (
.A(chany_top_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[10])
);
sky130_fd_sc_hd__buf_2 _106_ (
.A(chany_top_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[9])
);
sky130_fd_sc_hd__buf_2 _107_ (
.A(\mux_bottom_track_17.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[8])
);
sky130_fd_sc_hd__buf_2 _108_ (
.A(chany_top_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[7])
);
sky130_fd_sc_hd__buf_2 _109_ (
.A(chany_top_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[6])
);
sky130_fd_sc_hd__buf_2 _110_ (
.A(chany_top_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[5])
);
sky130_fd_sc_hd__buf_2 _111_ (
.A(\mux_bottom_track_9.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[4])
);
sky130_fd_sc_hd__buf_2 _112_ (
.A(chany_top_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[3])
);
sky130_fd_sc_hd__buf_2 _113_ (
.A(\mux_bottom_track_5.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[2])
);
sky130_fd_sc_hd__buf_2 _114_ (
.A(\mux_bottom_track_3.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[1])
);
sky130_fd_sc_hd__buf_2 _115_ (
.A(\mux_bottom_track_1.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[0])
);
sky130_fd_sc_hd__buf_2 _116_ (
.A(chany_bottom_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[19])
);
sky130_fd_sc_hd__buf_2 _117_ (
.A(chany_bottom_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[18])
);
sky130_fd_sc_hd__buf_2 _118_ (
.A(chany_bottom_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[17])
);
sky130_fd_sc_hd__buf_2 _119_ (
.A(\mux_top_track_32.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[16])
);
sky130_fd_sc_hd__buf_2 _120_ (
.A(chany_bottom_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[15])
);
sky130_fd_sc_hd__buf_2 _121_ (
.A(chany_bottom_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[14])
);
sky130_fd_sc_hd__buf_2 _122_ (
.A(chany_bottom_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[13])
);
sky130_fd_sc_hd__buf_2 _123_ (
.A(\mux_top_track_24.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[12])
);
sky130_fd_sc_hd__buf_2 _124_ (
.A(chany_bottom_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[11])
);
sky130_fd_sc_hd__buf_2 _125_ (
.A(chany_bottom_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[10])
);
sky130_fd_sc_hd__buf_2 _126_ (
.A(chany_bottom_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[9])
);
sky130_fd_sc_hd__buf_2 _127_ (
.A(\mux_top_track_16.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[8])
);
sky130_fd_sc_hd__buf_2 _128_ (
.A(chany_bottom_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[7])
);
sky130_fd_sc_hd__buf_2 _129_ (
.A(chany_bottom_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[6])
);
sky130_fd_sc_hd__buf_2 _130_ (
.A(chany_bottom_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[5])
);
sky130_fd_sc_hd__buf_2 _131_ (
.A(\mux_top_track_8.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[4])
);
sky130_fd_sc_hd__buf_2 _132_ (
.A(chany_bottom_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[3])
);
sky130_fd_sc_hd__buf_2 _133_ (
.A(\mux_top_track_4.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[2])
);
sky130_fd_sc_hd__buf_2 _134_ (
.A(\mux_top_track_2.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[1])
);
sky130_fd_sc_hd__buf_2 _135_ (
.A(\mux_top_track_0.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[0])
);
sky130_fd_sc_hd__buf_4 clk_1_E_FTB01 (
.A(clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_1_E_out)
);
sky130_fd_sc_hd__buf_4 clk_1_W_FTB01 (
.A(clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_1_W_out)
);
sky130_fd_sc_hd__buf_4 clk_2_E_FTB01 (
.A(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_2_E_out)
);
sky130_fd_sc_hd__buf_4 clk_2_N_FTB01 (
.A(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_2_N_out)
);
sky130_fd_sc_hd__buf_4 clk_2_S_FTB01 (
.A(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_2_S_out)
);
sky130_fd_sc_hd__buf_4 clk_2_W_FTB01 (
.A(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_2_W_out)
);
sky130_fd_sc_hd__buf_4 clk_3_E_FTB01 (
.A(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_3_E_out)
);
sky130_fd_sc_hd__buf_4 clk_3_N_FTB01 (
.A(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_3_N_out)
);
sky130_fd_sc_hd__buf_4 clk_3_S_FTB01 (
.A(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_3_S_out)
);
sky130_fd_sc_hd__buf_4 clk_3_W_FTB01 (
.A(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_3_W_out)
);
sky130_fd_sc_hd__clkbuf_16 \clkbuf_0_mem_bottom_track_1.prog_clk (
.A(\mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_1_0_0_mem_bottom_track_1.prog_clk (
.A(\clknet_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_1_0_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_1_1_0_mem_bottom_track_1.prog_clk (
.A(\clknet_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_1_1_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_0_0_mem_bottom_track_1.prog_clk (
.A(\clknet_1_0_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_0_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_1_0_mem_bottom_track_1.prog_clk (
.A(\clknet_1_0_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_1_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_2_0_mem_bottom_track_1.prog_clk (
.A(\clknet_1_1_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_2_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_3_0_mem_bottom_track_1.prog_clk (
.A(\clknet_1_1_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_3_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_0_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_0_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_0_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_1_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_0_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_1_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_2_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_1_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_2_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_3_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_1_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_3_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_4_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_2_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_4_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_5_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_2_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_5_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_6_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_3_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_6_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_7_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_3_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_7_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_1.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.ccff_head ),
.Q(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_1.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.mem_out[0] ),
.Q(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_1.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.mem_out[1] ),
.Q(\mem_bottom_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_1.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.mem_out[2] ),
.Q(\mem_bottom_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_17.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.ccff_head ),
.Q(\mem_bottom_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_17.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.mem_out[0] ),
.Q(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_17.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.mem_out[1] ),
.Q(\mem_bottom_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_17.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.mem_out[2] ),
.Q(\mem_bottom_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_25.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.ccff_tail ),
.Q(\mem_bottom_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_25.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_25.mem_out[0] ),
.Q(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_25.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_25.mem_out[1] ),
.Q(\mem_bottom_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_25.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_25.mem_out[2] ),
.Q(\mem_bottom_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_3.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.ccff_tail ),
.Q(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_3.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_3.mem_out[0] ),
.Q(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_3.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_3.mem_out[1] ),
.Q(\mem_bottom_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_3.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_3.mem_out[2] ),
.Q(\mem_bottom_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_33.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_25.ccff_tail ),
.Q(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_33.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_33.mem_out[0] ),
.Q(\mem_bottom_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_33.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_33.mem_out[1] ),
.Q(\mem_bottom_track_33.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_3.ccff_tail ),
.Q(\mem_bottom_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.mem_out[0] ),
.Q(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.mem_out[1] ),
.Q(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.mem_out[2] ),
.Q(\mem_bottom_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_4_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.mem_out[3] ),
.Q(\mem_bottom_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_9.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.ccff_tail ),
.Q(\mem_bottom_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_9.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_9.mem_out[0] ),
.Q(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_9.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_9.mem_out[1] ),
.Q(\mem_bottom_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_9.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_9.mem_out[2] ),
.Q(\mem_bottom_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_33.ccff_tail ),
.Q(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_1.mem_out[0] ),
.Q(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_1.mem_out[1] ),
.Q(\mem_left_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_1.mem_out[2] ),
.Q(\mem_left_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.ccff_head ),
.Q(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.mem_out[0] ),
.Q(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.mem_out[1] ),
.Q(\mem_left_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.mem_out[2] ),
.Q(\mem_left_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.ccff_tail ),
.Q(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_25.mem_out[0] ),
.Q(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_25.mem_out[1] ),
.Q(\mem_left_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_25.mem_out[2] ),
.Q(\mem_left_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_1.ccff_tail ),
.Q(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_3.mem_out[0] ),
.Q(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_3.mem_out[1] ),
.Q(\mem_left_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_3.mem_out[2] ),
.Q(\mem_left_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_33.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_25.ccff_tail ),
.Q(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_33.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_33.mem_out[0] ),
.Q(\mem_left_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_33.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_33.mem_out[1] ),
.Q(ccff_tail),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_3.ccff_tail ),
.Q(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[0] ),
.Q(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[1] ),
.Q(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[2] ),
.Q(\mem_left_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_4_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[3] ),
.Q(\mem_left_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.ccff_tail ),
.Q(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_9.mem_out[0] ),
.Q(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_9.mem_out[1] ),
.Q(\mem_left_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_9.mem_out[2] ),
.Q(\mem_left_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.ccff_head ),
.Q(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.mem_out[0] ),
.Q(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.mem_out[1] ),
.Q(\mem_right_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.mem_out[2] ),
.Q(\mem_right_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.ccff_head ),
.Q(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.mem_out[0] ),
.Q(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.mem_out[1] ),
.Q(\mem_right_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.mem_out[2] ),
.Q(\mem_right_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.ccff_tail ),
.Q(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_2.mem_out[0] ),
.Q(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_2.mem_out[1] ),
.Q(\mem_right_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_2.mem_out[2] ),
.Q(\mem_right_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.ccff_tail ),
.Q(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_24.mem_out[0] ),
.Q(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_24.mem_out[1] ),
.Q(\mem_right_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_24.mem_out[2] ),
.Q(\mem_right_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_32.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_24.ccff_tail ),
.Q(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_32.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_32.mem_out[0] ),
.Q(\mem_right_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_32.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_32.mem_out[1] ),
.Q(\mem_bottom_track_1.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_2.ccff_tail ),
.Q(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[0] ),
.Q(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[1] ),
.Q(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[2] ),
.Q(\mem_right_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_4_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[3] ),
.Q(\mem_right_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.ccff_tail ),
.Q(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_8.mem_out[0] ),
.Q(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_8.mem_out[1] ),
.Q(\mem_right_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_8.mem_out[2] ),
.Q(\mem_right_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(ccff_head),
.Q(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_0.mem_out[0] ),
.Q(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_0.mem_out[1] ),
.Q(\mem_top_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_0.mem_out[2] ),
.Q(\mem_top_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.ccff_head ),
.Q(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.mem_out[0] ),
.Q(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.mem_out[1] ),
.Q(\mem_top_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.mem_out[2] ),
.Q(\mem_top_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_0.ccff_tail ),
.Q(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_2.mem_out[0] ),
.Q(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_2.mem_out[1] ),
.Q(\mem_top_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_2.mem_out[2] ),
.Q(\mem_top_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.ccff_tail ),
.Q(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_24.mem_out[0] ),
.Q(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_24.mem_out[1] ),
.Q(\mem_top_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_24.mem_out[2] ),
.Q(\mem_top_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_32.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_24.ccff_tail ),
.Q(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_32.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_32.mem_out[0] ),
.Q(\mem_top_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_32.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_32.mem_out[1] ),
.Q(\mem_right_track_0.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_2.ccff_tail ),
.Q(\mem_top_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[0] ),
.Q(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[1] ),
.Q(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[2] ),
.Q(\mem_top_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_4_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[3] ),
.Q(\mem_top_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.ccff_tail ),
.Q(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_8.mem_out[0] ),
.Q(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_8.mem_out[1] ),
.Q(\mem_top_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_8.mem_out[2] ),
.Q(\mem_top_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_0_ (
.A0(chany_top_in[12]),
.A1(chany_top_in[2]),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_1_ (
.A0(chanx_right_in[12]),
.A1(chanx_right_in[2]),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_42_),
.A1(chanx_right_in[15]),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_3_ (
.A0(bottom_left_grid_pin_46_),
.A1(bottom_left_grid_pin_44_),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_4_ (
.A0(chanx_left_in[1]),
.A1(bottom_left_grid_pin_48_),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l2_in_0_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l2_in_1_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l2_in_2_ (
.A0(chanx_left_in[2]),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l2_in_3_ (
.A0(_015_),
.A1(chanx_left_in[12]),
.S(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l3_in_0_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l3_in_1_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l4_in_0_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_bottom_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_1.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l1_in_0_ (
.A0(chany_top_in[17]),
.A1(chany_top_in[8]),
.S(\mem_bottom_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l1_in_1_ (
.A0(chanx_right_in[8]),
.A1(chanx_right_in[1]),
.S(\mem_bottom_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_43_),
.A1(chanx_right_in[17]),
.S(\mem_bottom_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l2_in_0_ (
.A0(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l2_in_1_ (
.A0(bottom_left_grid_pin_47_),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l2_in_2_ (
.A0(chanx_left_in[15]),
.A1(chanx_left_in[8]),
.S(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l2_in_3_ (
.A0(_016_),
.A1(chanx_left_in[17]),
.S(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l3_in_0_ (
.A0(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_bottom_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l3_in_1_ (
.A0(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l4_in_0_ (
.A0(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_17.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l1_in_0_ (
.A0(chany_top_in[18]),
.A1(chany_top_in[9]),
.S(\mem_bottom_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l1_in_1_ (
.A0(chanx_right_in[9]),
.A1(chanx_right_in[0]),
.S(\mem_bottom_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_44_),
.A1(chanx_right_in[18]),
.S(\mem_bottom_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l2_in_0_ (
.A0(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l2_in_1_ (
.A0(bottom_left_grid_pin_48_),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l2_in_2_ (
.A0(chanx_left_in[18]),
.A1(chanx_left_in[9]),
.S(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l2_in_3_ (
.A0(_017_),
.A1(chanx_left_in[19]),
.S(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l3_in_0_ (
.A0(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_bottom_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l3_in_1_ (
.A0(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l4_in_0_ (
.A0(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_25.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_0_ (
.A0(chany_top_in[13]),
.A1(chany_top_in[4]),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_1_ (
.A0(chanx_right_in[11]),
.A1(chanx_right_in[4]),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_43_),
.A1(chanx_right_in[13]),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_3_ (
.A0(bottom_left_grid_pin_47_),
.A1(bottom_left_grid_pin_45_),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_4_ (
.A0(chanx_left_in[3]),
.A1(bottom_left_grid_pin_49_),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l2_in_0_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l2_in_1_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l2_in_2_ (
.A0(chanx_left_in[4]),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l2_in_3_ (
.A0(_018_),
.A1(chanx_left_in[13]),
.S(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l3_in_0_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l3_in_1_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l4_in_0_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_bottom_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_3.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l1_in_0_ (
.A0(chanx_right_in[10]),
.A1(chany_top_in[10]),
.S(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l1_in_1_ (
.A0(bottom_left_grid_pin_45_),
.A1(chanx_right_in[19]),
.S(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l1_in_2_ (
.A0(chanx_left_in[0]),
.A1(bottom_left_grid_pin_49_),
.S(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l1_in_3_ (
.A0(_019_),
.A1(chanx_left_in[10]),
.S(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l2_in_0_ (
.A0(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l2_in_1_ (
.A0(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l3_in_0_ (
.A0(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_bottom_track_33.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_33.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l1_in_0_ (
.A0(chany_top_in[14]),
.A1(chany_top_in[5]),
.S(\mem_bottom_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_0_ (
.A0(chanx_right_in[5]),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_1_ (
.A0(chanx_right_in[14]),
.A1(chanx_right_in[7]),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_2_ (
.A0(bottom_left_grid_pin_43_),
.A1(bottom_left_grid_pin_42_),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_3_ (
.A0(bottom_left_grid_pin_45_),
.A1(bottom_left_grid_pin_44_),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_4_ (
.A0(bottom_left_grid_pin_47_),
.A1(bottom_left_grid_pin_46_),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_5_ (
.A0(bottom_left_grid_pin_49_),
.A1(bottom_left_grid_pin_48_),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_6_ (
.A0(chanx_left_in[7]),
.A1(chanx_left_in[5]),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_7_ (
.A0(_020_),
.A1(chanx_left_in[14]),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l3_in_0_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l3_in_1_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l3_in_2_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l3_in_3_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l4_in_0_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_bottom_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l4_in_1_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_bottom_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_14_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l5_in_0_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_14_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_13_X ),
.S(\mem_bottom_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_15_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_5.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_15_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l1_in_0_ (
.A0(chany_top_in[16]),
.A1(chany_top_in[6]),
.S(\mem_bottom_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l1_in_1_ (
.A0(chanx_right_in[6]),
.A1(chanx_right_in[3]),
.S(\mem_bottom_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_42_),
.A1(chanx_right_in[16]),
.S(\mem_bottom_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l2_in_0_ (
.A0(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l2_in_1_ (
.A0(bottom_left_grid_pin_46_),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l2_in_2_ (
.A0(chanx_left_in[11]),
.A1(chanx_left_in[6]),
.S(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l2_in_3_ (
.A0(_021_),
.A1(chanx_left_in[16]),
.S(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l3_in_0_ (
.A0(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_bottom_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l3_in_1_ (
.A0(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l4_in_0_ (
.A0(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_9.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_0_ (
.A0(chany_top_in[2]),
.A1(chany_top_in[0]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_1_ (
.A0(chanx_right_in[2]),
.A1(chany_top_in[12]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_2_ (
.A0(chany_bottom_in[2]),
.A1(chanx_right_in[12]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_3_ (
.A0(chany_bottom_in[19]),
.A1(chany_bottom_in[12]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_4_ (
.A0(left_bottom_grid_pin_36_),
.A1(left_bottom_grid_pin_34_),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_0_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_1_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_38_),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_3_ (
.A0(_022_),
.A1(left_bottom_grid_pin_40_),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l3_in_0_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l3_in_1_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l4_in_0_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_left_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_1.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_0_ (
.A0(chany_top_in[8]),
.A1(chany_top_in[7]),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_1_ (
.A0(chanx_right_in[8]),
.A1(chany_top_in[17]),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_2_ (
.A0(chany_bottom_in[7]),
.A1(chanx_right_in[17]),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_0_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_1_ (
.A0(chany_bottom_in[8]),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_35_),
.A1(chany_bottom_in[17]),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_3_ (
.A0(_023_),
.A1(left_bottom_grid_pin_39_),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l3_in_0_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l3_in_1_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l4_in_0_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_17.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_0_ (
.A0(chany_top_in[9]),
.A1(chany_top_in[3]),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_1_ (
.A0(chanx_right_in[9]),
.A1(chany_top_in[18]),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_2_ (
.A0(chany_bottom_in[9]),
.A1(chanx_right_in[18]),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_0_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_1_ (
.A0(chany_bottom_in[11]),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_36_),
.A1(chany_bottom_in[18]),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_3_ (
.A0(_024_),
.A1(left_bottom_grid_pin_40_),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l3_in_0_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l3_in_1_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l4_in_0_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_25.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_0_ (
.A0(chany_top_in[13]),
.A1(chany_top_in[4]),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_1_ (
.A0(chanx_right_in[4]),
.A1(chany_top_in[19]),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_2_ (
.A0(chany_bottom_in[0]),
.A1(chanx_right_in[13]),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_3_ (
.A0(chany_bottom_in[13]),
.A1(chany_bottom_in[4]),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_4_ (
.A0(left_bottom_grid_pin_37_),
.A1(left_bottom_grid_pin_35_),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_0_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_1_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_39_),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_3_ (
.A0(_025_),
.A1(left_bottom_grid_pin_41_),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l3_in_0_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l3_in_1_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l4_in_0_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_left_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_3.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_0_ (
.A0(chany_top_in[10]),
.A1(chany_top_in[1]),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_1_ (
.A0(chany_bottom_in[10]),
.A1(chanx_right_in[10]),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_2_ (
.A0(left_bottom_grid_pin_37_),
.A1(chany_bottom_in[15]),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_3_ (
.A0(_026_),
.A1(left_bottom_grid_pin_41_),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l2_in_0_ (
.A0(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l2_in_1_ (
.A0(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l3_in_0_ (
.A0(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_4_X ),
.S(ccff_tail),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_33.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l1_in_0_ (
.A0(chany_top_in[14]),
.A1(chany_top_in[5]),
.S(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_0_ (
.A0(chany_top_in[15]),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_1_ (
.A0(chanx_right_in[14]),
.A1(chanx_right_in[5]),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_2_ (
.A0(chany_bottom_in[5]),
.A1(chany_bottom_in[1]),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_3_ (
.A0(left_bottom_grid_pin_34_),
.A1(chany_bottom_in[14]),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_4_ (
.A0(left_bottom_grid_pin_36_),
.A1(left_bottom_grid_pin_35_),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_5_ (
.A0(left_bottom_grid_pin_38_),
.A1(left_bottom_grid_pin_37_),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_6_ (
.A0(left_bottom_grid_pin_40_),
.A1(left_bottom_grid_pin_39_),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_7_ (
.A0(_027_),
.A1(left_bottom_grid_pin_41_),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_0_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_1_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_2_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_3_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l4_in_0_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_left_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l4_in_1_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_left_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_14_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l5_in_0_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_14_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_13_X ),
.S(\mem_left_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_15_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_5.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_15_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l1_in_0_ (
.A0(chany_top_in[11]),
.A1(chany_top_in[6]),
.S(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l1_in_1_ (
.A0(chanx_right_in[6]),
.A1(chany_top_in[16]),
.S(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l1_in_2_ (
.A0(chany_bottom_in[3]),
.A1(chanx_right_in[16]),
.S(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_0_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_1_ (
.A0(chany_bottom_in[6]),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_34_),
.A1(chany_bottom_in[16]),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_3_ (
.A0(_000_),
.A1(left_bottom_grid_pin_38_),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l3_in_0_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l3_in_1_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l4_in_0_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_9.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_0_ (
.A0(chany_top_in[12]),
.A1(chany_top_in[2]),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_34_),
.A1(chany_top_in[19]),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_2_ (
.A0(right_bottom_grid_pin_38_),
.A1(right_bottom_grid_pin_36_),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_3_ (
.A0(chany_bottom_in[2]),
.A1(right_bottom_grid_pin_40_),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_4_ (
.A0(chany_bottom_in[15]),
.A1(chany_bottom_in[12]),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_0_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_1_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_2_ (
.A0(chanx_left_in[2]),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_3_ (
.A0(_001_),
.A1(chanx_left_in[12]),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l3_in_0_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l3_in_1_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l4_in_0_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_right_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_0.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_0_ (
.A0(chany_top_in[8]),
.A1(chany_top_in[7]),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_35_),
.A1(chany_top_in[17]),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_2_ (
.A0(chany_bottom_in[1]),
.A1(right_bottom_grid_pin_39_),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_0_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_1_ (
.A0(chany_bottom_in[8]),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_2_ (
.A0(chanx_left_in[8]),
.A1(chany_bottom_in[17]),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_3_ (
.A0(_002_),
.A1(chanx_left_in[17]),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l3_in_0_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_right_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l3_in_1_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l4_in_0_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_16.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_0_ (
.A0(chany_top_in[4]),
.A1(chany_top_in[0]),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_35_),
.A1(chany_top_in[13]),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_2_ (
.A0(right_bottom_grid_pin_39_),
.A1(right_bottom_grid_pin_37_),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_3_ (
.A0(chany_bottom_in[4]),
.A1(right_bottom_grid_pin_41_),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_4_ (
.A0(chany_bottom_in[13]),
.A1(chany_bottom_in[11]),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_0_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_1_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_2_ (
.A0(chanx_left_in[4]),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_3_ (
.A0(_003_),
.A1(chanx_left_in[13]),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l3_in_0_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l3_in_1_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l4_in_0_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_right_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_2.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_0_ (
.A0(chany_top_in[11]),
.A1(chany_top_in[9]),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_36_),
.A1(chany_top_in[18]),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_2_ (
.A0(chany_bottom_in[0]),
.A1(right_bottom_grid_pin_40_),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_0_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_1_ (
.A0(chany_bottom_in[9]),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_2_ (
.A0(chanx_left_in[9]),
.A1(chany_bottom_in[18]),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_3_ (
.A0(_004_),
.A1(chanx_left_in[18]),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l3_in_0_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_right_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l3_in_1_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l4_in_0_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_24.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_0_ (
.A0(chany_top_in[15]),
.A1(chany_top_in[10]),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_41_),
.A1(right_bottom_grid_pin_37_),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_2_ (
.A0(chany_bottom_in[19]),
.A1(chany_bottom_in[10]),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_3_ (
.A0(_005_),
.A1(chanx_left_in[10]),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l2_in_0_ (
.A0(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l2_in_1_ (
.A0(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l3_in_0_ (
.A0(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_bottom_track_1.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_32.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l1_in_0_ (
.A0(chany_top_in[5]),
.A1(chany_top_in[1]),
.S(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_0_ (
.A0(chany_top_in[14]),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_1_ (
.A0(right_bottom_grid_pin_35_),
.A1(right_bottom_grid_pin_34_),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_2_ (
.A0(right_bottom_grid_pin_37_),
.A1(right_bottom_grid_pin_36_),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_3_ (
.A0(right_bottom_grid_pin_39_),
.A1(right_bottom_grid_pin_38_),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_4_ (
.A0(right_bottom_grid_pin_41_),
.A1(right_bottom_grid_pin_40_),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_5_ (
.A0(chany_bottom_in[7]),
.A1(chany_bottom_in[5]),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_6_ (
.A0(chanx_left_in[5]),
.A1(chany_bottom_in[14]),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_7_ (
.A0(_006_),
.A1(chanx_left_in[14]),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_0_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_1_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_2_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_3_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l4_in_0_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_right_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l4_in_1_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_right_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_14_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l5_in_0_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_14_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_13_X ),
.S(\mem_right_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_15_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_4.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_15_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l1_in_0_ (
.A0(chany_top_in[6]),
.A1(chany_top_in[3]),
.S(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_34_),
.A1(chany_top_in[16]),
.S(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l1_in_2_ (
.A0(chany_bottom_in[3]),
.A1(right_bottom_grid_pin_38_),
.S(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_0_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_1_ (
.A0(chany_bottom_in[6]),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_2_ (
.A0(chanx_left_in[6]),
.A1(chany_bottom_in[16]),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_3_ (
.A0(_007_),
.A1(chanx_left_in[16]),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l3_in_0_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_right_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l3_in_1_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l4_in_0_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_8.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_0_ (
.A0(top_left_grid_pin_44_),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_1_ (
.A0(top_left_grid_pin_48_),
.A1(top_left_grid_pin_46_),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_2_ (
.A0(chanx_right_in[2]),
.A1(chanx_right_in[1]),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_3_ (
.A0(chany_bottom_in[2]),
.A1(chanx_right_in[12]),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_4_ (
.A0(chanx_left_in[0]),
.A1(chany_bottom_in[12]),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_0_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_1_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_2_ (
.A0(chanx_left_in[2]),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_3_ (
.A0(_008_),
.A1(chanx_left_in[12]),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l3_in_0_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l3_in_1_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l4_in_0_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_top_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_0.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l1_in_0_ (
.A0(top_left_grid_pin_47_),
.A1(top_left_grid_pin_43_),
.S(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l1_in_1_ (
.A0(chanx_right_in[15]),
.A1(chanx_right_in[8]),
.S(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l1_in_2_ (
.A0(chany_bottom_in[8]),
.A1(chanx_right_in[17]),
.S(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l2_in_0_ (
.A0(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l2_in_1_ (
.A0(chany_bottom_in[17]),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l2_in_2_ (
.A0(chanx_left_in[8]),
.A1(chanx_left_in[7]),
.S(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l2_in_3_ (
.A0(_009_),
.A1(chanx_left_in[17]),
.S(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l3_in_0_ (
.A0(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_top_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l3_in_1_ (
.A0(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l4_in_0_ (
.A0(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_16.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_0_ (
.A0(top_left_grid_pin_45_),
.A1(top_left_grid_pin_43_),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_1_ (
.A0(top_left_grid_pin_49_),
.A1(top_left_grid_pin_47_),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_2_ (
.A0(chanx_right_in[4]),
.A1(chanx_right_in[3]),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_3_ (
.A0(chany_bottom_in[4]),
.A1(chanx_right_in[13]),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_4_ (
.A0(chanx_left_in[4]),
.A1(chany_bottom_in[13]),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_0_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_1_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_2_ (
.A0(chanx_left_in[13]),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_3_ (
.A0(_010_),
.A1(chanx_left_in[19]),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l3_in_0_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l3_in_1_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l4_in_0_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_top_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_2.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l1_in_0_ (
.A0(top_left_grid_pin_48_),
.A1(top_left_grid_pin_44_),
.S(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l1_in_1_ (
.A0(chanx_right_in[18]),
.A1(chanx_right_in[9]),
.S(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l1_in_2_ (
.A0(chany_bottom_in[9]),
.A1(chanx_right_in[19]),
.S(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l2_in_0_ (
.A0(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l2_in_1_ (
.A0(chany_bottom_in[18]),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l2_in_2_ (
.A0(chanx_left_in[9]),
.A1(chanx_left_in[3]),
.S(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l2_in_3_ (
.A0(_011_),
.A1(chanx_left_in[18]),
.S(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l3_in_0_ (
.A0(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_top_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l3_in_1_ (
.A0(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l4_in_0_ (
.A0(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_24.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l1_in_0_ (
.A0(top_left_grid_pin_49_),
.A1(top_left_grid_pin_45_),
.S(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l1_in_1_ (
.A0(chanx_right_in[10]),
.A1(chanx_right_in[0]),
.S(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l1_in_2_ (
.A0(chanx_left_in[1]),
.A1(chany_bottom_in[10]),
.S(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l1_in_3_ (
.A0(_012_),
.A1(chanx_left_in[10]),
.S(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l2_in_0_ (
.A0(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l2_in_1_ (
.A0(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l3_in_0_ (
.A0(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_0.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_32.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l1_in_0_ (
.A0(top_left_grid_pin_43_),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_0_ (
.A0(top_left_grid_pin_44_),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_1_ (
.A0(top_left_grid_pin_46_),
.A1(top_left_grid_pin_45_),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_2_ (
.A0(top_left_grid_pin_48_),
.A1(top_left_grid_pin_47_),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_3_ (
.A0(chanx_right_in[5]),
.A1(top_left_grid_pin_49_),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_4_ (
.A0(chanx_right_in[14]),
.A1(chanx_right_in[7]),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_5_ (
.A0(chany_bottom_in[14]),
.A1(chany_bottom_in[5]),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_6_ (
.A0(chanx_left_in[14]),
.A1(chanx_left_in[5]),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_7_ (
.A0(_013_),
.A1(chanx_left_in[15]),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l3_in_0_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l3_in_1_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l3_in_2_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l3_in_3_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l4_in_0_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_top_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l4_in_1_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_top_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_14_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l5_in_0_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_14_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_13_X ),
.S(\mem_top_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_15_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_4.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_15_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l1_in_0_ (
.A0(top_left_grid_pin_46_),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l1_in_1_ (
.A0(chanx_right_in[11]),
.A1(chanx_right_in[6]),
.S(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l1_in_2_ (
.A0(chany_bottom_in[6]),
.A1(chanx_right_in[16]),
.S(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_0_ (
.A0(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_1_ (
.A0(chany_bottom_in[16]),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_2_ (
.A0(chanx_left_in[11]),
.A1(chanx_left_in[6]),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_3_ (
.A0(_014_),
.A1(chanx_left_in[16]),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l3_in_0_ (
.A0(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_top_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l3_in_1_ (
.A0(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l4_in_0_ (
.A0(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_8.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.out )
);
sky130_fd_sc_hd__buf_8 prog_clk_0_FTB00 (
.A(prog_clk_0_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__buf_4 prog_clk_1_E_FTB01 (
.A(prog_clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_1_E_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_1_W_FTB01 (
.A(prog_clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_1_W_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_2_E_FTB01 (
.A(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_2_E_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_2_N_FTB01 (
.A(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_2_N_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_2_S_FTB01 (
.A(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_2_S_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_2_W_FTB01 (
.A(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_2_W_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_3_E_FTB01 (
.A(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_3_E_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_3_N_FTB01 (
.A(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_3_N_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_3_S_FTB01 (
.A(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_3_S_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_3_W_FTB01 (
.A(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_3_W_out)
);
endmodule