blob: e3c08624d7bc5d0538047a883ec46582076ff831 [file] [log] [blame]
/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
module sb_1__1_(Test_en_N_out, Test_en_S_in, bottom_left_grid_pin_42_, bottom_left_grid_pin_43_, bottom_left_grid_pin_44_, bottom_left_grid_pin_45_, bottom_left_grid_pin_46_, bottom_left_grid_pin_47_, bottom_left_grid_pin_48_, bottom_left_grid_pin_49_, ccff_head, ccff_tail, clk_1_E_out, clk_1_N_in, clk_1_W_out, clk_2_E_out, clk_2_N_in, clk_2_N_out, clk_2_S_out, clk_2_W_out, clk_3_E_out, clk_3_N_in, clk_3_N_out, clk_3_S_out, clk_3_W_out, left_bottom_grid_pin_34_, left_bottom_grid_pin_35_, left_bottom_grid_pin_36_, left_bottom_grid_pin_37_, left_bottom_grid_pin_38_, left_bottom_grid_pin_39_, left_bottom_grid_pin_40_, left_bottom_grid_pin_41_, prog_clk_0_N_in, prog_clk_1_E_out, prog_clk_1_N_in, prog_clk_1_W_out, prog_clk_2_E_out, prog_clk_2_N_in, prog_clk_2_N_out, prog_clk_2_S_out, prog_clk_2_W_out, prog_clk_3_E_out, prog_clk_3_N_in, prog_clk_3_N_out, prog_clk_3_S_out, prog_clk_3_W_out, right_bottom_grid_pin_34_, right_bottom_grid_pin_35_, right_bottom_grid_pin_36_, right_bottom_grid_pin_37_, right_bottom_grid_pin_38_, right_bottom_grid_pin_39_, right_bottom_grid_pin_40_, right_bottom_grid_pin_41_, top_left_grid_pin_42_, top_left_grid_pin_43_, top_left_grid_pin_44_, top_left_grid_pin_45_, top_left_grid_pin_46_, top_left_grid_pin_47_, top_left_grid_pin_48_, top_left_grid_pin_49_, VPWR, VGND, chanx_left_in, chanx_left_out, chanx_right_in, chanx_right_out, chany_bottom_in, chany_bottom_out, chany_top_in, chany_top_out);
output Test_en_N_out;
input Test_en_S_in;
input VGND;
input VPWR;
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
input bottom_left_grid_pin_42_;
input bottom_left_grid_pin_43_;
input bottom_left_grid_pin_44_;
input bottom_left_grid_pin_45_;
input bottom_left_grid_pin_46_;
input bottom_left_grid_pin_47_;
input bottom_left_grid_pin_48_;
input bottom_left_grid_pin_49_;
input ccff_head;
output ccff_tail;
input [19:0] chanx_left_in;
output [19:0] chanx_left_out;
input [19:0] chanx_right_in;
output [19:0] chanx_right_out;
input [19:0] chany_bottom_in;
output [19:0] chany_bottom_out;
input [19:0] chany_top_in;
output [19:0] chany_top_out;
output clk_1_E_out;
input clk_1_N_in;
output clk_1_W_out;
output clk_2_E_out;
input clk_2_N_in;
output clk_2_N_out;
output clk_2_S_out;
output clk_2_W_out;
output clk_3_E_out;
input clk_3_N_in;
output clk_3_N_out;
output clk_3_S_out;
output clk_3_W_out;
wire \clknet_0_mem_bottom_track_1.prog_clk ;
wire \clknet_1_0_0_mem_bottom_track_1.prog_clk ;
wire \clknet_1_1_0_mem_bottom_track_1.prog_clk ;
wire \clknet_2_0_0_mem_bottom_track_1.prog_clk ;
wire \clknet_2_1_0_mem_bottom_track_1.prog_clk ;
wire \clknet_2_2_0_mem_bottom_track_1.prog_clk ;
wire \clknet_2_3_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_0_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_1_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_2_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_3_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_4_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_5_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_6_0_mem_bottom_track_1.prog_clk ;
wire \clknet_3_7_0_mem_bottom_track_1.prog_clk ;
input left_bottom_grid_pin_34_;
input left_bottom_grid_pin_35_;
input left_bottom_grid_pin_36_;
input left_bottom_grid_pin_37_;
input left_bottom_grid_pin_38_;
input left_bottom_grid_pin_39_;
input left_bottom_grid_pin_40_;
input left_bottom_grid_pin_41_;
wire \mem_bottom_track_1.ccff_head ;
wire \mem_bottom_track_1.ccff_tail ;
wire \mem_bottom_track_1.mem_out[0] ;
wire \mem_bottom_track_1.mem_out[1] ;
wire \mem_bottom_track_1.mem_out[2] ;
wire \mem_bottom_track_1.prog_clk ;
wire \mem_bottom_track_17.ccff_head ;
wire \mem_bottom_track_17.ccff_tail ;
wire \mem_bottom_track_17.mem_out[0] ;
wire \mem_bottom_track_17.mem_out[1] ;
wire \mem_bottom_track_17.mem_out[2] ;
wire \mem_bottom_track_25.ccff_tail ;
wire \mem_bottom_track_25.mem_out[0] ;
wire \mem_bottom_track_25.mem_out[1] ;
wire \mem_bottom_track_25.mem_out[2] ;
wire \mem_bottom_track_3.ccff_tail ;
wire \mem_bottom_track_3.mem_out[0] ;
wire \mem_bottom_track_3.mem_out[1] ;
wire \mem_bottom_track_3.mem_out[2] ;
wire \mem_bottom_track_33.ccff_tail ;
wire \mem_bottom_track_33.mem_out[0] ;
wire \mem_bottom_track_33.mem_out[1] ;
wire \mem_bottom_track_5.ccff_tail ;
wire \mem_bottom_track_5.mem_out[0] ;
wire \mem_bottom_track_5.mem_out[1] ;
wire \mem_bottom_track_5.mem_out[2] ;
wire \mem_bottom_track_5.mem_out[3] ;
wire \mem_bottom_track_9.mem_out[0] ;
wire \mem_bottom_track_9.mem_out[1] ;
wire \mem_bottom_track_9.mem_out[2] ;
wire \mem_left_track_1.ccff_tail ;
wire \mem_left_track_1.mem_out[0] ;
wire \mem_left_track_1.mem_out[1] ;
wire \mem_left_track_1.mem_out[2] ;
wire \mem_left_track_17.ccff_head ;
wire \mem_left_track_17.ccff_tail ;
wire \mem_left_track_17.mem_out[0] ;
wire \mem_left_track_17.mem_out[1] ;
wire \mem_left_track_17.mem_out[2] ;
wire \mem_left_track_25.ccff_tail ;
wire \mem_left_track_25.mem_out[0] ;
wire \mem_left_track_25.mem_out[1] ;
wire \mem_left_track_25.mem_out[2] ;
wire \mem_left_track_3.ccff_tail ;
wire \mem_left_track_3.mem_out[0] ;
wire \mem_left_track_3.mem_out[1] ;
wire \mem_left_track_3.mem_out[2] ;
wire \mem_left_track_33.mem_out[0] ;
wire \mem_left_track_33.mem_out[1] ;
wire \mem_left_track_5.ccff_tail ;
wire \mem_left_track_5.mem_out[0] ;
wire \mem_left_track_5.mem_out[1] ;
wire \mem_left_track_5.mem_out[2] ;
wire \mem_left_track_5.mem_out[3] ;
wire \mem_left_track_9.mem_out[0] ;
wire \mem_left_track_9.mem_out[1] ;
wire \mem_left_track_9.mem_out[2] ;
wire \mem_right_track_0.ccff_head ;
wire \mem_right_track_0.ccff_tail ;
wire \mem_right_track_0.mem_out[0] ;
wire \mem_right_track_0.mem_out[1] ;
wire \mem_right_track_0.mem_out[2] ;
wire \mem_right_track_16.ccff_head ;
wire \mem_right_track_16.ccff_tail ;
wire \mem_right_track_16.mem_out[0] ;
wire \mem_right_track_16.mem_out[1] ;
wire \mem_right_track_16.mem_out[2] ;
wire \mem_right_track_2.ccff_tail ;
wire \mem_right_track_2.mem_out[0] ;
wire \mem_right_track_2.mem_out[1] ;
wire \mem_right_track_2.mem_out[2] ;
wire \mem_right_track_24.ccff_tail ;
wire \mem_right_track_24.mem_out[0] ;
wire \mem_right_track_24.mem_out[1] ;
wire \mem_right_track_24.mem_out[2] ;
wire \mem_right_track_32.mem_out[0] ;
wire \mem_right_track_32.mem_out[1] ;
wire \mem_right_track_4.ccff_tail ;
wire \mem_right_track_4.mem_out[0] ;
wire \mem_right_track_4.mem_out[1] ;
wire \mem_right_track_4.mem_out[2] ;
wire \mem_right_track_4.mem_out[3] ;
wire \mem_right_track_8.mem_out[0] ;
wire \mem_right_track_8.mem_out[1] ;
wire \mem_right_track_8.mem_out[2] ;
wire \mem_top_track_0.ccff_tail ;
wire \mem_top_track_0.mem_out[0] ;
wire \mem_top_track_0.mem_out[1] ;
wire \mem_top_track_0.mem_out[2] ;
wire \mem_top_track_16.ccff_head ;
wire \mem_top_track_16.ccff_tail ;
wire \mem_top_track_16.mem_out[0] ;
wire \mem_top_track_16.mem_out[1] ;
wire \mem_top_track_16.mem_out[2] ;
wire \mem_top_track_2.ccff_tail ;
wire \mem_top_track_2.mem_out[0] ;
wire \mem_top_track_2.mem_out[1] ;
wire \mem_top_track_2.mem_out[2] ;
wire \mem_top_track_24.ccff_tail ;
wire \mem_top_track_24.mem_out[0] ;
wire \mem_top_track_24.mem_out[1] ;
wire \mem_top_track_24.mem_out[2] ;
wire \mem_top_track_32.mem_out[0] ;
wire \mem_top_track_32.mem_out[1] ;
wire \mem_top_track_4.ccff_tail ;
wire \mem_top_track_4.mem_out[0] ;
wire \mem_top_track_4.mem_out[1] ;
wire \mem_top_track_4.mem_out[2] ;
wire \mem_top_track_4.mem_out[3] ;
wire \mem_top_track_8.mem_out[0] ;
wire \mem_top_track_8.mem_out[1] ;
wire \mem_top_track_8.mem_out[2] ;
wire \mux_bottom_track_1.out ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_17.out ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_25.out ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_3.out ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_33.out ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_5.out ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_14_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_15_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_bottom_track_9.out ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_1.out ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_1.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_17.out ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_17.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_25.out ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_25.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_3.out ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_3.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_33.out ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_33.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_5.out ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_14_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_15_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_5.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_left_track_9.out ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_left_track_9.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_0.out ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_0.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_16.out ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_16.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_2.out ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_2.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_24.out ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_24.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_32.out ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_32.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_4.out ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_14_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_15_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_4.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_right_track_8.out ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_right_track_8.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_0.out ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_0.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_16.out ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_16.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_2.out ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_2.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_24.out ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_24.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_32.out ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_32.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_4.out ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_10_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_11_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_12_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_13_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_14_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_15_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_4.sky130_fd_sc_hd__mux2_1_9_X ;
wire \mux_top_track_8.out ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_0_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_1_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_2_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_3_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_4_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_5_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_6_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_7_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_8_X ;
wire \mux_top_track_8.sky130_fd_sc_hd__mux2_1_9_X ;
input prog_clk_0_N_in;
output prog_clk_1_E_out;
input prog_clk_1_N_in;
output prog_clk_1_W_out;
output prog_clk_2_E_out;
input prog_clk_2_N_in;
output prog_clk_2_N_out;
output prog_clk_2_S_out;
output prog_clk_2_W_out;
output prog_clk_3_E_out;
input prog_clk_3_N_in;
output prog_clk_3_N_out;
output prog_clk_3_S_out;
output prog_clk_3_W_out;
input right_bottom_grid_pin_34_;
input right_bottom_grid_pin_35_;
input right_bottom_grid_pin_36_;
input right_bottom_grid_pin_37_;
input right_bottom_grid_pin_38_;
input right_bottom_grid_pin_39_;
input right_bottom_grid_pin_40_;
input right_bottom_grid_pin_41_;
input top_left_grid_pin_42_;
input top_left_grid_pin_43_;
input top_left_grid_pin_44_;
input top_left_grid_pin_45_;
input top_left_grid_pin_46_;
input top_left_grid_pin_47_;
input top_left_grid_pin_48_;
input top_left_grid_pin_49_;
sky130_fd_sc_hd__diode_2 ANTENNA_Test_en_N_FTB01_A (
.DIODE(Test_en_S_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__059__A (
.DIODE(chanx_right_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__061__A (
.DIODE(chanx_right_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__062__A (
.DIODE(chanx_right_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__063__A (
.DIODE(chanx_right_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__065__A (
.DIODE(chanx_right_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__066__A (
.DIODE(chanx_right_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__067__A (
.DIODE(chanx_right_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__069__A (
.DIODE(chanx_right_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__070__A (
.DIODE(chanx_right_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__071__A (
.DIODE(chanx_right_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__073__A (
.DIODE(chanx_right_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__074__A (
.DIODE(chanx_right_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__075__A (
.DIODE(chanx_right_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__079__A (
.DIODE(chanx_left_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__081__A (
.DIODE(chanx_left_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__082__A (
.DIODE(chanx_left_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__083__A (
.DIODE(chanx_left_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__085__A (
.DIODE(chanx_left_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__086__A (
.DIODE(chanx_left_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__087__A (
.DIODE(chanx_left_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__089__A (
.DIODE(chanx_left_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__090__A (
.DIODE(chanx_left_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__091__A (
.DIODE(chanx_left_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__093__A (
.DIODE(chanx_left_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__094__A (
.DIODE(chanx_left_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__095__A (
.DIODE(chanx_left_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__099__A (
.DIODE(chany_top_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__101__A (
.DIODE(chany_top_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__102__A (
.DIODE(chany_top_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__103__A (
.DIODE(chany_top_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__105__A (
.DIODE(chany_top_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__106__A (
.DIODE(chany_top_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__107__A (
.DIODE(chany_top_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__109__A (
.DIODE(chany_top_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__110__A (
.DIODE(chany_top_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__111__A (
.DIODE(chany_top_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__113__A (
.DIODE(chany_top_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__114__A (
.DIODE(chany_top_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__115__A (
.DIODE(chany_top_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__119__A (
.DIODE(chany_bottom_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__121__A (
.DIODE(chany_bottom_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__122__A (
.DIODE(chany_bottom_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__123__A (
.DIODE(chany_bottom_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__125__A (
.DIODE(chany_bottom_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__126__A (
.DIODE(chany_bottom_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__127__A (
.DIODE(chany_bottom_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__129__A (
.DIODE(chany_bottom_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__130__A (
.DIODE(chany_bottom_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__131__A (
.DIODE(chany_bottom_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__133__A (
.DIODE(chany_bottom_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__134__A (
.DIODE(chany_bottom_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA__135__A (
.DIODE(chany_bottom_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_1_E_FTB01_A (
.DIODE(clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_1_W_FTB01_A (
.DIODE(clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_2_E_FTB01_A (
.DIODE(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_2_N_FTB01_A (
.DIODE(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_2_S_FTB01_A (
.DIODE(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_2_W_FTB01_A (
.DIODE(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_3_E_FTB01_A (
.DIODE(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_3_N_FTB01_A (
.DIODE(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_3_S_FTB01_A (
.DIODE(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_clk_3_W_FTB01_A (
.DIODE(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_0__D (
.DIODE(ccff_head),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_0__A0 (
.DIODE(chany_top_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_0__A1 (
.DIODE(chany_top_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_2__A0 (
.DIODE(bottom_left_grid_pin_42_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_3__A0 (
.DIODE(bottom_left_grid_pin_46_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_3__A1 (
.DIODE(bottom_left_grid_pin_44_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_4__A0 (
.DIODE(chanx_left_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l1_in_4__A1 (
.DIODE(bottom_left_grid_pin_48_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_1.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l1_in_0__A0 (
.DIODE(chany_top_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l1_in_0__A1 (
.DIODE(chany_top_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l1_in_2__A0 (
.DIODE(bottom_left_grid_pin_43_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l2_in_1__A0 (
.DIODE(bottom_left_grid_pin_47_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l2_in_2__A1 (
.DIODE(chanx_left_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_17.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l1_in_0__A0 (
.DIODE(chany_top_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l1_in_0__A1 (
.DIODE(chany_top_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l1_in_2__A0 (
.DIODE(bottom_left_grid_pin_44_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l2_in_1__A0 (
.DIODE(bottom_left_grid_pin_48_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l2_in_2__A1 (
.DIODE(chanx_left_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_25.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_0__A0 (
.DIODE(chany_top_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_0__A1 (
.DIODE(chany_top_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_2__A0 (
.DIODE(bottom_left_grid_pin_43_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_3__A0 (
.DIODE(bottom_left_grid_pin_47_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_3__A1 (
.DIODE(bottom_left_grid_pin_45_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_4__A0 (
.DIODE(chanx_left_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l1_in_4__A1 (
.DIODE(bottom_left_grid_pin_49_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_3.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_33.mux_l1_in_0__A0 (
.DIODE(chanx_right_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_33.mux_l1_in_0__A1 (
.DIODE(chany_top_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_33.mux_l1_in_1__A0 (
.DIODE(bottom_left_grid_pin_45_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_33.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_33.mux_l1_in_2__A0 (
.DIODE(chanx_left_in[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_33.mux_l1_in_2__A1 (
.DIODE(bottom_left_grid_pin_49_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_33.mux_l1_in_3__A1 (
.DIODE(chanx_left_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l1_in_0__A0 (
.DIODE(chany_top_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l1_in_0__A1 (
.DIODE(chany_top_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_0__A0 (
.DIODE(chanx_right_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_1__A0 (
.DIODE(chanx_right_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_1__A1 (
.DIODE(chanx_right_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_2__A0 (
.DIODE(bottom_left_grid_pin_43_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_2__A1 (
.DIODE(bottom_left_grid_pin_42_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_3__A0 (
.DIODE(bottom_left_grid_pin_45_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_3__A1 (
.DIODE(bottom_left_grid_pin_44_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_4__A0 (
.DIODE(bottom_left_grid_pin_47_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_4__A1 (
.DIODE(bottom_left_grid_pin_46_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_5__A0 (
.DIODE(bottom_left_grid_pin_49_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_5__A1 (
.DIODE(bottom_left_grid_pin_48_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_6__A0 (
.DIODE(chanx_left_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_6__A1 (
.DIODE(chanx_left_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_5.mux_l2_in_7__A1 (
.DIODE(chanx_left_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l1_in_0__A0 (
.DIODE(chany_top_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l1_in_0__A1 (
.DIODE(chany_top_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l1_in_2__A0 (
.DIODE(bottom_left_grid_pin_42_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l2_in_1__A0 (
.DIODE(bottom_left_grid_pin_46_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l2_in_2__A1 (
.DIODE(chanx_left_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_bottom_track_9.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_0__A0 (
.DIODE(chany_top_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_0__A1 (
.DIODE(chany_top_in[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_1__A1 (
.DIODE(chany_top_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_3__A0 (
.DIODE(chany_bottom_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_3__A1 (
.DIODE(chany_bottom_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_4__A0 (
.DIODE(left_bottom_grid_pin_36_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l1_in_4__A1 (
.DIODE(left_bottom_grid_pin_34_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l2_in_2__A0 (
.DIODE(left_bottom_grid_pin_38_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_1.mux_l2_in_3__A1 (
.DIODE(left_bottom_grid_pin_40_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l1_in_0__A0 (
.DIODE(chany_top_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l1_in_0__A1 (
.DIODE(chany_top_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l1_in_1__A1 (
.DIODE(chany_top_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l2_in_1__A0 (
.DIODE(chany_bottom_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l2_in_2__A0 (
.DIODE(left_bottom_grid_pin_35_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l2_in_2__A1 (
.DIODE(chany_bottom_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_17.mux_l2_in_3__A1 (
.DIODE(left_bottom_grid_pin_39_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l1_in_0__A0 (
.DIODE(chany_top_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l1_in_0__A1 (
.DIODE(chany_top_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l1_in_1__A1 (
.DIODE(chany_top_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l2_in_1__A0 (
.DIODE(chany_bottom_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l2_in_2__A0 (
.DIODE(left_bottom_grid_pin_36_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l2_in_2__A1 (
.DIODE(chany_bottom_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_25.mux_l2_in_3__A1 (
.DIODE(left_bottom_grid_pin_40_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_0__A0 (
.DIODE(chany_top_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_0__A1 (
.DIODE(chany_top_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_1__A1 (
.DIODE(chany_top_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_3__A0 (
.DIODE(chany_bottom_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_3__A1 (
.DIODE(chany_bottom_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_4__A0 (
.DIODE(left_bottom_grid_pin_37_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l1_in_4__A1 (
.DIODE(left_bottom_grid_pin_35_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l2_in_2__A0 (
.DIODE(left_bottom_grid_pin_39_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_3.mux_l2_in_3__A1 (
.DIODE(left_bottom_grid_pin_41_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_33.mux_l1_in_0__A0 (
.DIODE(chany_top_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_33.mux_l1_in_0__A1 (
.DIODE(chany_top_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_33.mux_l1_in_1__A0 (
.DIODE(chany_bottom_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_33.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_33.mux_l1_in_2__A0 (
.DIODE(left_bottom_grid_pin_37_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_33.mux_l1_in_2__A1 (
.DIODE(chany_bottom_in[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_33.mux_l1_in_3__A1 (
.DIODE(left_bottom_grid_pin_41_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l1_in_0__A0 (
.DIODE(chany_top_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l1_in_0__A1 (
.DIODE(chany_top_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_0__A0 (
.DIODE(chany_top_in[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_1__A0 (
.DIODE(chanx_right_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_1__A1 (
.DIODE(chanx_right_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_2__A0 (
.DIODE(chany_bottom_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_2__A1 (
.DIODE(chany_bottom_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_3__A0 (
.DIODE(left_bottom_grid_pin_34_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_3__A1 (
.DIODE(chany_bottom_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_4__A0 (
.DIODE(left_bottom_grid_pin_36_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_4__A1 (
.DIODE(left_bottom_grid_pin_35_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_5__A0 (
.DIODE(left_bottom_grid_pin_38_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_5__A1 (
.DIODE(left_bottom_grid_pin_37_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_6__A0 (
.DIODE(left_bottom_grid_pin_40_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_6__A1 (
.DIODE(left_bottom_grid_pin_39_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_5.mux_l2_in_7__A1 (
.DIODE(left_bottom_grid_pin_41_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l1_in_0__A0 (
.DIODE(chany_top_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l1_in_0__A1 (
.DIODE(chany_top_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l1_in_1__A1 (
.DIODE(chany_top_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l2_in_1__A0 (
.DIODE(chany_bottom_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l2_in_2__A0 (
.DIODE(left_bottom_grid_pin_34_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l2_in_2__A1 (
.DIODE(chany_bottom_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_left_track_9.mux_l2_in_3__A1 (
.DIODE(left_bottom_grid_pin_38_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_0__A0 (
.DIODE(chany_top_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_0__A1 (
.DIODE(chany_top_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_1__A0 (
.DIODE(right_bottom_grid_pin_34_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_1__A1 (
.DIODE(chany_top_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_2__A0 (
.DIODE(right_bottom_grid_pin_38_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_2__A1 (
.DIODE(right_bottom_grid_pin_36_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_3__A0 (
.DIODE(chany_bottom_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_3__A1 (
.DIODE(right_bottom_grid_pin_40_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_4__A0 (
.DIODE(chany_bottom_in[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l1_in_4__A1 (
.DIODE(chany_bottom_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_0.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l1_in_0__A0 (
.DIODE(chany_top_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l1_in_0__A1 (
.DIODE(chany_top_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l1_in_1__A0 (
.DIODE(right_bottom_grid_pin_35_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l1_in_1__A1 (
.DIODE(chany_top_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l1_in_2__A1 (
.DIODE(right_bottom_grid_pin_39_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l2_in_1__A0 (
.DIODE(chany_bottom_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l2_in_2__A1 (
.DIODE(chany_bottom_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_16.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_0__A0 (
.DIODE(chany_top_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_0__A1 (
.DIODE(chany_top_in[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_1__A0 (
.DIODE(right_bottom_grid_pin_35_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_1__A1 (
.DIODE(chany_top_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_2__A0 (
.DIODE(right_bottom_grid_pin_39_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_2__A1 (
.DIODE(right_bottom_grid_pin_37_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_3__A0 (
.DIODE(chany_bottom_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_3__A1 (
.DIODE(right_bottom_grid_pin_41_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_4__A0 (
.DIODE(chany_bottom_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l1_in_4__A1 (
.DIODE(chany_bottom_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_2.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l1_in_0__A0 (
.DIODE(chany_top_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l1_in_0__A1 (
.DIODE(chany_top_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l1_in_1__A0 (
.DIODE(right_bottom_grid_pin_36_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l1_in_1__A1 (
.DIODE(chany_top_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l1_in_2__A1 (
.DIODE(right_bottom_grid_pin_40_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l2_in_1__A0 (
.DIODE(chany_bottom_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l2_in_2__A1 (
.DIODE(chany_bottom_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_24.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_32.mux_l1_in_0__A0 (
.DIODE(chany_top_in[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_32.mux_l1_in_0__A1 (
.DIODE(chany_top_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_32.mux_l1_in_1__A0 (
.DIODE(right_bottom_grid_pin_41_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_32.mux_l1_in_1__A1 (
.DIODE(right_bottom_grid_pin_37_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_32.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_32.mux_l1_in_2__A1 (
.DIODE(chany_bottom_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_32.mux_l1_in_3__A1 (
.DIODE(chanx_left_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l1_in_0__A0 (
.DIODE(chany_top_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l1_in_0__A1 (
.DIODE(chany_top_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_0__A0 (
.DIODE(chany_top_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_1__A0 (
.DIODE(right_bottom_grid_pin_35_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_1__A1 (
.DIODE(right_bottom_grid_pin_34_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_2__A0 (
.DIODE(right_bottom_grid_pin_37_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_2__A1 (
.DIODE(right_bottom_grid_pin_36_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_3__A0 (
.DIODE(right_bottom_grid_pin_39_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_3__A1 (
.DIODE(right_bottom_grid_pin_38_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_4__A0 (
.DIODE(right_bottom_grid_pin_41_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_4__A1 (
.DIODE(right_bottom_grid_pin_40_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_5__A0 (
.DIODE(chany_bottom_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_5__A1 (
.DIODE(chany_bottom_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_6__A0 (
.DIODE(chanx_left_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_6__A1 (
.DIODE(chany_bottom_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_4.mux_l2_in_7__A1 (
.DIODE(chanx_left_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l1_in_0__A0 (
.DIODE(chany_top_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l1_in_0__A1 (
.DIODE(chany_top_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l1_in_1__A0 (
.DIODE(right_bottom_grid_pin_34_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l1_in_1__A1 (
.DIODE(chany_top_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l1_in_2__A1 (
.DIODE(right_bottom_grid_pin_38_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l2_in_1__A0 (
.DIODE(chany_bottom_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l2_in_2__A1 (
.DIODE(chany_bottom_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_right_track_8.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_0__A0 (
.DIODE(top_left_grid_pin_44_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_0__A1 (
.DIODE(top_left_grid_pin_42_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_1__A0 (
.DIODE(top_left_grid_pin_48_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_1__A1 (
.DIODE(top_left_grid_pin_46_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_2__A0 (
.DIODE(chanx_right_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_3__A0 (
.DIODE(chany_bottom_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_3__A1 (
.DIODE(chanx_right_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_4__A0 (
.DIODE(chanx_left_in[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l1_in_4__A1 (
.DIODE(chany_bottom_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_0.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l1_in_0__A0 (
.DIODE(top_left_grid_pin_47_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l1_in_0__A1 (
.DIODE(top_left_grid_pin_43_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l2_in_1__A0 (
.DIODE(chany_bottom_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l2_in_2__A1 (
.DIODE(chanx_left_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_16.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_0__A0 (
.DIODE(top_left_grid_pin_45_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_0__A1 (
.DIODE(top_left_grid_pin_43_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_1__A0 (
.DIODE(top_left_grid_pin_49_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_1__A1 (
.DIODE(top_left_grid_pin_47_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_2__A0 (
.DIODE(chanx_right_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_3__A0 (
.DIODE(chany_bottom_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_3__A1 (
.DIODE(chanx_right_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_4__A0 (
.DIODE(chanx_left_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l1_in_4__A1 (
.DIODE(chany_bottom_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_2.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l1_in_0__A0 (
.DIODE(top_left_grid_pin_48_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l1_in_0__A1 (
.DIODE(top_left_grid_pin_44_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l2_in_1__A0 (
.DIODE(chany_bottom_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l2_in_2__A1 (
.DIODE(chanx_left_in[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_24.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_32.mux_l1_in_0__A0 (
.DIODE(top_left_grid_pin_49_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_32.mux_l1_in_0__A1 (
.DIODE(top_left_grid_pin_45_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_32.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_32.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_32.mux_l1_in_2__A0 (
.DIODE(chanx_left_in[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_32.mux_l1_in_2__A1 (
.DIODE(chany_bottom_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_32.mux_l1_in_3__A1 (
.DIODE(chanx_left_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l1_in_0__A0 (
.DIODE(top_left_grid_pin_43_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l1_in_0__A1 (
.DIODE(top_left_grid_pin_42_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_0__A0 (
.DIODE(top_left_grid_pin_44_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_1__A0 (
.DIODE(top_left_grid_pin_46_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_1__A1 (
.DIODE(top_left_grid_pin_45_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_2__A0 (
.DIODE(top_left_grid_pin_48_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_2__A1 (
.DIODE(top_left_grid_pin_47_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_3__A0 (
.DIODE(chanx_right_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_3__A1 (
.DIODE(top_left_grid_pin_49_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_4__A0 (
.DIODE(chanx_right_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_4__A1 (
.DIODE(chanx_right_in[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_5__A0 (
.DIODE(chany_bottom_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_5__A1 (
.DIODE(chany_bottom_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_6__A0 (
.DIODE(chanx_left_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_6__A1 (
.DIODE(chanx_left_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_4.mux_l2_in_7__A1 (
.DIODE(chanx_left_in[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l1_in_0__A0 (
.DIODE(top_left_grid_pin_46_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l1_in_0__A1 (
.DIODE(top_left_grid_pin_42_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l1_in_1__A0 (
.DIODE(chanx_right_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l1_in_1__A1 (
.DIODE(chanx_right_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l1_in_2__A0 (
.DIODE(chany_bottom_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l1_in_2__A1 (
.DIODE(chanx_right_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l2_in_1__A0 (
.DIODE(chany_bottom_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l2_in_2__A0 (
.DIODE(chanx_left_in[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l2_in_2__A1 (
.DIODE(chanx_left_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 \ANTENNA_mux_top_track_8.mux_l2_in_3__A1 (
.DIODE(chanx_left_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_0_FTB00_A (
.DIODE(prog_clk_0_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_1_E_FTB01_A (
.DIODE(prog_clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_1_W_FTB01_A (
.DIODE(prog_clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_2_E_FTB01_A (
.DIODE(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_2_N_FTB01_A (
.DIODE(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_2_S_FTB01_A (
.DIODE(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_2_W_FTB01_A (
.DIODE(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_3_E_FTB01_A (
.DIODE(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_3_N_FTB01_A (
.DIODE(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_3_S_FTB01_A (
.DIODE(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__diode_2 ANTENNA_prog_clk_3_W_FTB01_A (
.DIODE(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_111 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_164 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_185 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_0_207 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_0_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_222 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_173 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_10_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_10_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_38 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_5 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_70 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_105 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_132 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_222 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_11_35 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_11_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_79 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_115 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_127 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_140 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_145 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_157 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_12_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_12_28 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_73 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_102 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_13_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_13_182 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_13_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_13_80 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_176 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_14_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_14_25 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_14_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_9 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_177 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_182 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_15_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_16_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_23 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_16_95 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_118 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_17_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_17_198 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_222 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_125 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_18_145 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_18_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_18_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_18_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_70 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_173 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_209 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_218 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_222 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_19_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_19_38 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_49 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_71 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_111 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_1_145 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_1_173 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_1_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_1_217 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_1_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_1_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_20_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_172 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_20_191 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_197 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_20_200 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_212 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_221 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_20_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_21_115 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_125 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_21_176 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_21_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_222 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_135 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_22_170 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_22_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_90 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_108 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_23_142 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_148 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_163 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_23_220 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_23_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_64 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_79 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_96 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_109 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_24_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_176 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_24_190 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_24_202 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_75 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_78 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_82 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_25_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_25_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_25_196 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_222 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_87 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_91 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_146 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_26_179 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_26_191 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_26_203 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_26_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_27_115 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_27_173 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_27_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_27_196 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_27_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_27_85 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_28_125 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_146 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_169 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_181 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_28_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_201 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_28_50 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_70 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_28_84 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_117 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_29_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_29_145 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_29_157 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_165 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_29_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_193 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_29_197 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_29_206 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_29_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_29_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_79 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_111 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_2_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_2_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_2_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_30_119 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_129 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_13 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_133 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_30_137 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_142 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_146 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_152 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_160 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_165 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_171 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_30_174 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_204 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_207 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_23 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_86 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_100 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_31_13 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_141 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_156 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_173 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_178 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_192 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_110 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_32_122 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_134 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_160 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_32_178 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_186 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_194 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_201 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_32_84 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_93 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_98 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_106 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_33_118 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_125 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_137 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_33_149 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_156 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_168 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_33_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_33_187 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_33_197 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_33_222 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_33_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_33_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_42 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_33_54 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_72 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_33_84 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_33_92 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_94 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_3_104 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_3_110 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_3_147 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_211 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_3_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_3_34 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_3_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_3_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_3_81 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_104 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_120 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_125 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_174 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_19 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_4_207 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_4_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_4_35 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_121 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_132 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_151 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_177 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_210 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_222 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_5_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_77 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_118 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_12 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_123 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_156 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_190 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_6_215 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_6_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_6_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_103 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_7_119 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_134 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_158 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_180 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_184 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_7_194 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_7_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_221 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_7_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_7_31 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_80 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_9 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_107 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_8_111 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_8_154 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_8_159 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_8_213 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_219 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_8_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_8_87 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_115 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_150 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_175 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_195 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_222 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_37 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_0 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_1 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_10 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_101 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_102 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_103 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_104 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_107 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_108 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_109 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_11 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_110 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_111 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_112 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_114 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_115 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_117 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_119 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_12 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_120 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_122 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_124 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_125 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_126 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_127 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_128 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_129 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_13 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_130 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_131 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_133 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_134 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_135 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_137 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_138 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_14 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_140 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_141 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_142 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_143 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_144 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_145 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_146 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_147 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_15 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_150 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_151 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_153 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_155 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_156 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_157 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_158 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_159 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_16 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_160 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_162 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_163 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_164 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_165 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_166 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_167 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_168 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_169 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_17 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_170 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_171 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_172 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_174 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_175 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_176 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_178 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_179 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_18 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_181 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_182 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_183 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_185 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_186 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_187 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_188 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_189 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_19 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_190 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_191 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_192 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_193 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_2 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_20 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_21 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_22 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_23 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_24 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_25 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_26 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_27 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_28 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_29 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_3 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_30 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_31 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_32 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_33 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_34 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_35 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_36 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_37 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_38 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_39 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_4 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_40 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_41 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_42 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_43 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_44 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_45 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_46 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_47 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_48 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_49 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_5 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_50 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_51 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_52 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_53 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_54 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_55 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_56 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_57 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_58 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_59 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_6 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_60 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_61 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_62 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_63 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_64 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_65 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_66 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_67 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_68 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_69 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_7 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_70 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_72 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_73 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_75 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_76 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_77 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_78 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_79 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_8 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_81 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_82 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_83 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_84 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_85 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_86 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_87 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_89 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_9 (
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_90 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_92 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_94 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_95 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_96 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_97 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_98 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_99 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__buf_4 Test_en_N_FTB01 (
.A(Test_en_S_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(Test_en_N_out)
);
sky130_fd_sc_hd__conb_1 _028_ (
.HI(_027_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _029_ (
.HI(_000_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _030_ (
.HI(_001_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _031_ (
.HI(_002_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _032_ (
.HI(_003_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _033_ (
.HI(_004_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _034_ (
.HI(_005_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _035_ (
.HI(_006_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _036_ (
.HI(_007_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _037_ (
.HI(_008_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _038_ (
.HI(_009_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _039_ (
.HI(_010_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _040_ (
.HI(_011_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _041_ (
.HI(_012_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _042_ (
.HI(_013_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _043_ (
.HI(_014_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _044_ (
.HI(_015_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _045_ (
.HI(_016_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _046_ (
.HI(_017_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _047_ (
.HI(_018_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _048_ (
.HI(_019_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _049_ (
.HI(_020_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _050_ (
.HI(_021_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _051_ (
.HI(_022_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _052_ (
.HI(_023_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _053_ (
.HI(_024_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _054_ (
.HI(_025_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__conb_1 _055_ (
.HI(_026_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__buf_2 _056_ (
.A(\mux_left_track_1.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[0])
);
sky130_fd_sc_hd__buf_2 _057_ (
.A(\mux_left_track_3.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[1])
);
sky130_fd_sc_hd__buf_2 _058_ (
.A(\mux_left_track_5.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[2])
);
sky130_fd_sc_hd__buf_2 _059_ (
.A(chanx_right_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[3])
);
sky130_fd_sc_hd__buf_2 _060_ (
.A(\mux_left_track_9.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[4])
);
sky130_fd_sc_hd__buf_2 _061_ (
.A(chanx_right_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[5])
);
sky130_fd_sc_hd__buf_2 _062_ (
.A(chanx_right_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[6])
);
sky130_fd_sc_hd__buf_2 _063_ (
.A(chanx_right_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[7])
);
sky130_fd_sc_hd__buf_2 _064_ (
.A(\mux_left_track_17.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[8])
);
sky130_fd_sc_hd__buf_2 _065_ (
.A(chanx_right_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[9])
);
sky130_fd_sc_hd__buf_2 _066_ (
.A(chanx_right_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[10])
);
sky130_fd_sc_hd__buf_2 _067_ (
.A(chanx_right_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[11])
);
sky130_fd_sc_hd__buf_2 _068_ (
.A(\mux_left_track_25.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[12])
);
sky130_fd_sc_hd__buf_2 _069_ (
.A(chanx_right_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[13])
);
sky130_fd_sc_hd__buf_2 _070_ (
.A(chanx_right_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[14])
);
sky130_fd_sc_hd__buf_2 _071_ (
.A(chanx_right_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[15])
);
sky130_fd_sc_hd__buf_2 _072_ (
.A(\mux_left_track_33.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[16])
);
sky130_fd_sc_hd__buf_2 _073_ (
.A(chanx_right_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[17])
);
sky130_fd_sc_hd__buf_2 _074_ (
.A(chanx_right_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[18])
);
sky130_fd_sc_hd__buf_2 _075_ (
.A(chanx_right_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_left_out[19])
);
sky130_fd_sc_hd__buf_2 _076_ (
.A(\mux_right_track_0.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[0])
);
sky130_fd_sc_hd__buf_2 _077_ (
.A(\mux_right_track_2.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[1])
);
sky130_fd_sc_hd__buf_2 _078_ (
.A(\mux_right_track_4.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[2])
);
sky130_fd_sc_hd__buf_2 _079_ (
.A(chanx_left_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[3])
);
sky130_fd_sc_hd__buf_2 _080_ (
.A(\mux_right_track_8.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[4])
);
sky130_fd_sc_hd__buf_2 _081_ (
.A(chanx_left_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[5])
);
sky130_fd_sc_hd__buf_2 _082_ (
.A(chanx_left_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[6])
);
sky130_fd_sc_hd__buf_2 _083_ (
.A(chanx_left_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[7])
);
sky130_fd_sc_hd__buf_2 _084_ (
.A(\mux_right_track_16.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[8])
);
sky130_fd_sc_hd__buf_2 _085_ (
.A(chanx_left_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[9])
);
sky130_fd_sc_hd__buf_2 _086_ (
.A(chanx_left_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[10])
);
sky130_fd_sc_hd__buf_2 _087_ (
.A(chanx_left_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[11])
);
sky130_fd_sc_hd__buf_2 _088_ (
.A(\mux_right_track_24.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[12])
);
sky130_fd_sc_hd__buf_2 _089_ (
.A(chanx_left_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[13])
);
sky130_fd_sc_hd__buf_2 _090_ (
.A(chanx_left_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[14])
);
sky130_fd_sc_hd__buf_2 _091_ (
.A(chanx_left_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[15])
);
sky130_fd_sc_hd__buf_2 _092_ (
.A(\mux_right_track_32.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[16])
);
sky130_fd_sc_hd__buf_2 _093_ (
.A(chanx_left_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[17])
);
sky130_fd_sc_hd__buf_2 _094_ (
.A(chanx_left_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[18])
);
sky130_fd_sc_hd__buf_2 _095_ (
.A(chanx_left_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chanx_right_out[19])
);
sky130_fd_sc_hd__buf_2 _096_ (
.A(\mux_bottom_track_1.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[0])
);
sky130_fd_sc_hd__buf_2 _097_ (
.A(\mux_bottom_track_3.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[1])
);
sky130_fd_sc_hd__buf_2 _098_ (
.A(\mux_bottom_track_5.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[2])
);
sky130_fd_sc_hd__buf_2 _099_ (
.A(chany_top_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[3])
);
sky130_fd_sc_hd__buf_2 _100_ (
.A(\mux_bottom_track_9.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[4])
);
sky130_fd_sc_hd__buf_2 _101_ (
.A(chany_top_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[5])
);
sky130_fd_sc_hd__buf_2 _102_ (
.A(chany_top_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[6])
);
sky130_fd_sc_hd__buf_2 _103_ (
.A(chany_top_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[7])
);
sky130_fd_sc_hd__buf_2 _104_ (
.A(\mux_bottom_track_17.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[8])
);
sky130_fd_sc_hd__buf_2 _105_ (
.A(chany_top_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[9])
);
sky130_fd_sc_hd__buf_2 _106_ (
.A(chany_top_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[10])
);
sky130_fd_sc_hd__buf_2 _107_ (
.A(chany_top_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[11])
);
sky130_fd_sc_hd__buf_2 _108_ (
.A(\mux_bottom_track_25.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[12])
);
sky130_fd_sc_hd__buf_2 _109_ (
.A(chany_top_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[13])
);
sky130_fd_sc_hd__buf_2 _110_ (
.A(chany_top_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[14])
);
sky130_fd_sc_hd__buf_2 _111_ (
.A(chany_top_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[15])
);
sky130_fd_sc_hd__buf_2 _112_ (
.A(\mux_bottom_track_33.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[16])
);
sky130_fd_sc_hd__buf_2 _113_ (
.A(chany_top_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[17])
);
sky130_fd_sc_hd__buf_2 _114_ (
.A(chany_top_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[18])
);
sky130_fd_sc_hd__buf_2 _115_ (
.A(chany_top_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_bottom_out[19])
);
sky130_fd_sc_hd__buf_2 _116_ (
.A(\mux_top_track_0.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[0])
);
sky130_fd_sc_hd__buf_2 _117_ (
.A(\mux_top_track_2.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[1])
);
sky130_fd_sc_hd__buf_2 _118_ (
.A(\mux_top_track_4.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[2])
);
sky130_fd_sc_hd__buf_2 _119_ (
.A(chany_bottom_in[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[3])
);
sky130_fd_sc_hd__buf_2 _120_ (
.A(\mux_top_track_8.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[4])
);
sky130_fd_sc_hd__buf_2 _121_ (
.A(chany_bottom_in[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[5])
);
sky130_fd_sc_hd__buf_2 _122_ (
.A(chany_bottom_in[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[6])
);
sky130_fd_sc_hd__buf_2 _123_ (
.A(chany_bottom_in[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[7])
);
sky130_fd_sc_hd__buf_2 _124_ (
.A(\mux_top_track_16.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[8])
);
sky130_fd_sc_hd__buf_2 _125_ (
.A(chany_bottom_in[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[9])
);
sky130_fd_sc_hd__buf_2 _126_ (
.A(chany_bottom_in[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[10])
);
sky130_fd_sc_hd__buf_2 _127_ (
.A(chany_bottom_in[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[11])
);
sky130_fd_sc_hd__buf_2 _128_ (
.A(\mux_top_track_24.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[12])
);
sky130_fd_sc_hd__buf_2 _129_ (
.A(chany_bottom_in[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[13])
);
sky130_fd_sc_hd__buf_2 _130_ (
.A(chany_bottom_in[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[14])
);
sky130_fd_sc_hd__buf_2 _131_ (
.A(chany_bottom_in[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[15])
);
sky130_fd_sc_hd__buf_2 _132_ (
.A(\mux_top_track_32.out ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[16])
);
sky130_fd_sc_hd__buf_2 _133_ (
.A(chany_bottom_in[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[17])
);
sky130_fd_sc_hd__buf_2 _134_ (
.A(chany_bottom_in[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[18])
);
sky130_fd_sc_hd__buf_2 _135_ (
.A(chany_bottom_in[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(chany_top_out[19])
);
sky130_fd_sc_hd__buf_4 clk_1_E_FTB01 (
.A(clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_1_E_out)
);
sky130_fd_sc_hd__buf_4 clk_1_W_FTB01 (
.A(clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_1_W_out)
);
sky130_fd_sc_hd__buf_4 clk_2_E_FTB01 (
.A(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_2_E_out)
);
sky130_fd_sc_hd__buf_4 clk_2_N_FTB01 (
.A(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_2_N_out)
);
sky130_fd_sc_hd__buf_4 clk_2_S_FTB01 (
.A(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_2_S_out)
);
sky130_fd_sc_hd__buf_4 clk_2_W_FTB01 (
.A(clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_2_W_out)
);
sky130_fd_sc_hd__buf_4 clk_3_E_FTB01 (
.A(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_3_E_out)
);
sky130_fd_sc_hd__buf_4 clk_3_N_FTB01 (
.A(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_3_N_out)
);
sky130_fd_sc_hd__buf_4 clk_3_S_FTB01 (
.A(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_3_S_out)
);
sky130_fd_sc_hd__buf_4 clk_3_W_FTB01 (
.A(clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(clk_3_W_out)
);
sky130_fd_sc_hd__clkbuf_16 \clkbuf_0_mem_bottom_track_1.prog_clk (
.A(\mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_1_0_0_mem_bottom_track_1.prog_clk (
.A(\clknet_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_1_0_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_1_1_0_mem_bottom_track_1.prog_clk (
.A(\clknet_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_1_1_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_0_0_mem_bottom_track_1.prog_clk (
.A(\clknet_1_0_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_0_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_1_0_mem_bottom_track_1.prog_clk (
.A(\clknet_1_0_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_1_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_2_0_mem_bottom_track_1.prog_clk (
.A(\clknet_1_1_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_2_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_2_3_0_mem_bottom_track_1.prog_clk (
.A(\clknet_1_1_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_2_3_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_0_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_0_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_0_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_1_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_0_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_1_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_2_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_1_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_2_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_3_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_1_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_3_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_4_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_2_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_4_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_5_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_2_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_5_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_6_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_3_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_6_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__clkbuf_1 \clkbuf_3_7_0_mem_bottom_track_1.prog_clk (
.A(\clknet_2_3_0_mem_bottom_track_1.prog_clk ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\clknet_3_7_0_mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_1.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.ccff_head ),
.Q(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_1.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.mem_out[0] ),
.Q(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_1.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.mem_out[1] ),
.Q(\mem_bottom_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_1.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.mem_out[2] ),
.Q(\mem_bottom_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_17.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.ccff_head ),
.Q(\mem_bottom_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_17.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.mem_out[0] ),
.Q(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_17.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.mem_out[1] ),
.Q(\mem_bottom_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_17.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.mem_out[2] ),
.Q(\mem_bottom_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_25.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_17.ccff_tail ),
.Q(\mem_bottom_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_25.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_25.mem_out[0] ),
.Q(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_25.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_25.mem_out[1] ),
.Q(\mem_bottom_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_25.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_25.mem_out[2] ),
.Q(\mem_bottom_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_3.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_1.ccff_tail ),
.Q(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_3.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_3.mem_out[0] ),
.Q(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_3.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_3.mem_out[1] ),
.Q(\mem_bottom_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_3.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_3.mem_out[2] ),
.Q(\mem_bottom_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_33.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_25.ccff_tail ),
.Q(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_33.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_33.mem_out[0] ),
.Q(\mem_bottom_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_33.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_33.mem_out[1] ),
.Q(\mem_bottom_track_33.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_3.ccff_tail ),
.Q(\mem_bottom_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.mem_out[0] ),
.Q(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.mem_out[1] ),
.Q(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.mem_out[2] ),
.Q(\mem_bottom_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_5.sky130_fd_sc_hd__dfxtp_1_4_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.mem_out[3] ),
.Q(\mem_bottom_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_9.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_5.ccff_tail ),
.Q(\mem_bottom_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_9.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_9.mem_out[0] ),
.Q(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_9.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_9.mem_out[1] ),
.Q(\mem_bottom_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_bottom_track_9.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_1_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_9.mem_out[2] ),
.Q(\mem_bottom_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_bottom_track_33.ccff_tail ),
.Q(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_1.mem_out[0] ),
.Q(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_1.mem_out[1] ),
.Q(\mem_left_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_1.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_1.mem_out[2] ),
.Q(\mem_left_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.ccff_head ),
.Q(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.mem_out[0] ),
.Q(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.mem_out[1] ),
.Q(\mem_left_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_17.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.mem_out[2] ),
.Q(\mem_left_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_17.ccff_tail ),
.Q(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_25.mem_out[0] ),
.Q(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_25.mem_out[1] ),
.Q(\mem_left_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_25.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_25.mem_out[2] ),
.Q(\mem_left_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_1.ccff_tail ),
.Q(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_3.mem_out[0] ),
.Q(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_3.mem_out[1] ),
.Q(\mem_left_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_3.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_3.mem_out[2] ),
.Q(\mem_left_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_33.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_25.ccff_tail ),
.Q(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_33.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_33.mem_out[0] ),
.Q(\mem_left_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_33.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_33.mem_out[1] ),
.Q(ccff_tail),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_3.ccff_tail ),
.Q(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[0] ),
.Q(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[1] ),
.Q(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[2] ),
.Q(\mem_left_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_5.sky130_fd_sc_hd__dfxtp_1_4_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.mem_out[3] ),
.Q(\mem_left_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_5.ccff_tail ),
.Q(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_9.mem_out[0] ),
.Q(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_9.mem_out[1] ),
.Q(\mem_left_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_left_track_9.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_left_track_9.mem_out[2] ),
.Q(\mem_left_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.ccff_head ),
.Q(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_4_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.mem_out[0] ),
.Q(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.mem_out[1] ),
.Q(\mem_right_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_0.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.mem_out[2] ),
.Q(\mem_right_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.ccff_head ),
.Q(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.mem_out[0] ),
.Q(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.mem_out[1] ),
.Q(\mem_right_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_16.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.mem_out[2] ),
.Q(\mem_right_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_0.ccff_tail ),
.Q(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_2.mem_out[0] ),
.Q(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_2.mem_out[1] ),
.Q(\mem_right_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_2.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_2.mem_out[2] ),
.Q(\mem_right_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_16.ccff_tail ),
.Q(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_24.mem_out[0] ),
.Q(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_24.mem_out[1] ),
.Q(\mem_right_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_24.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_24.mem_out[2] ),
.Q(\mem_right_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_32.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_24.ccff_tail ),
.Q(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_32.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_32.mem_out[0] ),
.Q(\mem_right_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_32.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_32.mem_out[1] ),
.Q(\mem_bottom_track_1.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_2.ccff_tail ),
.Q(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[0] ),
.Q(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[1] ),
.Q(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[2] ),
.Q(\mem_right_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_4.sky130_fd_sc_hd__dfxtp_1_4_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.mem_out[3] ),
.Q(\mem_right_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_4.ccff_tail ),
.Q(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_8.mem_out[0] ),
.Q(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_5_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_8.mem_out[1] ),
.Q(\mem_right_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_right_track_8.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_right_track_8.mem_out[2] ),
.Q(\mem_right_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_0_0_mem_bottom_track_1.prog_clk ),
.D(ccff_head),
.Q(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_0.mem_out[0] ),
.Q(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_0.mem_out[1] ),
.Q(\mem_top_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_0.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_0.mem_out[2] ),
.Q(\mem_top_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.ccff_head ),
.Q(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.mem_out[0] ),
.Q(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.mem_out[1] ),
.Q(\mem_top_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_16.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.mem_out[2] ),
.Q(\mem_top_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_0.ccff_tail ),
.Q(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_2.mem_out[0] ),
.Q(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_2.mem_out[1] ),
.Q(\mem_top_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_2.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_2.mem_out[2] ),
.Q(\mem_top_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_16.ccff_tail ),
.Q(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_24.mem_out[0] ),
.Q(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_24.mem_out[1] ),
.Q(\mem_top_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_24.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_6_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_24.mem_out[2] ),
.Q(\mem_top_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_32.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_24.ccff_tail ),
.Q(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_32.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_32.mem_out[0] ),
.Q(\mem_top_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_32.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_7_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_32.mem_out[1] ),
.Q(\mem_right_track_0.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_2.ccff_tail ),
.Q(\mem_top_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[0] ),
.Q(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[1] ),
.Q(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[2] ),
.Q(\mem_top_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_4.sky130_fd_sc_hd__dfxtp_1_4_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.mem_out[3] ),
.Q(\mem_top_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_0_ (
.CLK(\clknet_3_2_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_4.ccff_tail ),
.Q(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_1_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_8.mem_out[0] ),
.Q(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_2_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_8.mem_out[1] ),
.Q(\mem_top_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfxtp_1 \mem_top_track_8.sky130_fd_sc_hd__dfxtp_1_3_ (
.CLK(\clknet_3_3_0_mem_bottom_track_1.prog_clk ),
.D(\mem_top_track_8.mem_out[2] ),
.Q(\mem_top_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR)
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_0_ (
.A0(chany_top_in[12]),
.A1(chany_top_in[2]),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_1_ (
.A0(chanx_right_in[12]),
.A1(chanx_right_in[2]),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_42_),
.A1(chanx_right_in[15]),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_3_ (
.A0(bottom_left_grid_pin_46_),
.A1(bottom_left_grid_pin_44_),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l1_in_4_ (
.A0(chanx_left_in[1]),
.A1(bottom_left_grid_pin_48_),
.S(\mem_bottom_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l2_in_0_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l2_in_1_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l2_in_2_ (
.A0(chanx_left_in[2]),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l2_in_3_ (
.A0(_015_),
.A1(chanx_left_in[12]),
.S(\mem_bottom_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l3_in_0_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l3_in_1_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_1.mux_l4_in_0_ (
.A0(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_bottom_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_1.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_1.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_1.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l1_in_0_ (
.A0(chany_top_in[17]),
.A1(chany_top_in[8]),
.S(\mem_bottom_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l1_in_1_ (
.A0(chanx_right_in[8]),
.A1(chanx_right_in[1]),
.S(\mem_bottom_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_43_),
.A1(chanx_right_in[17]),
.S(\mem_bottom_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l2_in_0_ (
.A0(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l2_in_1_ (
.A0(bottom_left_grid_pin_47_),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l2_in_2_ (
.A0(chanx_left_in[15]),
.A1(chanx_left_in[8]),
.S(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l2_in_3_ (
.A0(_016_),
.A1(chanx_left_in[17]),
.S(\mem_bottom_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l3_in_0_ (
.A0(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_bottom_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l3_in_1_ (
.A0(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_17.mux_l4_in_0_ (
.A0(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_17.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_17.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_17.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l1_in_0_ (
.A0(chany_top_in[18]),
.A1(chany_top_in[9]),
.S(\mem_bottom_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l1_in_1_ (
.A0(chanx_right_in[9]),
.A1(chanx_right_in[0]),
.S(\mem_bottom_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_44_),
.A1(chanx_right_in[18]),
.S(\mem_bottom_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l2_in_0_ (
.A0(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l2_in_1_ (
.A0(bottom_left_grid_pin_48_),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l2_in_2_ (
.A0(chanx_left_in[18]),
.A1(chanx_left_in[9]),
.S(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l2_in_3_ (
.A0(_017_),
.A1(chanx_left_in[19]),
.S(\mem_bottom_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l3_in_0_ (
.A0(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_bottom_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l3_in_1_ (
.A0(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_25.mux_l4_in_0_ (
.A0(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_25.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_25.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_25.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_0_ (
.A0(chany_top_in[13]),
.A1(chany_top_in[4]),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_1_ (
.A0(chanx_right_in[11]),
.A1(chanx_right_in[4]),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_43_),
.A1(chanx_right_in[13]),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_3_ (
.A0(bottom_left_grid_pin_47_),
.A1(bottom_left_grid_pin_45_),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l1_in_4_ (
.A0(chanx_left_in[3]),
.A1(bottom_left_grid_pin_49_),
.S(\mem_bottom_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l2_in_0_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l2_in_1_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l2_in_2_ (
.A0(chanx_left_in[4]),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l2_in_3_ (
.A0(_018_),
.A1(chanx_left_in[13]),
.S(\mem_bottom_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l3_in_0_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l3_in_1_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_3.mux_l4_in_0_ (
.A0(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_bottom_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_3.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_3.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_3.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l1_in_0_ (
.A0(chanx_right_in[10]),
.A1(chany_top_in[10]),
.S(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l1_in_1_ (
.A0(bottom_left_grid_pin_45_),
.A1(chanx_right_in[19]),
.S(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l1_in_2_ (
.A0(chanx_left_in[0]),
.A1(bottom_left_grid_pin_49_),
.S(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l1_in_3_ (
.A0(_019_),
.A1(chanx_left_in[10]),
.S(\mem_bottom_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l2_in_0_ (
.A0(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l2_in_1_ (
.A0(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_33.mux_l3_in_0_ (
.A0(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_bottom_track_33.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_33.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_33.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_33.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l1_in_0_ (
.A0(chany_top_in[14]),
.A1(chany_top_in[5]),
.S(\mem_bottom_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_0_ (
.A0(chanx_right_in[5]),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_1_ (
.A0(chanx_right_in[14]),
.A1(chanx_right_in[7]),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_2_ (
.A0(bottom_left_grid_pin_43_),
.A1(bottom_left_grid_pin_42_),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_3_ (
.A0(bottom_left_grid_pin_45_),
.A1(bottom_left_grid_pin_44_),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_4_ (
.A0(bottom_left_grid_pin_47_),
.A1(bottom_left_grid_pin_46_),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_5_ (
.A0(bottom_left_grid_pin_49_),
.A1(bottom_left_grid_pin_48_),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_6_ (
.A0(chanx_left_in[7]),
.A1(chanx_left_in[5]),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l2_in_7_ (
.A0(_020_),
.A1(chanx_left_in[14]),
.S(\mem_bottom_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l3_in_0_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l3_in_1_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l3_in_2_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l3_in_3_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l4_in_0_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_bottom_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l4_in_1_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_bottom_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_14_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_5.mux_l5_in_0_ (
.A0(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_14_X ),
.A1(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_13_X ),
.S(\mem_bottom_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_15_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_5.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_5.sky130_fd_sc_hd__mux2_1_15_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_5.out )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l1_in_0_ (
.A0(chany_top_in[16]),
.A1(chany_top_in[6]),
.S(\mem_bottom_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l1_in_1_ (
.A0(chanx_right_in[6]),
.A1(chanx_right_in[3]),
.S(\mem_bottom_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l1_in_2_ (
.A0(bottom_left_grid_pin_42_),
.A1(chanx_right_in[16]),
.S(\mem_bottom_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l2_in_0_ (
.A0(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l2_in_1_ (
.A0(bottom_left_grid_pin_46_),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l2_in_2_ (
.A0(chanx_left_in[11]),
.A1(chanx_left_in[6]),
.S(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l2_in_3_ (
.A0(_021_),
.A1(chanx_left_in[16]),
.S(\mem_bottom_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l3_in_0_ (
.A0(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_bottom_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l3_in_1_ (
.A0(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_bottom_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_bottom_track_9.mux_l4_in_0_ (
.A0(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_bottom_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_bottom_track_9.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_bottom_track_9.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_bottom_track_9.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_0_ (
.A0(chany_top_in[2]),
.A1(chany_top_in[0]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_1_ (
.A0(chanx_right_in[2]),
.A1(chany_top_in[12]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_2_ (
.A0(chany_bottom_in[2]),
.A1(chanx_right_in[12]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_3_ (
.A0(chany_bottom_in[19]),
.A1(chany_bottom_in[12]),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l1_in_4_ (
.A0(left_bottom_grid_pin_36_),
.A1(left_bottom_grid_pin_34_),
.S(\mem_left_track_1.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_0_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_1_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_38_),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l2_in_3_ (
.A0(_022_),
.A1(left_bottom_grid_pin_40_),
.S(\mem_left_track_1.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l3_in_0_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l3_in_1_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_1.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_1.mux_l4_in_0_ (
.A0(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_left_track_1.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_1.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_1.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_1.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_0_ (
.A0(chany_top_in[8]),
.A1(chany_top_in[7]),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_1_ (
.A0(chanx_right_in[8]),
.A1(chany_top_in[17]),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l1_in_2_ (
.A0(chany_bottom_in[7]),
.A1(chanx_right_in[17]),
.S(\mem_left_track_17.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_0_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_1_ (
.A0(chany_bottom_in[8]),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_35_),
.A1(chany_bottom_in[17]),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l2_in_3_ (
.A0(_023_),
.A1(left_bottom_grid_pin_39_),
.S(\mem_left_track_17.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l3_in_0_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l3_in_1_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_17.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_17.mux_l4_in_0_ (
.A0(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_17.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_17.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_17.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_17.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_0_ (
.A0(chany_top_in[9]),
.A1(chany_top_in[3]),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_1_ (
.A0(chanx_right_in[9]),
.A1(chany_top_in[18]),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l1_in_2_ (
.A0(chany_bottom_in[9]),
.A1(chanx_right_in[18]),
.S(\mem_left_track_25.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_0_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_1_ (
.A0(chany_bottom_in[11]),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_36_),
.A1(chany_bottom_in[18]),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l2_in_3_ (
.A0(_024_),
.A1(left_bottom_grid_pin_40_),
.S(\mem_left_track_25.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l3_in_0_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l3_in_1_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_25.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_25.mux_l4_in_0_ (
.A0(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_25.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_25.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_25.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_25.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_0_ (
.A0(chany_top_in[13]),
.A1(chany_top_in[4]),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_1_ (
.A0(chanx_right_in[4]),
.A1(chany_top_in[19]),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_2_ (
.A0(chany_bottom_in[0]),
.A1(chanx_right_in[13]),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_3_ (
.A0(chany_bottom_in[13]),
.A1(chany_bottom_in[4]),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l1_in_4_ (
.A0(left_bottom_grid_pin_37_),
.A1(left_bottom_grid_pin_35_),
.S(\mem_left_track_3.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_0_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_1_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_39_),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l2_in_3_ (
.A0(_025_),
.A1(left_bottom_grid_pin_41_),
.S(\mem_left_track_3.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l3_in_0_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l3_in_1_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_3.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_3.mux_l4_in_0_ (
.A0(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_left_track_3.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_3.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_3.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_3.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_0_ (
.A0(chany_top_in[10]),
.A1(chany_top_in[1]),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_1_ (
.A0(chany_bottom_in[10]),
.A1(chanx_right_in[10]),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_2_ (
.A0(left_bottom_grid_pin_37_),
.A1(chany_bottom_in[15]),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l1_in_3_ (
.A0(_026_),
.A1(left_bottom_grid_pin_41_),
.S(\mem_left_track_33.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l2_in_0_ (
.A0(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l2_in_1_ (
.A0(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_33.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_33.mux_l3_in_0_ (
.A0(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_4_X ),
.S(ccff_tail),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_33.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_33.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_33.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l1_in_0_ (
.A0(chany_top_in[14]),
.A1(chany_top_in[5]),
.S(\mem_left_track_5.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_0_ (
.A0(chany_top_in[15]),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_1_ (
.A0(chanx_right_in[14]),
.A1(chanx_right_in[5]),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_2_ (
.A0(chany_bottom_in[5]),
.A1(chany_bottom_in[1]),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_3_ (
.A0(left_bottom_grid_pin_34_),
.A1(chany_bottom_in[14]),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_4_ (
.A0(left_bottom_grid_pin_36_),
.A1(left_bottom_grid_pin_35_),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_5_ (
.A0(left_bottom_grid_pin_38_),
.A1(left_bottom_grid_pin_37_),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_6_ (
.A0(left_bottom_grid_pin_40_),
.A1(left_bottom_grid_pin_39_),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l2_in_7_ (
.A0(_027_),
.A1(left_bottom_grid_pin_41_),
.S(\mem_left_track_5.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_0_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_1_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_2_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l3_in_3_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_5.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l4_in_0_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_left_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l4_in_1_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_left_track_5.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_14_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_5.mux_l5_in_0_ (
.A0(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_14_X ),
.A1(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_13_X ),
.S(\mem_left_track_5.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_15_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_5.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_5.sky130_fd_sc_hd__mux2_1_15_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_5.out )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l1_in_0_ (
.A0(chany_top_in[11]),
.A1(chany_top_in[6]),
.S(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l1_in_1_ (
.A0(chanx_right_in[6]),
.A1(chany_top_in[16]),
.S(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l1_in_2_ (
.A0(chany_bottom_in[3]),
.A1(chanx_right_in[16]),
.S(\mem_left_track_9.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_0_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_1_ (
.A0(chany_bottom_in[6]),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_2_ (
.A0(left_bottom_grid_pin_34_),
.A1(chany_bottom_in[16]),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l2_in_3_ (
.A0(_000_),
.A1(left_bottom_grid_pin_38_),
.S(\mem_left_track_9.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l3_in_0_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_left_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l3_in_1_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_left_track_9.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_left_track_9.mux_l4_in_0_ (
.A0(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_left_track_17.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_left_track_9.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_left_track_9.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_left_track_9.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_0_ (
.A0(chany_top_in[12]),
.A1(chany_top_in[2]),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_34_),
.A1(chany_top_in[19]),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_2_ (
.A0(right_bottom_grid_pin_38_),
.A1(right_bottom_grid_pin_36_),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_3_ (
.A0(chany_bottom_in[2]),
.A1(right_bottom_grid_pin_40_),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l1_in_4_ (
.A0(chany_bottom_in[15]),
.A1(chany_bottom_in[12]),
.S(\mem_right_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_0_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_1_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_2_ (
.A0(chanx_left_in[2]),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l2_in_3_ (
.A0(_001_),
.A1(chanx_left_in[12]),
.S(\mem_right_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l3_in_0_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l3_in_1_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_0.mux_l4_in_0_ (
.A0(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_right_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_0.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_0.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_0.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_0_ (
.A0(chany_top_in[8]),
.A1(chany_top_in[7]),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_35_),
.A1(chany_top_in[17]),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l1_in_2_ (
.A0(chany_bottom_in[1]),
.A1(right_bottom_grid_pin_39_),
.S(\mem_right_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_0_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_1_ (
.A0(chany_bottom_in[8]),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_2_ (
.A0(chanx_left_in[8]),
.A1(chany_bottom_in[17]),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l2_in_3_ (
.A0(_002_),
.A1(chanx_left_in[17]),
.S(\mem_right_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l3_in_0_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_right_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l3_in_1_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_16.mux_l4_in_0_ (
.A0(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_16.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_16.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_16.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_0_ (
.A0(chany_top_in[4]),
.A1(chany_top_in[0]),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_35_),
.A1(chany_top_in[13]),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_2_ (
.A0(right_bottom_grid_pin_39_),
.A1(right_bottom_grid_pin_37_),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_3_ (
.A0(chany_bottom_in[4]),
.A1(right_bottom_grid_pin_41_),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l1_in_4_ (
.A0(chany_bottom_in[13]),
.A1(chany_bottom_in[11]),
.S(\mem_right_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_0_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_1_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_2_ (
.A0(chanx_left_in[4]),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l2_in_3_ (
.A0(_003_),
.A1(chanx_left_in[13]),
.S(\mem_right_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l3_in_0_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l3_in_1_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_2.mux_l4_in_0_ (
.A0(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_right_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_2.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_2.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_2.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_0_ (
.A0(chany_top_in[11]),
.A1(chany_top_in[9]),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_36_),
.A1(chany_top_in[18]),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l1_in_2_ (
.A0(chany_bottom_in[0]),
.A1(right_bottom_grid_pin_40_),
.S(\mem_right_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_0_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_1_ (
.A0(chany_bottom_in[9]),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_2_ (
.A0(chanx_left_in[9]),
.A1(chany_bottom_in[18]),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l2_in_3_ (
.A0(_004_),
.A1(chanx_left_in[18]),
.S(\mem_right_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l3_in_0_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_right_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l3_in_1_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_24.mux_l4_in_0_ (
.A0(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_24.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_24.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_24.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_0_ (
.A0(chany_top_in[15]),
.A1(chany_top_in[10]),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_41_),
.A1(right_bottom_grid_pin_37_),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_2_ (
.A0(chany_bottom_in[19]),
.A1(chany_bottom_in[10]),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l1_in_3_ (
.A0(_005_),
.A1(chanx_left_in[10]),
.S(\mem_right_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l2_in_0_ (
.A0(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l2_in_1_ (
.A0(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_32.mux_l3_in_0_ (
.A0(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_bottom_track_1.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_32.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_32.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_32.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l1_in_0_ (
.A0(chany_top_in[5]),
.A1(chany_top_in[1]),
.S(\mem_right_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_0_ (
.A0(chany_top_in[14]),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_1_ (
.A0(right_bottom_grid_pin_35_),
.A1(right_bottom_grid_pin_34_),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_2_ (
.A0(right_bottom_grid_pin_37_),
.A1(right_bottom_grid_pin_36_),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_3_ (
.A0(right_bottom_grid_pin_39_),
.A1(right_bottom_grid_pin_38_),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_4_ (
.A0(right_bottom_grid_pin_41_),
.A1(right_bottom_grid_pin_40_),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_5_ (
.A0(chany_bottom_in[7]),
.A1(chany_bottom_in[5]),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_6_ (
.A0(chanx_left_in[5]),
.A1(chany_bottom_in[14]),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l2_in_7_ (
.A0(_006_),
.A1(chanx_left_in[14]),
.S(\mem_right_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_0_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_1_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_2_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l3_in_3_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l4_in_0_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_right_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l4_in_1_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_right_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_14_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_4.mux_l5_in_0_ (
.A0(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_14_X ),
.A1(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_13_X ),
.S(\mem_right_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_15_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_4.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_4.sky130_fd_sc_hd__mux2_1_15_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_4.out )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l1_in_0_ (
.A0(chany_top_in[6]),
.A1(chany_top_in[3]),
.S(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l1_in_1_ (
.A0(right_bottom_grid_pin_34_),
.A1(chany_top_in[16]),
.S(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l1_in_2_ (
.A0(chany_bottom_in[3]),
.A1(right_bottom_grid_pin_38_),
.S(\mem_right_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_0_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_1_ (
.A0(chany_bottom_in[6]),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_2_ (
.A0(chanx_left_in[6]),
.A1(chany_bottom_in[16]),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l2_in_3_ (
.A0(_007_),
.A1(chanx_left_in[16]),
.S(\mem_right_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l3_in_0_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_right_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l3_in_1_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_right_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_right_track_8.mux_l4_in_0_ (
.A0(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_right_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_right_track_8.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_right_track_8.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_right_track_8.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_0_ (
.A0(top_left_grid_pin_44_),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_1_ (
.A0(top_left_grid_pin_48_),
.A1(top_left_grid_pin_46_),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_2_ (
.A0(chanx_right_in[2]),
.A1(chanx_right_in[1]),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_3_ (
.A0(chany_bottom_in[2]),
.A1(chanx_right_in[12]),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l1_in_4_ (
.A0(chanx_left_in[0]),
.A1(chany_bottom_in[12]),
.S(\mem_top_track_0.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_0_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_1_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_2_ (
.A0(chanx_left_in[2]),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l2_in_3_ (
.A0(_008_),
.A1(chanx_left_in[12]),
.S(\mem_top_track_0.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l3_in_0_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l3_in_1_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_0.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_0.mux_l4_in_0_ (
.A0(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_top_track_0.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_0.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_0.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_0.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l1_in_0_ (
.A0(top_left_grid_pin_47_),
.A1(top_left_grid_pin_43_),
.S(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l1_in_1_ (
.A0(chanx_right_in[15]),
.A1(chanx_right_in[8]),
.S(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l1_in_2_ (
.A0(chany_bottom_in[8]),
.A1(chanx_right_in[17]),
.S(\mem_top_track_16.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l2_in_0_ (
.A0(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l2_in_1_ (
.A0(chany_bottom_in[17]),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l2_in_2_ (
.A0(chanx_left_in[8]),
.A1(chanx_left_in[7]),
.S(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l2_in_3_ (
.A0(_009_),
.A1(chanx_left_in[17]),
.S(\mem_top_track_16.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l3_in_0_ (
.A0(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_top_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l3_in_1_ (
.A0(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_16.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_16.mux_l4_in_0_ (
.A0(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_16.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_16.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_16.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_16.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_0_ (
.A0(top_left_grid_pin_45_),
.A1(top_left_grid_pin_43_),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_1_ (
.A0(top_left_grid_pin_49_),
.A1(top_left_grid_pin_47_),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_2_ (
.A0(chanx_right_in[4]),
.A1(chanx_right_in[3]),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_3_ (
.A0(chany_bottom_in[4]),
.A1(chanx_right_in[13]),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l1_in_4_ (
.A0(chanx_left_in[4]),
.A1(chany_bottom_in[13]),
.S(\mem_top_track_2.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_0_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_1_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_2_ (
.A0(chanx_left_in[13]),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l2_in_3_ (
.A0(_010_),
.A1(chanx_left_in[19]),
.S(\mem_top_track_2.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l3_in_0_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l3_in_1_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_2.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_2.mux_l4_in_0_ (
.A0(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_top_track_2.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_2.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_2.sky130_fd_sc_hd__mux2_1_11_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_2.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l1_in_0_ (
.A0(top_left_grid_pin_48_),
.A1(top_left_grid_pin_44_),
.S(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l1_in_1_ (
.A0(chanx_right_in[18]),
.A1(chanx_right_in[9]),
.S(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l1_in_2_ (
.A0(chany_bottom_in[9]),
.A1(chanx_right_in[19]),
.S(\mem_top_track_24.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l2_in_0_ (
.A0(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l2_in_1_ (
.A0(chany_bottom_in[18]),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l2_in_2_ (
.A0(chanx_left_in[9]),
.A1(chanx_left_in[3]),
.S(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l2_in_3_ (
.A0(_011_),
.A1(chanx_left_in[18]),
.S(\mem_top_track_24.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l3_in_0_ (
.A0(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_top_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l3_in_1_ (
.A0(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_24.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_24.mux_l4_in_0_ (
.A0(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_24.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_24.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_24.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_24.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l1_in_0_ (
.A0(top_left_grid_pin_49_),
.A1(top_left_grid_pin_45_),
.S(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l1_in_1_ (
.A0(chanx_right_in[10]),
.A1(chanx_right_in[0]),
.S(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l1_in_2_ (
.A0(chanx_left_in[1]),
.A1(chany_bottom_in[10]),
.S(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l1_in_3_ (
.A0(_012_),
.A1(chanx_left_in[10]),
.S(\mem_top_track_32.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l2_in_0_ (
.A0(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l2_in_1_ (
.A0(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_3_X ),
.A1(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_32.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_32.mux_l3_in_0_ (
.A0(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_5_X ),
.A1(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_4_X ),
.S(\mem_right_track_0.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_32.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_32.sky130_fd_sc_hd__mux2_1_6_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_32.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l1_in_0_ (
.A0(top_left_grid_pin_43_),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_4.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_0_ (
.A0(top_left_grid_pin_44_),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_1_ (
.A0(top_left_grid_pin_46_),
.A1(top_left_grid_pin_45_),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_2_ (
.A0(top_left_grid_pin_48_),
.A1(top_left_grid_pin_47_),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_3_ (
.A0(chanx_right_in[5]),
.A1(top_left_grid_pin_49_),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_4_ (
.A0(chanx_right_in[14]),
.A1(chanx_right_in[7]),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_5_ (
.A0(chany_bottom_in[14]),
.A1(chany_bottom_in[5]),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_6_ (
.A0(chanx_left_in[14]),
.A1(chanx_left_in[5]),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l2_in_7_ (
.A0(_013_),
.A1(chanx_left_in[15]),
.S(\mem_top_track_4.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l3_in_0_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_2_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_1_X ),
.S(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l3_in_1_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_10_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l3_in_2_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_11_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l3_in_3_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_4.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_12_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l4_in_0_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_10_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_9_X ),
.S(\mem_top_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_13_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l4_in_1_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_12_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_11_X ),
.S(\mem_top_track_4.mem_out[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_14_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_4.mux_l5_in_0_ (
.A0(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_14_X ),
.A1(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_13_X ),
.S(\mem_top_track_4.ccff_tail ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_15_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_4.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_4.sky130_fd_sc_hd__mux2_1_15_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_4.out )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l1_in_0_ (
.A0(top_left_grid_pin_46_),
.A1(top_left_grid_pin_42_),
.S(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_0_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l1_in_1_ (
.A0(chanx_right_in[11]),
.A1(chanx_right_in[6]),
.S(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_1_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l1_in_2_ (
.A0(chany_bottom_in[6]),
.A1(chanx_right_in[16]),
.S(\mem_top_track_8.mem_out[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_2_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_0_ (
.A0(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_1_X ),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_0_X ),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_3_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_1_ (
.A0(chany_bottom_in[16]),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_2_X ),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_4_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_2_ (
.A0(chanx_left_in[11]),
.A1(chanx_left_in[6]),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_5_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l2_in_3_ (
.A0(_014_),
.A1(chanx_left_in[16]),
.S(\mem_top_track_8.mem_out[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_6_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l3_in_0_ (
.A0(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_4_X ),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_3_X ),
.S(\mem_top_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_7_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l3_in_1_ (
.A0(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_6_X ),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_5_X ),
.S(\mem_top_track_8.mem_out[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_8_X )
);
sky130_fd_sc_hd__mux2_1 \mux_top_track_8.mux_l4_in_0_ (
.A0(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_8_X ),
.A1(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_7_X ),
.S(\mem_top_track_16.ccff_head ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_9_X )
);
sky130_fd_sc_hd__buf_4 \mux_top_track_8.sky130_fd_sc_hd__buf_4_0_ (
.A(\mux_top_track_8.sky130_fd_sc_hd__mux2_1_9_X ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mux_top_track_8.out )
);
sky130_fd_sc_hd__buf_8 prog_clk_0_FTB00 (
.A(prog_clk_0_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(\mem_bottom_track_1.prog_clk )
);
sky130_fd_sc_hd__buf_4 prog_clk_1_E_FTB01 (
.A(prog_clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_1_E_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_1_W_FTB01 (
.A(prog_clk_1_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_1_W_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_2_E_FTB01 (
.A(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_2_E_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_2_N_FTB01 (
.A(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_2_N_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_2_S_FTB01 (
.A(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_2_S_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_2_W_FTB01 (
.A(prog_clk_2_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_2_W_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_3_E_FTB01 (
.A(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_3_E_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_3_N_FTB01 (
.A(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_3_N_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_3_S_FTB01 (
.A(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_3_S_out)
);
sky130_fd_sc_hd__buf_4 prog_clk_3_W_FTB01 (
.A(prog_clk_3_N_in),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR),
.X(prog_clk_3_W_out)
);
endmodule