blob: 25f6b03fa53ecab4cb08783c632b2154f28276ba [file] [log] [blame]
RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
MR_dnwell.2,no,M,drcmr,0,0
MR_nwell.1,no,M,drcmr,0,0
MR_nwell.2a,no,M,drcmr,0,0
MR_hvtp.1,no,M,drcmr,0,0
MR_hvtp.2,no,M,drcmr,0,0
MR_hvtr.1,no,M,drcmr,0,0
MR_hvtr.2,no,M,drcmr,0,0
MR_hvtr.2_a,no,M,drcmr,0,0
MR_lvtn.1a,no,M,drcmr,0,0
MR_lvtn.2,no,M,drcmr,0,0
MR_ncm.1,no,M,drcmr,0,0
MR_ncm.2a,no,M,drcmr,0,0
MR_difftap.1,no,M,drcmr,0,0
MR_difftap.1_a,no,M,drcmr,0,0
MR_difftap.1_b,no,M,drcmr,0,0
MR_difftap.1_c,no,M,drcmr,0,0
MR_difftap.3,no,M,drcmr,0,0
MR_tunm.1,no,M,drcmr,0,0
MR_tunm.2,no,M,drcmr,0,0
MR_poly.1a,no,M,drcmr,0,0
MR_poly.2,no,M,drcmr,0,0
MR_rpm.1a,no,M,drcmr,0,0
MR_rpm.2,no,M,drcmr,0,0
MR_urpm.1a,no,M,drcmr,0,0
MR_urpm.2,no,M,drcmr,0,0
MR_npc.1,no,M,drcmr,0,0
MR_npc.2,no,M,drcmr,0,0
MR_licon.1,no,M,drcmr,0,0
MR_licon.1_a,no,M,drcmr,0,0
MR_licon.1_b,no,M,drcmr,0,0
MR_licon.13,no,M,drcmr,0,0
MR_licon.13_a,no,M,drcmr,0,0
MR_licon.17,no,M,drcmr,0,0
MR_li.1,no,M,drcmr,0,0
MR_li.3,no,M,drcmr,0,0
MR_li.5,no,M,drcmr,0,0
MR_li.6,no,M,drcmr,0,0
MR_ct.1,no,M,drcmr,0,0
MR_ct.1_a,no,M,drcmr,0,0
MR_ct.1_b,no,M,drcmr,0,0
MR_ct.2,no,M,drcmr,0,0
MR_ct.3,no,M,drcmr,0,0
MR_ct.3_a,no,M,drcmr,0,0
MR_ct.3_b,no,M,drcmr,0,0
MR_ct.4,no,M,drcmr,0,0
MR_capm.1,no,M,drcmr,0,0
MR_capm.2a,no,M,drcmr,0,0
MR_capm.2b,no,M,drcmr,0,0
MR_capm.2b_a,no,M,drcmr,0,0
MR_capm.3,no,M,drcmr,0,0
MR_capm.4,no,M,drcmr,0,0
MR_capm.5,no,M,drcmr,0,0
MR_cap2m.1,no,M,drcmr,0,0
MR_cap2m.2a,no,M,drcmr,0,0
MR_cap2m.2b,no,M,drcmr,0,0
MR_cap2m.2b_a,no,M,drcmr,0,0
MR_cap2m.3,no,M,drcmr,0,0
MR_cap2m.4,no,M,drcmr,0,0
MR_cap2m.5,no,M,drcmr,0,0
MR_m1.1,no,M,drcmr,0,0
MR_m1.2,no,M,drcmr,0,0
MR_m1.3b,no,M,drcmr,0,0
MR_m1.3a,no,M,drcmr,0,0
MR_791_m1.4,no,M,drcmr,0,0
MR_m1.4,no,M,drcmr,0,0
MR_m1.4a,no,M,drcmr,0,0
MR_m1.4a_a,no,M,drcmr,0,0
MR_m1.5,no,M,drcmr,0,0
MR_m1.6,no,M,drcmr,0,0
MR_m1.7,no,M,drcmr,0,0
MR_m1.7_a,no,M,drcmr,0,0
MR_via.1a,no,M,drcmr,0,0
MR_via.1a_a,no,M,drcmr,0,0
MR_via.1a_b,no,M,drcmr,0,0
MR_via.2,no,M,drcmr,0,0
MR_via.3,no,M,drcmr,0,0
MR_via.3_a,no,M,drcmr,0,0
MR_via.3_b,no,M,drcmr,0,0
MR_via.4a,no,M,drcmr,0,0
MR_via.4a_a,no,M,drcmr,0,0
MR_via.5a,no,M,drcmr,0,0
MR_m2.1,no,M,drcmr,0,0
MR_m2.2,no,M,drcmr,0,0
MR_m2.3b,no,M,drcmr,0,0
MR_m2.3a,no,M,drcmr,0,0
MR_m2.4,no,M,drcmr,0,0
MR_m2.4_a,no,M,drcmr,0,0
MR_m2.5,no,M,drcmr,0,0
MR_m2.6,no,M,drcmr,0,0
MR_m2.7,no,M,drcmr,0,0
MR_m2.7_a,no,M,drcmr,0,0
MR_via2.1a,no,M,drcmr,0,0
MR_via2.1a_a,no,M,drcmr,0,0
MR_via2.1a_b,no,M,drcmr,0,0
MR_via2.2,no,M,drcmr,0,0
MR_via2.3,no,M,drcmr,0,0
MR_via2.3_a,no,M,drcmr,0,0
MR_via2.3_b,no,M,drcmr,0,0
MR_via2.4,no,M,drcmr,0,0
MR_via2.4_a,no,M,drcmr,0,0
MR_via2.5,no,M,drcmr,0,0
MR_m3.1,no,M,drcmr,0,0
MR_m3.2,no,M,drcmr,0,0
MR_m3.4,no,M,drcmr,0,0
MR_m3.4_a,no,M,drcmr,0,0
MR_m3.3d,no,M,drcmr,0,0
MR_m3.3c,no,M,drcmr,0,0
MR_via3.1,no,M,drcmr,0,0
MR_via3.1_a,no,M,drcmr,0,0
MR_via3.1_b,no,M,drcmr,0,0
MR_via3.2,no,M,drcmr,0,0
MR_via3.4,no,M,drcmr,0,0
MR_via3.4_a,no,M,drcmr,0,0
MR_via3.5,no,M,drcmr,0,0
MR_m4.1,no,M,drcmr,0,0
MR_m4.2,no,M,drcmr,0,0
MR_m4.3,no,M,drcmr,0,0
MR_m4.3_a,no,M,drcmr,0,0
MR_m4.4a,no,M,drcmr,0,0
MR_m4.5b,no,M,drcmr,0,0
MR_m4.5a,no,M,drcmr,0,0
MR_via4.1,no,M,drcmr,0,0
MR_via4.1_a,no,M,drcmr,0,0
MR_via4.1_b,no,M,drcmr,0,0
MR_via4.2,no,M,drcmr,0,0
MR_via4.3,no,M,drcmr,0,0
MR_via4.3_a,no,M,drcmr,0,0
MR_via4.3_b,no,M,drcmr,0,0
MR_via4.4,no,M,drcmr,0,0
MR_via4.4_a,no,M,drcmr,0,0
MR_m5.1,no,M,drcmr,0,0
MR_m5.2,no,M,drcmr,0,0
MR_m5.3,no,M,drcmr,0,0
MR_m5.3_a,no,M,drcmr,0,0
MR_m5.4,no,M,drcmr,0,0
MR_pad.2,no,M,drcmr,0,0
MR_hvi.1,no,M,drcmr,0,0
MR_hvi.2a,no,M,drcmr,0,0
MR_hvntm.1,no,M,drcmr,0,0
MR_hvntm.2,no,M,drcmr,0,0
MR_cfom.pd.1d,no,M,drcmr,0,0
MR_cfom.pd.1e,no,M,drcmr,0,0
MR_cfom.waffle.1,no,M,drcmr,0,0
MR_cfom.waffle.2,no,M,drcmr,0,0
MR_cfom.waffle.2a,no,M,drcmr,0,0
MR_cp1m.waffle.1,no,M,drcmr,0,0
MR_cp1m.waffle.2a,no,M,drcmr,0,0
MR_cli1m.4,no,M,drcmr,0,0
MR_cli1m.5,no,M,drcmr,0,0
MR_li1m.waffle.1,no,M,drcmr,0,0
MR_li1m.waffle.2a,no,M,drcmr,0,0
MR_cmm1.pd.3,no,M,drcmr,0,0
MR_cmm1.pd.4,no,M,drcmr,0,0
MR_cmm1.waffle.1,no,M,drcmr,0,0
MR_cmm1.waffle.2,no,M,drcmr,0,0
MR_cmm2.pd.3,no,M,drcmr,0,0
MR_cmm2.pd.4,no,M,drcmr,0,0
MR_cmm2.waffle.1,no,M,drcmr,0,0
MR_cmm2.waffle.2,no,M,drcmr,0,0
MR_cmm3.pd.3,no,M,drcmr,0,0
MR_cmm3.pd.4,no,M,drcmr,0,0
MR_cmm3.waffle.1,no,M,drcmr,0,0
MR_cmm3.waffle.2,no,M,drcmr,0,0
MR_cmm4.pd.3,no,M,drcmr,0,0
MR_cmm4.pd.4,no,M,drcmr,0,0
MR_cmm4.waffle.1,no,M,drcmr,0,0
MR_cmm4.waffle.2,no,M,drcmr,0,0
MR_cmm5.pd.4,no,M,drcmr,0,0
MR_cmm5.pd.5,no,M,drcmr,0,0
MR_cmm5.waffle.1,no,M,drcmr,0,0
MR_cmm5.waffle.2,no,M,drcmr,0,0
k_0_met1slotCutPad,no,k,stress,0,0
k_1_met1slot,no,k,stress,0,0
k_2_met1OverCA,no,k,stress,1033,53813
k_3_met2slotCutPad,no,k,stress,0,0
k_4_met2slot,no,k,stress,0,0
k_5_met2OverCA,no,k,stress,603,15454
k_6_met3slotCutPad,no,k,stress,0,0
k_7_met3slot,no,k,stress,0,0
k_8_met3OverCA,no,k,stress,505,3599
k_9_met4slotCutPad,no,k,stress,0,0
k_10_met4slot,no,k,stress,6,63
k_11_met4OverCA,no,k,stress,501,4265
k_12_met5slotCutPad,no,k,stress,0,0
k_13_met5slot,no,k,stress,0,0
k_14_met5OverCA,no,k,stress,197,2277
r_0_stress.5,no,r,stress,0,0
r_1_stress.5,no,r,stress,0,0
r_2_stress.6,no,r,stress,0,0
r_3_stress.7,no,r,stress,0,0
r_4_stress.7,no,r,stress,0,0
r_5_stress.7,no,r,stress,0,0
r_6_stress.7,no,r,stress,0,0
r_7_stress.7,no,r,stress,0,0
r_8_stress.7,no,r,stress,0,0
r_9_stress.7,no,r,stress,0,0
r_10_stress.7,no,r,stress,0,0
r_11_stress.7,no,r,stress,0,0
r_12_stress.7,no,r,stress,0,0
r_13_stress.9,no,r,stress,0,0
r_14_stress.9,no,r,stress,0,0
r_15_stress.9,no,r,stress,0,0
r_16_stress.9,no,r,stress,0,0
r_17_stress.9,no,r,stress,2,2
r_18_stress.10,no,r,stress,0,0
r_19_stress.11,no,r,stress,0,0
r_20_stress.10,no,r,stress,0,0
r_21_stress.11,no,r,stress,0,0
r_22_stress.10,no,r,stress,0,0
r_23_stress.11,no,r,stress,0,0
r_24_stress.10,no,r,stress,192,192
r_25_stress.11,no,r,stress,0,0
r_26_stress.10,no,r,stress,93,93
r_27_stress.11,no,r,stress,0,0
r_28_stress.12,no,r,stress,0,0
r_29_stress.13,no,r,stress,0,0
r_30_stress.14,no,r,stress,0,0
r_31_stress.12,no,r,stress,0,0
r_32_stress.13,no,r,stress,0,0
r_33_stress.14,no,r,stress,0,0
r_34_stress.12,no,r,stress,0,0
r_35_stress.13,no,r,stress,0,0
r_36_stress.14,no,r,stress,0,0
r_37_stress.12,no,r,stress,0,0
r_38_stress.13,no,r,stress,0,0
r_39_stress.14,no,r,stress,0,0
r_40_stress.12,no,r,stress,0,0
r_41_stress.13,no,r,stress,0,0
r_42_stress.14,no,r,stress,0,0
r_43_stress.15,no,r,stress,0,0
r_44_stress.15,no,r,stress,0,0
r_45_stress.18,no,r,stress,0,0
r_46_stress.18,no,r,stress,0,0
r_47_slot.13,no,r,stress,0,0
r_48_slot.14_w,no,r,stress,0,0
r_49_slot.14_l,no,r,stress,0,0
r_50_slot.13,no,r,stress,0,0
r_51_slot.14_w,no,r,stress,0,0
r_52_slot.14_l,no,r,stress,0,0
r_53_slot.13,no,r,stress,0,0
r_54_slot.14_w,no,r,stress,0,0
r_55_slot.14_l,no,r,stress,0,0
r_56_slot.13,no,r,stress,0,0
r_57_slot.14_w,no,r,stress,6,63
r_58_slot.14_l,no,r,stress,0,0
r_59_slot.13,no,r,stress,0,0
r_60_slot.14_w,no,r,stress,0,0
r_61_slot.14_l,no,r,stress,0,0
r_62_slot.11,no,r,stress,0,0
r_63_slot.12,no,r,stress,0,0
r_64_slot.6/slot.8,no,r,stress,0,0
r_65_slot.4,no,r,stress,0,0
r_66_slot.11,no,r,stress,0,0
r_67_slot.12,no,r,stress,0,0
r_68_slot.6/slot.8,no,r,stress,0,0
r_69_slot.4,no,r,stress,0,0
r_70_slot.11,no,r,stress,0,0
r_71_slot.12,no,r,stress,0,0
r_72_slot.6/slot.8,no,r,stress,0,0
r_73_slot.4,no,r,stress,0,0
r_74_slot.11,no,r,stress,63,63
r_75_slot.12,no,r,stress,0,0
r_76_slot.6/slot.8,no,r,stress,0,0
r_77_slot.4,no,r,stress,0,0
r_78_slot.15,no,r,stress,0,0
r_79_slot.15,no,r,stress,0,0
r_80_slot.15,no,r,stress,0,0
r_81_slot.15,no,r,stress,0,0
r_82_slot.15,no,r,stress,0,0
r_83_slot.9/16,no,r,stress,0,0
r_84_slot.17,no,r,stress,0,0
r_85_slot.9/16,no,r,stress,0,0
r_86_slot.17,no,r,stress,0,0
r_87_slot.9/16,no,r,stress,0,0
r_88_slot.17,no,r,stress,0,0
r_89_slot.9/16,no,r,stress,0,0
r_90_slot.17,no,r,stress,0,0
r_91_slot.9/16,no,r,stress,6,63
r_92_slot.17,no,r,stress,0,0
r_93_slot.18,no,r,stress,0,0
r_94_slot.18,no,r,stress,0,0
r_95_slot.18,no,r,stress,0,0
r_96_slot.18,no,r,stress,0,0
r_97_slot.18,no,r,stress,0,0
r_98_anchor.1,no,r,stress,20,20
r_99_anchor.4,no,r,stress,0,0
r_100_anchor.4,no,r,stress,0,0
r_101_anchor.4,no,r,stress,0,0
r_102_anchor.4,no,r,stress,0,0
r_103_anchor.4,no,r,stress,0,0
r_104_anchor.4,no,r,stress,0,0
r_105_anchor.5,no,r,stress,0,0
r_106_anchor.6,no,r,stress,0,0
r_107_anchor.6,no,r,stress,0,0
r_108_anchor.6,no,r,stress,0,0
r_109_anchor.6,no,r,stress,0,0
r_110_anchor.6,no,r,stress,0,0
r_111_anchor.6,no,r,stress,0,0
r_112_anchor.6,no,r,stress,0,0
r_113_anchor.6,no,r,stress,0,0
r_114_anchor.6,no,r,stress,0,0
r_115_anchor.6,no,r,stress,0,0
r_116_anchor.6,no,r,stress,0,0
r_117_anchor.6,no,r,stress,0,0
r_118_anchor.6,no,r,stress,0,0
r_119_anchor.6,no,r,stress,0,0
r_120_anchor.6,no,r,stress,0,0
r_121_anchor.3,no,r,stress,0,0
r_122_anchor.3,no,r,stress,0,0
r_123_anchor.3,no,r,stress,0,0
r_124_anchor.3,no,r,stress,0,0
r_125_anchor.3,no,r,stress,0,0
r_0_soft_majority_diff_tap,no,r,soft,0,0
r_1_soft_majority_Nwell_cond,no,r,soft,0,0
r_2_soft_float_Nwell_cond,no,r,soft,0,0
r_3_soft_float_substrate,no,r,soft,0,0
r_4_soft_majority_substrate,no,r,soft,1000,63820
r_5_soft_multinet_Poly_check,no,r,soft,8,135
r_6_soft_majority_dnwell,no,r,soft,0,0
r_0_lu.5.7a,no,r,latchup,0,0
r_1_lu.5.7b,no,r,latchup,0,0
r_2_lu1.2.1a,no,r,latchup,462,462
r_3_lu1.2.1b,no,r,latchup,0,0
r_4_lu1.2.2a,no,r,latchup,0,0
r_5_lu1.2.2b,no,r,latchup,0,0
r_6_lu1.2.3a,no,r,latchup,0,0
r_7_lu1.2.3b,no,r,latchup,0,0
r_8_lu1.2.1a,no,r,latchup,0,0
r_9_lu1.2.1b,no,r,latchup,0,0
r_10_lu1.2.2a,no,r,latchup,0,0
r_11_lu1.2.2b,no,r,latchup,0,0
r_12_lu1.2.3a,no,r,latchup,0,0
r_13_lu1.2.3b,no,r,latchup,0,0
r_14_lu1.3.1a,no,r,latchup,390,390
r_15_lu1.3.1b,no,r,latchup,0,0
r_16_lu1.3.2a,no,r,latchup,0,0
r_17_lu1.3.2b,no,r,latchup,0,0
r_18_lu1.3.3a,no,r,latchup,0,0
r_19_lu1.3.3b,no,r,latchup,0,0
r_20_lu1.3.1a,no,r,latchup,0,0
r_21_lu1.3.1b,no,r,latchup,0,0
r_22_lu1.3.2a,no,r,latchup,0,0
r_23_lu1.3.2b,no,r,latchup,0,0
r_24_lu1.3.3a,no,r,latchup,0,0
r_25_lu1.3.3b,no,r,latchup,0,0
r_26_lu1.4,no,r,latchup,0,0
r_27_lu1.4,no,r,latchup,0,0
k_0_s8_esd_xmt,no,k,latchup,0,0
r_28_lu1.5,no,r,latchup,0,0
r_29_lu1.5,no,r,latchup,0,0
r_30_lu1.5,no,r,latchup,0,0
r_31_lu1.5,no,r,latchup,0,0
r_32_lu1.5,no,r,latchup,0,0
r_33_lu1.5,no,r,latchup,0,0
r_34_lu1.5,no,r,latchup,0,0
r_35_lu1.5,no,r,latchup,0,0
r_36_lu1.5,no,r,latchup,0,0
r_37_lu1.5,no,r,latchup,0,0
r_38_lu1.5,no,r,latchup,0,0
r_39_lu1.5,no,r,latchup,0,0
r_40_lu1.5,no,r,latchup,0,0
r_41_lu1.5,no,r,latchup,0,0
r_42_lu1.5,no,r,latchup,0,0
r_43_lu1.5,no,r,latchup,0,0
r_44_lu1.5,no,r,latchup,0,0
r_45_lu1.5,no,r,latchup,0,0
r_46_lu1.5,no,r,latchup,0,0
r_47_lu1.5,no,r,latchup,0,0
r_48_lu1.5,no,r,latchup,0,0
r_49_lu1.5,no,r,latchup,0,0
r_50_lu1.5,no,r,latchup,0,0
r_51_lu1.5,no,r,latchup,0,0
r_52_lu1.5,no,r,latchup,0,0
r_53_lu1.5,no,r,latchup,0,0
r_54_lu1.5,no,r,latchup,0,0
r_55_lu1.5,no,r,latchup,0,0
r_56_lu1.5,no,r,latchup,0,0
r_57_lu1.5,no,r,latchup,0,0
r_58_lu1.5,no,r,latchup,0,0
r_59_lu1.5,no,r,latchup,0,0
r_60_lu1.5,no,r,latchup,0,0
r_61_lu1.5,no,r,latchup,0,0
r_62_lu1.5,no,r,latchup,0,0
r_63_lu1.5,no,r,latchup,0,0
r_64_lu1.5,no,r,latchup,0,0
r_65_lu1.5,no,r,latchup,0,0
r_66_lu1.5,no,r,latchup,0,0
r_67_lu1.5,no,r,latchup,0,0
r_68_lu1.5,no,r,latchup,0,0
r_69_lu1.5,no,r,latchup,0,0
r_70_lu1.5,no,r,latchup,0,0
r_71_lu1.5,no,r,latchup,0,0
r_72_lu1.5,no,r,latchup,0,0
r_73_lu1.5,no,r,latchup,0,0
r_74_lu1.5,no,r,latchup,0,0
r_75_lu1.5,no,r,latchup,0,0
r_76_lu1.5,no,r,latchup,0,0
r_77_lu1.5,no,r,latchup,0,0
r_78_lu1.5,no,r,latchup,0,0
k_1_ptap_SGR,no,k,latchup,29,594
k_2_hole_ptap_SGR,no,k,latchup,86,904
k_3_ntap_SGR,no,k,latchup,22,515
k_4_hole_ntap_SGR,no,k,latchup,36,701
k_5_inner_ptap_DGR,no,k,latchup,20,538
k_6_inner_hole_ptap_DGR,no,k,latchup,66,790
k_7_PinnerToSecondReg_DGR,no,k,latchup,46,1512
k_8_second_ntap_DGR,no,k,latchup,11,164
k_9_inner_ntap_DGR,no,k,latchup,11,324
k_10_inner_hole_ntap_DGR,no,k,latchup,13,412
k_11_NinnerToSecondReg_DGR,no,k,latchup,36,1512
k_12_second_ptap_DGR,no,k,latchup,4,57
k_13_inner_ptap_TGR,no,k,latchup,0,0
k_14_second_ntap_TGR,no,k,latchup,0,0
k_15_inner_hole_ptap_TGR,no,k,latchup,0,0
k_16_NinnerToSecondReg_TGR,no,k,latchup,0,0
k_17_NsecondToThirdReg_TGR,no,k,latchup,0,0
k_18_third_ptap_TGR,no,k,latchup,0,0
k_19_inner_ntap_TGR,no,k,latchup,0,0
k_20_second_ptap_TGR,no,k,latchup,0,0
k_21_inner_hole_ntap_TGR,no,k,latchup,0,0
k_22_PinnerToSecondReg_TGR,no,k,latchup,0,0
k_23_PsecondToThirdReg_TGR,no,k,latchup,0,0
k_24_third_ntap_TGR,no,k,latchup,0,0
r_79_lu.11.4,no,r,latchup,0,0
r_80_lu.11.4,no,r,latchup,0,0
r_81_lu.4.12a,no,r,latchup,0,0
r_82_lu.4.12a,no,r,latchup,0,0
r_83_lu.4.12a,no,r,latchup,0,0
r_84_lu.4.12b,no,r,latchup,0,0
r_85_lu.4.12c,no,r,latchup,0,0
r_86_lu.4.12d,no,r,latchup,0,0
r_87_lu.4.12e,no,r,latchup,0,0
r_88_lu.4.12f,no,r,latchup,0,0
r_89_lu.4.12g,no,r,latchup,0,0
r_90_lu.4.12h,no,r,latchup,0,0
r_91_lu.4.12i,no,r,latchup,0,0
r_92_lu.4.12j,no,r,latchup,0,0
r_93_lu.4.12k,no,r,latchup,0,0
r_94_lu.4.12l,no,r,latchup,0,0
r_95_lu.4.12b,no,r,latchup,0,0
r_96_lu.4.12k,no,r,latchup,0,0
r_97_lu.4.1.1a,no,r,latchup,0,0
r_98_lu.4.1.1a/c/e,no,r,latchup,0,0
r_99_lu.4.1.1a,no,r,latchup,0,0
r_100_lu.4.1.1a/c/e,no,r,latchup,0,0
r_101_lu.4.1.1a/c/e,no,r,latchup,0,0
r_102_lu.4.1.1b,no,r,latchup,0,0
r_103_lu.4.1.1b,no,r,latchup,0,0
r_104_lu.4.1.1b,no,r,latchup,0,0
r_105_lu.4.1.1g,no,r,latchup,0,0
r_106_lu.4.1.1g/c/e,no,r,latchup,0,0
r_107_lu.4.1.1g,no,r,latchup,0,0
r_108_lu.4.1.1g/c/e,no,r,latchup,0,0
r_109_lu.4.1.1g,no,r,latchup,0,0
r_110_lu.4.1.1h,no,r,latchup,0,0
r_111_lu.4.1.1h,no,r,latchup,0,0
r_112_lu.4.2.1b,no,r,latchup,0,0
r_113_lu.11.3,no,r,latchup,1,63
k_25_LU5_pDiffVccOrIo_conn_nwellNonVcc,no,k,latchup,0,0
k_26_LU5_nonExemptPdiff,no,k,latchup,0,0
k_27_LU5_nonExemptARNonVccNwell,no,k,latchup,0,0
k_28_LU5_ExemptARNonVccNwell_1,no,k,latchup,0,0
k_29_LU5_ioNSDOrNwellSz,no,k,latchup,161,2150
k_30_LU5_ExemptARNonVccNwell_3,no,k,latchup,0,0
k_31_LU5_ExemptARNonVccNwell_4,no,k,latchup,0,0
k_32_LU5_ExemptARNonVccNwell_2,no,k,latchup,0,0
k_33_LU5_AtRiskNonVccNwellNonExempt,no,k,latchup,0,0
k_34_bad_pDiffVcc_and_nWellNonVcc_Norm,no,k,latchup,0,0
k_35_bad_pDiffVcc_and_nWellNonVcc_3p3V,no,k,latchup,0,0
r_114_lu.5.1a/b,no,r,latchup,0,0
r_115_lu.5.6,no,r,latchup,0,0
r_116_lu.5.1a/b,no,r,latchup,0,0
k_36_q0lu5_1_innerPLicon1,no,k,latchup,0,0
r_117_lu.5.1a/b,no,r,latchup,0,0
r_118_lu.5.1a/b,no,r,latchup,0,0
k_37_q0lu5_1_secondNLicon1,no,k,latchup,0,0
r_119_lu.5.1a/b,no,r,latchup,0,0
r_120_lu.5.1a,no,r,latchup,0,0
r_121_lu.5.1b,no,r,latchup,0,0
r_122_lu.5.6,no,r,latchup,0,0
r_123_lu.5.6,no,r,latchup,0,0
r_124_lu.5.6,no,r,latchup,0,0
r_125_lu.5.6,no,r,latchup,0,0
r_126_lu.5.2,no,r,latchup,0,0
r_127_lu.5.2,no,r,latchup,0,0
k_38_q0lu5_2_nonPnpPTapLicon1,no,k,latchup,0,0
r_128_lu.5.2,no,r,latchup,0,0
r_129_lu.5.2,no,r,latchup,0,0
k_39_q0lu5_2_nonPnpNTapLicon1,no,k,latchup,0,0
r_130_lu.5.2,no,r,latchup,0,0
r_131_lu.5.2,no,r,latchup,0,0
r_132_lu.5.2,no,r,latchup,0,0
r_133_lu.4.12m,no,r,latchup,0,0
r_134_lu.4.12n,no,r,latchup,0,0
r_135_lu.4.12m,no,r,latchup,0,0
r_136_lu.4.12n,no,r,latchup,0,0
r_137_lu.4.12m,no,r,latchup,0,0
r_138_lu.4.12n,no,r,latchup,0,0
r_139_lu.4.12m,no,r,latchup,0,0
r_140_lu.4.12n,no,r,latchup,0,0
r_141_lu.4.12m,no,r,latchup,0,0
r_142_lu.4.12n,no,r,latchup,0,0
r_143_lu.4.12m,no,r,latchup,0,0
r_144_lu.4.12n,no,r,latchup,0,0
r_145_lu.4.12m,no,r,latchup,0,0
r_146_lu.4.12n,no,r,latchup,0,0
r_147_lu.4.12m,no,r,latchup,0,0
r_148_lu.4.12n,no,r,latchup,0,0
k_40_reg_mtdr_io_reg_mockup_met2,no,k,latchup,0,0
k_41_reg_s8tee_reg_top_met2,no,k,latchup,0,0
r_149_lu.4.2,no,r,latchup,0,0
r_150_lu.4.2,no,r,latchup,0,0
r_151_lu.4.2,no,r,latchup,0,0
r_152_lu.4.3,no,r,latchup,0,0
r_153_lu.4.3,no,r,latchup,0,0
r_154_lu.4.3.1,no,r,latchup,0,0
r_155_lu.4.3.1,no,r,latchup,0,0
r_156_lu.4.3.1,no,r,latchup,2,45
r_157_lu.4.3.1,no,r,latchup,0,0
r_158_lu.4.3.1,no,r,latchup,0,0
r_159_lu.4.2.1,no,r,latchup,0,0
r_160_lu.4.2.1,no,r,latchup,0,0
r_161_lu.4.2.1,no,r,latchup,0,0
r_162_lu.4.2.1,no,r,latchup,0,0
r_163_lu.4.2.1,no,r,latchup,0,0
r_164_lu.4.2.1,no,r,latchup,4,47
r_165_lu.4.2.1,no,r,latchup,0,0
r_166_lu.4.2.1,no,r,latchup,0,0
r_167_lu.4.2.1,no,r,latchup,2,45
r_168_lu.4.2.1,no,r,latchup,3,89
r_169_lu.4.2.1a,no,r,latchup,0,0
r_170_lu.4.4,no,r,latchup,0,0
r_171_lu.4.4,no,r,latchup,0,0
r_172_lu.4.4,no,r,latchup,0,0
r_173_lu.4.4,no,r,latchup,0,0
r_174_lu.4.4,no,r,latchup,0,0
r_175_lu.4.4,no,r,latchup,0,0
r_176_lu.4.4,no,r,latchup,0,0
r_177_lu.4.4,no,r,latchup,0,0
r_178_lu.4.6,no,r,latchup,0,0
r_179_lu.4.6,no,r,latchup,0,0
r_180_lu.4.6,no,r,latchup,0,0
r_181_lu.4.6,no,r,latchup,0,0
r_182_lu.4.6,no,r,latchup,0,0
r_183_lu.4.6,no,r,latchup,0,0
r_184_lu.4.6,no,r,latchup,0,0
r_185_lu.4.6,no,r,latchup,0,0
r_186_lu.4.6.1,no,r,latchup,0,0
r_187_lu.4.6.1,no,r,latchup,0,0
r_188_lu.4.6.1,no,r,latchup,0,0
r_189_lu.4.6.1,no,r,latchup,0,0
r_190_lu.4.6.1,no,r,latchup,0,0
r_191_lu.4.6.1,no,r,latchup,0,0
r_192_lu.4.6.1,no,r,latchup,0,0
r_193_lu.4.6.1,no,r,latchup,0,0
r_194_lu.4.7/8.ptap,no,r,latchup,0,0
r_195_lu.4.7/8.ntap,no,r,latchup,0,0
r_196_lu.4.9,no,r,latchup,0,0
r_197_lu.4.9,no,r,latchup,0,0
r_198_lu.4.13,no,r,latchup,0,0
r_199_lu.4.14,no,r,latchup,0,0
k_42_ioNsrcDrnShrtRes,no,k,latchup,155,5153
k_43_ioPsrcDrnShrtRes,no,k,latchup,155,5153
k_44_esdIpRes_blocks_res,no,k,latchup,0,0
k_45_poly_resNoEsd,no,k,latchup,49,953
s_0_res.1a,no,s,latchup,0,0
r_200_X.25,no,r,latchup,0,0
r_201_X.25,no,r,latchup,0,0
r_202_lu.12.1a,no,r,latchup,0,0
r_203_lu.12.1a,no,r,latchup,0,0
r_204_lu.12.1a,no,r,latchup,0,0
r_205_lu.12.1a,no,r,latchup,0,0
r_206_lu.12.1b,no,r,latchup,0,0
r_207_lu.12.1c,no,r,latchup,0,0
r_208_lu.12.1c,no,r,latchup,0,0
r_209_lu.12.1c,no,r,latchup,0,0
r_210_lu.12.1c,no,r,latchup,0,0
r_211_lu.12.1c,no,r,latchup,0,0
s_1_lu.12.2a,no,s,latchup,0,0
s_2_lu.12.2a,no,s,latchup,0,0
s_3_lu.12.2a,no,s,latchup,0,0
s_4_lu.12.2a,no,s,latchup,0,0
s_5_lu.12.2b,no,s,latchup,0,0
r_212_lu.13.1,no,r,latchup,0,0
r_213_lu.13.2,no,r,latchup,0,0
r_214_lu.13.2,no,r,latchup,0,0
r_215_lu.13.2,no,r,latchup,0,0
r_216_lu.13.3a,no,r,latchup,0,0
r_217_lu.13.3b,no,r,latchup,0,0
r_218_lu.13.4,no,r,latchup,0,0
r_219_lu.13.4,no,r,latchup,0,0
r_220_lu.13.4,no,r,latchup,0,0
r_221_lu.13.4,no,r,latchup,0,0
r_222_lu.4.12o,no,r,latchup,0,0
r_223_lu.4.12p,no,r,latchup,0,0
r_224_subiso.2,no,r,latchup,0,0
r_225_subiso.3,no,r,latchup,0,0
r_226_subiso.4,no,r,latchup,0,0
r_227_subiso.4,no,r,latchup,0,0
r_228_subiso.5,no,r,latchup,0,0
r_229_subiso.6,no,r,latchup,0,0
r_230_subiso.7,no,r,latchup,0,0
r_231_subiso.7,no,r,latchup,0,0
r_232_subiso.8,no,r,latchup,0,0
r_233_subiso.8,no,r,latchup,0,0
r_234_subiso.9,no,r,latchup,0,0
k_46_q0licon1_ring,no,k,latchup,0,0
r_235_subiso.10/11,no,r,latchup,0,0
k_47_q0mcon_ring,no,k,latchup,0,0
r_236_subiso.10/11,no,r,latchup,0,0
r_237_subiso.12,no,r,latchup,0,0
r_238_subiso.13,no,r,latchup,0,0
r_239_subiso.14,no,r,latchup,0,0
r_240_subiso.15,no,r,latchup,0,0
r_241_subiso.16,no,r,latchup,0,0
r_242_ar.poly.1,no,r,latchup,0,0
r_243_ar.licon.1,no,r,latchup,0,0
r_244_ar_Li1.1,no,r,latchup,0,0
r_245_ar.mcon.1,no,r,latchup,0,0
r_246_ar.met1.1,no,r,latchup,90,90
r_247_ar.via.1,no,r,latchup,0,0
r_248_ar.met2.1,no,r,latchup,92,92
r_249_ar.via2.1,no,r,latchup,0,0
r_250_ar.met3.1,no,r,latchup,382,382
r_251_ar.via3.1,no,r,latchup,88,88
r_252_ar.met4.1,no,r,latchup,26,26
r_253_ar.via4.1,no,r,latchup,0,0
r_254_ar.met5.1,no,r,latchup,0,0
r_0_lu4.5,no,r,luRes,0,0
r_1_lu4.5,no,r,luRes,0,0
s_0_lu4.15,no,s,luRes,0,0
s_1_lu4.15,no,s,luRes,0,0
r_2_luB1.14,no,r,luRes,0,0
r_3_luB1.14,no,r,luRes,0,0
k_0_PTAPringVictim,no,k,luRes,0,0
k_1_PTAPringAggressor,no,k,luRes,0,0
k_2_AgrRingCorners,no,k,luRes,0,0
k_3_VicRingCorners,no,k,luRes,0,0
k_4_Pad_vsub_vic_cond,no,k,luRes,0,0
k_5_Pad_vsub_agr_cond,no,k,luRes,0,0
r_4_subiso.18,no,r,luRes,0,0
r_5_subiso.18,no,r,luRes,0,0