[DATA] Add LVS clean lsbufhv2lv_1_wrapped
diff --git a/def/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.def b/def/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.def
index 48422b0..a139323 100644
--- a/def/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.def
+++ b/def/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.def
@@ -4,28 +4,28 @@
 DESIGN sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped ;
 UNITS DISTANCE MICRONS 1000 ;
 
-DIEAREA ( 0 0 ) ( 25000 25000 ) ;
+DIEAREA ( 0 0 ) ( 20000 17000 ) ;
 
-ROW ROW_0 unithvdbl 0 0 FS DO 52 BY 1 STEP 480 0
+ROW ROW_0 unithv 2400 4070 FS DO 31 BY 1 STEP 480 0
  ;
-ROW ROW_1 unithvdbl 0 8140 N DO 52 BY 1 STEP 480 0
+ROW ROW_1 unithv 2400 8140 N DO 31 BY 1 STEP 480 0
  ;
-ROW ROW_2 unithvdbl 0 16280 FS DO 52 BY 1 STEP 480 0
+ROW ROW_2 unithv 2400 12210 FS DO 31 BY 1 STEP 480 0
  ;
-TRACKS X 240 DO 52 STEP 480 LAYER li1 ;
-TRACKS Y 240 DO 52 STEP 480 LAYER li1 ;
-TRACKS X 185 DO 68 STEP 370 LAYER met1 ;
-TRACKS Y 185 DO 68 STEP 370 LAYER met1 ;
-TRACKS X 240 DO 52 STEP 480 LAYER met2 ;
-TRACKS Y 240 DO 52 STEP 480 LAYER met2 ;
-TRACKS X 370 DO 34 STEP 740 LAYER met3 ;
-TRACKS Y 370 DO 34 STEP 740 LAYER met3 ;
-TRACKS X 480 DO 26 STEP 960 LAYER met4 ;
-TRACKS Y 480 DO 26 STEP 960 LAYER met4 ;
-TRACKS X 1665 DO 8 STEP 3330 LAYER met5 ;
-TRACKS Y 1665 DO 8 STEP 3330 LAYER met5 ;
+TRACKS X 240 DO 42 STEP 480 LAYER li1 ;
+TRACKS Y 240 DO 35 STEP 480 LAYER li1 ;
+TRACKS X 185 DO 54 STEP 370 LAYER met1 ;
+TRACKS Y 185 DO 46 STEP 370 LAYER met1 ;
+TRACKS X 240 DO 42 STEP 480 LAYER met2 ;
+TRACKS Y 240 DO 35 STEP 480 LAYER met2 ;
+TRACKS X 370 DO 27 STEP 740 LAYER met3 ;
+TRACKS Y 370 DO 23 STEP 740 LAYER met3 ;
+TRACKS X 480 DO 21 STEP 960 LAYER met4 ;
+TRACKS Y 480 DO 18 STEP 960 LAYER met4 ;
+TRACKS X 1665 DO 6 STEP 3330 LAYER met5 ;
+TRACKS Y 1665 DO 5 STEP 3330 LAYER met5 ;
 
-VIAS 6 ;
+VIAS 5 ;
 - via2_FR 
 + RECT met2 ( -140 -185 ) ( 140 185 ) 
 + RECT via2 ( -100 -100 ) ( 100 100 ) 
@@ -38,189 +38,122 @@
 + RECT met5 ( -710 -710 ) ( 710 710 ) 
  
  ;
-- via4_1600x1600 
- 
-+ VIARULE M4M5_PR
-  + CUTSIZE 800 800
-  + LAYERS met4 via4 met5
-  + CUTSPACING 800 800
-  + ENCLOSURE 400 400 400 400
- ;
-- via_1600x510 
+- via_900x510 
  
 + VIARULE M1M2_PR
   + CUTSIZE 150 150
   + LAYERS met1 via met2
   + CUTSPACING 170 170
-  + ENCLOSURE 245 180 55 180
-  + ROWCOL 1 4
+  + ENCLOSURE 215 180 55 180
+  + ROWCOL 1 2
  ;
-- via2_1600x510 
+- via2_900x510 
  
 + VIARULE M2M3_PR
   + CUTSIZE 200 200
   + LAYERS met2 via2 met3
   + CUTSPACING 200 200
-  + ENCLOSURE 40 155 100 65
-  + ROWCOL 1 4
+  + ENCLOSURE 40 155 150 65
+  + ROWCOL 1 2
  ;
-- via3_1600x510 
+- via3_900x510 
  
 + VIARULE M3M4_PR
   + CUTSIZE 200 200
   + LAYERS met3 via3 met4
   + CUTSPACING 200 200
-  + ENCLOSURE 100 60 100 155
-  + ROWCOL 1 4
+  + ENCLOSURE 150 60 150 155
+  + ROWCOL 1 2
  ;
 END VIAS
 
-COMPONENTS 22 ;
-- lvlshiftdown sky130_fd_sc_hvl__lsbufhv2lv_1 + PLACED ( 11040 0 ) FS ;
-- FILLER_0_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 0 0 ) FS ;
-- FILLER_0_8 sky130_fd_sc_hvl__decap_8 + PLACED ( 3840 0 ) FS ;
-- FILLER_0_16 sky130_fd_sc_hvl__decap_4 + PLACED ( 7680 0 ) FS ;
-- FILLER_0_20 sky130_fd_sc_hvl__fill_2 + PLACED ( 9600 0 ) FS ;
-- FILLER_0_22 sky130_fd_sc_hvl__fill_1 + PLACED ( 10560 0 ) FS ;
-- FILLER_0_40 sky130_fd_sc_hvl__decap_8 + PLACED ( 19200 0 ) FS ;
-- FILLER_0_48 sky130_fd_sc_hvl__decap_4 + PLACED ( 23040 0 ) FS ;
-- FILLER_1_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 0 8140 ) N ;
-- FILLER_1_8 sky130_fd_sc_hvl__decap_8 + PLACED ( 3840 8140 ) N ;
-- FILLER_1_16 sky130_fd_sc_hvl__decap_8 + PLACED ( 7680 8140 ) N ;
-- FILLER_1_24 sky130_fd_sc_hvl__decap_8 + PLACED ( 11520 8140 ) N ;
-- FILLER_1_32 sky130_fd_sc_hvl__decap_8 + PLACED ( 15360 8140 ) N ;
-- FILLER_1_40 sky130_fd_sc_hvl__decap_8 + PLACED ( 19200 8140 ) N ;
-- FILLER_1_48 sky130_fd_sc_hvl__decap_4 + PLACED ( 23040 8140 ) N ;
-- FILLER_2_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 0 16280 ) FS ;
-- FILLER_2_8 sky130_fd_sc_hvl__decap_8 + PLACED ( 3840 16280 ) FS ;
-- FILLER_2_16 sky130_fd_sc_hvl__decap_8 + PLACED ( 7680 16280 ) FS ;
-- FILLER_2_24 sky130_fd_sc_hvl__decap_8 + PLACED ( 11520 16280 ) FS ;
-- FILLER_2_32 sky130_fd_sc_hvl__decap_8 + PLACED ( 15360 16280 ) FS ;
-- FILLER_2_40 sky130_fd_sc_hvl__decap_8 + PLACED ( 19200 16280 ) FS ;
-- FILLER_2_48 sky130_fd_sc_hvl__decap_4 + PLACED ( 23040 16280 ) FS ;
+COMPONENTS 16 ;
+- lvlshiftdown sky130_fd_sc_hvl__lsbufhv2lv_1 + PLACED ( 8640 8140 ) N ;
+- ANTENNA_lvlshiftdown_A sky130_fd_sc_hvl__diode_2 + PLACED ( 7680 12210 ) FS ;
+- FILLER_0_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 2400 4070 ) FS ;
+- FILLER_0_8 sky130_fd_sc_hvl__decap_8 + PLACED ( 6240 4070 ) FS ;
+- FILLER_0_16 sky130_fd_sc_hvl__decap_8 + PLACED ( 10080 4070 ) FS ;
+- FILLER_0_24 sky130_fd_sc_hvl__decap_4 + PLACED ( 13920 4070 ) FS ;
+- FILLER_0_28 sky130_fd_sc_hvl__fill_2 + PLACED ( 15840 4070 ) FS ;
+- FILLER_0_30 sky130_fd_sc_hvl__fill_1 + PLACED ( 16800 4070 ) FS ;
+- FILLER_1_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 2400 8140 ) N ;
+- FILLER_1_8 sky130_fd_sc_hvl__decap_4 + PLACED ( 6240 8140 ) N ;
+- FILLER_1_12 sky130_fd_sc_hvl__fill_1 + PLACED ( 8160 8140 ) N ;
+- FILLER_1_30 sky130_fd_sc_hvl__fill_1 + PLACED ( 16800 8140 ) N ;
+- FILLER_2_0 sky130_fd_sc_hvl__decap_8 + PLACED ( 2400 12210 ) FS ;
+- FILLER_2_8 sky130_fd_sc_hvl__fill_2 + PLACED ( 6240 12210 ) FS ;
+- FILLER_2_10 sky130_fd_sc_hvl__fill_1 + PLACED ( 7200 12210 ) FS ;
+- FILLER_2_30 sky130_fd_sc_hvl__fill_1 + PLACED ( 16800 12210 ) FS ;
 END COMPONENTS
 
-PINS 12 ;
+PINS 6 ;
 - A + NET A + DIRECTION INPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
-  + PLACED ( 21360 23000 ) N ;
+  + LAYER met2 ( -140 -3000 ) ( 140 3000 )
+  + PLACED ( 16560 16000 ) N ;
 - X + NET X + DIRECTION OUTPUT + USE SIGNAL 
-  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
-  + PLACED ( 3120 2000 ) N ;
+  + LAYER met2 ( -140 -3000 ) ( 140 3000 )
+  + PLACED ( 3120 1000 ) N ;
 - VPWR + NET VPWR + DIRECTION INOUT + USE POWER 
-  + LAYER met4 ( -800 -12465 ) ( 800 12465 )
-  + FIXED ( 20833 12210 ) N + SPECIAL ;
-- VPWR + NET VPWR + DIRECTION INOUT + USE POWER 
-  + LAYER met4 ( -800 -12465 ) ( 800 12465 )
-  + FIXED ( 12500 12210 ) N + SPECIAL ;
-- VPWR + NET VPWR + DIRECTION INOUT + USE POWER 
-  + LAYER met4 ( -800 -12465 ) ( 800 12465 )
-  + FIXED ( 4167 12210 ) N + SPECIAL ;
-- VPWR + NET VPWR + DIRECTION INOUT + USE POWER 
-  + LAYER met5 ( -12480 -800 ) ( 12480 800 )
-  + FIXED ( 12480 20578 ) N + SPECIAL ;
-- VPWR + NET VPWR + DIRECTION INOUT + USE POWER 
-  + LAYER met5 ( -12480 -800 ) ( 12480 800 )
-  + FIXED ( 12480 12245 ) N + SPECIAL ;
-- VPWR + NET VPWR + DIRECTION INOUT + USE POWER 
-  + LAYER met5 ( -12480 -800 ) ( 12480 800 )
-  + FIXED ( 12480 3912 ) N + SPECIAL ;
+  + LAYER met4 ( -450 -6360 ) ( 450 6360 )
+  + FIXED ( 4400 10175 ) N + SPECIAL ;
 - VGND + NET VGND + DIRECTION INOUT + USE GROUND 
-  + LAYER met4 ( -800 -12465 ) ( 800 12465 )
-  + FIXED ( 16666 12210 ) N + SPECIAL ;
-- VGND + NET VGND + DIRECTION INOUT + USE GROUND 
-  + LAYER met4 ( -800 -12465 ) ( 800 12465 )
-  + FIXED ( 8333 12210 ) N + SPECIAL ;
-- VGND + NET VGND + DIRECTION INOUT + USE GROUND 
-  + LAYER met5 ( -12480 -800 ) ( 12480 800 )
-  + FIXED ( 12480 16411 ) N + SPECIAL ;
-- VGND + NET VGND + DIRECTION INOUT + USE GROUND 
-  + LAYER met5 ( -12480 -800 ) ( 12480 800 )
-  + FIXED ( 12480 8078 ) N + SPECIAL ;
+  + LAYER met4 ( -450 -6360 ) ( 450 6360 )
+  + FIXED ( 10900 10175 ) N + SPECIAL ;
+- LVPWR + NET LVPWR + DIRECTION INOUT + USE POWER 
+  + LAYER met4 ( -450 -6105 ) ( 450 6105 )
+  + FIXED ( 8800 10175 ) N + SPECIAL ;
+- LVGND + NET LVGND + DIRECTION INOUT + USE GROUND 
+  + LAYER met4 ( -450 -6105 ) ( 450 6105 )
+  + FIXED ( 15300 10175 ) N + SPECIAL ;
 END PINS
 
-SPECIALNETS 2 ;
+SPECIALNETS 4 ;
 - VPWR ( PIN VPWR ) 
-  + ROUTED met3 0 + SHAPE STRIPE ( 20833 16280 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 20833 16280 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 20833 16280 ) via_1600x510 
-    NEW met3 0 + SHAPE STRIPE ( 12500 16280 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 12500 16280 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 12500 16280 ) via_1600x510 
-    NEW met3 0 + SHAPE STRIPE ( 4167 16280 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 4167 16280 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 4167 16280 ) via_1600x510 
-    NEW met3 0 + SHAPE STRIPE ( 20833 0 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 20833 0 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 20833 0 ) via_1600x510 
-    NEW met3 0 + SHAPE STRIPE ( 12500 0 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 12500 0 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 12500 0 ) via_1600x510 
-    NEW met3 0 + SHAPE STRIPE ( 4167 0 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 4167 0 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 4167 0 ) via_1600x510 
-    NEW met4 0 + SHAPE STRIPE ( 20833 20578 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 12500 20578 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 4167 20578 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 20833 12245 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 12500 12245 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 4167 12245 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 20833 3912 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 12500 3912 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 4167 3912 ) via4_1600x1600 
-    NEW met5 1600 + SHAPE STRIPE ( 0 20578 ) ( 24960 20578 ) 
-    NEW met5 1600 + SHAPE STRIPE ( 0 12245 ) ( 24960 12245 ) 
-    NEW met5 1600 + SHAPE STRIPE ( 0 3912 ) ( 24960 3912 ) 
-    NEW met4 1600 + SHAPE STRIPE ( 20833 -255 ) ( 20833 24675 ) 
-    NEW met4 1600 + SHAPE STRIPE ( 12500 -255 ) ( 12500 24675 ) 
-    NEW met4 1600 + SHAPE STRIPE ( 4167 -255 ) ( 4167 24675 ) 
-    NEW met1 510 + SHAPE FOLLOWPIN ( 0 16280 ) ( 24960 16280 ) 
-    NEW met1 510 + SHAPE FOLLOWPIN ( 0 0 ) ( 24960 0 ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 4400 12210 ) via3_900x510 
+    NEW met2 0 + SHAPE STRIPE ( 4400 12210 ) via2_900x510 
+    NEW met1 0 + SHAPE STRIPE ( 4400 12210 ) via_900x510 
+    NEW met3 0 + SHAPE STRIPE ( 4400 4070 ) via3_900x510 
+    NEW met2 0 + SHAPE STRIPE ( 4400 4070 ) via2_900x510 
+    NEW met1 0 + SHAPE STRIPE ( 4400 4070 ) via_900x510 
+    NEW met4 900 + SHAPE STRIPE ( 4400 3815 ) ( 4400 16535 ) 
+    NEW met1 510 + SHAPE FOLLOWPIN ( 2400 12210 ) ( 17280 12210 ) 
+    NEW met1 510 + SHAPE FOLLOWPIN ( 2400 4070 ) ( 17280 4070 ) 
   + USE POWER ;
 - VGND ( PIN VGND ) 
-  + ROUTED met3 0 + SHAPE STRIPE ( 16666 24420 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 16666 24420 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 16666 24420 ) via_1600x510 
-    NEW met3 0 + SHAPE STRIPE ( 8333 24420 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 8333 24420 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 8333 24420 ) via_1600x510 
-    NEW met3 0 + SHAPE STRIPE ( 16666 8140 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 16666 8140 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 16666 8140 ) via_1600x510 
-    NEW met3 0 + SHAPE STRIPE ( 8333 8140 ) via3_1600x510 
-    NEW met2 0 + SHAPE STRIPE ( 8333 8140 ) via2_1600x510 
-    NEW met1 0 + SHAPE STRIPE ( 8333 8140 ) via_1600x510 
-    NEW met4 0 + SHAPE STRIPE ( 16666 16411 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 8333 16411 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 16666 8078 ) via4_1600x1600 
-    NEW met4 0 + SHAPE STRIPE ( 8333 8078 ) via4_1600x1600 
-    NEW met5 1600 + SHAPE STRIPE ( 0 16411 ) ( 24960 16411 ) 
-    NEW met5 1600 + SHAPE STRIPE ( 0 8078 ) ( 24960 8078 ) 
-    NEW met4 1600 + SHAPE STRIPE ( 16666 -255 ) ( 16666 24675 ) 
-    NEW met4 1600 + SHAPE STRIPE ( 8333 -255 ) ( 8333 24675 ) 
-    NEW met1 510 + SHAPE FOLLOWPIN ( 0 24420 ) ( 24960 24420 ) 
-    NEW met1 510 + SHAPE FOLLOWPIN ( 0 8140 ) ( 24960 8140 ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 10900 16280 ) via3_900x510 
+    NEW met2 0 + SHAPE STRIPE ( 10900 16280 ) via2_900x510 
+    NEW met1 0 + SHAPE STRIPE ( 10900 16280 ) via_900x510 
+    NEW met3 0 + SHAPE STRIPE ( 10900 8140 ) via3_900x510 
+    NEW met2 0 + SHAPE STRIPE ( 10900 8140 ) via2_900x510 
+    NEW met1 0 + SHAPE STRIPE ( 10900 8140 ) via_900x510 
+    NEW met4 900 + SHAPE STRIPE ( 10900 3815 ) ( 10900 16535 ) 
+    NEW met1 510 + SHAPE FOLLOWPIN ( 2400 16280 ) ( 17280 16280 ) 
+    NEW met1 510 + SHAPE FOLLOWPIN ( 2400 8140 ) ( 17280 8140 ) 
+  + USE GROUND ;
+- LVPWR ( PIN LVPWR ) 
+  + ROUTED met4 900 + SHAPE STRIPE ( 8800 4070 ) ( 8800 16280 ) 
+  + USE POWER ;
+- LVGND ( PIN LVGND ) 
+  + ROUTED met4 900 + SHAPE STRIPE ( 15300 4070 ) ( 15300 16280 ) 
   + USE GROUND ;
 END SPECIALNETS
 
 NETS 2 ;
-- A ( PIN A ) ( lvlshiftdown A ) 
-  + ROUTED met2 ( 12240 3145 ) ( 12240 3330 )
-    NEW met3 ( 12240 3330 ) ( 21840 3330 )
-    NEW met2 ( 21840 3330 ) ( 21840 17390 )
-    NEW met2 ( 21360 17390 ) ( 21840 17390 )
-    NEW met2 ( 21360 17390 ) ( 21360 21090 0 )
-    NEW li1 ( 12240 3145 ) L1M1_PR_MR
-    NEW met1 ( 12240 3145 ) M1M2_PR
-    NEW met2 ( 12240 3330 ) via2_FR
-    NEW met2 ( 21840 3330 ) via2_FR
-    NEW met1 ( 12240 3145 ) RECT ( -355 -70 0 70 )
+- A ( PIN A ) ( ANTENNA_lvlshiftdown_A DIODE ) ( lvlshiftdown A ) 
+  + ROUTED met1 ( 9840 13135 ) ( 16080 13135 )
+    NEW met2 ( 16080 12950 ) ( 16080 13135 )
+    NEW met2 ( 16080 12950 ) ( 16560 12950 )
+    NEW met2 ( 16560 12950 ) ( 16560 13690 0 )
+    NEW met1 ( 8400 13135 ) ( 9840 13135 )
+    NEW li1 ( 9840 13135 ) L1M1_PR_MR
+    NEW met1 ( 16080 13135 ) M1M2_PR
+    NEW li1 ( 8400 13135 ) L1M1_PR_MR
 + USE SIGNAL ;
 - X ( PIN X ) ( lvlshiftdown X ) 
-  + ROUTED met2 ( 3120 3330 0 ) ( 3120 5735 )
-    NEW met1 ( 3120 5735 ) ( 14640 5735 )
-    NEW met1 ( 3120 5735 ) M1M2_PR
-    NEW li1 ( 14640 5735 ) L1M1_PR_MR
+  + ROUTED met2 ( 3120 3330 0 ) ( 3120 9805 )
+    NEW met1 ( 3120 9805 ) ( 12240 9805 )
+    NEW met1 ( 3120 9805 ) M1M2_PR
+    NEW li1 ( 12240 9805 ) L1M1_PR_MR
 + USE SIGNAL ;
 END NETS
 END DESIGN
diff --git a/gds/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.gds.gz b/gds/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.gds.gz
index f0bbcc2..a583d42 100644
--- a/gds/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.gds.gz
+++ b/gds/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.gds.gz
Binary files differ
diff --git a/lef/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.lef b/lef/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.lef
index be6c72d..f0575b5 100644
--- a/lef/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.lef
+++ b/lef/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.lef
@@ -6,13 +6,13 @@
   CLASS BLOCK ;
   FOREIGN sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped ;
   ORIGIN 0.000 0.000 ;
-  SIZE 25.000 BY 25.000 ;
+  SIZE 20.000 BY 17.000 ;
   PIN A
     DIRECTION INPUT ;
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 21.220 21.000 21.500 25.000 ;
+        RECT 16.420 13.000 16.700 19.000 ;
     END
   END A
   PIN X
@@ -20,7 +20,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2.980 0.000 3.260 4.000 ;
+        RECT 2.980 -2.000 3.260 4.000 ;
     END
   END X
   PIN VPWR
@@ -28,47 +28,7 @@
     USE POWER ;
     PORT
       LAYER met4 ;
-        RECT 20.035 -0.255 21.635 24.675 ;
-    END
-  END VPWR
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 11.700 -0.255 13.300 24.675 ;
-    END
-  END VPWR
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 3.365 -0.255 4.965 24.675 ;
-    END
-  END VPWR
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 0.000 19.780 24.960 21.380 ;
-    END
-  END VPWR
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 0.000 11.445 24.960 13.045 ;
-    END
-  END VPWR
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 0.000 3.110 24.960 4.710 ;
+        RECT 3.950 3.815 4.850 16.535 ;
     END
   END VPWR
   PIN VGND
@@ -76,49 +36,39 @@
     USE GROUND ;
     PORT
       LAYER met4 ;
-        RECT 15.865 -0.255 17.465 24.675 ;
+        RECT 10.450 3.815 11.350 16.535 ;
     END
   END VGND
-  PIN VGND
+  PIN LVPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 8.350 4.070 9.250 16.280 ;
+    END
+  END LVPWR
+  PIN LVGND
     DIRECTION INOUT ;
     USE GROUND ;
     PORT
       LAYER met4 ;
-        RECT 7.535 -0.255 9.135 24.675 ;
+        RECT 14.850 4.070 15.750 16.280 ;
     END
-  END VGND
-  PIN VGND
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met5 ;
-        RECT 0.000 15.610 24.960 17.210 ;
-    END
-  END VGND
-  PIN VGND
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER met5 ;
-        RECT 0.000 7.280 24.960 8.880 ;
-    END
-  END VGND
+  END LVGND
   OBS
+      LAYER nwell ;
+        RECT 2.070 10.025 10.170 14.395 ;
+        RECT 2.070 3.655 17.610 6.255 ;
       LAYER li1 ;
-        RECT 0.000 0.000 24.960 20.435 ;
+        RECT 2.400 3.985 17.280 16.365 ;
       LAYER met1 ;
-        RECT 0.000 0.000 24.960 24.675 ;
+        RECT 2.400 3.815 17.280 16.535 ;
       LAYER met2 ;
-        RECT 2.990 20.720 20.940 24.675 ;
-        RECT 21.780 20.720 21.980 24.675 ;
-        RECT 2.990 4.280 21.980 20.720 ;
-        RECT 3.540 0.000 21.980 4.280 ;
+        RECT 2.990 12.720 16.140 16.535 ;
+        RECT 2.990 4.280 16.630 12.720 ;
+        RECT 3.540 3.815 16.630 4.280 ;
       LAYER met3 ;
-        RECT 3.365 0.000 22.005 24.585 ;
-      LAYER met4 ;
-        RECT 9.535 0.000 11.300 24.675 ;
-        RECT 13.700 0.000 15.465 24.675 ;
-        RECT 17.865 0.000 19.635 24.675 ;
+        RECT 3.950 3.905 11.350 16.445 ;
   END
 END sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped
 END LIBRARY
diff --git a/mag/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.mag b/mag/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.mag
index ba7f876..1684eb0 100644
--- a/mag/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.mag
+++ b/mag/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.mag
@@ -1,968 +1,315 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607712711
+timestamp 1608587411
 << viali >>
-rect 2911 1130 2945 1164
-rect 2431 612 2465 646
+rect 1663 2610 1697 2644
+rect 1951 2610 1985 2644
+rect 2431 1944 2465 1978
 << metal1 >>
-rect 0 4910 4992 4935
-rect 0 4858 1544 4910
-rect 1596 4858 1608 4910
-rect 1660 4858 1672 4910
-rect 1724 4858 1736 4910
-rect 1788 4858 3211 4910
-rect 3263 4858 3275 4910
-rect 3327 4858 3339 4910
-rect 3391 4858 3403 4910
-rect 3455 4858 4992 4910
-rect 0 4833 4992 4858
-rect 0 3282 4992 3307
-rect 0 3230 711 3282
-rect 763 3230 775 3282
-rect 827 3230 839 3282
-rect 891 3230 903 3282
-rect 955 3230 2378 3282
-rect 2430 3230 2442 3282
-rect 2494 3230 2506 3282
-rect 2558 3230 2570 3282
-rect 2622 3230 4044 3282
-rect 4096 3230 4108 3282
-rect 4160 3230 4172 3282
-rect 4224 3230 4236 3282
-rect 4288 3230 4992 3282
-rect 0 3205 4992 3230
-rect 0 1654 4992 1679
-rect 0 1602 1544 1654
-rect 1596 1602 1608 1654
-rect 1660 1602 1672 1654
-rect 1724 1602 1736 1654
-rect 1788 1602 3211 1654
-rect 3263 1602 3275 1654
-rect 3327 1602 3339 1654
-rect 3391 1602 3403 1654
-rect 3455 1602 4992 1654
-rect 0 1577 4992 1602
-rect 592 1121 598 1173
-rect 650 1161 656 1173
-rect 2899 1164 2957 1170
-rect 2899 1161 2911 1164
-rect 650 1133 2911 1161
-rect 650 1121 656 1133
-rect 2899 1130 2911 1133
-rect 2945 1130 2957 1164
-rect 2899 1124 2957 1130
-rect 2416 643 2422 655
-rect 2377 615 2422 643
-rect 2416 603 2422 615
-rect 2474 603 2480 655
-rect 0 26 4992 51
-rect 0 -26 711 26
-rect 763 -26 775 26
-rect 827 -26 839 26
-rect 891 -26 903 26
-rect 955 -26 2378 26
-rect 2430 -26 2442 26
-rect 2494 -26 2506 26
-rect 2558 -26 2570 26
-rect 2622 -26 4044 26
-rect 4096 -26 4108 26
-rect 4160 -26 4172 26
-rect 4224 -26 4236 26
-rect 4288 -26 4992 26
-rect 0 -51 4992 -26
+rect 480 3282 3456 3307
+rect 480 3230 2122 3282
+rect 2174 3230 2186 3282
+rect 2238 3230 3456 3282
+rect 480 3205 3456 3230
+rect 1651 2644 1709 2650
+rect 1651 2610 1663 2644
+rect 1697 2641 1709 2644
+rect 1939 2644 1997 2650
+rect 1939 2641 1951 2644
+rect 1697 2613 1951 2641
+rect 1697 2610 1709 2613
+rect 1651 2604 1709 2610
+rect 1939 2610 1951 2613
+rect 1985 2641 1997 2644
+rect 3184 2641 3190 2653
+rect 1985 2613 3190 2641
+rect 1985 2610 1997 2613
+rect 1939 2604 1997 2610
+rect 3184 2601 3190 2613
+rect 3242 2601 3248 2653
+rect 480 2468 3456 2493
+rect 480 2416 822 2468
+rect 874 2416 886 2468
+rect 938 2416 3456 2468
+rect 480 2391 3456 2416
+rect 1536 2286 2110 2289
+rect 1536 2232 1670 2286
+rect 1664 2226 1670 2232
+rect 1854 2232 2110 2286
+rect 1854 2226 1860 2232
+rect 1664 2222 1860 2226
+rect 592 1935 598 1987
+rect 650 1975 656 1987
+rect 2419 1978 2477 1984
+rect 2419 1975 2431 1978
+rect 650 1947 2431 1975
+rect 650 1935 656 1947
+rect 2419 1944 2431 1947
+rect 2465 1944 2477 1978
+rect 2419 1938 2477 1944
+rect 480 1654 3456 1679
+rect 480 1602 2122 1654
+rect 2174 1602 2186 1654
+rect 2238 1602 3456 1654
+rect 480 1577 3456 1602
+rect 480 840 3456 865
+rect 480 788 822 840
+rect 874 788 886 840
+rect 938 788 3456 840
+rect 480 763 3456 788
 << via1 >>
-rect 1544 4858 1596 4910
-rect 1608 4858 1660 4910
-rect 1672 4858 1724 4910
-rect 1736 4858 1788 4910
-rect 3211 4858 3263 4910
-rect 3275 4858 3327 4910
-rect 3339 4858 3391 4910
-rect 3403 4858 3455 4910
-rect 711 3230 763 3282
-rect 775 3230 827 3282
-rect 839 3230 891 3282
-rect 903 3230 955 3282
-rect 2378 3230 2430 3282
-rect 2442 3230 2494 3282
-rect 2506 3230 2558 3282
-rect 2570 3230 2622 3282
-rect 4044 3230 4096 3282
-rect 4108 3230 4160 3282
-rect 4172 3230 4224 3282
-rect 4236 3230 4288 3282
-rect 1544 1602 1596 1654
-rect 1608 1602 1660 1654
-rect 1672 1602 1724 1654
-rect 1736 1602 1788 1654
-rect 3211 1602 3263 1654
-rect 3275 1602 3327 1654
-rect 3339 1602 3391 1654
-rect 3403 1602 3455 1654
-rect 598 1121 650 1173
-rect 2422 646 2474 655
-rect 2422 612 2431 646
-rect 2431 612 2465 646
-rect 2465 612 2474 646
-rect 2422 603 2474 612
-rect 711 -26 763 26
-rect 775 -26 827 26
-rect 839 -26 891 26
-rect 903 -26 955 26
-rect 2378 -26 2430 26
-rect 2442 -26 2494 26
-rect 2506 -26 2558 26
-rect 2570 -26 2622 26
-rect 4044 -26 4096 26
-rect 4108 -26 4160 26
-rect 4172 -26 4224 26
-rect 4236 -26 4288 26
+rect 2122 3230 2174 3282
+rect 2186 3230 2238 3282
+rect 3190 2601 3242 2653
+rect 822 2416 874 2468
+rect 886 2416 938 2468
+rect 1670 2226 1854 2286
+rect 598 1935 650 1987
+rect 2122 1602 2174 1654
+rect 2186 1602 2238 1654
+rect 822 788 874 840
+rect 886 788 938 840
 << metal2 >>
-rect 1518 4912 1814 4935
-rect 1574 4910 1598 4912
-rect 1654 4910 1678 4912
-rect 1734 4910 1758 4912
-rect 1596 4858 1598 4910
-rect 1660 4858 1672 4910
-rect 1734 4858 1736 4910
-rect 1574 4856 1598 4858
-rect 1654 4856 1678 4858
-rect 1734 4856 1758 4858
-rect 1518 4833 1814 4856
-rect 3185 4912 3481 4935
-rect 3241 4910 3265 4912
-rect 3321 4910 3345 4912
-rect 3401 4910 3425 4912
-rect 3263 4858 3265 4910
-rect 3327 4858 3339 4910
-rect 3401 4858 3403 4910
-rect 3241 4856 3265 4858
-rect 3321 4856 3345 4858
-rect 3401 4856 3425 4858
-rect 3185 4833 3481 4856
-rect 4244 4200 4300 5000
-rect 4258 3492 4286 4200
-rect 4258 3464 4382 3492
-rect 685 3284 981 3307
-rect 741 3282 765 3284
-rect 821 3282 845 3284
-rect 901 3282 925 3284
-rect 763 3230 765 3282
-rect 827 3230 839 3282
-rect 901 3230 903 3282
-rect 741 3228 765 3230
-rect 821 3228 845 3230
-rect 901 3228 925 3230
-rect 685 3205 981 3228
-rect 2352 3284 2648 3307
-rect 2408 3282 2432 3284
-rect 2488 3282 2512 3284
-rect 2568 3282 2592 3284
-rect 2430 3230 2432 3282
-rect 2494 3230 2506 3282
-rect 2568 3230 2570 3282
-rect 2408 3228 2432 3230
-rect 2488 3228 2512 3230
-rect 2568 3228 2592 3230
-rect 2352 3205 2648 3228
-rect 4018 3284 4314 3307
-rect 4074 3282 4098 3284
-rect 4154 3282 4178 3284
-rect 4234 3282 4258 3284
-rect 4096 3230 4098 3282
-rect 4160 3230 4172 3282
-rect 4234 3230 4236 3282
-rect 4074 3228 4098 3230
-rect 4154 3228 4178 3230
-rect 4234 3228 4258 3230
-rect 4018 3205 4314 3228
-rect 1518 1656 1814 1679
-rect 1574 1654 1598 1656
-rect 1654 1654 1678 1656
-rect 1734 1654 1758 1656
-rect 1596 1602 1598 1654
-rect 1660 1602 1672 1654
-rect 1734 1602 1736 1654
-rect 1574 1600 1598 1602
-rect 1654 1600 1678 1602
-rect 1734 1600 1758 1602
-rect 1518 1577 1814 1600
-rect 3185 1656 3481 1679
-rect 3241 1654 3265 1656
-rect 3321 1654 3345 1656
-rect 3401 1654 3425 1656
-rect 3263 1602 3265 1654
-rect 3327 1602 3339 1654
-rect 3401 1602 3403 1654
-rect 3241 1600 3265 1602
-rect 3321 1600 3345 1602
-rect 3401 1600 3425 1602
-rect 3185 1577 3481 1600
-rect 598 1173 650 1179
-rect 598 1115 650 1121
-rect 610 800 638 1115
-rect 596 0 652 800
-rect 4354 703 4382 3464
-rect 2420 694 2476 703
-rect 2420 629 2422 638
-rect 2474 629 2476 638
-rect 4340 694 4396 703
-rect 4340 629 4396 638
-rect 2422 597 2474 603
-rect 685 28 981 51
-rect 741 26 765 28
-rect 821 26 845 28
-rect 901 26 925 28
-rect 763 -26 765 26
-rect 827 -26 839 26
-rect 901 -26 903 26
-rect 741 -28 765 -26
-rect 821 -28 845 -26
-rect 901 -28 925 -26
-rect 685 -51 981 -28
-rect 2352 28 2648 51
-rect 2408 26 2432 28
-rect 2488 26 2512 28
-rect 2568 26 2592 28
-rect 2430 -26 2432 26
-rect 2494 -26 2506 26
-rect 2568 -26 2570 26
-rect 2408 -28 2432 -26
-rect 2488 -28 2512 -26
-rect 2568 -28 2592 -26
-rect 2352 -51 2648 -28
-rect 4018 28 4314 51
-rect 4074 26 4098 28
-rect 4154 26 4178 28
-rect 4234 26 4258 28
-rect 4096 -26 4098 26
-rect 4160 -26 4172 26
-rect 4234 -26 4236 26
-rect 4074 -28 4098 -26
-rect 4154 -28 4178 -26
-rect 4234 -28 4258 -26
-rect 4018 -51 4314 -28
+rect 2112 3284 2248 3307
+rect 2168 3282 2192 3284
+rect 2174 3230 2186 3282
+rect 2168 3228 2192 3230
+rect 2112 3205 2248 3228
+rect 3190 2653 3242 2659
+rect 3284 2604 3340 3800
+rect 3242 2601 3340 2604
+rect 3190 2600 3340 2601
+rect 3190 2595 3326 2600
+rect 3202 2576 3326 2595
+rect 812 2470 948 2493
+rect 868 2468 892 2470
+rect 874 2416 886 2468
+rect 868 2414 892 2416
+rect 812 2391 948 2414
+rect 1660 2286 1864 2296
+rect 1660 2226 1670 2286
+rect 1854 2226 1864 2286
+rect 1660 2214 1864 2226
+rect 598 1987 650 1993
+rect 598 1929 650 1935
+rect 610 800 638 1929
+rect 2112 1656 2248 1679
+rect 2168 1654 2192 1656
+rect 2174 1602 2186 1654
+rect 2168 1600 2192 1602
+rect 2112 1577 2248 1600
+rect 812 842 948 865
+rect 868 840 892 842
+rect 596 -400 652 800
+rect 874 788 886 840
+rect 868 786 892 788
+rect 812 763 948 786
 << via2 >>
-rect 1518 4910 1574 4912
-rect 1598 4910 1654 4912
-rect 1678 4910 1734 4912
-rect 1758 4910 1814 4912
-rect 1518 4858 1544 4910
-rect 1544 4858 1574 4910
-rect 1598 4858 1608 4910
-rect 1608 4858 1654 4910
-rect 1678 4858 1724 4910
-rect 1724 4858 1734 4910
-rect 1758 4858 1788 4910
-rect 1788 4858 1814 4910
-rect 1518 4856 1574 4858
-rect 1598 4856 1654 4858
-rect 1678 4856 1734 4858
-rect 1758 4856 1814 4858
-rect 3185 4910 3241 4912
-rect 3265 4910 3321 4912
-rect 3345 4910 3401 4912
-rect 3425 4910 3481 4912
-rect 3185 4858 3211 4910
-rect 3211 4858 3241 4910
-rect 3265 4858 3275 4910
-rect 3275 4858 3321 4910
-rect 3345 4858 3391 4910
-rect 3391 4858 3401 4910
-rect 3425 4858 3455 4910
-rect 3455 4858 3481 4910
-rect 3185 4856 3241 4858
-rect 3265 4856 3321 4858
-rect 3345 4856 3401 4858
-rect 3425 4856 3481 4858
-rect 685 3282 741 3284
-rect 765 3282 821 3284
-rect 845 3282 901 3284
-rect 925 3282 981 3284
-rect 685 3230 711 3282
-rect 711 3230 741 3282
-rect 765 3230 775 3282
-rect 775 3230 821 3282
-rect 845 3230 891 3282
-rect 891 3230 901 3282
-rect 925 3230 955 3282
-rect 955 3230 981 3282
-rect 685 3228 741 3230
-rect 765 3228 821 3230
-rect 845 3228 901 3230
-rect 925 3228 981 3230
-rect 2352 3282 2408 3284
-rect 2432 3282 2488 3284
-rect 2512 3282 2568 3284
-rect 2592 3282 2648 3284
-rect 2352 3230 2378 3282
-rect 2378 3230 2408 3282
-rect 2432 3230 2442 3282
-rect 2442 3230 2488 3282
-rect 2512 3230 2558 3282
-rect 2558 3230 2568 3282
-rect 2592 3230 2622 3282
-rect 2622 3230 2648 3282
-rect 2352 3228 2408 3230
-rect 2432 3228 2488 3230
-rect 2512 3228 2568 3230
-rect 2592 3228 2648 3230
-rect 4018 3282 4074 3284
-rect 4098 3282 4154 3284
-rect 4178 3282 4234 3284
-rect 4258 3282 4314 3284
-rect 4018 3230 4044 3282
-rect 4044 3230 4074 3282
-rect 4098 3230 4108 3282
-rect 4108 3230 4154 3282
-rect 4178 3230 4224 3282
-rect 4224 3230 4234 3282
-rect 4258 3230 4288 3282
-rect 4288 3230 4314 3282
-rect 4018 3228 4074 3230
-rect 4098 3228 4154 3230
-rect 4178 3228 4234 3230
-rect 4258 3228 4314 3230
-rect 1518 1654 1574 1656
-rect 1598 1654 1654 1656
-rect 1678 1654 1734 1656
-rect 1758 1654 1814 1656
-rect 1518 1602 1544 1654
-rect 1544 1602 1574 1654
-rect 1598 1602 1608 1654
-rect 1608 1602 1654 1654
-rect 1678 1602 1724 1654
-rect 1724 1602 1734 1654
-rect 1758 1602 1788 1654
-rect 1788 1602 1814 1654
-rect 1518 1600 1574 1602
-rect 1598 1600 1654 1602
-rect 1678 1600 1734 1602
-rect 1758 1600 1814 1602
-rect 3185 1654 3241 1656
-rect 3265 1654 3321 1656
-rect 3345 1654 3401 1656
-rect 3425 1654 3481 1656
-rect 3185 1602 3211 1654
-rect 3211 1602 3241 1654
-rect 3265 1602 3275 1654
-rect 3275 1602 3321 1654
-rect 3345 1602 3391 1654
-rect 3391 1602 3401 1654
-rect 3425 1602 3455 1654
-rect 3455 1602 3481 1654
-rect 3185 1600 3241 1602
-rect 3265 1600 3321 1602
-rect 3345 1600 3401 1602
-rect 3425 1600 3481 1602
-rect 2420 655 2476 694
-rect 2420 638 2422 655
-rect 2422 638 2474 655
-rect 2474 638 2476 655
-rect 4340 638 4396 694
-rect 685 26 741 28
-rect 765 26 821 28
-rect 845 26 901 28
-rect 925 26 981 28
-rect 685 -26 711 26
-rect 711 -26 741 26
-rect 765 -26 775 26
-rect 775 -26 821 26
-rect 845 -26 891 26
-rect 891 -26 901 26
-rect 925 -26 955 26
-rect 955 -26 981 26
-rect 685 -28 741 -26
-rect 765 -28 821 -26
-rect 845 -28 901 -26
-rect 925 -28 981 -26
-rect 2352 26 2408 28
-rect 2432 26 2488 28
-rect 2512 26 2568 28
-rect 2592 26 2648 28
-rect 2352 -26 2378 26
-rect 2378 -26 2408 26
-rect 2432 -26 2442 26
-rect 2442 -26 2488 26
-rect 2512 -26 2558 26
-rect 2558 -26 2568 26
-rect 2592 -26 2622 26
-rect 2622 -26 2648 26
-rect 2352 -28 2408 -26
-rect 2432 -28 2488 -26
-rect 2512 -28 2568 -26
-rect 2592 -28 2648 -26
-rect 4018 26 4074 28
-rect 4098 26 4154 28
-rect 4178 26 4234 28
-rect 4258 26 4314 28
-rect 4018 -26 4044 26
-rect 4044 -26 4074 26
-rect 4098 -26 4108 26
-rect 4108 -26 4154 26
-rect 4178 -26 4224 26
-rect 4224 -26 4234 26
-rect 4258 -26 4288 26
-rect 4288 -26 4314 26
-rect 4018 -28 4074 -26
-rect 4098 -28 4154 -26
-rect 4178 -28 4234 -26
-rect 4258 -28 4314 -26
+rect 2112 3282 2168 3284
+rect 2192 3282 2248 3284
+rect 2112 3230 2122 3282
+rect 2122 3230 2168 3282
+rect 2192 3230 2238 3282
+rect 2238 3230 2248 3282
+rect 2112 3228 2168 3230
+rect 2192 3228 2248 3230
+rect 812 2468 868 2470
+rect 892 2468 948 2470
+rect 812 2416 822 2468
+rect 822 2416 868 2468
+rect 892 2416 938 2468
+rect 938 2416 948 2468
+rect 812 2414 868 2416
+rect 892 2414 948 2416
+rect 1670 2226 1854 2286
+rect 2112 1654 2168 1656
+rect 2192 1654 2248 1656
+rect 2112 1602 2122 1654
+rect 2122 1602 2168 1654
+rect 2192 1602 2238 1654
+rect 2238 1602 2248 1654
+rect 2112 1600 2168 1602
+rect 2192 1600 2248 1602
+rect 812 840 868 842
+rect 892 840 948 842
+rect 812 788 822 840
+rect 822 788 868 840
+rect 892 788 938 840
+rect 938 788 948 840
+rect 812 786 868 788
+rect 892 786 948 788
 << metal3 >>
-rect 1506 4916 1826 4917
-rect 1506 4852 1514 4916
-rect 1578 4852 1594 4916
-rect 1658 4852 1674 4916
-rect 1738 4852 1754 4916
-rect 1818 4852 1826 4916
-rect 1506 4851 1826 4852
-rect 3173 4916 3493 4917
-rect 3173 4852 3181 4916
-rect 3245 4852 3261 4916
-rect 3325 4852 3341 4916
-rect 3405 4852 3421 4916
-rect 3485 4852 3493 4916
-rect 3173 4851 3493 4852
-rect 673 3288 993 3289
-rect 673 3224 681 3288
-rect 745 3224 761 3288
-rect 825 3224 841 3288
-rect 905 3224 921 3288
-rect 985 3224 993 3288
-rect 673 3223 993 3224
-rect 2340 3288 2660 3289
-rect 2340 3224 2348 3288
-rect 2412 3224 2428 3288
-rect 2492 3224 2508 3288
-rect 2572 3224 2588 3288
-rect 2652 3224 2660 3288
-rect 2340 3223 2660 3224
-rect 4006 3288 4326 3289
-rect 4006 3224 4014 3288
-rect 4078 3224 4094 3288
-rect 4158 3224 4174 3288
-rect 4238 3224 4254 3288
-rect 4318 3224 4326 3288
-rect 4006 3223 4326 3224
-rect 1506 1660 1826 1661
-rect 1506 1596 1514 1660
-rect 1578 1596 1594 1660
-rect 1658 1596 1674 1660
-rect 1738 1596 1754 1660
-rect 1818 1596 1826 1660
-rect 1506 1595 1826 1596
-rect 3173 1660 3493 1661
-rect 3173 1596 3181 1660
-rect 3245 1596 3261 1660
-rect 3325 1596 3341 1660
-rect 3405 1596 3421 1660
-rect 3485 1596 3493 1660
-rect 3173 1595 3493 1596
-rect 2415 696 2481 699
-rect 4335 696 4401 699
-rect 2415 694 4401 696
-rect 2415 638 2420 694
-rect 2476 638 4340 694
-rect 4396 638 4401 694
-rect 2415 636 4401 638
-rect 2415 633 2481 636
-rect 4335 633 4401 636
-rect 673 32 993 33
-rect 673 -32 681 32
-rect 745 -32 761 32
-rect 825 -32 841 32
-rect 905 -32 921 32
-rect 985 -32 993 32
-rect 673 -33 993 -32
-rect 2340 32 2660 33
-rect 2340 -32 2348 32
-rect 2412 -32 2428 32
-rect 2492 -32 2508 32
-rect 2572 -32 2588 32
-rect 2652 -32 2660 32
-rect 2340 -33 2660 -32
-rect 4006 32 4326 33
-rect 4006 -32 4014 32
-rect 4078 -32 4094 32
-rect 4158 -32 4174 32
-rect 4238 -32 4254 32
-rect 4318 -32 4326 32
-rect 4006 -33 4326 -32
+rect 2090 3288 2270 3289
+rect 2090 3224 2108 3288
+rect 2172 3224 2188 3288
+rect 2252 3224 2270 3288
+rect 2090 3223 2270 3224
+rect 790 2474 970 2475
+rect 790 2410 808 2474
+rect 872 2410 888 2474
+rect 952 2410 970 2474
+rect 790 2409 970 2410
+rect 1660 2290 1864 2296
+rect 1660 2226 1670 2290
+rect 1854 2226 1864 2290
+rect 1660 2214 1864 2226
+rect 2090 1660 2270 1661
+rect 2090 1596 2108 1660
+rect 2172 1596 2188 1660
+rect 2252 1596 2270 1660
+rect 2090 1595 2270 1596
+rect 790 846 970 847
+rect 790 782 808 846
+rect 872 782 888 846
+rect 952 782 970 846
+rect 790 781 970 782
 << via3 >>
-rect 1514 4912 1578 4916
-rect 1514 4856 1518 4912
-rect 1518 4856 1574 4912
-rect 1574 4856 1578 4912
-rect 1514 4852 1578 4856
-rect 1594 4912 1658 4916
-rect 1594 4856 1598 4912
-rect 1598 4856 1654 4912
-rect 1654 4856 1658 4912
-rect 1594 4852 1658 4856
-rect 1674 4912 1738 4916
-rect 1674 4856 1678 4912
-rect 1678 4856 1734 4912
-rect 1734 4856 1738 4912
-rect 1674 4852 1738 4856
-rect 1754 4912 1818 4916
-rect 1754 4856 1758 4912
-rect 1758 4856 1814 4912
-rect 1814 4856 1818 4912
-rect 1754 4852 1818 4856
-rect 3181 4912 3245 4916
-rect 3181 4856 3185 4912
-rect 3185 4856 3241 4912
-rect 3241 4856 3245 4912
-rect 3181 4852 3245 4856
-rect 3261 4912 3325 4916
-rect 3261 4856 3265 4912
-rect 3265 4856 3321 4912
-rect 3321 4856 3325 4912
-rect 3261 4852 3325 4856
-rect 3341 4912 3405 4916
-rect 3341 4856 3345 4912
-rect 3345 4856 3401 4912
-rect 3401 4856 3405 4912
-rect 3341 4852 3405 4856
-rect 3421 4912 3485 4916
-rect 3421 4856 3425 4912
-rect 3425 4856 3481 4912
-rect 3481 4856 3485 4912
-rect 3421 4852 3485 4856
-rect 681 3284 745 3288
-rect 681 3228 685 3284
-rect 685 3228 741 3284
-rect 741 3228 745 3284
-rect 681 3224 745 3228
-rect 761 3284 825 3288
-rect 761 3228 765 3284
-rect 765 3228 821 3284
-rect 821 3228 825 3284
-rect 761 3224 825 3228
-rect 841 3284 905 3288
-rect 841 3228 845 3284
-rect 845 3228 901 3284
-rect 901 3228 905 3284
-rect 841 3224 905 3228
-rect 921 3284 985 3288
-rect 921 3228 925 3284
-rect 925 3228 981 3284
-rect 981 3228 985 3284
-rect 921 3224 985 3228
-rect 2348 3284 2412 3288
-rect 2348 3228 2352 3284
-rect 2352 3228 2408 3284
-rect 2408 3228 2412 3284
-rect 2348 3224 2412 3228
-rect 2428 3284 2492 3288
-rect 2428 3228 2432 3284
-rect 2432 3228 2488 3284
-rect 2488 3228 2492 3284
-rect 2428 3224 2492 3228
-rect 2508 3284 2572 3288
-rect 2508 3228 2512 3284
-rect 2512 3228 2568 3284
-rect 2568 3228 2572 3284
-rect 2508 3224 2572 3228
-rect 2588 3284 2652 3288
-rect 2588 3228 2592 3284
-rect 2592 3228 2648 3284
-rect 2648 3228 2652 3284
-rect 2588 3224 2652 3228
-rect 4014 3284 4078 3288
-rect 4014 3228 4018 3284
-rect 4018 3228 4074 3284
-rect 4074 3228 4078 3284
-rect 4014 3224 4078 3228
-rect 4094 3284 4158 3288
-rect 4094 3228 4098 3284
-rect 4098 3228 4154 3284
-rect 4154 3228 4158 3284
-rect 4094 3224 4158 3228
-rect 4174 3284 4238 3288
-rect 4174 3228 4178 3284
-rect 4178 3228 4234 3284
-rect 4234 3228 4238 3284
-rect 4174 3224 4238 3228
-rect 4254 3284 4318 3288
-rect 4254 3228 4258 3284
-rect 4258 3228 4314 3284
-rect 4314 3228 4318 3284
-rect 4254 3224 4318 3228
-rect 1514 1656 1578 1660
-rect 1514 1600 1518 1656
-rect 1518 1600 1574 1656
-rect 1574 1600 1578 1656
-rect 1514 1596 1578 1600
-rect 1594 1656 1658 1660
-rect 1594 1600 1598 1656
-rect 1598 1600 1654 1656
-rect 1654 1600 1658 1656
-rect 1594 1596 1658 1600
-rect 1674 1656 1738 1660
-rect 1674 1600 1678 1656
-rect 1678 1600 1734 1656
-rect 1734 1600 1738 1656
-rect 1674 1596 1738 1600
-rect 1754 1656 1818 1660
-rect 1754 1600 1758 1656
-rect 1758 1600 1814 1656
-rect 1814 1600 1818 1656
-rect 1754 1596 1818 1600
-rect 3181 1656 3245 1660
-rect 3181 1600 3185 1656
-rect 3185 1600 3241 1656
-rect 3241 1600 3245 1656
-rect 3181 1596 3245 1600
-rect 3261 1656 3325 1660
-rect 3261 1600 3265 1656
-rect 3265 1600 3321 1656
-rect 3321 1600 3325 1656
-rect 3261 1596 3325 1600
-rect 3341 1656 3405 1660
-rect 3341 1600 3345 1656
-rect 3345 1600 3401 1656
-rect 3401 1600 3405 1656
-rect 3341 1596 3405 1600
-rect 3421 1656 3485 1660
-rect 3421 1600 3425 1656
-rect 3425 1600 3481 1656
-rect 3481 1600 3485 1656
-rect 3421 1596 3485 1600
-rect 681 28 745 32
-rect 681 -28 685 28
-rect 685 -28 741 28
-rect 741 -28 745 28
-rect 681 -32 745 -28
-rect 761 28 825 32
-rect 761 -28 765 28
-rect 765 -28 821 28
-rect 821 -28 825 28
-rect 761 -32 825 -28
-rect 841 28 905 32
-rect 841 -28 845 28
-rect 845 -28 901 28
-rect 901 -28 905 28
-rect 841 -32 905 -28
-rect 921 28 985 32
-rect 921 -28 925 28
-rect 925 -28 981 28
-rect 981 -28 985 28
-rect 921 -32 985 -28
-rect 2348 28 2412 32
-rect 2348 -28 2352 28
-rect 2352 -28 2408 28
-rect 2408 -28 2412 28
-rect 2348 -32 2412 -28
-rect 2428 28 2492 32
-rect 2428 -28 2432 28
-rect 2432 -28 2488 28
-rect 2488 -28 2492 28
-rect 2428 -32 2492 -28
-rect 2508 28 2572 32
-rect 2508 -28 2512 28
-rect 2512 -28 2568 28
-rect 2568 -28 2572 28
-rect 2508 -32 2572 -28
-rect 2588 28 2652 32
-rect 2588 -28 2592 28
-rect 2592 -28 2648 28
-rect 2648 -28 2652 28
-rect 2588 -32 2652 -28
-rect 4014 28 4078 32
-rect 4014 -28 4018 28
-rect 4018 -28 4074 28
-rect 4074 -28 4078 28
-rect 4014 -32 4078 -28
-rect 4094 28 4158 32
-rect 4094 -28 4098 28
-rect 4098 -28 4154 28
-rect 4154 -28 4158 28
-rect 4094 -32 4158 -28
-rect 4174 28 4238 32
-rect 4174 -28 4178 28
-rect 4178 -28 4234 28
-rect 4234 -28 4238 28
-rect 4174 -32 4238 -28
-rect 4254 28 4318 32
-rect 4254 -28 4258 28
-rect 4258 -28 4314 28
-rect 4314 -28 4318 28
-rect 4254 -32 4318 -28
+rect 2108 3284 2172 3288
+rect 2108 3228 2112 3284
+rect 2112 3228 2168 3284
+rect 2168 3228 2172 3284
+rect 2108 3224 2172 3228
+rect 2188 3284 2252 3288
+rect 2188 3228 2192 3284
+rect 2192 3228 2248 3284
+rect 2248 3228 2252 3284
+rect 2188 3224 2252 3228
+rect 808 2470 872 2474
+rect 808 2414 812 2470
+rect 812 2414 868 2470
+rect 868 2414 872 2470
+rect 808 2410 872 2414
+rect 888 2470 952 2474
+rect 888 2414 892 2470
+rect 892 2414 948 2470
+rect 948 2414 952 2470
+rect 888 2410 952 2414
+rect 1670 2286 1854 2290
+rect 1670 2226 1854 2286
+rect 2108 1656 2172 1660
+rect 2108 1600 2112 1656
+rect 2112 1600 2168 1656
+rect 2168 1600 2172 1656
+rect 2108 1596 2172 1600
+rect 2188 1656 2252 1660
+rect 2188 1600 2192 1656
+rect 2192 1600 2248 1656
+rect 2248 1600 2252 1656
+rect 2188 1596 2252 1600
+rect 808 842 872 846
+rect 808 786 812 842
+rect 812 786 868 842
+rect 868 786 872 842
+rect 808 782 872 786
+rect 888 842 952 846
+rect 888 786 892 842
+rect 892 786 948 842
+rect 948 786 952 842
+rect 888 782 952 786
 << metal4 >>
-rect 673 4233 993 4935
-rect 673 3997 715 4233
-rect 951 3997 993 4233
-rect 673 3288 993 3997
-rect 673 3224 681 3288
-rect 745 3224 761 3288
-rect 825 3224 841 3288
-rect 905 3224 921 3288
-rect 985 3224 993 3288
-rect 673 2567 993 3224
-rect 673 2331 715 2567
-rect 951 2331 993 2567
-rect 673 900 993 2331
-rect 673 664 715 900
-rect 951 664 993 900
-rect 673 32 993 664
-rect 673 -32 681 32
-rect 745 -32 761 32
-rect 825 -32 841 32
-rect 905 -32 921 32
-rect 985 -32 993 32
-rect 673 -51 993 -32
-rect 1506 4916 1827 4935
-rect 1506 4852 1514 4916
-rect 1578 4852 1594 4916
-rect 1658 4852 1674 4916
-rect 1738 4852 1754 4916
-rect 1818 4852 1827 4916
-rect 1506 3400 1827 4852
-rect 1506 3164 1548 3400
-rect 1784 3164 1827 3400
-rect 1506 1733 1827 3164
-rect 1506 1660 1548 1733
-rect 1784 1660 1827 1733
-rect 1506 1596 1514 1660
-rect 1818 1596 1827 1660
-rect 1506 1497 1548 1596
-rect 1784 1497 1827 1596
-rect 1506 -51 1827 1497
-rect 2340 4233 2660 4935
-rect 2340 3997 2382 4233
-rect 2618 3997 2660 4233
-rect 2340 3288 2660 3997
-rect 2340 3224 2348 3288
-rect 2412 3224 2428 3288
-rect 2492 3224 2508 3288
-rect 2572 3224 2588 3288
-rect 2652 3224 2660 3288
-rect 2340 2567 2660 3224
-rect 2340 2331 2382 2567
-rect 2618 2331 2660 2567
-rect 2340 900 2660 2331
-rect 2340 664 2382 900
-rect 2618 664 2660 900
-rect 2340 32 2660 664
-rect 2340 -32 2348 32
-rect 2412 -32 2428 32
-rect 2492 -32 2508 32
-rect 2572 -32 2588 32
-rect 2652 -32 2660 32
-rect 2340 -51 2660 -32
-rect 3173 4916 3493 4935
-rect 3173 4852 3181 4916
-rect 3245 4852 3261 4916
-rect 3325 4852 3341 4916
-rect 3405 4852 3421 4916
-rect 3485 4852 3493 4916
-rect 3173 3400 3493 4852
-rect 3173 3164 3215 3400
-rect 3451 3164 3493 3400
-rect 3173 1733 3493 3164
-rect 3173 1660 3215 1733
-rect 3451 1660 3493 1733
-rect 3173 1596 3181 1660
-rect 3485 1596 3493 1660
-rect 3173 1497 3215 1596
-rect 3451 1497 3493 1596
-rect 3173 -51 3493 1497
-rect 4006 4233 4327 4935
-rect 4006 3997 4048 4233
-rect 4284 3997 4327 4233
-rect 4006 3288 4327 3997
-rect 4006 3224 4014 3288
-rect 4078 3224 4094 3288
-rect 4158 3224 4174 3288
-rect 4238 3224 4254 3288
-rect 4318 3224 4327 3288
-rect 4006 2567 4327 3224
-rect 4006 2331 4048 2567
-rect 4284 2331 4327 2567
-rect 4006 900 4327 2331
-rect 4006 664 4048 900
-rect 4284 664 4327 900
-rect 4006 32 4327 664
-rect 4006 -32 4014 32
-rect 4078 -32 4094 32
-rect 4158 -32 4174 32
-rect 4238 -32 4254 32
-rect 4318 -32 4327 32
-rect 4006 -51 4327 -32
-<< via4 >>
-rect 715 3997 951 4233
-rect 715 2331 951 2567
-rect 715 664 951 900
-rect 1548 3164 1784 3400
-rect 1548 1660 1784 1733
-rect 1548 1596 1578 1660
-rect 1578 1596 1594 1660
-rect 1594 1596 1658 1660
-rect 1658 1596 1674 1660
-rect 1674 1596 1738 1660
-rect 1738 1596 1754 1660
-rect 1754 1596 1784 1660
-rect 1548 1497 1784 1596
-rect 2382 3997 2618 4233
-rect 2382 2331 2618 2567
-rect 2382 664 2618 900
-rect 3215 3164 3451 3400
-rect 3215 1660 3451 1733
-rect 3215 1596 3245 1660
-rect 3245 1596 3261 1660
-rect 3261 1596 3325 1660
-rect 3325 1596 3341 1660
-rect 3341 1596 3405 1660
-rect 3405 1596 3421 1660
-rect 3421 1596 3451 1660
-rect 3215 1497 3451 1596
-rect 4048 3997 4284 4233
-rect 4048 2331 4284 2567
-rect 4048 664 4284 900
-<< metal5 >>
-rect 0 4233 4992 4276
-rect 0 3997 715 4233
-rect 951 3997 2382 4233
-rect 2618 3997 4048 4233
-rect 4284 3997 4992 4233
-rect 0 3955 4992 3997
-rect 0 3400 4992 3442
-rect 0 3164 1548 3400
-rect 1784 3164 3215 3400
-rect 3451 3164 4992 3400
-rect 0 3122 4992 3164
-rect 0 2567 4992 2609
-rect 0 2331 715 2567
-rect 951 2331 2382 2567
-rect 2618 2331 4048 2567
-rect 4284 2331 4992 2567
-rect 0 2289 4992 2331
-rect 0 1733 4992 1776
-rect 0 1497 1548 1733
-rect 1784 1497 3215 1733
-rect 3451 1497 4992 1733
-rect 0 1455 4992 1497
-rect 0 900 4992 942
-rect 0 664 715 900
-rect 951 664 2382 900
-rect 2618 664 4048 900
-rect 4284 664 4992 900
-rect 0 622 4992 664
+rect 790 2474 970 3307
+rect 2090 3288 2270 3307
+rect 790 2410 808 2474
+rect 872 2410 888 2474
+rect 952 2410 970 2474
+rect 790 846 970 2410
+rect 1670 2296 1850 3256
+rect 2090 3224 2108 3288
+rect 2172 3224 2188 3288
+rect 2252 3224 2270 3288
+rect 1660 2290 1860 2296
+rect 1660 2226 1670 2290
+rect 1854 2226 1860 2290
+rect 1660 2216 1860 2226
+rect 790 782 808 846
+rect 872 782 888 846
+rect 952 782 970 846
+rect 1670 814 1850 2216
+rect 2090 1660 2270 3224
+rect 2090 1596 2108 1660
+rect 2172 1596 2188 1660
+rect 2252 1596 2270 1660
+rect 790 763 970 782
+rect 2090 763 2270 1596
+rect 2970 814 3150 3256
 use sky130_fd_sc_hvl__decap_8  FILLER_0_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1607116011
-transform 1 0 0 0 -1 814
+timestamp 1608587411
+transform 1 0 480 0 -1 1628
 box -66 -23 834 897
 use sky130_fd_sc_hvl__decap_8  FILLER_0_8
-timestamp 1607116011
-transform 1 0 768 0 -1 814
+timestamp 1608587411
+transform 1 0 1248 0 -1 1628
 box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_4  FILLER_0_16 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1607116011
-transform 1 0 1536 0 -1 814
-box -66 -23 450 897
-use sky130_fd_sc_hvl__lsbufhv2lv_1  lvlshiftdown $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1607116011
-transform 1 0 2208 0 -1 1628
-box -66 -23 1698 1651
-use sky130_fd_sc_hvl__fill_2  FILLER_0_20 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1607116011
-transform 1 0 1920 0 -1 814
-box -66 -23 258 897
-use sky130_fd_sc_hvl__fill_1  FILLER_0_22 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1607116011
-transform 1 0 2112 0 -1 814
-box -66 -23 162 897
-use sky130_fd_sc_hvl__decap_8  FILLER_0_40
-timestamp 1607116011
-transform 1 0 3840 0 -1 814
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_4  FILLER_0_48
-timestamp 1607116011
-transform 1 0 4608 0 -1 814
-box -66 -23 450 897
 use sky130_fd_sc_hvl__decap_8  FILLER_1_0
-timestamp 1607116011
-transform 1 0 0 0 1 1628
+timestamp 1608587411
+transform 1 0 480 0 1 1628
 box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_8  FILLER_1_8
-timestamp 1607116011
-transform 1 0 768 0 1 1628
+use sky130_fd_sc_hvl__decap_4  FILLER_1_8 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1608587411
+transform 1 0 1248 0 1 1628
+box -66 -23 450 897
+use sky130_fd_sc_hvl__decap_8  FILLER_0_16
+timestamp 1608587411
+transform 1 0 2016 0 -1 1628
 box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_8  FILLER_1_16
-timestamp 1607116011
-transform 1 0 1536 0 1 1628
-box -66 -23 834 897
+use sky130_fd_sc_hvl__fill_1  FILLER_1_12 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1608587411
+transform 1 0 1632 0 1 1628
+box -66 -23 162 897
+use sky130_fd_sc_hvl__decap_4  FILLER_0_24
+timestamp 1608587411
+transform 1 0 2784 0 -1 1628
+box -66 -23 450 897
+use sky130_fd_sc_hvl__fill_2  FILLER_0_28 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1608587411
+transform 1 0 3168 0 -1 1628
+box -66 -23 258 897
+use sky130_fd_sc_hvl__fill_1  FILLER_0_30
+timestamp 1608587411
+transform 1 0 3360 0 -1 1628
+box -66 -23 162 897
+use sky130_fd_sc_hvl__fill_1  FILLER_1_30
+timestamp 1608587411
+transform 1 0 3360 0 1 1628
+box -66 -23 162 897
+use sky130_fd_sc_hvl__diode_2  ANTENNA_lvlshiftdown_A $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1608587411
+transform 1 0 1536 0 -1 3256
+box -66 -23 258 897
 use sky130_fd_sc_hvl__decap_8  FILLER_2_0
-timestamp 1607116011
-transform 1 0 0 0 -1 4070
+timestamp 1608587411
+transform 1 0 480 0 -1 3256
 box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_8  FILLER_2_8
-timestamp 1607116011
-transform 1 0 768 0 -1 4070
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_8  FILLER_2_16
-timestamp 1607116011
-transform 1 0 1536 0 -1 4070
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_8  FILLER_1_24
-timestamp 1607116011
-transform 1 0 2304 0 1 1628
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_8  FILLER_1_32
-timestamp 1607116011
-transform 1 0 3072 0 1 1628
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_8  FILLER_2_24
-timestamp 1607116011
-transform 1 0 2304 0 -1 4070
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_8  FILLER_2_32
-timestamp 1607116011
-transform 1 0 3072 0 -1 4070
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_8  FILLER_1_40
-timestamp 1607116011
-transform 1 0 3840 0 1 1628
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_4  FILLER_1_48
-timestamp 1607116011
-transform 1 0 4608 0 1 1628
-box -66 -23 450 897
-use sky130_fd_sc_hvl__decap_8  FILLER_2_40
-timestamp 1607116011
-transform 1 0 3840 0 -1 4070
-box -66 -23 834 897
-use sky130_fd_sc_hvl__decap_4  FILLER_2_48
-timestamp 1607116011
-transform 1 0 4608 0 -1 4070
-box -66 -23 450 897
+use sky130_fd_sc_hvl__fill_2  FILLER_2_8
+timestamp 1608587411
+transform 1 0 1248 0 -1 3256
+box -66 -23 258 897
+use sky130_fd_sc_hvl__fill_1  FILLER_2_10
+timestamp 1608587411
+transform 1 0 1440 0 -1 3256
+box -66 -23 162 897
+use sky130_fd_sc_hvl__fill_1  FILLER_2_30
+timestamp 1608587411
+transform 1 0 3360 0 -1 3256
+box -66 -23 162 897
+use sky130_fd_sc_hvl__lsbufhv2lv_1  lvlshiftdown $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1608587411
+transform 1 0 1728 0 1 1628
+box -66 -23 1698 1651
 << labels >>
-rlabel metal2 s 4244 4200 4300 5000 6 A
+rlabel metal2 s 3284 2600 3340 3800 6 A
 port 0 nsew signal input
-rlabel metal2 s 596 0 652 800 6 X
+rlabel metal2 s 596 -400 652 800 6 X
 port 1 nsew signal tristate
-rlabel metal4 s 4007 -51 4327 4935 6 VPWR
+rlabel metal4 s 790 763 970 3307 6 VPWR
 port 2 nsew power bidirectional
-rlabel metal4 s 2340 -51 2660 4935 6 VPWR
-port 3 nsew power bidirectional
-rlabel metal4 s 673 -51 993 4935 6 VPWR
+rlabel metal4 s 2090 763 2270 3307 6 VGND
+port 3 nsew ground bidirectional
+rlabel metal4 s 1670 814 1850 3256 6 LVPWR
 port 4 nsew power bidirectional
-rlabel metal5 s 0 3956 4992 4276 6 VPWR
-port 5 nsew power bidirectional
-rlabel metal5 s 0 2289 4992 2609 6 VPWR
-port 6 nsew power bidirectional
-rlabel metal5 s 0 622 4992 942 6 VPWR
-port 7 nsew power bidirectional
-rlabel metal4 s 3173 -51 3493 4935 6 VGND
-port 8 nsew ground bidirectional
-rlabel metal4 s 1507 -51 1827 4935 6 VGND
-port 9 nsew ground bidirectional
-rlabel metal5 s 0 3122 4992 3442 6 VGND
-port 10 nsew ground bidirectional
-rlabel metal5 s 0 1456 4992 1776 6 VGND
-port 11 nsew ground bidirectional
+rlabel metal4 s 2970 814 3150 3256 6 LVGND
+port 5 nsew ground bidirectional
 << properties >>
-string FIXED_BBOX 0 0 5000 5000
+string FIXED_BBOX 0 0 4000 3400
 << end >>
diff --git a/maglef/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.mag b/maglef/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.mag
index 1166f9d..205a569 100644
--- a/maglef/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.mag
+++ b/maglef/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.mag
@@ -1,64 +1,46 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607712712
+timestamp 1608587524
+<< nwell >>
+rect 414 2005 2034 2879
+rect 414 731 3522 1251
 << obsli1 >>
-rect 0 0 4992 4087
+rect 480 797 3456 3273
 << obsm1 >>
-rect 0 0 4992 4935
+rect 480 763 3456 3307
 << metal2 >>
-rect 4244 4200 4300 5000
-rect 596 0 652 800
+rect 3284 2600 3340 3800
+rect 596 -400 652 800
 << obsm2 >>
-rect 598 4144 4188 4935
-rect 4356 4144 4396 4935
-rect 598 856 4396 4144
-rect 708 0 4396 856
+rect 598 2544 3228 3307
+rect 598 856 3326 2544
+rect 708 763 3326 856
 << obsm3 >>
-rect 673 0 4401 4917
+rect 790 781 2270 3289
 << metal4 >>
-rect 673 -51 993 4935
-rect 1507 -51 1827 4935
-rect 2340 -51 2660 4935
-rect 3173 -51 3493 4935
-rect 4007 -51 4327 4935
-<< obsm4 >>
-rect 1907 0 2260 4935
-rect 2740 0 3093 4935
-rect 3573 0 3927 4935
-<< metal5 >>
-rect 0 3956 4992 4276
-rect 0 3122 4992 3442
-rect 0 2289 4992 2609
-rect 0 1456 4992 1776
-rect 0 622 4992 942
+rect 790 763 970 3307
+rect 1670 814 1850 3256
+rect 2090 763 2270 3307
+rect 2970 814 3150 3256
 << labels >>
-rlabel metal2 s 4244 4200 4300 5000 6 A
+rlabel metal2 s 3284 2600 3340 3800 6 A
 port 1 nsew signal input
-rlabel metal2 s 596 0 652 800 6 X
+rlabel metal2 s 596 -400 652 800 6 X
 port 2 nsew signal output
-rlabel metal4 s 4007 -51 4327 4935 6 VPWR
+rlabel metal4 s 790 763 970 3307 6 VPWR
 port 3 nsew power bidirectional
-rlabel metal4 s 2340 -51 2660 4935 6 VPWR
-port 4 nsew power bidirectional
-rlabel metal4 s 673 -51 993 4935 6 VPWR
+rlabel metal4 s 2090 763 2270 3307 6 VGND
+port 4 nsew ground bidirectional
+rlabel metal4 s 1670 814 1850 3256 6 LVPWR
 port 5 nsew power bidirectional
-rlabel metal5 s 0 3956 4992 4276 6 VPWR
-port 6 nsew power bidirectional
-rlabel metal5 s 0 2289 4992 2609 6 VPWR
-port 7 nsew power bidirectional
-rlabel metal5 s 0 622 4992 942 6 VPWR
-port 8 nsew power bidirectional
-rlabel metal4 s 3173 -51 3493 4935 6 VGND
-port 9 nsew ground bidirectional
-rlabel metal4 s 1507 -51 1827 4935 6 VGND
-port 10 nsew ground bidirectional
-rlabel metal5 s 0 3122 4992 3442 6 VGND
-port 11 nsew ground bidirectional
-rlabel metal5 s 0 1456 4992 1776 6 VGND
-port 12 nsew ground bidirectional
+rlabel metal4 s 2970 814 3150 3256 6 LVGND
+port 6 nsew ground bidirectional
 << properties >>
 string LEFclass BLOCK
-string FIXED_BBOX 0 0 5000 5000
+string FIXED_BBOX 0 0 4000 3400
 string LEFview TRUE
+string GDS_FILE ../gds/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.gds
+string GDS_END 48842
+string GDS_START 40962
 << end >>
diff --git a/spi/lvs/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.spice b/spi/lvs/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.spice
index 42d347d..1494dff 100644
--- a/spi/lvs/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.spice
+++ b/spi/lvs/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.spice
@@ -1,15 +1,11 @@
 * NGSPICE file created from sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.ext - technology: sky130A
 
-* Black-box entry subcircuit for sky130_fd_sc_hvl__decap_8 abstract view
-.subckt sky130_fd_sc_hvl__decap_8 VGND VNB VPB VPWR
-.ends
-
 * Black-box entry subcircuit for sky130_fd_sc_hvl__decap_4 abstract view
 .subckt sky130_fd_sc_hvl__decap_4 VGND VNB VPB VPWR
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_hvl__lsbufhv2lv_1 abstract view
-.subckt sky130_fd_sc_hvl__lsbufhv2lv_1 A LVPWR VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hvl__decap_8 abstract view
+.subckt sky130_fd_sc_hvl__decap_8 VGND VNB VPB VPWR
 .ends
 
 * Black-box entry subcircuit for sky130_fd_sc_hvl__fill_2 abstract view
@@ -20,28 +16,30 @@
 .subckt sky130_fd_sc_hvl__fill_1 VGND VNB VPB VPWR
 .ends
 
-.subckt sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped A X VPWR
-XFILLER_1_0 VPWR VPWR FILLER_1_0/VPB FILLER_1_0/VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_0_48 FILLER_0_8/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_4
-XFILLER_0_16 FILLER_0_8/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_4
-XFILLER_1_40 VPWR VPWR FILLER_1_0/VPB FILLER_1_0/VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_1_32 VPWR VPWR FILLER_1_0/VPB FILLER_1_0/VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_1_8 VPWR VPWR FILLER_1_0/VPB FILLER_1_0/VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_1_24 VPWR VPWR FILLER_1_0/VPB FILLER_1_0/VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_1_48 VPWR VPWR FILLER_1_0/VPB FILLER_1_0/VPWR sky130_fd_sc_hvl__decap_4
-XFILLER_1_16 VPWR VPWR FILLER_1_0/VPB FILLER_1_0/VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_2_40 FILLER_2_0/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_2_0 FILLER_2_0/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_2_32 FILLER_2_0/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_0_0 FILLER_0_8/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_2_24 FILLER_2_0/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_2_48 FILLER_2_0/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_4
-XFILLER_2_16 FILLER_2_0/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_2_8 FILLER_2_0/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_8
-Xlvlshiftdown A lvlshiftdown/LVPWR VPWR VPWR VPWR FILLER_0_8/VGND X sky130_fd_sc_hvl__lsbufhv2lv_1
-XFILLER_0_8 FILLER_0_8/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_0_40 FILLER_0_8/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__decap_8
-XFILLER_0_20 FILLER_0_8/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__fill_2
-XFILLER_0_22 FILLER_0_8/VGND VPWR VPWR VPWR sky130_fd_sc_hvl__fill_1
+* Black-box entry subcircuit for sky130_fd_sc_hvl__diode_2 abstract view
+.subckt sky130_fd_sc_hvl__diode_2 DIODE VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hvl__lsbufhv2lv_1 abstract view
+.subckt sky130_fd_sc_hvl__lsbufhv2lv_1 A LVPWR VGND VNB VPB VPWR X
+.ends
+
+.subckt sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped A X VPWR VGND LVPWR LVGND
+XFILLER_0_24 VGND VGND VPWR VPWR sky130_fd_sc_hvl__decap_4
+XFILLER_1_0 VGND VGND VPWR VPWR sky130_fd_sc_hvl__decap_8
+XFILLER_0_28 VGND VGND VPWR VPWR sky130_fd_sc_hvl__fill_2
+XFILLER_0_16 VGND VGND VPWR VPWR sky130_fd_sc_hvl__decap_8
+XFILLER_1_30 VGND VGND VPWR VPWR sky130_fd_sc_hvl__fill_1
+XFILLER_1_8 VGND VGND VPWR VPWR sky130_fd_sc_hvl__decap_4
+XFILLER_1_12 VGND VGND VPWR VPWR sky130_fd_sc_hvl__fill_1
+XANTENNA_lvlshiftdown_A A VGND VGND VPWR VPWR sky130_fd_sc_hvl__diode_2
+XFILLER_2_0 VGND VGND VPWR VPWR sky130_fd_sc_hvl__decap_8
+XFILLER_2_30 VGND VGND VPWR VPWR sky130_fd_sc_hvl__fill_1
+XFILLER_2_10 VGND VGND VPWR VPWR sky130_fd_sc_hvl__fill_1
+XFILLER_0_0 VGND VGND VPWR VPWR sky130_fd_sc_hvl__decap_8
+XFILLER_2_8 VGND VGND VPWR VPWR sky130_fd_sc_hvl__fill_2
+Xlvlshiftdown A LVPWR VGND VGND VPWR VPWR X sky130_fd_sc_hvl__lsbufhv2lv_1
+XFILLER_0_8 VGND VGND VPWR VPWR sky130_fd_sc_hvl__decap_8
+XFILLER_0_30 VGND VGND VPWR VPWR sky130_fd_sc_hvl__fill_1
 .ends
 
diff --git a/verilog/gl/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.v b/verilog/gl/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.v
index 29d7c70..7147a5c 100644
--- a/verilog/gl/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.v
+++ b/verilog/gl/sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped.v
@@ -1,41 +1,44 @@
 /* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
 
-module sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped(A, X, VPWR, VGND);
+module sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped(A, X, VPWR, VGND, LVPWR, LVGND);
   input A;
+  input LVGND;
+  input LVPWR;
   input VGND;
   input VPWR;
   output X;
+  sky130_fd_sc_hvl__diode_2 ANTENNA_lvlshiftdown_A (
+    .DIODE(A),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
   sky130_fd_sc_hvl__decap_8 FILLER_0_0 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__decap_4 FILLER_0_16 (
+  sky130_fd_sc_hvl__decap_8 FILLER_0_16 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__fill_2 FILLER_0_20 (
+  sky130_fd_sc_hvl__decap_4 FILLER_0_24 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__fill_1 FILLER_0_22 (
+  sky130_fd_sc_hvl__fill_2 FILLER_0_28 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__decap_8 FILLER_0_40 (
-    .VGND(VGND),
-    .VNB(VGND),
-    .VPB(VPWR),
-    .VPWR(VPWR)
-  );
-  sky130_fd_sc_hvl__decap_4 FILLER_0_48 (
+  sky130_fd_sc_hvl__fill_1 FILLER_0_30 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
@@ -53,37 +56,19 @@
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__decap_8 FILLER_1_16 (
+  sky130_fd_sc_hvl__fill_1 FILLER_1_12 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__decap_8 FILLER_1_24 (
+  sky130_fd_sc_hvl__fill_1 FILLER_1_30 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__decap_8 FILLER_1_32 (
-    .VGND(VGND),
-    .VNB(VGND),
-    .VPB(VPWR),
-    .VPWR(VPWR)
-  );
-  sky130_fd_sc_hvl__decap_8 FILLER_1_40 (
-    .VGND(VGND),
-    .VNB(VGND),
-    .VPB(VPWR),
-    .VPWR(VPWR)
-  );
-  sky130_fd_sc_hvl__decap_4 FILLER_1_48 (
-    .VGND(VGND),
-    .VNB(VGND),
-    .VPB(VPWR),
-    .VPWR(VPWR)
-  );
-  sky130_fd_sc_hvl__decap_8 FILLER_1_8 (
+  sky130_fd_sc_hvl__decap_4 FILLER_1_8 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
@@ -95,37 +80,19 @@
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__decap_8 FILLER_2_16 (
+  sky130_fd_sc_hvl__fill_1 FILLER_2_10 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__decap_8 FILLER_2_24 (
+  sky130_fd_sc_hvl__fill_1 FILLER_2_30 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
     .VPWR(VPWR)
   );
-  sky130_fd_sc_hvl__decap_8 FILLER_2_32 (
-    .VGND(VGND),
-    .VNB(VGND),
-    .VPB(VPWR),
-    .VPWR(VPWR)
-  );
-  sky130_fd_sc_hvl__decap_8 FILLER_2_40 (
-    .VGND(VGND),
-    .VNB(VGND),
-    .VPB(VPWR),
-    .VPWR(VPWR)
-  );
-  sky130_fd_sc_hvl__decap_4 FILLER_2_48 (
-    .VGND(VGND),
-    .VNB(VGND),
-    .VPB(VPWR),
-    .VPWR(VPWR)
-  );
-  sky130_fd_sc_hvl__decap_8 FILLER_2_8 (
+  sky130_fd_sc_hvl__fill_2 FILLER_2_8 (
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),
@@ -133,7 +100,7 @@
   );
   sky130_fd_sc_hvl__lsbufhv2lv_1 lvlshiftdown (
     .A(A),
-    .LVPWR(VPWR),
+    .LVPWR(LVPWR),
     .VGND(VGND),
     .VNB(VGND),
     .VPB(VPWR),