blob: 6de83db03181754832bd58dafa195e34f44ee304 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN caravel ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 3588000 5188000 ) ;
ROW ROW_0 unithv 5760 16280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1 unithv 5760 20350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_2 unithv 5760 24420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_3 unithv 5760 28490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_4 unithv 5760 32560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_5 unithv 5760 36630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_6 unithv 5760 40700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_7 unithv 5760 44770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_8 unithv 5760 48840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_9 unithv 5760 52910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_10 unithv 5760 56980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_11 unithv 5760 61050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_12 unithv 5760 65120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_13 unithv 5760 69190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_14 unithv 5760 73260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_15 unithv 5760 77330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_16 unithv 5760 81400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_17 unithv 5760 85470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_18 unithv 5760 89540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_19 unithv 5760 93610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_20 unithv 5760 97680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_21 unithv 5760 101750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_22 unithv 5760 105820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_23 unithv 5760 109890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_24 unithv 5760 113960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_25 unithv 5760 118030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_26 unithv 5760 122100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_27 unithv 5760 126170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_28 unithv 5760 130240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_29 unithv 5760 134310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_30 unithv 5760 138380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_31 unithv 5760 142450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_32 unithv 5760 146520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_33 unithv 5760 150590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_34 unithv 5760 154660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_35 unithv 5760 158730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_36 unithv 5760 162800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_37 unithv 5760 166870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_38 unithv 5760 170940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_39 unithv 5760 175010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_40 unithv 5760 179080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_41 unithv 5760 183150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_42 unithv 5760 187220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_43 unithv 5760 191290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_44 unithv 5760 195360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_45 unithv 5760 199430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_46 unithv 5760 203500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_47 unithv 5760 207570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_48 unithv 5760 211640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_49 unithv 5760 215710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_50 unithv 5760 219780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_51 unithv 5760 223850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_52 unithv 5760 227920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_53 unithv 5760 231990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_54 unithv 5760 236060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_55 unithv 5760 240130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_56 unithv 5760 244200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_57 unithv 5760 248270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_58 unithv 5760 252340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_59 unithv 5760 256410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_60 unithv 5760 260480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_61 unithv 5760 264550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_62 unithv 5760 268620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_63 unithv 5760 272690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_64 unithv 5760 276760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_65 unithv 5760 280830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_66 unithv 5760 284900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_67 unithv 5760 288970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_68 unithv 5760 293040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_69 unithv 5760 297110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_70 unithv 5760 301180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_71 unithv 5760 305250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_72 unithv 5760 309320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_73 unithv 5760 313390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_74 unithv 5760 317460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_75 unithv 5760 321530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_76 unithv 5760 325600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_77 unithv 5760 329670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_78 unithv 5760 333740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_79 unithv 5760 337810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_80 unithv 5760 341880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_81 unithv 5760 345950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_82 unithv 5760 350020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_83 unithv 5760 354090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_84 unithv 5760 358160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_85 unithv 5760 362230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_86 unithv 5760 366300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_87 unithv 5760 370370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_88 unithv 5760 374440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_89 unithv 5760 378510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_90 unithv 5760 382580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_91 unithv 5760 386650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_92 unithv 5760 390720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_93 unithv 5760 394790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_94 unithv 5760 398860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_95 unithv 5760 402930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_96 unithv 5760 407000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_97 unithv 5760 411070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_98 unithv 5760 415140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_99 unithv 5760 419210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_100 unithv 5760 423280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_101 unithv 5760 427350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_102 unithv 5760 431420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_103 unithv 5760 435490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_104 unithv 5760 439560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_105 unithv 5760 443630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_106 unithv 5760 447700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_107 unithv 5760 451770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_108 unithv 5760 455840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_109 unithv 5760 459910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_110 unithv 5760 463980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_111 unithv 5760 468050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_112 unithv 5760 472120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_113 unithv 5760 476190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_114 unithv 5760 480260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_115 unithv 5760 484330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_116 unithv 5760 488400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_117 unithv 5760 492470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_118 unithv 5760 496540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_119 unithv 5760 500610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_120 unithv 5760 504680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_121 unithv 5760 508750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_122 unithv 5760 512820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_123 unithv 5760 516890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_124 unithv 5760 520960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_125 unithv 5760 525030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_126 unithv 5760 529100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_127 unithv 5760 533170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_128 unithv 5760 537240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_129 unithv 5760 541310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_130 unithv 5760 545380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_131 unithv 5760 549450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_132 unithv 5760 553520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_133 unithv 5760 557590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_134 unithv 5760 561660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_135 unithv 5760 565730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_136 unithv 5760 569800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_137 unithv 5760 573870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_138 unithv 5760 577940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_139 unithv 5760 582010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_140 unithv 5760 586080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_141 unithv 5760 590150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_142 unithv 5760 594220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_143 unithv 5760 598290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_144 unithv 5760 602360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_145 unithv 5760 606430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_146 unithv 5760 610500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_147 unithv 5760 614570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_148 unithv 5760 618640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_149 unithv 5760 622710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_150 unithv 5760 626780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_151 unithv 5760 630850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_152 unithv 5760 634920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_153 unithv 5760 638990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_154 unithv 5760 643060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_155 unithv 5760 647130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_156 unithv 5760 651200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_157 unithv 5760 655270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_158 unithv 5760 659340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_159 unithv 5760 663410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_160 unithv 5760 667480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_161 unithv 5760 671550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_162 unithv 5760 675620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_163 unithv 5760 679690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_164 unithv 5760 683760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_165 unithv 5760 687830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_166 unithv 5760 691900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_167 unithv 5760 695970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_168 unithv 5760 700040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_169 unithv 5760 704110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_170 unithv 5760 708180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_171 unithv 5760 712250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_172 unithv 5760 716320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_173 unithv 5760 720390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_174 unithv 5760 724460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_175 unithv 5760 728530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_176 unithv 5760 732600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_177 unithv 5760 736670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_178 unithv 5760 740740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_179 unithv 5760 744810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_180 unithv 5760 748880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_181 unithv 5760 752950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_182 unithv 5760 757020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_183 unithv 5760 761090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_184 unithv 5760 765160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_185 unithv 5760 769230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_186 unithv 5760 773300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_187 unithv 5760 777370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_188 unithv 5760 781440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_189 unithv 5760 785510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_190 unithv 5760 789580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_191 unithv 5760 793650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_192 unithv 5760 797720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_193 unithv 5760 801790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_194 unithv 5760 805860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_195 unithv 5760 809930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_196 unithv 5760 814000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_197 unithv 5760 818070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_198 unithv 5760 822140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_199 unithv 5760 826210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_200 unithv 5760 830280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_201 unithv 5760 834350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_202 unithv 5760 838420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_203 unithv 5760 842490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_204 unithv 5760 846560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_205 unithv 5760 850630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_206 unithv 5760 854700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_207 unithv 5760 858770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_208 unithv 5760 862840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_209 unithv 5760 866910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_210 unithv 5760 870980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_211 unithv 5760 875050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_212 unithv 5760 879120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_213 unithv 5760 883190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_214 unithv 5760 887260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_215 unithv 5760 891330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_216 unithv 5760 895400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_217 unithv 5760 899470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_218 unithv 5760 903540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_219 unithv 5760 907610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_220 unithv 5760 911680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_221 unithv 5760 915750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_222 unithv 5760 919820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_223 unithv 5760 923890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_224 unithv 5760 927960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_225 unithv 5760 932030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_226 unithv 5760 936100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_227 unithv 5760 940170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_228 unithv 5760 944240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_229 unithv 5760 948310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_230 unithv 5760 952380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_231 unithv 5760 956450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_232 unithv 5760 960520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_233 unithv 5760 964590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_234 unithv 5760 968660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_235 unithv 5760 972730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_236 unithv 5760 976800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_237 unithv 5760 980870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_238 unithv 5760 984940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_239 unithv 5760 989010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_240 unithv 5760 993080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_241 unithv 5760 997150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_242 unithv 5760 1001220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_243 unithv 5760 1005290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_244 unithv 5760 1009360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_245 unithv 5760 1013430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_246 unithv 5760 1017500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_247 unithv 5760 1021570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_248 unithv 5760 1025640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_249 unithv 5760 1029710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_250 unithv 5760 1033780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_251 unithv 5760 1037850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_252 unithv 5760 1041920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_253 unithv 5760 1045990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_254 unithv 5760 1050060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_255 unithv 5760 1054130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_256 unithv 5760 1058200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_257 unithv 5760 1062270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_258 unithv 5760 1066340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_259 unithv 5760 1070410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_260 unithv 5760 1074480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_261 unithv 5760 1078550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_262 unithv 5760 1082620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_263 unithv 5760 1086690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_264 unithv 5760 1090760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_265 unithv 5760 1094830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_266 unithv 5760 1098900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_267 unithv 5760 1102970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_268 unithv 5760 1107040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_269 unithv 5760 1111110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_270 unithv 5760 1115180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_271 unithv 5760 1119250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_272 unithv 5760 1123320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_273 unithv 5760 1127390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_274 unithv 5760 1131460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_275 unithv 5760 1135530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_276 unithv 5760 1139600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_277 unithv 5760 1143670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_278 unithv 5760 1147740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_279 unithv 5760 1151810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_280 unithv 5760 1155880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_281 unithv 5760 1159950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_282 unithv 5760 1164020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_283 unithv 5760 1168090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_284 unithv 5760 1172160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_285 unithv 5760 1176230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_286 unithv 5760 1180300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_287 unithv 5760 1184370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_288 unithv 5760 1188440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_289 unithv 5760 1192510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_290 unithv 5760 1196580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_291 unithv 5760 1200650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_292 unithv 5760 1204720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_293 unithv 5760 1208790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_294 unithv 5760 1212860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_295 unithv 5760 1216930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_296 unithv 5760 1221000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_297 unithv 5760 1225070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_298 unithv 5760 1229140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_299 unithv 5760 1233210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_300 unithv 5760 1237280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_301 unithv 5760 1241350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_302 unithv 5760 1245420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_303 unithv 5760 1249490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_304 unithv 5760 1253560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_305 unithv 5760 1257630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_306 unithv 5760 1261700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_307 unithv 5760 1265770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_308 unithv 5760 1269840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_309 unithv 5760 1273910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_310 unithv 5760 1277980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_311 unithv 5760 1282050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_312 unithv 5760 1286120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_313 unithv 5760 1290190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_314 unithv 5760 1294260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_315 unithv 5760 1298330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_316 unithv 5760 1302400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_317 unithv 5760 1306470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_318 unithv 5760 1310540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_319 unithv 5760 1314610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_320 unithv 5760 1318680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_321 unithv 5760 1322750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_322 unithv 5760 1326820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_323 unithv 5760 1330890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_324 unithv 5760 1334960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_325 unithv 5760 1339030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_326 unithv 5760 1343100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_327 unithv 5760 1347170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_328 unithv 5760 1351240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_329 unithv 5760 1355310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_330 unithv 5760 1359380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_331 unithv 5760 1363450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_332 unithv 5760 1367520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_333 unithv 5760 1371590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_334 unithv 5760 1375660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_335 unithv 5760 1379730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_336 unithv 5760 1383800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_337 unithv 5760 1387870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_338 unithv 5760 1391940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_339 unithv 5760 1396010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_340 unithv 5760 1400080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_341 unithv 5760 1404150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_342 unithv 5760 1408220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_343 unithv 5760 1412290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_344 unithv 5760 1416360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_345 unithv 5760 1420430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_346 unithv 5760 1424500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_347 unithv 5760 1428570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_348 unithv 5760 1432640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_349 unithv 5760 1436710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_350 unithv 5760 1440780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_351 unithv 5760 1444850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_352 unithv 5760 1448920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_353 unithv 5760 1452990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_354 unithv 5760 1457060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_355 unithv 5760 1461130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_356 unithv 5760 1465200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_357 unithv 5760 1469270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_358 unithv 5760 1473340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_359 unithv 5760 1477410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_360 unithv 5760 1481480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_361 unithv 5760 1485550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_362 unithv 5760 1489620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_363 unithv 5760 1493690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_364 unithv 5760 1497760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_365 unithv 5760 1501830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_366 unithv 5760 1505900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_367 unithv 5760 1509970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_368 unithv 5760 1514040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_369 unithv 5760 1518110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_370 unithv 5760 1522180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_371 unithv 5760 1526250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_372 unithv 5760 1530320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_373 unithv 5760 1534390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_374 unithv 5760 1538460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_375 unithv 5760 1542530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_376 unithv 5760 1546600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_377 unithv 5760 1550670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_378 unithv 5760 1554740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_379 unithv 5760 1558810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_380 unithv 5760 1562880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_381 unithv 5760 1566950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_382 unithv 5760 1571020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_383 unithv 5760 1575090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_384 unithv 5760 1579160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_385 unithv 5760 1583230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_386 unithv 5760 1587300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_387 unithv 5760 1591370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_388 unithv 5760 1595440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_389 unithv 5760 1599510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_390 unithv 5760 1603580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_391 unithv 5760 1607650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_392 unithv 5760 1611720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_393 unithv 5760 1615790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_394 unithv 5760 1619860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_395 unithv 5760 1623930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_396 unithv 5760 1628000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_397 unithv 5760 1632070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_398 unithv 5760 1636140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_399 unithv 5760 1640210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_400 unithv 5760 1644280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_401 unithv 5760 1648350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_402 unithv 5760 1652420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_403 unithv 5760 1656490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_404 unithv 5760 1660560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_405 unithv 5760 1664630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_406 unithv 5760 1668700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_407 unithv 5760 1672770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_408 unithv 5760 1676840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_409 unithv 5760 1680910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_410 unithv 5760 1684980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_411 unithv 5760 1689050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_412 unithv 5760 1693120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_413 unithv 5760 1697190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_414 unithv 5760 1701260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_415 unithv 5760 1705330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_416 unithv 5760 1709400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_417 unithv 5760 1713470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_418 unithv 5760 1717540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_419 unithv 5760 1721610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_420 unithv 5760 1725680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_421 unithv 5760 1729750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_422 unithv 5760 1733820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_423 unithv 5760 1737890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_424 unithv 5760 1741960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_425 unithv 5760 1746030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_426 unithv 5760 1750100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_427 unithv 5760 1754170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_428 unithv 5760 1758240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_429 unithv 5760 1762310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_430 unithv 5760 1766380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_431 unithv 5760 1770450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_432 unithv 5760 1774520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_433 unithv 5760 1778590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_434 unithv 5760 1782660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_435 unithv 5760 1786730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_436 unithv 5760 1790800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_437 unithv 5760 1794870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_438 unithv 5760 1798940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_439 unithv 5760 1803010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_440 unithv 5760 1807080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_441 unithv 5760 1811150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_442 unithv 5760 1815220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_443 unithv 5760 1819290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_444 unithv 5760 1823360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_445 unithv 5760 1827430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_446 unithv 5760 1831500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_447 unithv 5760 1835570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_448 unithv 5760 1839640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_449 unithv 5760 1843710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_450 unithv 5760 1847780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_451 unithv 5760 1851850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_452 unithv 5760 1855920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_453 unithv 5760 1859990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_454 unithv 5760 1864060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_455 unithv 5760 1868130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_456 unithv 5760 1872200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_457 unithv 5760 1876270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_458 unithv 5760 1880340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_459 unithv 5760 1884410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_460 unithv 5760 1888480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_461 unithv 5760 1892550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_462 unithv 5760 1896620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_463 unithv 5760 1900690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_464 unithv 5760 1904760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_465 unithv 5760 1908830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_466 unithv 5760 1912900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_467 unithv 5760 1916970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_468 unithv 5760 1921040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_469 unithv 5760 1925110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_470 unithv 5760 1929180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_471 unithv 5760 1933250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_472 unithv 5760 1937320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_473 unithv 5760 1941390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_474 unithv 5760 1945460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_475 unithv 5760 1949530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_476 unithv 5760 1953600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_477 unithv 5760 1957670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_478 unithv 5760 1961740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_479 unithv 5760 1965810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_480 unithv 5760 1969880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_481 unithv 5760 1973950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_482 unithv 5760 1978020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_483 unithv 5760 1982090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_484 unithv 5760 1986160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_485 unithv 5760 1990230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_486 unithv 5760 1994300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_487 unithv 5760 1998370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_488 unithv 5760 2002440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_489 unithv 5760 2006510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_490 unithv 5760 2010580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_491 unithv 5760 2014650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_492 unithv 5760 2018720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_493 unithv 5760 2022790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_494 unithv 5760 2026860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_495 unithv 5760 2030930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_496 unithv 5760 2035000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_497 unithv 5760 2039070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_498 unithv 5760 2043140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_499 unithv 5760 2047210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_500 unithv 5760 2051280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_501 unithv 5760 2055350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_502 unithv 5760 2059420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_503 unithv 5760 2063490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_504 unithv 5760 2067560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_505 unithv 5760 2071630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_506 unithv 5760 2075700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_507 unithv 5760 2079770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_508 unithv 5760 2083840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_509 unithv 5760 2087910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_510 unithv 5760 2091980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_511 unithv 5760 2096050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_512 unithv 5760 2100120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_513 unithv 5760 2104190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_514 unithv 5760 2108260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_515 unithv 5760 2112330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_516 unithv 5760 2116400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_517 unithv 5760 2120470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_518 unithv 5760 2124540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_519 unithv 5760 2128610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_520 unithv 5760 2132680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_521 unithv 5760 2136750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_522 unithv 5760 2140820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_523 unithv 5760 2144890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_524 unithv 5760 2148960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_525 unithv 5760 2153030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_526 unithv 5760 2157100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_527 unithv 5760 2161170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_528 unithv 5760 2165240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_529 unithv 5760 2169310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_530 unithv 5760 2173380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_531 unithv 5760 2177450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_532 unithv 5760 2181520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_533 unithv 5760 2185590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_534 unithv 5760 2189660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_535 unithv 5760 2193730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_536 unithv 5760 2197800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_537 unithv 5760 2201870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_538 unithv 5760 2205940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_539 unithv 5760 2210010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_540 unithv 5760 2214080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_541 unithv 5760 2218150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_542 unithv 5760 2222220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_543 unithv 5760 2226290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_544 unithv 5760 2230360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_545 unithv 5760 2234430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_546 unithv 5760 2238500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_547 unithv 5760 2242570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_548 unithv 5760 2246640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_549 unithv 5760 2250710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_550 unithv 5760 2254780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_551 unithv 5760 2258850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_552 unithv 5760 2262920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_553 unithv 5760 2266990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_554 unithv 5760 2271060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_555 unithv 5760 2275130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_556 unithv 5760 2279200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_557 unithv 5760 2283270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_558 unithv 5760 2287340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_559 unithv 5760 2291410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_560 unithv 5760 2295480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_561 unithv 5760 2299550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_562 unithv 5760 2303620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_563 unithv 5760 2307690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_564 unithv 5760 2311760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_565 unithv 5760 2315830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_566 unithv 5760 2319900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_567 unithv 5760 2323970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_568 unithv 5760 2328040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_569 unithv 5760 2332110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_570 unithv 5760 2336180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_571 unithv 5760 2340250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_572 unithv 5760 2344320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_573 unithv 5760 2348390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_574 unithv 5760 2352460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_575 unithv 5760 2356530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_576 unithv 5760 2360600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_577 unithv 5760 2364670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_578 unithv 5760 2368740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_579 unithv 5760 2372810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_580 unithv 5760 2376880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_581 unithv 5760 2380950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_582 unithv 5760 2385020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_583 unithv 5760 2389090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_584 unithv 5760 2393160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_585 unithv 5760 2397230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_586 unithv 5760 2401300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_587 unithv 5760 2405370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_588 unithv 5760 2409440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_589 unithv 5760 2413510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_590 unithv 5760 2417580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_591 unithv 5760 2421650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_592 unithv 5760 2425720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_593 unithv 5760 2429790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_594 unithv 5760 2433860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_595 unithv 5760 2437930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_596 unithv 5760 2442000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_597 unithv 5760 2446070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_598 unithv 5760 2450140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_599 unithv 5760 2454210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_600 unithv 5760 2458280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_601 unithv 5760 2462350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_602 unithv 5760 2466420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_603 unithv 5760 2470490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_604 unithv 5760 2474560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_605 unithv 5760 2478630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_606 unithv 5760 2482700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_607 unithv 5760 2486770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_608 unithv 5760 2490840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_609 unithv 5760 2494910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_610 unithv 5760 2498980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_611 unithv 5760 2503050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_612 unithv 5760 2507120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_613 unithv 5760 2511190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_614 unithv 5760 2515260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_615 unithv 5760 2519330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_616 unithv 5760 2523400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_617 unithv 5760 2527470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_618 unithv 5760 2531540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_619 unithv 5760 2535610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_620 unithv 5760 2539680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_621 unithv 5760 2543750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_622 unithv 5760 2547820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_623 unithv 5760 2551890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_624 unithv 5760 2555960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_625 unithv 5760 2560030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_626 unithv 5760 2564100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_627 unithv 5760 2568170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_628 unithv 5760 2572240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_629 unithv 5760 2576310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_630 unithv 5760 2580380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_631 unithv 5760 2584450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_632 unithv 5760 2588520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_633 unithv 5760 2592590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_634 unithv 5760 2596660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_635 unithv 5760 2600730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_636 unithv 5760 2604800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_637 unithv 5760 2608870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_638 unithv 5760 2612940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_639 unithv 5760 2617010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_640 unithv 5760 2621080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_641 unithv 5760 2625150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_642 unithv 5760 2629220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_643 unithv 5760 2633290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_644 unithv 5760 2637360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_645 unithv 5760 2641430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_646 unithv 5760 2645500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_647 unithv 5760 2649570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_648 unithv 5760 2653640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_649 unithv 5760 2657710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_650 unithv 5760 2661780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_651 unithv 5760 2665850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_652 unithv 5760 2669920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_653 unithv 5760 2673990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_654 unithv 5760 2678060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_655 unithv 5760 2682130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_656 unithv 5760 2686200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_657 unithv 5760 2690270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_658 unithv 5760 2694340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_659 unithv 5760 2698410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_660 unithv 5760 2702480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_661 unithv 5760 2706550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_662 unithv 5760 2710620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_663 unithv 5760 2714690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_664 unithv 5760 2718760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_665 unithv 5760 2722830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_666 unithv 5760 2726900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_667 unithv 5760 2730970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_668 unithv 5760 2735040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_669 unithv 5760 2739110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_670 unithv 5760 2743180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_671 unithv 5760 2747250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_672 unithv 5760 2751320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_673 unithv 5760 2755390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_674 unithv 5760 2759460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_675 unithv 5760 2763530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_676 unithv 5760 2767600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_677 unithv 5760 2771670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_678 unithv 5760 2775740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_679 unithv 5760 2779810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_680 unithv 5760 2783880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_681 unithv 5760 2787950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_682 unithv 5760 2792020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_683 unithv 5760 2796090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_684 unithv 5760 2800160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_685 unithv 5760 2804230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_686 unithv 5760 2808300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_687 unithv 5760 2812370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_688 unithv 5760 2816440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_689 unithv 5760 2820510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_690 unithv 5760 2824580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_691 unithv 5760 2828650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_692 unithv 5760 2832720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_693 unithv 5760 2836790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_694 unithv 5760 2840860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_695 unithv 5760 2844930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_696 unithv 5760 2849000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_697 unithv 5760 2853070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_698 unithv 5760 2857140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_699 unithv 5760 2861210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_700 unithv 5760 2865280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_701 unithv 5760 2869350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_702 unithv 5760 2873420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_703 unithv 5760 2877490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_704 unithv 5760 2881560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_705 unithv 5760 2885630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_706 unithv 5760 2889700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_707 unithv 5760 2893770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_708 unithv 5760 2897840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_709 unithv 5760 2901910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_710 unithv 5760 2905980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_711 unithv 5760 2910050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_712 unithv 5760 2914120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_713 unithv 5760 2918190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_714 unithv 5760 2922260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_715 unithv 5760 2926330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_716 unithv 5760 2930400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_717 unithv 5760 2934470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_718 unithv 5760 2938540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_719 unithv 5760 2942610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_720 unithv 5760 2946680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_721 unithv 5760 2950750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_722 unithv 5760 2954820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_723 unithv 5760 2958890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_724 unithv 5760 2962960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_725 unithv 5760 2967030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_726 unithv 5760 2971100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_727 unithv 5760 2975170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_728 unithv 5760 2979240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_729 unithv 5760 2983310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_730 unithv 5760 2987380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_731 unithv 5760 2991450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_732 unithv 5760 2995520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_733 unithv 5760 2999590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_734 unithv 5760 3003660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_735 unithv 5760 3007730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_736 unithv 5760 3011800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_737 unithv 5760 3015870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_738 unithv 5760 3019940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_739 unithv 5760 3024010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_740 unithv 5760 3028080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_741 unithv 5760 3032150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_742 unithv 5760 3036220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_743 unithv 5760 3040290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_744 unithv 5760 3044360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_745 unithv 5760 3048430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_746 unithv 5760 3052500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_747 unithv 5760 3056570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_748 unithv 5760 3060640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_749 unithv 5760 3064710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_750 unithv 5760 3068780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_751 unithv 5760 3072850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_752 unithv 5760 3076920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_753 unithv 5760 3080990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_754 unithv 5760 3085060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_755 unithv 5760 3089130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_756 unithv 5760 3093200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_757 unithv 5760 3097270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_758 unithv 5760 3101340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_759 unithv 5760 3105410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_760 unithv 5760 3109480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_761 unithv 5760 3113550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_762 unithv 5760 3117620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_763 unithv 5760 3121690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_764 unithv 5760 3125760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_765 unithv 5760 3129830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_766 unithv 5760 3133900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_767 unithv 5760 3137970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_768 unithv 5760 3142040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_769 unithv 5760 3146110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_770 unithv 5760 3150180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_771 unithv 5760 3154250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_772 unithv 5760 3158320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_773 unithv 5760 3162390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_774 unithv 5760 3166460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_775 unithv 5760 3170530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_776 unithv 5760 3174600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_777 unithv 5760 3178670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_778 unithv 5760 3182740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_779 unithv 5760 3186810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_780 unithv 5760 3190880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_781 unithv 5760 3194950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_782 unithv 5760 3199020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_783 unithv 5760 3203090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_784 unithv 5760 3207160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_785 unithv 5760 3211230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_786 unithv 5760 3215300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_787 unithv 5760 3219370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_788 unithv 5760 3223440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_789 unithv 5760 3227510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_790 unithv 5760 3231580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_791 unithv 5760 3235650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_792 unithv 5760 3239720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_793 unithv 5760 3243790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_794 unithv 5760 3247860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_795 unithv 5760 3251930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_796 unithv 5760 3256000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_797 unithv 5760 3260070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_798 unithv 5760 3264140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_799 unithv 5760 3268210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_800 unithv 5760 3272280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_801 unithv 5760 3276350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_802 unithv 5760 3280420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_803 unithv 5760 3284490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_804 unithv 5760 3288560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_805 unithv 5760 3292630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_806 unithv 5760 3296700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_807 unithv 5760 3300770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_808 unithv 5760 3304840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_809 unithv 5760 3308910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_810 unithv 5760 3312980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_811 unithv 5760 3317050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_812 unithv 5760 3321120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_813 unithv 5760 3325190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_814 unithv 5760 3329260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_815 unithv 5760 3333330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_816 unithv 5760 3337400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_817 unithv 5760 3341470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_818 unithv 5760 3345540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_819 unithv 5760 3349610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_820 unithv 5760 3353680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_821 unithv 5760 3357750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_822 unithv 5760 3361820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_823 unithv 5760 3365890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_824 unithv 5760 3369960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_825 unithv 5760 3374030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_826 unithv 5760 3378100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_827 unithv 5760 3382170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_828 unithv 5760 3386240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_829 unithv 5760 3390310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_830 unithv 5760 3394380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_831 unithv 5760 3398450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_832 unithv 5760 3402520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_833 unithv 5760 3406590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_834 unithv 5760 3410660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_835 unithv 5760 3414730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_836 unithv 5760 3418800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_837 unithv 5760 3422870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_838 unithv 5760 3426940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_839 unithv 5760 3431010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_840 unithv 5760 3435080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_841 unithv 5760 3439150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_842 unithv 5760 3443220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_843 unithv 5760 3447290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_844 unithv 5760 3451360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_845 unithv 5760 3455430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_846 unithv 5760 3459500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_847 unithv 5760 3463570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_848 unithv 5760 3467640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_849 unithv 5760 3471710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_850 unithv 5760 3475780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_851 unithv 5760 3479850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_852 unithv 5760 3483920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_853 unithv 5760 3487990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_854 unithv 5760 3492060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_855 unithv 5760 3496130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_856 unithv 5760 3500200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_857 unithv 5760 3504270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_858 unithv 5760 3508340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_859 unithv 5760 3512410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_860 unithv 5760 3516480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_861 unithv 5760 3520550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_862 unithv 5760 3524620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_863 unithv 5760 3528690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_864 unithv 5760 3532760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_865 unithv 5760 3536830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_866 unithv 5760 3540900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_867 unithv 5760 3544970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_868 unithv 5760 3549040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_869 unithv 5760 3553110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_870 unithv 5760 3557180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_871 unithv 5760 3561250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_872 unithv 5760 3565320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_873 unithv 5760 3569390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_874 unithv 5760 3573460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_875 unithv 5760 3577530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_876 unithv 5760 3581600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_877 unithv 5760 3585670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_878 unithv 5760 3589740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_879 unithv 5760 3593810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_880 unithv 5760 3597880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_881 unithv 5760 3601950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_882 unithv 5760 3606020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_883 unithv 5760 3610090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_884 unithv 5760 3614160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_885 unithv 5760 3618230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_886 unithv 5760 3622300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_887 unithv 5760 3626370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_888 unithv 5760 3630440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_889 unithv 5760 3634510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_890 unithv 5760 3638580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_891 unithv 5760 3642650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_892 unithv 5760 3646720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_893 unithv 5760 3650790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_894 unithv 5760 3654860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_895 unithv 5760 3658930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_896 unithv 5760 3663000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_897 unithv 5760 3667070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_898 unithv 5760 3671140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_899 unithv 5760 3675210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_900 unithv 5760 3679280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_901 unithv 5760 3683350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_902 unithv 5760 3687420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_903 unithv 5760 3691490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_904 unithv 5760 3695560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_905 unithv 5760 3699630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_906 unithv 5760 3703700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_907 unithv 5760 3707770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_908 unithv 5760 3711840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_909 unithv 5760 3715910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_910 unithv 5760 3719980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_911 unithv 5760 3724050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_912 unithv 5760 3728120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_913 unithv 5760 3732190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_914 unithv 5760 3736260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_915 unithv 5760 3740330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_916 unithv 5760 3744400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_917 unithv 5760 3748470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_918 unithv 5760 3752540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_919 unithv 5760 3756610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_920 unithv 5760 3760680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_921 unithv 5760 3764750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_922 unithv 5760 3768820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_923 unithv 5760 3772890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_924 unithv 5760 3776960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_925 unithv 5760 3781030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_926 unithv 5760 3785100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_927 unithv 5760 3789170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_928 unithv 5760 3793240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_929 unithv 5760 3797310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_930 unithv 5760 3801380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_931 unithv 5760 3805450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_932 unithv 5760 3809520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_933 unithv 5760 3813590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_934 unithv 5760 3817660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_935 unithv 5760 3821730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_936 unithv 5760 3825800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_937 unithv 5760 3829870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_938 unithv 5760 3833940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_939 unithv 5760 3838010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_940 unithv 5760 3842080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_941 unithv 5760 3846150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_942 unithv 5760 3850220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_943 unithv 5760 3854290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_944 unithv 5760 3858360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_945 unithv 5760 3862430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_946 unithv 5760 3866500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_947 unithv 5760 3870570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_948 unithv 5760 3874640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_949 unithv 5760 3878710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_950 unithv 5760 3882780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_951 unithv 5760 3886850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_952 unithv 5760 3890920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_953 unithv 5760 3894990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_954 unithv 5760 3899060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_955 unithv 5760 3903130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_956 unithv 5760 3907200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_957 unithv 5760 3911270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_958 unithv 5760 3915340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_959 unithv 5760 3919410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_960 unithv 5760 3923480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_961 unithv 5760 3927550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_962 unithv 5760 3931620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_963 unithv 5760 3935690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_964 unithv 5760 3939760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_965 unithv 5760 3943830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_966 unithv 5760 3947900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_967 unithv 5760 3951970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_968 unithv 5760 3956040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_969 unithv 5760 3960110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_970 unithv 5760 3964180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_971 unithv 5760 3968250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_972 unithv 5760 3972320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_973 unithv 5760 3976390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_974 unithv 5760 3980460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_975 unithv 5760 3984530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_976 unithv 5760 3988600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_977 unithv 5760 3992670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_978 unithv 5760 3996740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_979 unithv 5760 4000810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_980 unithv 5760 4004880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_981 unithv 5760 4008950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_982 unithv 5760 4013020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_983 unithv 5760 4017090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_984 unithv 5760 4021160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_985 unithv 5760 4025230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_986 unithv 5760 4029300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_987 unithv 5760 4033370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_988 unithv 5760 4037440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_989 unithv 5760 4041510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_990 unithv 5760 4045580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_991 unithv 5760 4049650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_992 unithv 5760 4053720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_993 unithv 5760 4057790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_994 unithv 5760 4061860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_995 unithv 5760 4065930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_996 unithv 5760 4070000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_997 unithv 5760 4074070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_998 unithv 5760 4078140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_999 unithv 5760 4082210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1000 unithv 5760 4086280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1001 unithv 5760 4090350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1002 unithv 5760 4094420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1003 unithv 5760 4098490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1004 unithv 5760 4102560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1005 unithv 5760 4106630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1006 unithv 5760 4110700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1007 unithv 5760 4114770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1008 unithv 5760 4118840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1009 unithv 5760 4122910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1010 unithv 5760 4126980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1011 unithv 5760 4131050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1012 unithv 5760 4135120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1013 unithv 5760 4139190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1014 unithv 5760 4143260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1015 unithv 5760 4147330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1016 unithv 5760 4151400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1017 unithv 5760 4155470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1018 unithv 5760 4159540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1019 unithv 5760 4163610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1020 unithv 5760 4167680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1021 unithv 5760 4171750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1022 unithv 5760 4175820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1023 unithv 5760 4179890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1024 unithv 5760 4183960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1025 unithv 5760 4188030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1026 unithv 5760 4192100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1027 unithv 5760 4196170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1028 unithv 5760 4200240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1029 unithv 5760 4204310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1030 unithv 5760 4208380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1031 unithv 5760 4212450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1032 unithv 5760 4216520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1033 unithv 5760 4220590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1034 unithv 5760 4224660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1035 unithv 5760 4228730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1036 unithv 5760 4232800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1037 unithv 5760 4236870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1038 unithv 5760 4240940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1039 unithv 5760 4245010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1040 unithv 5760 4249080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1041 unithv 5760 4253150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1042 unithv 5760 4257220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1043 unithv 5760 4261290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1044 unithv 5760 4265360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1045 unithv 5760 4269430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1046 unithv 5760 4273500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1047 unithv 5760 4277570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1048 unithv 5760 4281640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1049 unithv 5760 4285710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1050 unithv 5760 4289780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1051 unithv 5760 4293850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1052 unithv 5760 4297920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1053 unithv 5760 4301990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1054 unithv 5760 4306060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1055 unithv 5760 4310130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1056 unithv 5760 4314200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1057 unithv 5760 4318270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1058 unithv 5760 4322340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1059 unithv 5760 4326410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1060 unithv 5760 4330480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1061 unithv 5760 4334550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1062 unithv 5760 4338620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1063 unithv 5760 4342690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1064 unithv 5760 4346760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1065 unithv 5760 4350830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1066 unithv 5760 4354900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1067 unithv 5760 4358970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1068 unithv 5760 4363040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1069 unithv 5760 4367110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1070 unithv 5760 4371180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1071 unithv 5760 4375250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1072 unithv 5760 4379320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1073 unithv 5760 4383390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1074 unithv 5760 4387460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1075 unithv 5760 4391530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1076 unithv 5760 4395600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1077 unithv 5760 4399670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1078 unithv 5760 4403740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1079 unithv 5760 4407810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1080 unithv 5760 4411880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1081 unithv 5760 4415950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1082 unithv 5760 4420020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1083 unithv 5760 4424090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1084 unithv 5760 4428160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1085 unithv 5760 4432230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1086 unithv 5760 4436300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1087 unithv 5760 4440370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1088 unithv 5760 4444440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1089 unithv 5760 4448510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1090 unithv 5760 4452580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1091 unithv 5760 4456650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1092 unithv 5760 4460720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1093 unithv 5760 4464790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1094 unithv 5760 4468860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1095 unithv 5760 4472930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1096 unithv 5760 4477000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1097 unithv 5760 4481070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1098 unithv 5760 4485140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1099 unithv 5760 4489210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1100 unithv 5760 4493280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1101 unithv 5760 4497350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1102 unithv 5760 4501420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1103 unithv 5760 4505490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1104 unithv 5760 4509560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1105 unithv 5760 4513630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1106 unithv 5760 4517700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1107 unithv 5760 4521770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1108 unithv 5760 4525840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1109 unithv 5760 4529910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1110 unithv 5760 4533980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1111 unithv 5760 4538050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1112 unithv 5760 4542120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1113 unithv 5760 4546190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1114 unithv 5760 4550260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1115 unithv 5760 4554330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1116 unithv 5760 4558400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1117 unithv 5760 4562470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1118 unithv 5760 4566540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1119 unithv 5760 4570610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1120 unithv 5760 4574680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1121 unithv 5760 4578750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1122 unithv 5760 4582820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1123 unithv 5760 4586890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1124 unithv 5760 4590960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1125 unithv 5760 4595030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1126 unithv 5760 4599100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1127 unithv 5760 4603170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1128 unithv 5760 4607240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1129 unithv 5760 4611310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1130 unithv 5760 4615380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1131 unithv 5760 4619450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1132 unithv 5760 4623520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1133 unithv 5760 4627590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1134 unithv 5760 4631660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1135 unithv 5760 4635730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1136 unithv 5760 4639800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1137 unithv 5760 4643870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1138 unithv 5760 4647940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1139 unithv 5760 4652010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1140 unithv 5760 4656080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1141 unithv 5760 4660150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1142 unithv 5760 4664220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1143 unithv 5760 4668290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1144 unithv 5760 4672360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1145 unithv 5760 4676430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1146 unithv 5760 4680500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1147 unithv 5760 4684570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1148 unithv 5760 4688640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1149 unithv 5760 4692710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1150 unithv 5760 4696780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1151 unithv 5760 4700850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1152 unithv 5760 4704920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1153 unithv 5760 4708990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1154 unithv 5760 4713060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1155 unithv 5760 4717130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1156 unithv 5760 4721200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1157 unithv 5760 4725270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1158 unithv 5760 4729340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1159 unithv 5760 4733410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1160 unithv 5760 4737480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1161 unithv 5760 4741550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1162 unithv 5760 4745620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1163 unithv 5760 4749690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1164 unithv 5760 4753760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1165 unithv 5760 4757830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1166 unithv 5760 4761900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1167 unithv 5760 4765970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1168 unithv 5760 4770040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1169 unithv 5760 4774110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1170 unithv 5760 4778180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1171 unithv 5760 4782250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1172 unithv 5760 4786320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1173 unithv 5760 4790390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1174 unithv 5760 4794460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1175 unithv 5760 4798530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1176 unithv 5760 4802600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1177 unithv 5760 4806670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1178 unithv 5760 4810740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1179 unithv 5760 4814810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1180 unithv 5760 4818880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1181 unithv 5760 4822950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1182 unithv 5760 4827020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1183 unithv 5760 4831090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1184 unithv 5760 4835160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1185 unithv 5760 4839230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1186 unithv 5760 4843300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1187 unithv 5760 4847370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1188 unithv 5760 4851440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1189 unithv 5760 4855510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1190 unithv 5760 4859580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1191 unithv 5760 4863650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1192 unithv 5760 4867720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1193 unithv 5760 4871790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1194 unithv 5760 4875860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1195 unithv 5760 4879930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1196 unithv 5760 4884000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1197 unithv 5760 4888070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1198 unithv 5760 4892140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1199 unithv 5760 4896210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1200 unithv 5760 4900280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1201 unithv 5760 4904350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1202 unithv 5760 4908420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1203 unithv 5760 4912490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1204 unithv 5760 4916560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1205 unithv 5760 4920630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1206 unithv 5760 4924700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1207 unithv 5760 4928770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1208 unithv 5760 4932840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1209 unithv 5760 4936910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1210 unithv 5760 4940980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1211 unithv 5760 4945050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1212 unithv 5760 4949120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1213 unithv 5760 4953190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1214 unithv 5760 4957260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1215 unithv 5760 4961330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1216 unithv 5760 4965400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1217 unithv 5760 4969470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1218 unithv 5760 4973540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1219 unithv 5760 4977610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1220 unithv 5760 4981680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1221 unithv 5760 4985750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1222 unithv 5760 4989820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1223 unithv 5760 4993890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1224 unithv 5760 4997960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1225 unithv 5760 5002030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1226 unithv 5760 5006100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1227 unithv 5760 5010170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1228 unithv 5760 5014240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1229 unithv 5760 5018310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1230 unithv 5760 5022380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1231 unithv 5760 5026450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1232 unithv 5760 5030520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1233 unithv 5760 5034590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1234 unithv 5760 5038660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1235 unithv 5760 5042730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1236 unithv 5760 5046800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1237 unithv 5760 5050870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1238 unithv 5760 5054940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1239 unithv 5760 5059010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1240 unithv 5760 5063080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1241 unithv 5760 5067150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1242 unithv 5760 5071220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1243 unithv 5760 5075290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1244 unithv 5760 5079360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1245 unithv 5760 5083430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1246 unithv 5760 5087500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1247 unithv 5760 5091570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1248 unithv 5760 5095640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1249 unithv 5760 5099710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1250 unithv 5760 5103780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1251 unithv 5760 5107850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1252 unithv 5760 5111920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1253 unithv 5760 5115990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1254 unithv 5760 5120060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1255 unithv 5760 5124130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1256 unithv 5760 5128200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1257 unithv 5760 5132270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1258 unithv 5760 5136340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1259 unithv 5760 5140410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1260 unithv 5760 5144480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1261 unithv 5760 5148550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1262 unithv 5760 5152620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1263 unithv 5760 5156690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1264 unithv 5760 5160760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1265 unithv 5760 5164830 N DO 7451 BY 1 STEP 480 0
;
TRACKS X 240 DO 7475 STEP 480 LAYER li1 ;
TRACKS Y 240 DO 10808 STEP 480 LAYER li1 ;
TRACKS X 185 DO 9697 STEP 370 LAYER met1 ;
TRACKS Y 185 DO 14022 STEP 370 LAYER met1 ;
TRACKS X 240 DO 7475 STEP 480 LAYER met2 ;
TRACKS Y 240 DO 10808 STEP 480 LAYER met2 ;
TRACKS X 370 DO 4849 STEP 740 LAYER met3 ;
TRACKS Y 370 DO 7011 STEP 740 LAYER met3 ;
TRACKS X 480 DO 3738 STEP 960 LAYER met4 ;
TRACKS Y 480 DO 5404 STEP 960 LAYER met4 ;
TRACKS X 1665 DO 1077 STEP 3330 LAYER met5 ;
TRACKS Y 1665 DO 1558 STEP 3330 LAYER met5 ;
VIAS 2 ;
- via2_FR
+ RECT met2 ( -140 -185 ) ( 140 185 )
+ RECT via2 ( -100 -100 ) ( 100 100 )
+ RECT met3 ( -165 -165 ) ( 165 165 )
;
- via4_FR
+ RECT met4 ( -590 -590 ) ( 590 590 )
+ RECT via4 ( -400 -400 ) ( 400 400 )
+ RECT met5 ( -710 -710 ) ( 710 710 )
;
END VIAS
COMPONENTS 48 ;
- obs_li1 obs + PLACED ( 0 0 ) N ;
- obs_vddio_obs obs_vddio_obs + FIXED ( 103400 607150 ) N ;
- gpio_control_bidir\[0\] gpio_control_block + FIXED ( 3373015 605000 ) FN ;
- gpio_control_bidir\[1\] gpio_control_block + FIXED ( 3373015 831000 ) FN ;
- gpio_control_in\[10\] gpio_control_block + FIXED ( 3373015 3070000 ) FN ;
- gpio_control_in\[11\] gpio_control_block + FIXED ( 3373015 3295000 ) FN ;
- gpio_control_in\[12\] gpio_control_block + FIXED ( 3373015 3521000 ) FN ;
- gpio_control_in\[13\] gpio_control_block + FIXED ( 3373015 3746000 ) FN ;
- gpio_control_in\[14\] gpio_control_block + FIXED ( 3373015 4638000 ) FN ;
- gpio_control_in\[15\] gpio_control_block + FIXED ( 2746000 4979065 ) E ;
- gpio_control_in\[16\] gpio_control_block + FIXED ( 2489000 4979065 ) E ;
- gpio_control_in\[17\] gpio_control_block + FIXED ( 2104000 4979065 ) E ;
- gpio_control_in\[18\] gpio_control_block + FIXED ( 1767000 4979065 ) E ;
- gpio_control_in\[19\] gpio_control_block + FIXED ( 1515000 4979065 ) E ;
- gpio_control_in\[20\] gpio_control_block + FIXED ( 1257000 4979065 ) E ;
- gpio_control_in\[21\] gpio_control_block + FIXED ( 1000000 4979065 ) E ;
- gpio_control_in\[22\] gpio_control_block + FIXED ( 743000 4979065 ) E ;
- gpio_control_in\[23\] gpio_control_block + FIXED ( 486000 4979065 ) E ;
- gpio_control_in\[24\] gpio_control_block + FIXED ( 42835 4656120 ) N ;
- gpio_control_in\[25\] gpio_control_block + FIXED ( 42835 4027000 ) N ;
- gpio_control_in\[26\] gpio_control_block + FIXED ( 42835 3811000 ) N ;
- gpio_control_in\[27\] gpio_control_block + FIXED ( 42835 3595000 ) N ;
- gpio_control_in\[28\] gpio_control_block + FIXED ( 42835 3379000 ) N ;
- gpio_control_in\[29\] gpio_control_block + FIXED ( 42835 3163000 ) N ;
- gpio_control_in\[2\] gpio_control_block + FIXED ( 3373015 1056000 ) FN ;
- gpio_control_in\[30\] gpio_control_block + FIXED ( 42835 2947000 ) N ;
- gpio_control_in\[31\] gpio_control_block + FIXED ( 42835 2731000 ) N ;
- gpio_control_in\[32\] gpio_control_block + FIXED ( 42835 2093000 ) N ;
- gpio_control_in\[33\] gpio_control_block + FIXED ( 42835 1877000 ) N ;
- gpio_control_in\[34\] gpio_control_block + FIXED ( 42835 1661000 ) N ;
- gpio_control_in\[35\] gpio_control_block + FIXED ( 42835 1445000 ) N ;
- gpio_control_in\[36\] gpio_control_block + FIXED ( 42835 1229000 ) N ;
- gpio_control_in\[37\] gpio_control_block + FIXED ( 42835 1013000 ) N ;
- gpio_control_in\[3\] gpio_control_block + FIXED ( 3373015 1282000 ) FN ;
- gpio_control_in\[4\] gpio_control_block + FIXED ( 3373015 1507000 ) FN ;
- gpio_control_in\[5\] gpio_control_block + FIXED ( 3373015 1732000 ) FN ;
- gpio_control_in\[6\] gpio_control_block + FIXED ( 3373015 1958000 ) FN ;
- gpio_control_in\[7\] gpio_control_block + FIXED ( 3373015 2399000 ) FN ;
- gpio_control_in\[8\] gpio_control_block + FIXED ( 3373015 2619000 ) FN ;
- gpio_control_in\[9\] gpio_control_block + FIXED ( 3373015 2844000 ) FN ;
- mgmt_buffers mgmt_protect + FIXED ( 1060900 1234180 ) N ;
- mprj user_project_wrapper + FIXED ( 326540 1393590 ) N ;
- padframe chip_io + FIXED ( 0 0 ) N ;
- por simple_por + FIXED ( 3270730 522720 ) FS ;
- rstb_level sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped + FIXED ( 664480 234780 ) S ;
- soc mgmt_core + FIXED ( 1052170 268010 ) N ;
- storage storage + FIXED ( 260160 265780 ) N ;
- user_id_value user_id_programming + FIXED ( 3283120 404630 ) N ;
END COMPONENTS
NETS 1964 ;
- vddio ( padframe vddio ) ( padframe mprj_io_hldh_n[9] ) ( padframe mprj_io_hldh_n[8] ) ( padframe mprj_io_hldh_n[7] )
( padframe mprj_io_hldh_n[6] ) ( padframe mprj_io_hldh_n[5] ) ( padframe mprj_io_hldh_n[4] ) ( padframe mprj_io_hldh_n[3] ) ( padframe mprj_io_hldh_n[37] )
( padframe mprj_io_hldh_n[36] ) ( padframe mprj_io_hldh_n[35] ) ( padframe mprj_io_hldh_n[34] ) ( padframe mprj_io_hldh_n[33] ) ( padframe mprj_io_hldh_n[32] )
( padframe mprj_io_hldh_n[31] ) ( padframe mprj_io_hldh_n[30] ) ( padframe mprj_io_hldh_n[2] ) ( padframe mprj_io_hldh_n[29] ) ( padframe mprj_io_hldh_n[28] )
( padframe mprj_io_hldh_n[27] ) ( padframe mprj_io_hldh_n[26] ) ( padframe mprj_io_hldh_n[25] ) ( padframe mprj_io_hldh_n[24] ) ( padframe mprj_io_hldh_n[23] )
( padframe mprj_io_hldh_n[22] ) ( padframe mprj_io_hldh_n[21] ) ( padframe mprj_io_hldh_n[20] ) ( padframe mprj_io_hldh_n[1] ) ( padframe mprj_io_hldh_n[19] )
( padframe mprj_io_hldh_n[18] ) ( padframe mprj_io_hldh_n[17] ) ( padframe mprj_io_hldh_n[16] ) ( padframe mprj_io_hldh_n[15] ) ( padframe mprj_io_hldh_n[14] )
( padframe mprj_io_hldh_n[13] ) ( padframe mprj_io_hldh_n[12] ) ( padframe mprj_io_hldh_n[11] ) ( padframe mprj_io_hldh_n[10] ) ( padframe mprj_io_hldh_n[0] )
+ ROUTED met3 ( 199200 624930 0 ) ( 199200 626410 )
NEW met3 ( 199200 626410 ) ( 199440 626410 )
NEW met2 ( 199440 626410 ) ( 199440 626595 )
NEW met1 ( 199440 626595 ) ( 212400 626595 )
NEW met3 ( 212400 4810370 ) ( 214560 4810370 )
NEW met2 ( 211920 923890 ) ( 212400 923890 )
NEW met2 ( 212400 626595 ) ( 212400 923890 )
NEW met2 ( 210960 4802970 0 ) ( 210960 4805005 )
NEW met1 ( 210960 4805005 ) ( 212400 4805005 )
NEW met2 ( 212400 4805005 ) ( 212400 4810370 )
NEW met2 ( 1197360 4968175 ) ( 1197360 4970395 )
NEW met2 ( 1183920 4968175 ) ( 1183920 4977610 0 )
NEW met1 ( 1396560 4967805 ) ( 1440720 4967805 )
NEW met2 ( 1396560 4967805 ) ( 1396560 4970395 )
NEW met2 ( 2015760 4967805 ) ( 2015760 4967990 )
NEW met3 ( 2395920 4967990 ) ( 2445840 4967990 )
NEW met3 ( 214560 4810370 ) ( 309360 4810370 )
NEW met2 ( 3377040 1223590 ) ( 3377040 1225070 0 )
NEW met3 ( 3372000 1223590 ) ( 3377040 1223590 )
NEW met4 ( 3372000 1219150 ) ( 3372000 1223590 )
NEW met2 ( 3375600 1450030 ) ( 3377040 1450030 0 )
NEW met2 ( 3375600 1424870 ) ( 3375600 1450030 )
NEW met3 ( 3372000 1424870 ) ( 3375600 1424870 )
NEW met3 ( 3376560 1450030 ) ( 3376800 1450030 )
NEW met2 ( 3375120 1674990 ) ( 3377040 1674990 0 )
NEW met2 ( 3375120 1647610 ) ( 3375120 1674990 )
NEW met3 ( 3375120 1647610 ) ( 3376800 1647610 )
NEW met3 ( 3376560 1674990 ) ( 3376800 1674990 )
NEW met1 ( 3360720 2821805 ) ( 3375120 2821805 )
NEW met2 ( 3377520 3012910 0 ) ( 3377520 3014205 )
NEW met1 ( 3375120 3014205 ) ( 3377520 3014205 )
NEW met1 ( 3360720 3014205 ) ( 3375120 3014205 )
NEW met3 ( 3372720 4832570 ) ( 3376560 4832570 )
NEW met2 ( 309360 4810370 ) ( 309360 4967805 )
NEW met2 ( 926160 4979090 ) ( 927120 4979090 0 )
NEW met2 ( 926160 4978905 ) ( 926160 4979090 )
NEW met1 ( 878640 4978905 ) ( 926160 4978905 )
NEW met2 ( 927120 4968175 ) ( 927120 4979090 0 )
NEW met2 ( 878640 4978905 ) ( 878640 5011465 )
NEW met2 ( 1950960 4967805 ) ( 1950960 4977610 0 )
NEW met1 ( 1440720 4967805 ) ( 1950960 4967805 )
NEW met1 ( 1950960 4967805 ) ( 2015760 4967805 )
NEW met3 ( 2015760 4967990 ) ( 2395920 4967990 )
NEW met2 ( 3162000 4918595 ) ( 3162000 4977610 0 )
NEW met3 ( 3376800 550190 ) ( 3377040 550190 )
NEW met2 ( 3377040 547970 0 ) ( 3377040 550190 )
NEW met4 ( 3376800 1450030 ) ( 3376800 1647610 )
NEW met1 ( 3375120 2789245 ) ( 3377040 2789245 )
NEW met2 ( 3377040 2787015 0 ) ( 3377040 2789245 )
NEW met2 ( 3375120 2789245 ) ( 3375120 2821805 )
NEW met2 ( 3360720 2821805 ) ( 3360720 3014205 )
NEW met2 ( 3377520 3914015 0 ) ( 3377520 3915525 )
NEW met1 ( 3375600 3915525 ) ( 3377520 3915525 )
NEW met2 ( 3375600 3915525 ) ( 3375600 3945865 )
NEW met1 ( 3371280 3945865 ) ( 3375600 3945865 )
NEW met1 ( 3364560 3915525 ) ( 3375600 3915525 )
NEW met2 ( 3377040 4358415 ) ( 3377040 4360080 0 )
NEW met1 ( 3371280 4358415 ) ( 3377040 4358415 )
NEW met3 ( 3375600 4378950 ) ( 3376800 4378950 )
NEW met2 ( 3375600 4358415 ) ( 3375600 4378950 )
NEW met2 ( 3371280 3945865 ) ( 3371280 4358415 )
NEW met2 ( 3377520 4803710 ) ( 3377520 4805930 0 )
NEW met3 ( 3376800 4803710 ) ( 3377520 4803710 )
NEW met2 ( 3376560 4806670 ) ( 3377040 4806670 )
NEW met2 ( 3377040 4805930 0 ) ( 3377040 4806670 )
NEW met2 ( 3377040 4805930 0 ) ( 3377520 4805930 0 )
NEW met2 ( 3376560 4806670 ) ( 3376560 4832570 )
NEW met4 ( 3376800 4378950 ) ( 3376800 4803710 )
NEW met1 ( 3162000 4918595 ) ( 3372720 4918595 )
NEW met2 ( 3372720 4832570 ) ( 3372720 4918595 )
NEW met2 ( 209040 939985 0 ) ( 209040 941650 )
NEW met3 ( 208800 941650 ) ( 209040 941650 )
NEW met2 ( 209040 939985 0 ) ( 211920 939985 )
NEW met2 ( 211920 923890 ) ( 211920 939985 )
NEW met2 ( 209040 1155510 ) ( 209040 1155880 0 )
NEW met3 ( 208800 1155510 ) ( 209040 1155510 )
NEW met4 ( 208800 1155510 ) ( 208800 1219150 )
NEW met4 ( 208800 941650 ) ( 208800 1155510 )
NEW met2 ( 210000 1371960 0 ) ( 210000 1373810 )
NEW met3 ( 209760 1373810 ) ( 210000 1373810 )
NEW met3 ( 209760 1353090 ) ( 215760 1353090 )
NEW met4 ( 209760 1353090 ) ( 209760 1373810 )
NEW met2 ( 210480 1588040 0 ) ( 210480 1589890 )
NEW met3 ( 210480 1589890 ) ( 214560 1589890 )
NEW met3 ( 209760 1589890 ) ( 210480 1589890 )
NEW met2 ( 210960 1803010 ) ( 210960 1804120 0 )
NEW met3 ( 210960 1803010 ) ( 214560 1803010 )
NEW met3 ( 208800 1803010 ) ( 210960 1803010 )
NEW met2 ( 209040 2019090 ) ( 209040 2019985 0 )
NEW met3 ( 208800 2019090 ) ( 209040 2019090 )
NEW met4 ( 206880 3404925 ) ( 208800 3404925 )
NEW met3 ( 208800 3615270 ) ( 214560 3615270 )
NEW met4 ( 213600 4007655 ) ( 214560 4007655 )
NEW met4 ( 214560 4007655 ) ( 214560 4810370 )
NEW met1 ( 927120 4968175 ) ( 1197360 4968175 )
NEW met2 ( 2395920 4967990 ) ( 2395920 4977610 0 )
NEW met2 ( 2445840 4967990 ) ( 2445840 5011465 )
NEW met2 ( 2595600 4976315 ) ( 2595600 5011465 )
NEW met2 ( 3376560 774040 ) ( 3377040 774040 0 )
NEW met2 ( 3376560 774040 ) ( 3376560 775890 )
NEW met3 ( 3376560 775890 ) ( 3376800 775890 )
NEW met4 ( 3376800 550190 ) ( 3376800 775890 )
NEW met2 ( 3377040 996410 ) ( 3377040 999000 0 )
NEW met3 ( 3376800 996410 ) ( 3377040 996410 )
NEW met2 ( 3377040 3235465 ) ( 3377040 3238015 0 )
NEW met1 ( 3366960 3235465 ) ( 3377040 3235465 )
NEW met1 ( 211440 1288895 ) ( 215760 1288895 )
NEW met2 ( 211440 1219150 ) ( 211440 1288895 )
NEW met2 ( 215760 1288895 ) ( 215760 1353090 )
NEW met4 ( 209760 1373810 ) ( 209760 1589890 )
NEW met4 ( 214560 1589890 ) ( 214560 1803010 )
NEW met4 ( 208800 1803010 ) ( 208800 2019090 )
NEW met2 ( 209040 2658080 0 ) ( 209040 2659190 )
NEW met3 ( 208800 2659190 ) ( 209040 2659190 )
NEW met4 ( 208800 2659190 ) ( 208800 2662335 )
NEW met4 ( 207840 2662335 ) ( 208800 2662335 )
NEW met4 ( 207840 2662335 ) ( 207840 2708955 )
NEW met4 ( 207840 2708955 ) ( 208800 2708955 )
NEW met4 ( 208800 2019090 ) ( 208800 2659190 )
NEW met4 ( 207840 2925405 ) ( 208800 2925405 )
NEW met2 ( 209040 3089870 0 ) ( 209040 3091350 )
NEW met3 ( 208800 3091350 ) ( 209040 3091350 )
NEW met4 ( 208800 3091350 ) ( 208800 3095235 )
NEW met4 ( 207840 3095235 ) ( 208800 3095235 )
NEW met4 ( 207840 3095235 ) ( 207840 3141855 )
NEW met4 ( 207840 3141855 ) ( 208800 3141855 )
NEW met4 ( 208800 2925405 ) ( 208800 3091350 )
NEW met2 ( 209040 3305950 0 ) ( 209040 3307430 )
NEW met3 ( 208800 3307430 ) ( 209040 3307430 )
NEW met4 ( 208800 3307430 ) ( 208800 3315015 )
NEW met4 ( 206880 3315015 ) ( 208800 3315015 )
NEW met4 ( 206880 3315015 ) ( 206880 3404925 )
NEW met4 ( 208800 3141855 ) ( 208800 3307430 )
NEW met2 ( 209040 3520550 ) ( 209040 3522030 0 )
NEW met3 ( 208800 3520550 ) ( 209040 3520550 )
NEW met3 ( 208800 3543490 ) ( 214560 3543490 )
NEW met4 ( 208800 3520550 ) ( 208800 3543490 )
NEW met4 ( 208800 3404925 ) ( 208800 3520550 )
NEW met4 ( 214560 3543490 ) ( 214560 3615270 )
NEW met2 ( 209040 3735890 ) ( 209040 3738110 0 )
NEW met3 ( 208800 3735890 ) ( 209040 3735890 )
NEW met4 ( 208800 3615270 ) ( 208800 3735890 )
NEW met2 ( 209040 3952710 ) ( 209040 3953985 0 )
NEW met3 ( 208800 3952710 ) ( 209040 3952710 )
NEW met3 ( 209040 3952710 ) ( 213600 3952710 )
NEW met4 ( 208800 3735890 ) ( 208800 3952710 )
NEW met4 ( 213600 3952710 ) ( 213600 4007655 )
NEW met1 ( 309360 4967805 ) ( 413040 4967805 )
NEW met2 ( 413040 4967805 ) ( 413040 4977610 0 )
NEW met4 ( 3376800 775890 ) ( 3376800 996410 )
NEW met4 ( 3376800 996410 ) ( 3376800 1223590 )
NEW met4 ( 3372000 1223590 ) ( 3372000 1424870 )
NEW met3 ( 3375600 1872570 ) ( 3376800 1872570 )
NEW met2 ( 3375600 1872570 ) ( 3375600 1901060 )
NEW met2 ( 3375600 1901060 ) ( 3377040 1901060 0 )
NEW met4 ( 3376800 1674990 ) ( 3376800 1872570 )
NEW met1 ( 3366960 3067115 ) ( 3375120 3067115 )
NEW met2 ( 3366960 3067115 ) ( 3366960 3235465 )
NEW met2 ( 3375120 3014205 ) ( 3375120 3067115 )
NEW met1 ( 3363600 3268765 ) ( 3372720 3268765 )
NEW met2 ( 3372720 3235465 ) ( 3372720 3268765 )
NEW met1 ( 3363600 3499275 ) ( 3366000 3499275 )
NEW met2 ( 3377520 3463940 0 ) ( 3377520 3464865 )
NEW met1 ( 3363600 3464865 ) ( 3377520 3464865 )
NEW met2 ( 3363600 3268765 ) ( 3363600 3499275 )
NEW met2 ( 3377520 3688900 0 ) ( 3377520 3690565 )
NEW met1 ( 3375600 3690565 ) ( 3377520 3690565 )
NEW met2 ( 3375600 3690565 ) ( 3375600 3729785 )
NEW met1 ( 3364560 3729785 ) ( 3375600 3729785 )
NEW met1 ( 3366000 3690565 ) ( 3375600 3690565 )
NEW met2 ( 3364560 3729785 ) ( 3364560 3915525 )
NEW met2 ( 3366000 3499275 ) ( 3366000 3690565 )
NEW met1 ( 719280 4977795 ) ( 720720 4977795 )
NEW met2 ( 720720 4977795 ) ( 720720 5011465 )
NEW met2 ( 669840 4977610 ) ( 670080 4977610 0 )
NEW met2 ( 669840 4967805 ) ( 669840 4977610 )
NEW met2 ( 719280 4967805 ) ( 719280 4977795 )
NEW met1 ( 720720 5011465 ) ( 878640 5011465 )
NEW met1 ( 1197360 4970395 ) ( 1396560 4970395 )
NEW met2 ( 1440720 4977610 ) ( 1441920 4977610 0 )
NEW met2 ( 1440720 4967805 ) ( 1440720 4977610 )
NEW met3 ( 208800 1219150 ) ( 3372000 1219150 )
NEW met1 ( 2445840 5011465 ) ( 2595600 5011465 )
NEW met2 ( 2652960 4976315 ) ( 2652960 4977610 0 )
NEW met1 ( 2595600 4976315 ) ( 2652960 4976315 )
NEW met1 ( 2652960 4976315 ) ( 3162000 4976315 )
NEW met1 ( 413040 4967805 ) ( 719280 4967805 )
NEW met2 ( 209040 2872310 ) ( 209040 2873985 0 )
NEW met3 ( 207840 2872310 ) ( 209040 2872310 )
NEW met4 ( 207840 2824950 ) ( 207840 2872310 )
NEW met3 ( 207840 2824950 ) ( 208800 2824950 )
NEW met3 ( 207840 2872310 ) ( 207840 2873050 )
NEW met4 ( 207840 2873050 ) ( 207840 2925405 )
NEW met4 ( 208800 2708955 ) ( 208800 2824950 )
NEW met2 ( 199440 626410 ) via2_FR
NEW met1 ( 199440 626595 ) M1M2_PR
NEW met1 ( 212400 626595 ) M1M2_PR
NEW met3 ( 214560 4810370 ) M3M4_PR_M
NEW met2 ( 212400 4810370 ) via2_FR
NEW met1 ( 210960 4805005 ) M1M2_PR
NEW met1 ( 212400 4805005 ) M1M2_PR
NEW met1 ( 669840 4967805 ) M1M2_PR
NEW met1 ( 1197360 4968175 ) M1M2_PR
NEW met1 ( 1197360 4970395 ) M1M2_PR
NEW met1 ( 1183920 4968175 ) M1M2_PR
NEW met1 ( 1440720 4967805 ) M1M2_PR
NEW met1 ( 1396560 4967805 ) M1M2_PR
NEW met1 ( 1396560 4970395 ) M1M2_PR
NEW met1 ( 2015760 4967805 ) M1M2_PR
NEW met2 ( 2015760 4967990 ) via2_FR
NEW met2 ( 2395920 4967990 ) via2_FR
NEW met2 ( 2445840 4967990 ) via2_FR
NEW met2 ( 309360 4810370 ) via2_FR
NEW met1 ( 878640 5011465 ) M1M2_PR
NEW met2 ( 3377040 1223590 ) via2_FR
NEW met3 ( 3372000 1223590 ) M3M4_PR_M
NEW met3 ( 3376800 1223590 ) M3M4_PR_M
NEW met3 ( 3372000 1219150 ) M3M4_PR_M
NEW met2 ( 3375600 1424870 ) via2_FR
NEW met3 ( 3372000 1424870 ) M3M4_PR_M
NEW met3 ( 3376800 1450030 ) M3M4_PR_M
NEW met2 ( 3376560 1450030 ) via2_FR
NEW met2 ( 3375120 1647610 ) via2_FR
NEW met3 ( 3376800 1647610 ) M3M4_PR_M
NEW met3 ( 3376800 1674990 ) M3M4_PR_M
NEW met2 ( 3376560 1674990 ) via2_FR
NEW met1 ( 3360720 2821805 ) M1M2_PR
NEW met1 ( 3375120 2821805 ) M1M2_PR
NEW met1 ( 3377520 3014205 ) M1M2_PR
NEW met1 ( 3375120 3014205 ) M1M2_PR
NEW met1 ( 3360720 3014205 ) M1M2_PR
NEW met2 ( 3372720 4832570 ) via2_FR
NEW met2 ( 3376560 4832570 ) via2_FR
NEW met1 ( 309360 4967805 ) M1M2_PR
NEW met1 ( 719280 4967805 ) M1M2_PR
NEW met1 ( 926160 4978905 ) M1M2_PR
NEW met1 ( 878640 4978905 ) M1M2_PR
NEW met1 ( 927120 4968175 ) M1M2_PR
NEW met1 ( 1950960 4967805 ) M1M2_PR
NEW met1 ( 3162000 4918595 ) M1M2_PR
NEW met1 ( 3162000 4976315 ) M1M2_PR
NEW met3 ( 3376800 550190 ) M3M4_PR_M
NEW met2 ( 3377040 550190 ) via2_FR
NEW met1 ( 3375120 2789245 ) M1M2_PR
NEW met1 ( 3377040 2789245 ) M1M2_PR
NEW met1 ( 3377520 3915525 ) M1M2_PR
NEW met1 ( 3375600 3915525 ) M1M2_PR
NEW met1 ( 3375600 3945865 ) M1M2_PR
NEW met1 ( 3371280 3945865 ) M1M2_PR
NEW met1 ( 3364560 3915525 ) M1M2_PR
NEW met1 ( 3377040 4358415 ) M1M2_PR
NEW met1 ( 3371280 4358415 ) M1M2_PR
NEW met3 ( 3376800 4378950 ) M3M4_PR_M
NEW met2 ( 3375600 4378950 ) via2_FR
NEW met1 ( 3375600 4358415 ) M1M2_PR
NEW met2 ( 3377520 4803710 ) via2_FR
NEW met3 ( 3376800 4803710 ) M3M4_PR_M
NEW met1 ( 3372720 4918595 ) M1M2_PR
NEW met2 ( 209040 941650 ) via2_FR
NEW met3 ( 208800 941650 ) M3M4_PR_M
NEW met2 ( 209040 1155510 ) via2_FR
NEW met3 ( 208800 1155510 ) M3M4_PR_M
NEW met3 ( 208800 1219150 ) M3M4_PR_M
NEW met2 ( 211440 1219150 ) via2_FR
NEW met2 ( 210000 1373810 ) via2_FR
NEW met3 ( 209760 1373810 ) M3M4_PR_M
NEW met2 ( 215760 1353090 ) via2_FR
NEW met3 ( 209760 1353090 ) M3M4_PR_M
NEW met2 ( 210480 1589890 ) via2_FR
NEW met3 ( 214560 1589890 ) M3M4_PR_M
NEW met3 ( 209760 1589890 ) M3M4_PR_M
NEW met2 ( 210960 1803010 ) via2_FR
NEW met3 ( 214560 1803010 ) M3M4_PR_M
NEW met3 ( 208800 1803010 ) M3M4_PR_M
NEW met2 ( 209040 2019090 ) via2_FR
NEW met3 ( 208800 2019090 ) M3M4_PR_M
NEW met3 ( 208800 3615270 ) M3M4_PR_M
NEW met3 ( 214560 3615270 ) M3M4_PR_M
NEW met1 ( 2445840 5011465 ) M1M2_PR
NEW met1 ( 2595600 5011465 ) M1M2_PR
NEW met1 ( 2595600 4976315 ) M1M2_PR
NEW met2 ( 3376560 775890 ) via2_FR
NEW met3 ( 3376800 775890 ) M3M4_PR_M
NEW met2 ( 3377040 996410 ) via2_FR
NEW met3 ( 3376800 996410 ) M3M4_PR_M
NEW met1 ( 3377040 3235465 ) M1M2_PR
NEW met1 ( 3366960 3235465 ) M1M2_PR
NEW met1 ( 3372720 3235465 ) M1M2_PR
NEW met1 ( 211440 1288895 ) M1M2_PR
NEW met1 ( 215760 1288895 ) M1M2_PR
NEW met2 ( 209040 2659190 ) via2_FR
NEW met3 ( 208800 2659190 ) M3M4_PR_M
NEW met2 ( 209040 3091350 ) via2_FR
NEW met3 ( 208800 3091350 ) M3M4_PR_M
NEW met2 ( 209040 3307430 ) via2_FR
NEW met3 ( 208800 3307430 ) M3M4_PR_M
NEW met2 ( 209040 3520550 ) via2_FR
NEW met3 ( 208800 3520550 ) M3M4_PR_M
NEW met3 ( 214560 3543490 ) M3M4_PR_M
NEW met3 ( 208800 3543490 ) M3M4_PR_M
NEW met2 ( 209040 3735890 ) via2_FR
NEW met3 ( 208800 3735890 ) M3M4_PR_M
NEW met2 ( 209040 3952710 ) via2_FR
NEW met3 ( 208800 3952710 ) M3M4_PR_M
NEW met3 ( 213600 3952710 ) M3M4_PR_M
NEW met1 ( 413040 4967805 ) M1M2_PR
NEW met3 ( 3376800 1872570 ) M3M4_PR_M
NEW met2 ( 3375600 1872570 ) via2_FR
NEW met1 ( 3366960 3067115 ) M1M2_PR
NEW met1 ( 3375120 3067115 ) M1M2_PR
NEW met1 ( 3363600 3268765 ) M1M2_PR
NEW met1 ( 3372720 3268765 ) M1M2_PR
NEW met1 ( 3363600 3499275 ) M1M2_PR
NEW met1 ( 3366000 3499275 ) M1M2_PR
NEW met1 ( 3377520 3464865 ) M1M2_PR
NEW met1 ( 3363600 3464865 ) M1M2_PR
NEW met1 ( 3377520 3690565 ) M1M2_PR
NEW met1 ( 3375600 3690565 ) M1M2_PR
NEW met1 ( 3375600 3729785 ) M1M2_PR
NEW met1 ( 3364560 3729785 ) M1M2_PR
NEW met1 ( 3366000 3690565 ) M1M2_PR
NEW met1 ( 719280 4977795 ) M1M2_PR
NEW met1 ( 720720 4977795 ) M1M2_PR
NEW met1 ( 720720 5011465 ) M1M2_PR
NEW met1 ( 2652960 4976315 ) M1M2_PR
NEW met2 ( 209040 2872310 ) via2_FR
NEW met3 ( 207840 2872310 ) M3M4_PR_M
NEW met3 ( 207840 2824950 ) M3M4_PR_M
NEW met3 ( 208800 2824950 ) M3M4_PR_M
NEW met3 ( 207840 2873050 ) M3M4_PR_M
NEW met1 ( 669840 4967805 ) RECT ( -595 -70 0 70 )
NEW met1 ( 1183920 4968175 ) RECT ( -595 -70 0 70 )
NEW met3 ( 3376800 1223590 ) RECT ( -800 -150 0 150 )
NEW met3 ( 3376800 1450030 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3376560 1450030 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3376800 1674990 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3376560 1674990 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3162000 4976315 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3376800 550190 ) RECT ( -380 -150 0 150 )
NEW met1 ( 3375600 4358415 ) RECT ( -595 -70 0 70 )
NEW met3 ( 209040 941650 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 1155510 ) RECT ( 0 -150 380 150 )
NEW met3 ( 211440 1219150 ) RECT ( -800 -150 0 150 )
NEW met3 ( 210000 1373810 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 2019090 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3376560 775890 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3377040 996410 ) RECT ( 0 -150 380 150 )
NEW met1 ( 3372720 3235465 ) RECT ( -595 -70 0 70 )
NEW met3 ( 209040 2659190 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 3091350 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 3307430 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 3520550 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 3735890 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 3952710 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3363600 3464865 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- caravel_clk ( storage mgmt_clk ) ( soc core_clk ) ( mgmt_buffers caravel_clk )
+ ROUTED met3 ( 1059360 1246530 ) ( 1059360 1249480 0 )
NEW met3 ( 1035600 1246530 ) ( 1059360 1246530 )
NEW met2 ( 1034160 1223590 ) ( 1035600 1223590 )
NEW met2 ( 1034160 1218225 ) ( 1034160 1223590 )
NEW met1 ( 1034160 1218225 ) ( 1036080 1218225 )
NEW met2 ( 1036080 1187885 ) ( 1036080 1218225 )
NEW met2 ( 1035600 1223590 ) ( 1035600 1246530 )
NEW met2 ( 741840 371295 ) ( 741840 1187885 )
NEW met2 ( 720720 363710 ) ( 720720 371295 )
NEW met3 ( 704160 363710 0 ) ( 720720 363710 )
NEW met1 ( 720720 371295 ) ( 741840 371295 )
NEW met2 ( 1110000 1167350 ) ( 1111200 1167350 0 )
NEW met2 ( 1110000 1167350 ) ( 1110000 1187885 )
NEW met1 ( 741840 1187885 ) ( 1110000 1187885 )
NEW met2 ( 1035600 1246530 ) via2_FR
NEW met1 ( 741840 371295 ) M1M2_PR
NEW met1 ( 741840 1187885 ) M1M2_PR
NEW met1 ( 1034160 1218225 ) M1M2_PR
NEW met1 ( 1036080 1218225 ) M1M2_PR
NEW met1 ( 1036080 1187885 ) M1M2_PR
NEW met1 ( 720720 371295 ) M1M2_PR
NEW met2 ( 720720 363710 ) via2_FR
NEW met1 ( 1110000 1187885 ) M1M2_PR
NEW met1 ( 1036080 1187885 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- caravel_clk2 ( soc user_clk ) ( mgmt_buffers caravel_clk2 )
+ ROUTED met3 ( 1059360 1276870 ) ( 1059360 1279400 0 )
NEW met3 ( 1036560 1276870 ) ( 1059360 1276870 )
NEW met2 ( 1036560 1230805 ) ( 1036560 1276870 )
NEW met2 ( 1436400 1197690 ) ( 1436400 1224145 )
NEW met1 ( 1390800 1224145 ) ( 1436400 1224145 )
NEW met2 ( 1390800 1223590 ) ( 1390800 1224145 )
NEW met2 ( 1390320 1223590 ) ( 1390800 1223590 )
NEW met2 ( 1390320 1223035 ) ( 1390320 1223590 )
NEW met1 ( 1370640 1223035 ) ( 1390320 1223035 )
NEW met2 ( 1370640 1223035 ) ( 1370640 1232285 )
NEW met2 ( 1469040 1191585 ) ( 1469040 1197690 )
NEW met3 ( 1436400 1197690 ) ( 1469040 1197690 )
NEW met2 ( 1926480 1167350 0 ) ( 1926480 1191585 )
NEW met1 ( 1469040 1191585 ) ( 1926480 1191585 )
NEW met1 ( 1302960 1230435 ) ( 1302960 1230805 )
NEW met1 ( 1302960 1230435 ) ( 1344240 1230435 )
NEW met2 ( 1344240 1230435 ) ( 1344240 1232285 )
NEW met1 ( 1036560 1230805 ) ( 1302960 1230805 )
NEW met1 ( 1344240 1232285 ) ( 1370640 1232285 )
NEW met2 ( 1036560 1276870 ) via2_FR
NEW met1 ( 1036560 1230805 ) M1M2_PR
NEW met2 ( 1436400 1197690 ) via2_FR
NEW met1 ( 1436400 1224145 ) M1M2_PR
NEW met1 ( 1390800 1224145 ) M1M2_PR
NEW met1 ( 1390320 1223035 ) M1M2_PR
NEW met1 ( 1370640 1223035 ) M1M2_PR
NEW met1 ( 1370640 1232285 ) M1M2_PR
NEW met1 ( 1469040 1191585 ) M1M2_PR
NEW met2 ( 1469040 1197690 ) via2_FR
NEW met1 ( 1926480 1191585 ) M1M2_PR
NEW met1 ( 1344240 1230435 ) M1M2_PR
NEW met1 ( 1344240 1232285 ) M1M2_PR
+ USE SIGNAL ;
- caravel_rstn ( soc core_rstn ) ( mgmt_buffers caravel_rstn )
+ ROUTED met3 ( 1036080 1306470 ) ( 1059360 1306470 )
NEW met3 ( 1059360 1306470 ) ( 1059360 1309060 0 )
NEW met2 ( 1036080 1219335 ) ( 1036080 1306470 )
NEW met2 ( 1437840 1167350 ) ( 1438800 1167350 0 )
NEW met2 ( 1437840 1167350 ) ( 1437840 1213415 )
NEW met1 ( 1391280 1213415 ) ( 1437840 1213415 )
NEW met1 ( 1391280 1213415 ) ( 1391280 1213785 )
NEW met1 ( 1340400 1213785 ) ( 1391280 1213785 )
NEW met2 ( 1340400 1213785 ) ( 1340400 1219335 )
NEW met1 ( 1036080 1219335 ) ( 1340400 1219335 )
NEW met2 ( 1036080 1306470 ) via2_FR
NEW met1 ( 1036080 1219335 ) M1M2_PR
NEW met1 ( 1437840 1213415 ) M1M2_PR
NEW met1 ( 1340400 1213785 ) M1M2_PR
NEW met1 ( 1340400 1219335 ) M1M2_PR
+ USE SIGNAL ;
- clock_core ( soc clock ) ( padframe clock_core )
+ ROUTED met4 ( 949920 203870 ) ( 949920 209050 )
NEW met3 ( 938160 209050 ) ( 949920 209050 )
NEW met2 ( 936720 209050 0 ) ( 938160 209050 )
NEW met3 ( 949920 203870 ) ( 1055280 203870 )
NEW met2 ( 1055280 203870 ) ( 1055280 268250 0 )
NEW met2 ( 1055280 203870 ) via2_FR
NEW met3 ( 949920 203870 ) M3M4_PR_M
NEW met3 ( 949920 209050 ) M3M4_PR_M
NEW met2 ( 938160 209050 ) via2_FR
+ USE SIGNAL ;
- flash_clk_core ( soc flash_clk ) ( padframe flash_clk_core )
+ ROUTED met2 ( 1808880 210530 0 ) ( 1808880 226625 )
NEW met1 ( 1057200 226625 ) ( 1808880 226625 )
NEW met2 ( 1056960 268250 0 ) ( 1057200 268250 )
NEW met2 ( 1057200 226625 ) ( 1057200 268250 )
NEW met1 ( 1057200 226625 ) M1M2_PR
NEW met1 ( 1808880 226625 ) M1M2_PR
+ USE SIGNAL ;
- flash_clk_ieb_core ( soc flash_clk_ieb ) ( padframe flash_clk_ieb_core )
+ ROUTED met3 ( 1054560 271210 ) ( 1054560 273800 0 )
NEW met3 ( 1054320 271210 ) ( 1054560 271210 )
NEW met2 ( 1054320 269915 ) ( 1054320 271210 )
NEW met1 ( 1054320 269915 ) ( 1085040 269915 )
NEW met2 ( 1085040 268435 ) ( 1085040 269915 )
NEW met1 ( 1310640 268065 ) ( 1310640 268435 )
NEW met1 ( 1310640 268435 ) ( 1410960 268435 )
NEW met1 ( 1410960 268065 ) ( 1410960 268435 )
NEW met2 ( 1787280 210530 0 ) ( 1787280 268065 )
NEW met1 ( 1281360 268065 ) ( 1281360 268435 )
NEW met1 ( 1085040 268435 ) ( 1281360 268435 )
NEW met1 ( 1281360 268065 ) ( 1310640 268065 )
NEW met1 ( 1410960 268065 ) ( 1787280 268065 )
NEW met2 ( 1054320 271210 ) via2_FR
NEW met1 ( 1054320 269915 ) M1M2_PR
NEW met1 ( 1085040 269915 ) M1M2_PR
NEW met1 ( 1085040 268435 ) M1M2_PR
NEW met1 ( 1787280 268065 ) M1M2_PR
+ USE SIGNAL ;
- flash_clk_oeb_core ( soc flash_clk_oeb ) ( padframe flash_clk_oeb_core )
+ ROUTED met4 ( 1814880 216450 ) ( 1814880 225330 )
NEW met3 ( 1814880 216450 ) ( 1824720 216450 )
NEW met2 ( 1824720 210530 0 ) ( 1824720 216450 )
NEW met2 ( 1058640 225330 ) ( 1058640 268250 )
NEW met2 ( 1058640 268250 ) ( 1058880 268250 0 )
NEW met3 ( 1058640 225330 ) ( 1814880 225330 )
NEW met3 ( 1814880 225330 ) M3M4_PR_M
NEW met3 ( 1814880 216450 ) M3M4_PR_M
NEW met2 ( 1824720 216450 ) via2_FR
NEW met2 ( 1058640 225330 ) via2_FR
+ USE SIGNAL ;
- flash_csb_core ( soc flash_csb ) ( padframe flash_csb_core )
+ ROUTED met2 ( 1533840 210530 ) ( 1535040 210530 0 )
NEW met3 ( 1512240 210530 ) ( 1533840 210530 )
NEW met3 ( 1052640 274910 ) ( 1052640 276390 0 )
NEW met3 ( 1051440 274910 ) ( 1052640 274910 )
NEW met2 ( 1051440 269175 ) ( 1051440 274910 )
NEW met2 ( 1512240 210530 ) ( 1512240 244755 )
NEW met1 ( 1310160 268805 ) ( 1310160 269175 )
NEW met1 ( 1051440 269175 ) ( 1310160 269175 )
NEW met2 ( 1411920 244755 ) ( 1411920 268805 )
NEW met1 ( 1411920 244755 ) ( 1512240 244755 )
NEW met1 ( 1310640 268805 ) ( 1310640 269545 )
NEW met1 ( 1310640 269545 ) ( 1410960 269545 )
NEW met2 ( 1410960 268805 ) ( 1410960 269545 )
NEW met1 ( 1310160 268805 ) ( 1310640 268805 )
NEW met2 ( 1410960 268805 ) ( 1411920 268805 )
NEW met2 ( 1533840 210530 ) via2_FR
NEW met2 ( 1512240 210530 ) via2_FR
NEW met2 ( 1051440 274910 ) via2_FR
NEW met1 ( 1051440 269175 ) M1M2_PR
NEW met1 ( 1512240 244755 ) M1M2_PR
NEW met1 ( 1411920 244755 ) M1M2_PR
NEW met1 ( 1410960 269545 ) M1M2_PR
+ USE SIGNAL ;
- flash_csb_ieb_core ( soc flash_csb_ieb ) ( padframe flash_csb_ieb_core )
+ ROUTED met4 ( 1512480 216450 ) ( 1512480 226070 )
NEW met3 ( 1512480 216450 ) ( 1512720 216450 )
NEW met2 ( 1512720 210530 ) ( 1512720 216450 )
NEW met2 ( 1512720 210530 ) ( 1513440 210530 0 )
NEW met3 ( 1060560 226070 ) ( 1512480 226070 )
NEW met2 ( 1060560 226070 ) ( 1060560 268250 0 )
NEW met2 ( 1060560 226070 ) via2_FR
NEW met3 ( 1512480 226070 ) M3M4_PR_M
NEW met3 ( 1512480 216450 ) M3M4_PR_M
NEW met2 ( 1512720 216450 ) via2_FR
NEW met3 ( 1512480 216450 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- flash_csb_oeb_core ( soc flash_csb_oeb ) ( padframe flash_csb_oeb_core )
+ ROUTED met2 ( 1550640 210530 0 ) ( 1550640 211825 )
NEW met1 ( 1062480 211825 ) ( 1550640 211825 )
NEW met2 ( 1062480 211825 ) ( 1062480 268250 0 )
NEW met1 ( 1062480 211825 ) M1M2_PR
NEW met1 ( 1550640 211825 ) M1M2_PR
+ USE SIGNAL ;
- flash_io0_di_core ( soc flash_io0_di ) ( padframe flash_io0_di_core )
+ ROUTED met2 ( 2026320 210530 ) ( 2027760 210530 0 )
NEW met2 ( 2026320 210530 ) ( 2026320 210715 )
NEW met2 ( 1034640 210715 ) ( 1034640 279350 )
NEW met1 ( 1034640 210715 ) ( 2026320 210715 )
NEW met3 ( 1034640 279350 ) ( 1052640 279350 0 )
NEW met1 ( 1034640 210715 ) M1M2_PR
NEW met1 ( 2026320 210715 ) M1M2_PR
NEW met2 ( 1034640 279350 ) via2_FR
+ USE SIGNAL ;
- flash_io0_do_core ( soc flash_io0_do ) ( padframe flash_io0_do_core )
+ ROUTED met2 ( 2081520 210530 ) ( 2082960 210530 0 )
NEW met3 ( 2058000 210530 ) ( 2081520 210530 )
NEW met2 ( 2058000 210530 ) ( 2058000 225885 )
NEW met1 ( 1064400 225885 ) ( 2058000 225885 )
NEW met2 ( 1064400 225885 ) ( 1064400 268250 0 )
NEW met1 ( 1064400 225885 ) M1M2_PR
NEW met2 ( 2081520 210530 ) via2_FR
NEW met2 ( 2058000 210530 ) via2_FR
NEW met1 ( 2058000 225885 ) M1M2_PR
+ USE SIGNAL ;
- flash_io0_ieb_core ( soc flash_io0_ieb ) ( padframe flash_io0_ieb_core )
+ ROUTED met2 ( 1991760 230695 ) ( 1991760 267695 )
NEW met1 ( 1991760 230695 ) ( 2016240 230695 )
NEW met1 ( 2016240 230325 ) ( 2016240 230695 )
NEW met1 ( 2016240 230325 ) ( 2044560 230325 )
NEW met2 ( 2044560 209050 ) ( 2044560 230325 )
NEW met2 ( 2044560 209050 ) ( 2046480 209050 0 )
NEW met3 ( 1052640 280090 ) ( 1052640 281940 0 )
NEW met3 ( 1050960 280090 ) ( 1052640 280090 )
NEW met2 ( 1050960 276205 ) ( 1050960 280090 )
NEW met1 ( 1050960 272135 ) ( 1050960 276205 )
NEW met1 ( 1142640 271395 ) ( 1142640 272135 )
NEW met2 ( 1142640 267695 ) ( 1142640 271395 )
NEW met1 ( 1050960 272135 ) ( 1142640 272135 )
NEW met1 ( 1142640 267695 ) ( 1991760 267695 )
NEW met1 ( 1991760 267695 ) M1M2_PR
NEW met1 ( 1991760 230695 ) M1M2_PR
NEW met1 ( 2044560 230325 ) M1M2_PR
NEW met2 ( 1050960 280090 ) via2_FR
NEW met1 ( 1050960 276205 ) M1M2_PR
NEW met1 ( 1142640 271395 ) M1M2_PR
NEW met1 ( 1142640 267695 ) M1M2_PR
+ USE SIGNAL ;
- flash_io0_oeb_core ( soc flash_io0_oeb ) ( padframe flash_io0_oeb_core )
+ ROUTED met2 ( 2030640 215895 ) ( 2030640 226255 )
NEW met1 ( 2030640 215895 ) ( 2055120 215895 )
NEW met2 ( 2055120 215710 ) ( 2055120 215895 )
NEW met2 ( 2055120 215710 ) ( 2055510 215710 0 )
NEW met1 ( 1066320 226255 ) ( 2030640 226255 )
NEW met2 ( 1066320 226255 ) ( 1066320 268250 0 )
NEW met1 ( 1066320 226255 ) M1M2_PR
NEW met1 ( 2030640 226255 ) M1M2_PR
NEW met1 ( 2030640 215895 ) M1M2_PR
NEW met1 ( 2055120 215895 ) M1M2_PR
+ USE SIGNAL ;
- flash_io1_di_core ( soc flash_io1_di ) ( padframe flash_io1_di_core )
+ ROUTED met2 ( 2300400 210345 ) ( 2300400 210530 )
NEW met2 ( 2300400 210530 ) ( 2301840 210530 0 )
NEW met1 ( 1068240 210345 ) ( 2300400 210345 )
NEW met2 ( 1068000 268250 0 ) ( 1068240 268250 )
NEW met2 ( 1068240 210345 ) ( 1068240 268250 )
NEW met1 ( 1068240 210345 ) M1M2_PR
NEW met1 ( 2300400 210345 ) M1M2_PR
+ USE SIGNAL ;
- flash_io1_do_core ( soc flash_io1_do ) ( padframe flash_io1_do_core )
+ ROUTED met2 ( 2357040 210530 0 ) ( 2357040 244755 )
NEW met3 ( 1052640 283050 ) ( 1052640 284530 0 )
NEW met3 ( 1046640 283050 ) ( 1052640 283050 )
NEW met2 ( 1046640 270655 ) ( 1046640 283050 )
NEW met1 ( 2304240 244755 ) ( 2304240 245125 )
NEW met1 ( 2304240 244755 ) ( 2357040 244755 )
NEW met2 ( 2217360 245125 ) ( 2217360 267325 )
NEW met1 ( 2217360 245125 ) ( 2304240 245125 )
NEW met2 ( 1280400 267510 ) ( 1280400 270655 )
NEW met2 ( 1280400 267510 ) ( 1281360 267510 )
NEW met2 ( 1281360 267325 ) ( 1281360 267510 )
NEW met1 ( 1046640 270655 ) ( 1280400 270655 )
NEW met1 ( 1281360 267325 ) ( 2217360 267325 )
NEW met1 ( 2357040 244755 ) M1M2_PR
NEW met2 ( 1046640 283050 ) via2_FR
NEW met1 ( 1046640 270655 ) M1M2_PR
NEW met1 ( 2217360 267325 ) M1M2_PR
NEW met1 ( 2217360 245125 ) M1M2_PR
NEW met1 ( 1280400 270655 ) M1M2_PR
NEW met1 ( 1281360 267325 ) M1M2_PR
+ USE SIGNAL ;
- flash_io1_ieb_core ( soc flash_io1_ieb ) ( padframe flash_io1_ieb_core )
+ ROUTED met2 ( 2219760 187035 ) ( 2219760 225515 )
NEW met2 ( 2296080 187035 ) ( 2296080 207755 )
NEW met1 ( 2296080 207755 ) ( 2321040 207755 )
NEW met1 ( 2321040 207755 ) ( 2321040 208930 0 )
NEW met1 ( 2219760 187035 ) ( 2296080 187035 )
NEW met2 ( 1069680 225515 ) ( 1069680 268250 )
NEW met2 ( 1069680 268250 ) ( 1069920 268250 0 )
NEW met1 ( 1069680 225515 ) ( 2219760 225515 )
NEW met1 ( 2219760 225515 ) M1M2_PR
NEW met1 ( 2219760 187035 ) M1M2_PR
NEW met1 ( 2296080 187035 ) M1M2_PR
NEW met1 ( 2296080 207755 ) M1M2_PR
NEW met1 ( 1069680 225515 ) M1M2_PR
+ USE SIGNAL ;
- flash_io1_oeb_core ( soc flash_io1_oeb ) ( padframe flash_io1_oeb_core )
+ ROUTED met2 ( 1036560 265845 ) ( 1036560 287490 )
NEW met2 ( 2329200 221630 ) ( 2329210 221630 )
NEW met2 ( 2329210 220890 0 ) ( 2329210 221630 )
NEW met1 ( 1086960 265845 ) ( 1086960 266955 )
NEW met1 ( 1036560 265845 ) ( 1086960 265845 )
NEW met3 ( 1036560 287490 ) ( 1052640 287490 0 )
NEW met2 ( 2304240 230510 ) ( 2304240 258630 )
NEW met3 ( 2304240 230510 ) ( 2329200 230510 )
NEW met2 ( 2329200 221630 ) ( 2329200 230510 )
NEW met2 ( 2303760 258630 ) ( 2303760 262885 )
NEW met1 ( 2216880 262885 ) ( 2303760 262885 )
NEW met2 ( 2216880 262885 ) ( 2216880 266955 )
NEW met2 ( 2303760 258630 ) ( 2304240 258630 )
NEW met2 ( 1279920 266770 ) ( 1279920 266955 )
NEW met2 ( 1279920 266770 ) ( 1280880 266770 )
NEW met2 ( 1280880 266770 ) ( 1280880 266955 )
NEW met1 ( 1086960 266955 ) ( 1279920 266955 )
NEW met1 ( 1280880 266955 ) ( 2216880 266955 )
NEW met1 ( 1036560 265845 ) M1M2_PR
NEW met2 ( 1036560 287490 ) via2_FR
NEW met2 ( 2304240 230510 ) via2_FR
NEW met2 ( 2329200 230510 ) via2_FR
NEW met1 ( 2303760 262885 ) M1M2_PR
NEW met1 ( 2216880 262885 ) M1M2_PR
NEW met1 ( 2216880 266955 ) M1M2_PR
NEW met1 ( 1279920 266955 ) M1M2_PR
NEW met1 ( 1280880 266955 ) M1M2_PR
+ USE SIGNAL ;
- gpio_in_core ( soc gpio_in_pad ) ( padframe gpio_in_core )
+ ROUTED met2 ( 2574480 209790 ) ( 2574480 209975 )
NEW met2 ( 2574480 209790 ) ( 2575680 209790 0 )
NEW met1 ( 1071600 209975 ) ( 2574480 209975 )
NEW met2 ( 1071600 209975 ) ( 1071600 268250 0 )
NEW met1 ( 1071600 209975 ) M1M2_PR
NEW met1 ( 2574480 209975 ) M1M2_PR
+ USE SIGNAL ;
- gpio_inenb_core ( soc gpio_inenb_pad ) ( padframe gpio_inenb_core )
+ ROUTED met2 ( 2607600 210530 ) ( 2609280 210530 0 )
NEW met2 ( 2607600 210530 ) ( 2607600 215895 )
NEW met1 ( 2607600 215895 ) ( 2607600 216265 )
NEW met2 ( 2541360 216265 ) ( 2541360 224775 )
NEW met1 ( 1073520 224775 ) ( 2541360 224775 )
NEW met1 ( 2541360 216265 ) ( 2607600 216265 )
NEW met2 ( 1073520 224775 ) ( 1073520 268250 0 )
NEW met1 ( 1073520 224775 ) M1M2_PR
NEW met1 ( 2607600 215895 ) M1M2_PR
NEW met1 ( 2541360 224775 ) M1M2_PR
NEW met1 ( 2541360 216265 ) M1M2_PR
+ USE SIGNAL ;
- gpio_mode0_core ( soc gpio_mode0_pad ) ( padframe gpio_mode0_core )
+ ROUTED met4 ( 2602080 210530 ) ( 2602080 245310 )
NEW met3 ( 2602080 210530 ) ( 2602320 210530 )
NEW met2 ( 2602320 210530 ) ( 2603280 210530 0 )
NEW met2 ( 2561520 245310 ) ( 2561520 266585 )
NEW met3 ( 2561520 245310 ) ( 2602080 245310 )
NEW met3 ( 1053600 288230 ) ( 1053600 290080 0 )
NEW met4 ( 1053600 270470 ) ( 1053600 288230 )
NEW met3 ( 1053600 270470 ) ( 1053840 270470 )
NEW met2 ( 1053840 265475 ) ( 1053840 270470 )
NEW met1 ( 1915440 266215 ) ( 1915440 266585 )
NEW met2 ( 1915440 266215 ) ( 1915920 266215 )
NEW met2 ( 1915920 266215 ) ( 1915920 268065 )
NEW met2 ( 2117040 266215 ) ( 2117040 266585 )
NEW met2 ( 2117040 266215 ) ( 2118000 266215 )
NEW met2 ( 2118000 266215 ) ( 2118000 267695 )
NEW met2 ( 2015760 267510 ) ( 2015760 268065 )
NEW met2 ( 2015760 267510 ) ( 2017200 267510 )
NEW met2 ( 2017200 266585 ) ( 2017200 267510 )
NEW met1 ( 1915920 268065 ) ( 2015760 268065 )
NEW met1 ( 2017200 266585 ) ( 2117040 266585 )
NEW met2 ( 2216880 267695 ) ( 2216880 268250 )
NEW met2 ( 2216880 268250 ) ( 2218320 268250 )
NEW met2 ( 2218320 266585 ) ( 2218320 268250 )
NEW met1 ( 2118000 267695 ) ( 2216880 267695 )
NEW met1 ( 2405040 267695 ) ( 2405040 268065 )
NEW met1 ( 2405040 268065 ) ( 2454960 268065 )
NEW met2 ( 2454960 266585 ) ( 2454960 268065 )
NEW met1 ( 2454960 266585 ) ( 2561520 266585 )
NEW met1 ( 1094640 265475 ) ( 1094640 265845 )
NEW met1 ( 1053840 265475 ) ( 1094640 265475 )
NEW met1 ( 2304240 266585 ) ( 2304240 266955 )
NEW met2 ( 2304240 266955 ) ( 2304240 267695 )
NEW met1 ( 2218320 266585 ) ( 2304240 266585 )
NEW met1 ( 2304240 267695 ) ( 2405040 267695 )
NEW met1 ( 1195440 265845 ) ( 1195440 266215 )
NEW met1 ( 1094640 265845 ) ( 1195440 265845 )
NEW met3 ( 1368240 266215 ) ( 1368480 266215 )
NEW met3 ( 1368480 266030 ) ( 1368480 266215 )
NEW met3 ( 1368480 266030 ) ( 1468560 266030 )
NEW met2 ( 1468560 266030 ) ( 1468560 266585 )
NEW met1 ( 1195440 266215 ) ( 1368240 266215 )
NEW met1 ( 1468560 266585 ) ( 1915440 266585 )
NEW met3 ( 2602080 245310 ) M3M4_PR_M
NEW met3 ( 2602080 210530 ) M3M4_PR_M
NEW met2 ( 2602320 210530 ) via2_FR
NEW met2 ( 2561520 245310 ) via2_FR
NEW met1 ( 2561520 266585 ) M1M2_PR
NEW met3 ( 1053600 288230 ) M3M4_PR_M
NEW met3 ( 1053600 270470 ) M3M4_PR_M
NEW met2 ( 1053840 270470 ) via2_FR
NEW met1 ( 1053840 265475 ) M1M2_PR
NEW met1 ( 1915440 266215 ) M1M2_PR
NEW met1 ( 1915920 268065 ) M1M2_PR
NEW met1 ( 2117040 266585 ) M1M2_PR
NEW met1 ( 2118000 267695 ) M1M2_PR
NEW met1 ( 2015760 268065 ) M1M2_PR
NEW met1 ( 2017200 266585 ) M1M2_PR
NEW met1 ( 2216880 267695 ) M1M2_PR
NEW met1 ( 2218320 266585 ) M1M2_PR
NEW met1 ( 2454960 268065 ) M1M2_PR
NEW met1 ( 2454960 266585 ) M1M2_PR
NEW met1 ( 2304240 266955 ) M1M2_PR
NEW met1 ( 2304240 267695 ) M1M2_PR
NEW met1 ( 1368240 266215 ) M1M2_PR
NEW met2 ( 1368240 266215 ) via2_FR
NEW met2 ( 1468560 266030 ) via2_FR
NEW met1 ( 1468560 266585 ) M1M2_PR
NEW met3 ( 2602080 210530 ) RECT ( -380 -150 0 150 )
NEW met3 ( 1053600 270470 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- gpio_mode1_core ( soc gpio_mode1_pad ) ( padframe gpio_mode1_core )
+ ROUTED met2 ( 2592720 209050 ) ( 2594160 209050 0 )
NEW met2 ( 2548560 209050 ) ( 2548560 225145 )
NEW met1 ( 1075440 225145 ) ( 2548560 225145 )
NEW met3 ( 2548560 209050 ) ( 2592720 209050 )
NEW met2 ( 1075440 225145 ) ( 1075440 268250 0 )
NEW met1 ( 1075440 225145 ) M1M2_PR
NEW met2 ( 2592720 209050 ) via2_FR
NEW met2 ( 2548560 209050 ) via2_FR
NEW met1 ( 2548560 225145 ) M1M2_PR
+ USE SIGNAL ;
- gpio_out_core ( soc gpio_out_pad ) ( padframe gpio_out_core )
+ ROUTED met3 ( 1054560 291190 ) ( 1054560 292670 0 )
NEW met4 ( 1054560 269730 ) ( 1054560 291190 )
NEW met3 ( 1054560 269730 ) ( 1107120 269730 )
NEW met2 ( 1107120 265105 ) ( 1107120 269730 )
NEW met2 ( 1815120 265845 ) ( 1815120 266770 )
NEW met2 ( 2016720 265845 ) ( 2016720 266770 )
NEW met1 ( 1136160 265105 ) ( 1136160 265475 )
NEW met1 ( 1107120 265105 ) ( 1136160 265105 )
NEW met2 ( 1813680 265845 ) ( 1813680 266770 )
NEW met2 ( 1813680 266770 ) ( 1815120 266770 )
NEW met2 ( 2015760 265845 ) ( 2015760 266770 )
NEW met1 ( 1815120 265845 ) ( 2015760 265845 )
NEW met2 ( 2015760 266770 ) ( 2016720 266770 )
NEW met2 ( 2203440 265845 ) ( 2203440 266030 )
NEW met3 ( 2203440 266030 ) ( 2218800 266030 )
NEW met2 ( 2218800 266030 ) ( 2218800 266215 )
NEW met1 ( 2016720 265845 ) ( 2203440 265845 )
NEW met1 ( 2354160 265475 ) ( 2354160 266215 )
NEW met1 ( 2218800 266215 ) ( 2354160 266215 )
NEW met2 ( 2629680 210530 ) ( 2630880 210530 0 )
NEW met2 ( 2629680 210530 ) ( 2629680 266215 )
NEW met1 ( 2549520 265475 ) ( 2549520 266215 )
NEW met1 ( 2354160 265475 ) ( 2549520 265475 )
NEW met1 ( 2549520 266215 ) ( 2629680 266215 )
NEW met2 ( 1382160 265475 ) ( 1383120 265475 )
NEW met1 ( 1136160 265475 ) ( 1382160 265475 )
NEW met1 ( 1541520 265475 ) ( 1541520 266215 )
NEW met1 ( 1383120 265475 ) ( 1541520 265475 )
NEW met2 ( 1605360 265105 ) ( 1605360 266215 )
NEW met1 ( 1605360 265105 ) ( 1655760 265105 )
NEW met2 ( 1655760 265105 ) ( 1655760 265845 )
NEW met1 ( 1541520 266215 ) ( 1605360 266215 )
NEW met1 ( 1655760 265845 ) ( 1813680 265845 )
NEW met3 ( 1054560 291190 ) M3M4_PR_M
NEW met3 ( 1054560 269730 ) M3M4_PR_M
NEW met2 ( 1107120 269730 ) via2_FR
NEW met1 ( 1107120 265105 ) M1M2_PR
NEW met1 ( 1815120 265845 ) M1M2_PR
NEW met1 ( 2016720 265845 ) M1M2_PR
NEW met1 ( 1813680 265845 ) M1M2_PR
NEW met1 ( 2015760 265845 ) M1M2_PR
NEW met1 ( 2203440 265845 ) M1M2_PR
NEW met2 ( 2203440 266030 ) via2_FR
NEW met2 ( 2218800 266030 ) via2_FR
NEW met1 ( 2218800 266215 ) M1M2_PR
NEW met1 ( 2629680 266215 ) M1M2_PR
NEW met1 ( 1382160 265475 ) M1M2_PR
NEW met1 ( 1383120 265475 ) M1M2_PR
NEW met1 ( 1605360 266215 ) M1M2_PR
NEW met1 ( 1605360 265105 ) M1M2_PR
NEW met1 ( 1655760 265105 ) M1M2_PR
NEW met1 ( 1655760 265845 ) M1M2_PR
+ USE SIGNAL ;
- gpio_outenb_core ( soc gpio_outenb_pad ) ( padframe gpio_outenb_core )
+ ROUTED met4 ( 2635680 216450 ) ( 2635680 224590 )
NEW met3 ( 2635680 216450 ) ( 2646480 216450 )
NEW met2 ( 2646480 210530 0 ) ( 2646480 216450 )
NEW met3 ( 1077360 224590 ) ( 2635680 224590 )
NEW met2 ( 1077360 224590 ) ( 1077360 268250 0 )
NEW met2 ( 1077360 224590 ) via2_FR
NEW met3 ( 2635680 224590 ) M3M4_PR_M
NEW met3 ( 2635680 216450 ) M3M4_PR_M
NEW met2 ( 2646480 216450 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[0\] ( gpio_control_bidir\[1\] serial_data_in ) ( gpio_control_bidir\[0\] serial_data_out )
+ ROUTED met3 ( 3386400 664890 0 ) ( 3386400 667110 )
NEW met3 ( 3386400 886890 ) ( 3386400 888370 0 )
NEW met4 ( 3386400 667110 ) ( 3386400 886890 )
NEW met3 ( 3386400 667110 ) M3M4_PR_M
NEW met3 ( 3386400 886890 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[10\] ( gpio_control_in\[11\] serial_data_in ) ( gpio_control_in\[10\] serial_data_out )
+ ROUTED met3 ( 3386400 3130200 0 ) ( 3386400 3132050 )
NEW met3 ( 3386400 3351090 ) ( 3386400 3352570 0 )
NEW met4 ( 3386400 3132050 ) ( 3386400 3351090 )
NEW met3 ( 3386400 3132050 ) M3M4_PR_M
NEW met3 ( 3386400 3351090 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[11\] ( gpio_control_in\[12\] serial_data_in ) ( gpio_control_in\[11\] serial_data_out )
+ ROUTED met3 ( 3369360 3355530 ) ( 3373920 3355530 )
NEW met3 ( 3369360 3578270 ) ( 3373920 3578270 0 )
NEW met3 ( 3373920 3355160 0 ) ( 3373920 3355530 )
NEW met2 ( 3369360 3355530 ) ( 3369360 3578270 )
NEW met2 ( 3369360 3355530 ) via2_FR
NEW met2 ( 3369360 3578270 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[12\] ( gpio_control_in\[13\] serial_data_in ) ( gpio_control_in\[12\] serial_data_out )
+ ROUTED met3 ( 3369360 3581230 ) ( 3373920 3581230 0 )
NEW met3 ( 3369360 3803230 ) ( 3373920 3803230 0 )
NEW met2 ( 3369360 3581230 ) ( 3369360 3803230 )
NEW met2 ( 3369360 3581230 ) via2_FR
NEW met2 ( 3369360 3803230 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[13\] ( gpio_control_in\[14\] serial_data_in ) ( gpio_control_in\[13\] serial_data_out )
+ ROUTED met3 ( 3369360 4695670 ) ( 3373920 4695670 0 )
NEW met3 ( 3369360 3806190 ) ( 3373920 3806190 0 )
NEW met2 ( 3369360 3806190 ) ( 3369360 4695670 )
NEW met2 ( 3369360 4695670 ) via2_FR
NEW met2 ( 3369360 3806190 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[14\] ( gpio_control_in\[15\] serial_data_in ) ( gpio_control_in\[14\] serial_data_out )
+ ROUTED met2 ( 3290640 4697705 ) ( 3290640 4946345 )
NEW met2 ( 3372240 4697705 ) ( 3372240 4697890 )
NEW met3 ( 3372240 4697890 ) ( 3373920 4697890 0 )
NEW met1 ( 3290640 4697705 ) ( 3372240 4697705 )
NEW met1 ( 2836560 4946345 ) ( 3290640 4946345 )
NEW met3 ( 2803680 4976870 ) ( 2836560 4976870 )
NEW met3 ( 2803680 4976870 ) ( 2803680 4978350 )
NEW met3 ( 2802720 4978350 ) ( 2803680 4978350 )
NEW met3 ( 2802720 4978350 ) ( 2802720 4979090 )
NEW met3 ( 2802720 4979090 ) ( 2803680 4979090 0 )
NEW met2 ( 2836560 4946345 ) ( 2836560 4976870 )
NEW met1 ( 3290640 4697705 ) M1M2_PR
NEW met1 ( 2836560 4946345 ) M1M2_PR
NEW met1 ( 3290640 4946345 ) M1M2_PR
NEW met1 ( 3372240 4697705 ) M1M2_PR
NEW met2 ( 3372240 4697890 ) via2_FR
NEW met2 ( 2836560 4976870 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[15\] ( gpio_control_in\[16\] serial_data_in ) ( gpio_control_in\[15\] serial_data_out )
+ ROUTED met2 ( 2550000 4978350 ) ( 2550000 4980755 )
NEW met2 ( 2805360 4980570 ) ( 2805360 4980755 )
NEW met3 ( 2805360 4980570 ) ( 2806080 4980570 0 )
NEW met3 ( 2546400 4978350 ) ( 2546400 4979090 0 )
NEW met3 ( 2546400 4978350 ) ( 2550000 4978350 )
NEW met1 ( 2550000 4980755 ) ( 2805360 4980755 )
NEW met2 ( 2550000 4978350 ) via2_FR
NEW met1 ( 2550000 4980755 ) M1M2_PR
NEW met1 ( 2805360 4980755 ) M1M2_PR
NEW met2 ( 2805360 4980570 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[16\] ( gpio_control_in\[17\] serial_data_in ) ( gpio_control_in\[16\] serial_data_out )
+ ROUTED met2 ( 2162640 4980570 ) ( 2162640 4980755 )
NEW met3 ( 2161440 4980570 0 ) ( 2162640 4980570 )
NEW met2 ( 2548080 4980570 ) ( 2548080 4980755 )
NEW met1 ( 2162640 4980755 ) ( 2548080 4980755 )
NEW met3 ( 2548080 4980570 ) ( 2549280 4980570 0 )
NEW met1 ( 2162640 4980755 ) M1M2_PR
NEW met2 ( 2162640 4980570 ) via2_FR
NEW met2 ( 2548080 4980570 ) via2_FR
NEW met1 ( 2548080 4980755 ) M1M2_PR
+ USE SIGNAL ;
- gpio_serial_link\[17\] ( gpio_control_in\[18\] serial_data_in ) ( gpio_control_in\[17\] serial_data_out )
+ ROUTED met2 ( 1843440 4978350 ) ( 1843440 4980755 )
NEW met3 ( 1824480 4978350 ) ( 1824480 4979090 0 )
NEW met3 ( 1824480 4978350 ) ( 1843440 4978350 )
NEW met2 ( 2162160 4980755 ) ( 2162160 4981310 )
NEW met2 ( 2162160 4981310 ) ( 2162640 4981310 )
NEW met3 ( 2162640 4981310 ) ( 2164320 4981310 0 )
NEW met1 ( 1843440 4980755 ) ( 2162160 4980755 )
NEW met1 ( 1843440 4980755 ) M1M2_PR
NEW met2 ( 1843440 4978350 ) via2_FR
NEW met1 ( 2162160 4980755 ) M1M2_PR
NEW met2 ( 2162640 4981310 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[18\] ( gpio_control_in\[19\] serial_data_in ) ( gpio_control_in\[18\] serial_data_out )
+ ROUTED met2 ( 1574160 4989265 ) ( 1574160 4989450 )
NEW met3 ( 1572480 4989450 0 ) ( 1574160 4989450 )
NEW met2 ( 1826160 4989265 ) ( 1826160 4989450 )
NEW met3 ( 1826160 4989450 ) ( 1827360 4989450 0 )
NEW met1 ( 1574160 4989265 ) ( 1826160 4989265 )
NEW met1 ( 1574160 4989265 ) M1M2_PR
NEW met2 ( 1574160 4989450 ) via2_FR
NEW met1 ( 1826160 4989265 ) M1M2_PR
NEW met2 ( 1826160 4989450 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[19\] ( gpio_control_in\[20\] serial_data_in ) ( gpio_control_in\[19\] serial_data_out )
+ ROUTED met2 ( 1574160 4980570 ) ( 1574160 4980755 )
NEW met3 ( 1574160 4980570 ) ( 1574880 4980570 0 )
NEW met2 ( 1315440 4980570 ) ( 1315440 4980755 )
NEW met3 ( 1314720 4980570 0 ) ( 1315440 4980570 )
NEW met1 ( 1315440 4980755 ) ( 1574160 4980755 )
NEW met1 ( 1574160 4980755 ) M1M2_PR
NEW met2 ( 1574160 4980570 ) via2_FR
NEW met1 ( 1315440 4980755 ) M1M2_PR
NEW met2 ( 1315440 4980570 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[1\] ( gpio_control_in\[2\] serial_data_in ) ( gpio_control_bidir\[1\] serial_data_out )
+ ROUTED met3 ( 3386400 891330 0 ) ( 3386400 892070 )
NEW met3 ( 3386400 1111850 ) ( 3386400 1113330 0 )
NEW met4 ( 3386400 892070 ) ( 3386400 1111850 )
NEW met3 ( 3386400 892070 ) M3M4_PR_M
NEW met3 ( 3386400 1111850 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[20\] ( gpio_control_in\[21\] serial_data_in ) ( gpio_control_in\[20\] serial_data_out )
+ ROUTED met2 ( 1069200 5015905 ) ( 1069200 5025710 )
NEW met1 ( 1059120 5015905 ) ( 1069200 5015905 )
NEW met2 ( 1059120 5015905 ) ( 1059120 5016090 )
NEW met3 ( 1057440 5016090 0 ) ( 1059120 5016090 )
NEW met2 ( 1094160 5025710 ) ( 1094160 5025895 )
NEW met1 ( 1094160 5025895 ) ( 1113360 5025895 )
NEW met3 ( 1069200 5025710 ) ( 1094160 5025710 )
NEW met2 ( 1113360 4980015 ) ( 1113360 5025895 )
NEW met2 ( 1316400 4979830 ) ( 1316400 4980015 )
NEW met3 ( 1316400 4979830 ) ( 1317120 4979830 0 )
NEW met1 ( 1113360 4980015 ) ( 1316400 4980015 )
NEW met2 ( 1069200 5025710 ) via2_FR
NEW met1 ( 1069200 5015905 ) M1M2_PR
NEW met1 ( 1059120 5015905 ) M1M2_PR
NEW met2 ( 1059120 5016090 ) via2_FR
NEW met2 ( 1094160 5025710 ) via2_FR
NEW met1 ( 1094160 5025895 ) M1M2_PR
NEW met1 ( 1113360 5025895 ) M1M2_PR
NEW met1 ( 1113360 4980015 ) M1M2_PR
NEW met1 ( 1316400 4980015 ) M1M2_PR
NEW met2 ( 1316400 4979830 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[21\] ( gpio_control_in\[22\] serial_data_in ) ( gpio_control_in\[21\] serial_data_out )
+ ROUTED met2 ( 864240 4979645 ) ( 864240 5026265 )
NEW met2 ( 1059120 4979645 ) ( 1059120 4979830 )
NEW met3 ( 1059120 4979830 ) ( 1060320 4979830 0 )
NEW met2 ( 821520 5015905 ) ( 821520 5026265 )
NEW met1 ( 802320 5015905 ) ( 821520 5015905 )
NEW met2 ( 802320 5015905 ) ( 802320 5016090 )
NEW met3 ( 800160 5016090 0 ) ( 802320 5016090 )
NEW met1 ( 821520 5026265 ) ( 864240 5026265 )
NEW met1 ( 864240 4979645 ) ( 1059120 4979645 )
NEW met1 ( 864240 5026265 ) M1M2_PR
NEW met1 ( 864240 4979645 ) M1M2_PR
NEW met1 ( 1059120 4979645 ) M1M2_PR
NEW met2 ( 1059120 4979830 ) via2_FR
NEW met1 ( 821520 5026265 ) M1M2_PR
NEW met1 ( 821520 5015905 ) M1M2_PR
NEW met1 ( 802320 5015905 ) M1M2_PR
NEW met2 ( 802320 5016090 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[22\] ( gpio_control_in\[23\] serial_data_in ) ( gpio_control_in\[22\] serial_data_out )
+ ROUTED met2 ( 545040 4979830 ) ( 545040 4980015 )
NEW met3 ( 543360 4979830 0 ) ( 545040 4979830 )
NEW met2 ( 802320 4979830 ) ( 802320 4980015 )
NEW met3 ( 802320 4979830 ) ( 803040 4979830 0 )
NEW met1 ( 545040 4980015 ) ( 802320 4980015 )
NEW met1 ( 545040 4980015 ) M1M2_PR
NEW met2 ( 545040 4979830 ) via2_FR
NEW met1 ( 802320 4980015 ) M1M2_PR
NEW met2 ( 802320 4979830 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[23\] ( gpio_control_in\[24\] serial_data_in ) ( gpio_control_in\[23\] serial_data_out )
+ ROUTED met3 ( 212640 4713430 0 ) ( 212640 4714910 )
NEW met3 ( 212640 4714910 ) ( 212880 4714910 )
NEW met2 ( 212880 4714910 ) ( 212880 4715095 )
NEW met1 ( 212880 4715095 ) ( 237840 4715095 )
NEW met2 ( 237840 4715095 ) ( 237840 4933395 )
NEW met3 ( 546000 4978350 ) ( 546240 4978350 )
NEW met3 ( 546240 4978350 ) ( 546240 4979090 0 )
NEW met2 ( 546000 4933395 ) ( 546000 4978350 )
NEW met1 ( 237840 4933395 ) ( 546000 4933395 )
NEW met2 ( 212880 4714910 ) via2_FR
NEW met1 ( 212880 4715095 ) M1M2_PR
NEW met1 ( 237840 4715095 ) M1M2_PR
NEW met1 ( 237840 4933395 ) M1M2_PR
NEW met2 ( 546000 4978350 ) via2_FR
NEW met1 ( 546000 4933395 ) M1M2_PR
+ USE SIGNAL ;
- gpio_serial_link\[24\] ( gpio_control_in\[25\] serial_data_in ) ( gpio_control_in\[24\] serial_data_out )
+ ROUTED met3 ( 202080 4085910 ) ( 202320 4085910 )
NEW met3 ( 202080 4084430 0 ) ( 202080 4085910 )
NEW met3 ( 202080 4714910 ) ( 202320 4714910 )
NEW met3 ( 202080 4714910 ) ( 202080 4716390 0 )
NEW met2 ( 202320 4085910 ) ( 202320 4714910 )
NEW met2 ( 202320 4085910 ) via2_FR
NEW met2 ( 202320 4714910 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[25\] ( gpio_control_in\[26\] serial_data_in ) ( gpio_control_in\[25\] serial_data_out )
+ ROUTED met3 ( 212640 4087390 0 ) ( 216240 4087390 )
NEW met3 ( 212640 3868350 0 ) ( 217200 3868350 )
NEW met2 ( 217200 3868350 ) ( 217200 3872050 )
NEW met2 ( 216240 3872050 ) ( 217200 3872050 )
NEW met2 ( 216240 3872050 ) ( 216240 4087390 )
NEW met2 ( 216240 4087390 ) via2_FR
NEW met2 ( 217200 3868350 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[26\] ( gpio_control_in\[27\] serial_data_in ) ( gpio_control_in\[26\] serial_data_out )
+ ROUTED met3 ( 212640 3652270 0 ) ( 217200 3652270 )
NEW met2 ( 216240 3809150 ) ( 218640 3809150 )
NEW met1 ( 217200 3758275 ) ( 218640 3758275 )
NEW met2 ( 217200 3652270 ) ( 217200 3758275 )
NEW met2 ( 218640 3758275 ) ( 218640 3809150 )
NEW met3 ( 212640 3871310 0 ) ( 216240 3871310 )
NEW met2 ( 216240 3809150 ) ( 216240 3871310 )
NEW met2 ( 217200 3652270 ) via2_FR
NEW met1 ( 217200 3758275 ) M1M2_PR
NEW met1 ( 218640 3758275 ) M1M2_PR
NEW met2 ( 216240 3871310 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[27\] ( gpio_control_in\[28\] serial_data_in ) ( gpio_control_in\[27\] serial_data_out )
+ ROUTED met3 ( 212640 3436190 0 ) ( 212640 3438410 )
NEW met3 ( 212640 3438410 ) ( 216240 3438410 )
NEW met3 ( 216240 3556810 ) ( 218640 3556810 )
NEW met2 ( 218640 3556810 ) ( 218640 3655230 )
NEW met3 ( 212640 3655230 0 ) ( 218640 3655230 )
NEW met1 ( 216240 3531835 ) ( 216240 3532945 )
NEW met2 ( 216240 3438410 ) ( 216240 3531835 )
NEW met2 ( 216240 3532945 ) ( 216240 3556810 )
NEW met2 ( 216240 3438410 ) via2_FR
NEW met2 ( 216240 3556810 ) via2_FR
NEW met2 ( 218640 3556810 ) via2_FR
NEW met2 ( 218640 3655230 ) via2_FR
NEW met1 ( 216240 3531835 ) M1M2_PR
NEW met1 ( 216240 3532945 ) M1M2_PR
+ USE SIGNAL ;
- gpio_serial_link\[28\] ( gpio_control_in\[29\] serial_data_in ) ( gpio_control_in\[28\] serial_data_out )
+ ROUTED met3 ( 212640 3220480 0 ) ( 212640 3222330 )
NEW met3 ( 212640 3222330 ) ( 216240 3222330 )
NEW met3 ( 216240 3437670 ) ( 217440 3437670 )
NEW met3 ( 217440 3437670 ) ( 217440 3439150 )
NEW met3 ( 212640 3439150 0 ) ( 217440 3439150 )
NEW met2 ( 216240 3222330 ) ( 216240 3437670 )
NEW met2 ( 216240 3222330 ) via2_FR
NEW met2 ( 216240 3437670 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[29\] ( gpio_control_in\[30\] serial_data_in ) ( gpio_control_in\[29\] serial_data_out )
+ ROUTED met3 ( 212640 3004400 0 ) ( 212640 3006250 )
NEW met3 ( 212640 3006250 ) ( 216720 3006250 )
NEW met2 ( 216720 3153510 ) ( 217200 3153510 )
NEW met2 ( 217200 3153510 ) ( 217200 3223070 )
NEW met3 ( 212640 3223070 0 ) ( 217200 3223070 )
NEW met2 ( 216720 3006250 ) ( 216720 3153510 )
NEW met2 ( 216720 3006250 ) via2_FR
NEW met2 ( 217200 3223070 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[2\] ( gpio_control_in\[3\] serial_data_in ) ( gpio_control_in\[2\] serial_data_out )
+ ROUTED met3 ( 3386400 1116290 0 ) ( 3386400 1117770 )
NEW met4 ( 3386400 1117770 ) ( 3386400 1338290 )
NEW met3 ( 3386400 1338290 ) ( 3386400 1339400 0 )
NEW met3 ( 3386400 1117770 ) M3M4_PR_M
NEW met3 ( 3386400 1338290 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[30\] ( gpio_control_in\[31\] serial_data_in ) ( gpio_control_in\[30\] serial_data_out )
+ ROUTED met3 ( 212640 2788690 0 ) ( 212640 2790170 )
NEW met3 ( 212640 2790170 ) ( 220080 2790170 )
NEW met3 ( 212640 3006990 0 ) ( 220080 3006990 )
NEW met2 ( 220080 2790170 ) ( 220080 3006990 )
NEW met2 ( 220080 2790170 ) via2_FR
NEW met2 ( 220080 3006990 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[31\] ( gpio_control_in\[32\] serial_data_in ) ( gpio_control_in\[31\] serial_data_out )
+ ROUTED met3 ( 211680 2792390 ) ( 218640 2792390 )
NEW met3 ( 211680 2790910 0 ) ( 211680 2792390 )
NEW met3 ( 212640 2150440 0 ) ( 212640 2150810 )
NEW met3 ( 212640 2150810 ) ( 216240 2150810 )
NEW met1 ( 216240 2246455 ) ( 218160 2246455 )
NEW met2 ( 218160 2246455 ) ( 218160 2347095 )
NEW met1 ( 216720 2347095 ) ( 218160 2347095 )
NEW met2 ( 216240 2150810 ) ( 216240 2246455 )
NEW met1 ( 216720 2650125 ) ( 218640 2650125 )
NEW met2 ( 218640 2650125 ) ( 218640 2792390 )
NEW met1 ( 215760 2548745 ) ( 216720 2548745 )
NEW met2 ( 215760 2548745 ) ( 215760 2620710 )
NEW met3 ( 215760 2620710 ) ( 216720 2620710 )
NEW met2 ( 216720 2347095 ) ( 216720 2548745 )
NEW met2 ( 216720 2620710 ) ( 216720 2650125 )
NEW met2 ( 218640 2792390 ) via2_FR
NEW met2 ( 216240 2150810 ) via2_FR
NEW met1 ( 216240 2246455 ) M1M2_PR
NEW met1 ( 218160 2246455 ) M1M2_PR
NEW met1 ( 218160 2347095 ) M1M2_PR
NEW met1 ( 216720 2347095 ) M1M2_PR
NEW met1 ( 216720 2650125 ) M1M2_PR
NEW met1 ( 218640 2650125 ) M1M2_PR
NEW met1 ( 216720 2548745 ) M1M2_PR
NEW met1 ( 215760 2548745 ) M1M2_PR
NEW met2 ( 215760 2620710 ) via2_FR
NEW met2 ( 216720 2620710 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[32\] ( gpio_control_in\[33\] serial_data_in ) ( gpio_control_in\[32\] serial_data_out )
+ ROUTED met2 ( 216720 2044825 ) ( 218640 2044825 )
NEW met3 ( 212640 1934730 0 ) ( 212640 1935470 )
NEW met3 ( 212640 1935470 ) ( 214800 1935470 )
NEW met2 ( 214800 1935470 ) ( 214800 2015575 )
NEW met1 ( 214800 2015575 ) ( 216720 2015575 )
NEW met1 ( 216720 2015575 ) ( 216720 2015945 )
NEW met2 ( 216720 2015945 ) ( 216720 2044825 )
NEW met1 ( 214800 2116955 ) ( 218640 2116955 )
NEW met2 ( 214800 2116955 ) ( 214800 2153030 )
NEW met3 ( 212640 2153030 0 ) ( 214800 2153030 )
NEW met2 ( 218640 2044825 ) ( 218640 2116955 )
NEW met2 ( 214800 1935470 ) via2_FR
NEW met1 ( 214800 2015575 ) M1M2_PR
NEW met1 ( 216720 2015945 ) M1M2_PR
NEW met1 ( 218640 2116955 ) M1M2_PR
NEW met1 ( 214800 2116955 ) M1M2_PR
NEW met2 ( 214800 2153030 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[33\] ( gpio_control_in\[34\] serial_data_in ) ( gpio_control_in\[33\] serial_data_out )
+ ROUTED met1 ( 216240 1839455 ) ( 216240 1840565 )
NEW met3 ( 212640 1718650 0 ) ( 216240 1718650 )
NEW met2 ( 216240 1718650 ) ( 216240 1839455 )
NEW met1 ( 216240 1846115 ) ( 217200 1846115 )
NEW met2 ( 217200 1846115 ) ( 217200 1936210 )
NEW met3 ( 212640 1936210 ) ( 217200 1936210 )
NEW met3 ( 212640 1936210 ) ( 212640 1936950 0 )
NEW met2 ( 216240 1840565 ) ( 216240 1846115 )
NEW met1 ( 216240 1839455 ) M1M2_PR
NEW met1 ( 216240 1840565 ) M1M2_PR
NEW met2 ( 216240 1718650 ) via2_FR
NEW met1 ( 216240 1846115 ) M1M2_PR
NEW met1 ( 217200 1846115 ) M1M2_PR
NEW met2 ( 217200 1936210 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[34\] ( gpio_control_in\[35\] serial_data_in ) ( gpio_control_in\[34\] serial_data_out )
+ ROUTED met3 ( 212640 1502570 0 ) ( 216240 1502570 )
NEW met2 ( 216240 1641690 ) ( 217200 1641690 )
NEW met2 ( 217200 1641690 ) ( 217200 1720870 )
NEW met3 ( 212640 1720870 ) ( 217200 1720870 )
NEW met3 ( 212640 1720870 ) ( 212640 1721240 0 )
NEW met2 ( 216240 1502570 ) ( 216240 1641690 )
NEW met2 ( 216240 1502570 ) via2_FR
NEW met2 ( 217200 1720870 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[35\] ( gpio_control_in\[36\] serial_data_in ) ( gpio_control_in\[35\] serial_data_out )
+ ROUTED met3 ( 212640 1286490 0 ) ( 216240 1286490 )
NEW met2 ( 215760 1501830 ) ( 216240 1501830 )
NEW met2 ( 215760 1501830 ) ( 215760 1504790 )
NEW met3 ( 212640 1504790 ) ( 215760 1504790 )
NEW met3 ( 212640 1504790 ) ( 212640 1505160 0 )
NEW met2 ( 216240 1286490 ) ( 216240 1501830 )
NEW met2 ( 216240 1286490 ) via2_FR
NEW met2 ( 215760 1504790 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[36\] ( gpio_control_in\[37\] serial_data_in ) ( gpio_control_in\[36\] serial_data_out )
+ ROUTED met3 ( 212640 1070410 0 ) ( 216240 1070410 )
NEW met1 ( 212880 1285935 ) ( 216240 1285935 )
NEW met2 ( 212880 1285935 ) ( 212880 1287970 )
NEW met3 ( 211680 1287970 ) ( 212880 1287970 )
NEW met3 ( 211680 1287970 ) ( 211680 1289450 0 )
NEW met2 ( 216240 1070410 ) ( 216240 1285935 )
NEW met2 ( 216240 1070410 ) via2_FR
NEW met1 ( 216240 1285935 ) M1M2_PR
NEW met1 ( 212880 1285935 ) M1M2_PR
NEW met2 ( 212880 1287970 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[3\] ( gpio_control_in\[4\] serial_data_in ) ( gpio_control_in\[3\] serial_data_out )
+ ROUTED met3 ( 3386400 1341990 0 ) ( 3386400 1343470 )
NEW met3 ( 3386400 1562510 ) ( 3386400 1564730 0 )
NEW met4 ( 3386400 1343470 ) ( 3386400 1562510 )
NEW met3 ( 3386400 1343470 ) M3M4_PR_M
NEW met3 ( 3386400 1562510 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[4\] ( gpio_control_in\[5\] serial_data_in ) ( gpio_control_in\[4\] serial_data_out )
+ ROUTED met3 ( 3385440 1788210 ) ( 3385440 1789690 0 )
NEW met3 ( 3385440 1566950 0 ) ( 3385440 1568430 )
NEW met4 ( 3385440 1568430 ) ( 3385440 1788210 )
NEW met3 ( 3385440 1788210 ) M3M4_PR_M
NEW met3 ( 3385440 1568430 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[5\] ( gpio_control_in\[6\] serial_data_in ) ( gpio_control_in\[5\] serial_data_out )
+ ROUTED met3 ( 3369360 1791910 ) ( 3373920 1791910 0 )
NEW met3 ( 3369360 2015390 ) ( 3373920 2015390 0 )
NEW met2 ( 3369360 1791910 ) ( 3369360 2015390 )
NEW met2 ( 3369360 1791910 ) via2_FR
NEW met2 ( 3369360 2015390 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[6\] ( gpio_control_in\[7\] serial_data_in ) ( gpio_control_in\[6\] serial_data_out )
+ ROUTED met3 ( 3387120 2019830 ) ( 3387360 2019830 )
NEW met3 ( 3387360 2018350 0 ) ( 3387360 2019830 )
NEW met3 ( 3387120 2454950 ) ( 3387360 2454950 )
NEW met3 ( 3387360 2454950 ) ( 3387360 2456430 0 )
NEW met2 ( 3387120 2019830 ) ( 3387120 2454950 )
NEW met2 ( 3387120 2019830 ) via2_FR
NEW met2 ( 3387120 2454950 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[7\] ( gpio_control_in\[8\] serial_data_in ) ( gpio_control_in\[7\] serial_data_out )
+ ROUTED met3 ( 3386400 2460870 ) ( 3386640 2460870 )
NEW met3 ( 3386400 2459390 0 ) ( 3386400 2460870 )
NEW met3 ( 3386400 2675470 ) ( 3386640 2675470 )
NEW met3 ( 3386400 2675470 ) ( 3386400 2676210 0 )
NEW met2 ( 3386640 2460870 ) ( 3386640 2675470 )
NEW met2 ( 3386640 2460870 ) via2_FR
NEW met2 ( 3386640 2675470 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[8\] ( gpio_control_in\[9\] serial_data_in ) ( gpio_control_in\[8\] serial_data_out )
+ ROUTED met1 ( 3359760 2680835 ) ( 3374160 2680835 )
NEW met2 ( 3374160 2680650 ) ( 3374160 2680835 )
NEW met3 ( 3373920 2680650 ) ( 3374160 2680650 )
NEW met3 ( 3373920 2679170 0 ) ( 3373920 2680650 )
NEW met1 ( 3359760 2895805 ) ( 3369360 2895805 )
NEW met2 ( 3369360 2895805 ) ( 3369360 2901170 )
NEW met3 ( 3369360 2901170 ) ( 3373920 2901170 0 )
NEW met2 ( 3359760 2680835 ) ( 3359760 2895805 )
NEW met1 ( 3359760 2680835 ) M1M2_PR
NEW met1 ( 3374160 2680835 ) M1M2_PR
NEW met2 ( 3374160 2680650 ) via2_FR
NEW met1 ( 3359760 2895805 ) M1M2_PR
NEW met1 ( 3369360 2895805 ) M1M2_PR
NEW met2 ( 3369360 2901170 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[9\] ( gpio_control_in\[9\] serial_data_out ) ( gpio_control_in\[10\] serial_data_in )
+ ROUTED met1 ( 3354960 2904315 ) ( 3372240 2904315 )
NEW met2 ( 3372240 2904130 ) ( 3372240 2904315 )
NEW met3 ( 3372240 2904130 ) ( 3373920 2904130 0 )
NEW met2 ( 3354960 2904315 ) ( 3354960 3127425 )
NEW met2 ( 3372240 3127425 ) ( 3372240 3127610 )
NEW met3 ( 3372240 3127610 ) ( 3373920 3127610 0 )
NEW met1 ( 3354960 3127425 ) ( 3372240 3127425 )
NEW met1 ( 3354960 2904315 ) M1M2_PR
NEW met1 ( 3372240 2904315 ) M1M2_PR
NEW met2 ( 3372240 2904130 ) via2_FR
NEW met1 ( 3354960 3127425 ) M1M2_PR
NEW met1 ( 3372240 3127425 ) M1M2_PR
NEW met2 ( 3372240 3127610 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link_shifted\[0\] ( soc mprj_io_loader_data ) ( gpio_control_bidir\[0\] serial_data_in )
+ ROUTED met2 ( 3372240 662485 ) ( 3372240 662670 )
NEW met3 ( 3372240 662670 ) ( 3373920 662670 0 )
NEW met3 ( 3202080 600140 0 ) ( 3202080 601990 )
NEW met3 ( 3202080 601990 ) ( 3238800 601990 )
NEW met2 ( 3238800 601990 ) ( 3238800 662485 )
NEW met1 ( 3238800 662485 ) ( 3372240 662485 )
NEW met1 ( 3372240 662485 ) M1M2_PR
NEW met2 ( 3372240 662670 ) via2_FR
NEW met2 ( 3238800 601990 ) via2_FR
NEW met1 ( 3238800 662485 ) M1M2_PR
+ USE SIGNAL ;
- jtag_out ( soc jtag_out ) ( gpio_control_bidir\[0\] mgmt_gpio_out )
+ ROUTED met2 ( 3384720 605875 ) ( 3384720 614570 )
NEW met3 ( 3384480 614570 ) ( 3384720 614570 )
NEW met3 ( 3384480 614570 ) ( 3384480 616050 0 )
NEW met3 ( 3202080 605690 0 ) ( 3227280 605690 )
NEW met2 ( 3227280 605690 ) ( 3227280 605875 )
NEW met1 ( 3227280 605875 ) ( 3384720 605875 )
NEW met1 ( 3384720 605875 ) M1M2_PR
NEW met2 ( 3384720 614570 ) via2_FR
NEW met2 ( 3227280 605690 ) via2_FR
NEW met1 ( 3227280 605875 ) M1M2_PR
+ USE SIGNAL ;
- jtag_outenb ( soc jtag_outenb ) ( gpio_control_bidir\[0\] mgmt_gpio_oeb )
+ ROUTED met2 ( 3384240 605505 ) ( 3384240 611610 )
NEW met3 ( 3384240 611610 ) ( 3384480 611610 )
NEW met3 ( 3384480 611610 ) ( 3384480 613460 0 )
NEW met3 ( 3202080 602730 0 ) ( 3202080 604210 )
NEW met3 ( 3202080 604210 ) ( 3228720 604210 )
NEW met2 ( 3228720 604210 ) ( 3228720 605505 )
NEW met1 ( 3228720 605505 ) ( 3384240 605505 )
NEW met1 ( 3384240 605505 ) M1M2_PR
NEW met2 ( 3384240 611610 ) via2_FR
NEW met2 ( 3228720 604210 ) via2_FR
NEW met1 ( 3228720 605505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[0\] ( soc la_input[0] ) ( mgmt_buffers la_data_in_mprj[0] )
+ ROUTED met1 ( 1425840 1181595 ) ( 1425840 1182335 )
NEW met2 ( 1440720 1167350 0 ) ( 1440720 1181595 )
NEW met1 ( 1425840 1181595 ) ( 1440720 1181595 )
NEW met2 ( 1341840 1182335 ) ( 1341840 1232470 )
NEW met2 ( 1340880 1232470 0 ) ( 1341840 1232470 )
NEW met1 ( 1341840 1182335 ) ( 1425840 1182335 )
NEW met1 ( 1440720 1181595 ) M1M2_PR
NEW met1 ( 1341840 1182335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[100\] ( soc la_input[100] ) ( mgmt_buffers la_data_in_mprj[100] )
+ ROUTED met2 ( 1557360 1182705 ) ( 1557360 1232470 )
NEW met2 ( 1557360 1232470 ) ( 1558320 1232470 0 )
NEW met2 ( 1436880 1167350 0 ) ( 1436880 1169385 )
NEW met1 ( 1436880 1169385 ) ( 1446480 1169385 )
NEW met2 ( 1446480 1169385 ) ( 1446480 1182705 )
NEW met1 ( 1446480 1182705 ) ( 1557360 1182705 )
NEW met1 ( 1557360 1182705 ) M1M2_PR
NEW met1 ( 1436880 1169385 ) M1M2_PR
NEW met1 ( 1446480 1169385 ) M1M2_PR
NEW met1 ( 1446480 1182705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[101\] ( soc la_input[101] ) ( mgmt_buffers la_data_in_mprj[101] )
+ ROUTED met2 ( 1442400 1167350 0 ) ( 1443600 1167350 )
NEW met2 ( 1443600 1167350 ) ( 1443600 1209345 )
NEW met1 ( 1443600 1209345 ) ( 1539600 1209345 )
NEW met2 ( 1539600 1198985 ) ( 1539600 1209345 )
NEW met1 ( 1539600 1198985 ) ( 1560720 1198985 )
NEW met2 ( 1560720 1198985 ) ( 1560720 1232470 0 )
NEW met1 ( 1560720 1198985 ) M1M2_PR
NEW met1 ( 1443600 1209345 ) M1M2_PR
NEW met1 ( 1539600 1209345 ) M1M2_PR
NEW met1 ( 1539600 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[102\] ( soc la_input[102] ) ( mgmt_buffers la_data_in_mprj[102] )
+ ROUTED met2 ( 1435200 1167350 0 ) ( 1436400 1167350 )
NEW met2 ( 1436400 1167350 ) ( 1436400 1187145 )
NEW met1 ( 1436400 1187145 ) ( 1439760 1187145 )
NEW met2 ( 1439760 1187145 ) ( 1439760 1201205 )
NEW met2 ( 1563120 1201205 ) ( 1563120 1232470 0 )
NEW met1 ( 1439760 1201205 ) ( 1563120 1201205 )
NEW met1 ( 1436400 1187145 ) M1M2_PR
NEW met1 ( 1439760 1187145 ) M1M2_PR
NEW met1 ( 1439760 1201205 ) M1M2_PR
NEW met1 ( 1563120 1201205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[103\] ( soc la_input[103] ) ( mgmt_buffers la_data_in_mprj[103] )
+ ROUTED met2 ( 1444320 1167350 0 ) ( 1445520 1167350 )
NEW met2 ( 1445520 1167350 ) ( 1445520 1185110 )
NEW met2 ( 1564080 1185110 ) ( 1564080 1232470 )
NEW met2 ( 1564080 1232470 ) ( 1565280 1232470 0 )
NEW met3 ( 1445520 1185110 ) ( 1564080 1185110 )
NEW met2 ( 1445520 1185110 ) via2_FR
NEW met2 ( 1564080 1185110 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[104\] ( soc la_input[104] ) ( mgmt_buffers la_data_in_mprj[104] )
+ ROUTED met2 ( 1433280 1167350 0 ) ( 1434480 1167350 )
NEW met2 ( 1434480 1167350 ) ( 1434480 1201945 )
NEW met1 ( 1525200 1201575 ) ( 1525200 1201945 )
NEW met1 ( 1525200 1201575 ) ( 1566000 1201575 )
NEW met2 ( 1566000 1201575 ) ( 1566000 1232470 )
NEW met2 ( 1566000 1232470 ) ( 1567200 1232470 0 )
NEW met1 ( 1434480 1201945 ) ( 1525200 1201945 )
NEW met1 ( 1434480 1201945 ) M1M2_PR
NEW met1 ( 1566000 1201575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[105\] ( soc la_input[105] ) ( mgmt_buffers la_data_in_mprj[105] )
+ ROUTED met2 ( 1569360 1196025 ) ( 1569360 1232470 0 )
NEW met2 ( 1446240 1167350 0 ) ( 1447440 1167350 )
NEW met2 ( 1447440 1167350 ) ( 1447440 1199355 )
NEW met1 ( 1447440 1199355 ) ( 1483440 1199355 )
NEW met2 ( 1483440 1196025 ) ( 1483440 1199355 )
NEW met1 ( 1483440 1196025 ) ( 1569360 1196025 )
NEW met1 ( 1569360 1196025 ) M1M2_PR
NEW met1 ( 1447440 1199355 ) M1M2_PR
NEW met1 ( 1483440 1199355 ) M1M2_PR
NEW met1 ( 1483440 1196025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[106\] ( soc la_input[106] ) ( mgmt_buffers la_data_in_mprj[106] )
+ ROUTED met2 ( 1431360 1167350 0 ) ( 1432560 1167350 )
NEW met2 ( 1432560 1167350 ) ( 1432560 1212305 )
NEW met2 ( 1490160 1212305 ) ( 1490160 1215265 )
NEW met2 ( 1490160 1215265 ) ( 1493040 1215265 )
NEW met2 ( 1493040 1214895 ) ( 1493040 1215265 )
NEW met1 ( 1493040 1214895 ) ( 1571760 1214895 )
NEW met2 ( 1571760 1214895 ) ( 1571760 1232470 0 )
NEW met1 ( 1432560 1212305 ) ( 1490160 1212305 )
NEW met1 ( 1432560 1212305 ) M1M2_PR
NEW met1 ( 1490160 1212305 ) M1M2_PR
NEW met1 ( 1493040 1214895 ) M1M2_PR
NEW met1 ( 1571760 1214895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[107\] ( soc la_input[107] ) ( mgmt_buffers la_data_in_mprj[107] )
+ ROUTED met2 ( 1574160 1196765 ) ( 1574160 1232470 0 )
NEW met2 ( 1518480 1196765 ) ( 1518480 1199725 )
NEW met1 ( 1518480 1196765 ) ( 1574160 1196765 )
NEW met2 ( 1447920 1167350 0 ) ( 1449360 1167350 )
NEW met3 ( 1449360 1167350 ) ( 1480560 1167350 )
NEW met2 ( 1480560 1167350 ) ( 1480560 1199725 )
NEW met1 ( 1480560 1199725 ) ( 1518480 1199725 )
NEW met1 ( 1574160 1196765 ) M1M2_PR
NEW met1 ( 1518480 1199725 ) M1M2_PR
NEW met1 ( 1518480 1196765 ) M1M2_PR
NEW met2 ( 1449360 1167350 ) via2_FR
NEW met2 ( 1480560 1167350 ) via2_FR
NEW met1 ( 1480560 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[108\] ( soc la_input[108] ) ( mgmt_buffers la_data_in_mprj[108] )
+ ROUTED met2 ( 1487280 1214155 ) ( 1487280 1221555 )
NEW met1 ( 1487280 1221555 ) ( 1574640 1221555 )
NEW met2 ( 1574640 1221555 ) ( 1574640 1232470 )
NEW met2 ( 1574640 1232470 ) ( 1575840 1232470 0 )
NEW met2 ( 1429680 1167350 0 ) ( 1429680 1184185 )
NEW met1 ( 1429680 1184185 ) ( 1445040 1184185 )
NEW met2 ( 1445040 1184185 ) ( 1445040 1214155 )
NEW met1 ( 1445040 1214155 ) ( 1487280 1214155 )
NEW met1 ( 1487280 1214155 ) M1M2_PR
NEW met1 ( 1487280 1221555 ) M1M2_PR
NEW met1 ( 1574640 1221555 ) M1M2_PR
NEW met1 ( 1429680 1184185 ) M1M2_PR
NEW met1 ( 1445040 1184185 ) M1M2_PR
NEW met1 ( 1445040 1214155 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[109\] ( soc la_input[109] ) ( mgmt_buffers la_data_in_mprj[109] )
+ ROUTED met2 ( 1577040 1232470 ) ( 1578240 1232470 0 )
NEW met2 ( 1449840 1167350 0 ) ( 1449840 1169570 )
NEW met3 ( 1449840 1169570 ) ( 1479120 1169570 )
NEW met2 ( 1479120 1169570 ) ( 1479120 1183075 )
NEW met1 ( 1544400 1183075 ) ( 1544400 1183445 )
NEW met1 ( 1544400 1183445 ) ( 1577040 1183445 )
NEW met1 ( 1479120 1183075 ) ( 1544400 1183075 )
NEW met2 ( 1577040 1183445 ) ( 1577040 1232470 )
NEW met2 ( 1449840 1169570 ) via2_FR
NEW met2 ( 1479120 1169570 ) via2_FR
NEW met1 ( 1479120 1183075 ) M1M2_PR
NEW met1 ( 1577040 1183445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[10\] ( soc la_input[10] ) ( mgmt_buffers la_data_in_mprj[10] )
+ ROUTED met2 ( 1427760 1167350 0 ) ( 1427760 1196765 )
NEW met1 ( 1362480 1196765 ) ( 1427760 1196765 )
NEW met2 ( 1362480 1196765 ) ( 1362480 1232470 0 )
NEW met1 ( 1427760 1196765 ) M1M2_PR
NEW met1 ( 1362480 1196765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[110\] ( soc la_input[110] ) ( mgmt_buffers la_data_in_mprj[110] )
+ ROUTED met2 ( 1580400 1200835 ) ( 1580400 1232470 0 )
NEW met2 ( 1451760 1167350 0 ) ( 1452720 1167350 )
NEW met2 ( 1452720 1167350 ) ( 1452720 1200095 )
NEW met1 ( 1452720 1200095 ) ( 1467120 1200095 )
NEW met2 ( 1467120 1197135 ) ( 1467120 1200095 )
NEW met1 ( 1467120 1197135 ) ( 1472400 1197135 )
NEW met2 ( 1472400 1197135 ) ( 1472400 1198985 )
NEW met1 ( 1472400 1198985 ) ( 1491120 1198985 )
NEW met2 ( 1491120 1198985 ) ( 1491120 1200835 )
NEW met1 ( 1491120 1200835 ) ( 1580400 1200835 )
NEW met1 ( 1580400 1200835 ) M1M2_PR
NEW met1 ( 1452720 1200095 ) M1M2_PR
NEW met1 ( 1467120 1200095 ) M1M2_PR
NEW met1 ( 1467120 1197135 ) M1M2_PR
NEW met1 ( 1472400 1197135 ) M1M2_PR
NEW met1 ( 1472400 1198985 ) M1M2_PR
NEW met1 ( 1491120 1198985 ) M1M2_PR
NEW met1 ( 1491120 1200835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[111\] ( soc la_input[111] ) ( mgmt_buffers la_data_in_mprj[111] )
+ ROUTED met2 ( 1425840 1167350 0 ) ( 1425840 1169755 )
NEW met2 ( 1582800 1169755 ) ( 1582800 1232470 )
NEW met2 ( 1582320 1232470 0 ) ( 1582800 1232470 )
NEW met1 ( 1425840 1169755 ) ( 1582800 1169755 )
NEW met1 ( 1425840 1169755 ) M1M2_PR
NEW met1 ( 1582800 1169755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[112\] ( soc la_input[112] ) ( mgmt_buffers la_data_in_mprj[112] )
+ ROUTED met2 ( 1453440 1167350 0 ) ( 1454640 1167350 )
NEW met2 ( 1454640 1167350 ) ( 1454640 1167535 )
NEW met1 ( 1539600 1167535 ) ( 1539600 1168275 )
NEW met1 ( 1539600 1168275 ) ( 1585200 1168275 )
NEW met1 ( 1454640 1167535 ) ( 1539600 1167535 )
NEW met1 ( 1585200 1212305 ) ( 1587600 1212305 )
NEW met2 ( 1587600 1212305 ) ( 1587600 1231915 )
NEW met1 ( 1586160 1231915 ) ( 1587600 1231915 )
NEW met2 ( 1586160 1231915 ) ( 1586160 1232470 )
NEW met2 ( 1584720 1232470 0 ) ( 1586160 1232470 )
NEW met2 ( 1585200 1168275 ) ( 1585200 1212305 )
NEW met1 ( 1454640 1167535 ) M1M2_PR
NEW met1 ( 1585200 1168275 ) M1M2_PR
NEW met1 ( 1585200 1212305 ) M1M2_PR
NEW met1 ( 1587600 1212305 ) M1M2_PR
NEW met1 ( 1587600 1231915 ) M1M2_PR
NEW met1 ( 1586160 1231915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[113\] ( soc la_input[113] ) ( mgmt_buffers la_data_in_mprj[113] )
+ ROUTED met2 ( 1587120 1214525 ) ( 1587120 1232470 )
NEW met2 ( 1586880 1232470 0 ) ( 1587120 1232470 )
NEW met2 ( 1498320 1213785 ) ( 1498320 1214525 )
NEW met1 ( 1498320 1214525 ) ( 1587120 1214525 )
NEW met2 ( 1424160 1167350 0 ) ( 1425360 1167350 )
NEW met2 ( 1425360 1167350 ) ( 1425360 1169015 )
NEW met1 ( 1425360 1169015 ) ( 1441200 1169015 )
NEW met2 ( 1441200 1169015 ) ( 1441200 1213785 )
NEW met1 ( 1441200 1213785 ) ( 1498320 1213785 )
NEW met1 ( 1587120 1214525 ) M1M2_PR
NEW met1 ( 1498320 1213785 ) M1M2_PR
NEW met1 ( 1498320 1214525 ) M1M2_PR
NEW met1 ( 1425360 1169015 ) M1M2_PR
NEW met1 ( 1441200 1169015 ) M1M2_PR
NEW met1 ( 1441200 1213785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[114\] ( soc la_input[114] ) ( mgmt_buffers la_data_in_mprj[114] )
+ ROUTED met2 ( 1588080 1182335 ) ( 1588080 1232470 )
NEW met2 ( 1588080 1232470 ) ( 1589280 1232470 0 )
NEW met2 ( 1455360 1167350 0 ) ( 1456560 1167350 )
NEW met2 ( 1456560 1167350 ) ( 1456560 1182335 )
NEW met1 ( 1456560 1182335 ) ( 1588080 1182335 )
NEW met1 ( 1588080 1182335 ) M1M2_PR
NEW met1 ( 1456560 1182335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[115\] ( soc la_input[115] ) ( mgmt_buffers la_data_in_mprj[115] )
+ ROUTED met2 ( 1422240 1167350 0 ) ( 1423440 1167350 )
NEW met2 ( 1423440 1167350 ) ( 1423440 1171235 )
NEW met2 ( 1590000 1171235 ) ( 1590000 1232470 )
NEW met2 ( 1590000 1232470 ) ( 1590960 1232470 0 )
NEW met1 ( 1423440 1171235 ) ( 1590000 1171235 )
NEW met1 ( 1423440 1171235 ) M1M2_PR
NEW met1 ( 1590000 1171235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[116\] ( soc la_input[116] ) ( mgmt_buffers la_data_in_mprj[116] )
+ ROUTED met2 ( 1592400 1186405 ) ( 1592400 1232470 )
NEW met2 ( 1592400 1232470 ) ( 1593360 1232470 0 )
NEW met2 ( 1530000 1183815 ) ( 1530000 1186405 )
NEW met1 ( 1530000 1186405 ) ( 1592400 1186405 )
NEW met2 ( 1457280 1167350 0 ) ( 1458480 1167350 )
NEW met2 ( 1458480 1167350 ) ( 1458480 1183815 )
NEW met1 ( 1458480 1183815 ) ( 1530000 1183815 )
NEW met1 ( 1592400 1186405 ) M1M2_PR
NEW met1 ( 1530000 1183815 ) M1M2_PR
NEW met1 ( 1530000 1186405 ) M1M2_PR
NEW met1 ( 1458480 1183815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[117\] ( soc la_input[117] ) ( mgmt_buffers la_data_in_mprj[117] )
+ ROUTED met2 ( 1420320 1167350 0 ) ( 1421520 1167350 )
NEW met2 ( 1421520 1167350 ) ( 1421520 1170865 )
NEW met2 ( 1595760 1170865 ) ( 1595760 1232470 0 )
NEW met1 ( 1421520 1170865 ) ( 1595760 1170865 )
NEW met1 ( 1421520 1170865 ) M1M2_PR
NEW met1 ( 1595760 1170865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[118\] ( soc la_input[118] ) ( mgmt_buffers la_data_in_mprj[118] )
+ ROUTED met2 ( 1596720 1232470 ) ( 1597920 1232470 0 )
NEW met2 ( 1458960 1167350 0 ) ( 1458960 1184185 )
NEW met1 ( 1540080 1184185 ) ( 1540080 1184925 )
NEW met1 ( 1540080 1184925 ) ( 1577520 1184925 )
NEW met1 ( 1577520 1184555 ) ( 1577520 1184925 )
NEW met1 ( 1577520 1184555 ) ( 1596720 1184555 )
NEW met1 ( 1458960 1184185 ) ( 1540080 1184185 )
NEW met2 ( 1596720 1184555 ) ( 1596720 1232470 )
NEW met1 ( 1458960 1184185 ) M1M2_PR
NEW met1 ( 1596720 1184555 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[119\] ( soc la_input[119] ) ( mgmt_buffers la_data_in_mprj[119] )
+ ROUTED met2 ( 1418640 1167350 0 ) ( 1418640 1211935 )
NEW met2 ( 1601040 1211935 ) ( 1601040 1232470 )
NEW met2 ( 1599840 1232470 0 ) ( 1601040 1232470 )
NEW met1 ( 1418640 1211935 ) ( 1601040 1211935 )
NEW met1 ( 1418640 1211935 ) M1M2_PR
NEW met1 ( 1601040 1211935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[11\] ( soc la_input[11] ) ( mgmt_buffers la_data_in_mprj[11] )
+ ROUTED met2 ( 1419600 1197505 ) ( 1419600 1209345 )
NEW met1 ( 1365840 1209345 ) ( 1419600 1209345 )
NEW met2 ( 1365840 1209345 ) ( 1365840 1232470 )
NEW met2 ( 1364640 1232470 0 ) ( 1365840 1232470 )
NEW met2 ( 1460880 1167350 0 ) ( 1460880 1197505 )
NEW met1 ( 1419600 1197505 ) ( 1460880 1197505 )
NEW met1 ( 1419600 1197505 ) M1M2_PR
NEW met1 ( 1419600 1209345 ) M1M2_PR
NEW met1 ( 1365840 1209345 ) M1M2_PR
NEW met1 ( 1460880 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[120\] ( soc la_input[120] ) ( mgmt_buffers la_data_in_mprj[120] )
+ ROUTED met2 ( 1416720 1167350 0 ) ( 1416720 1181595 )
NEW met1 ( 1416720 1181595 ) ( 1425360 1181595 )
NEW met2 ( 1425360 1181595 ) ( 1425360 1198430 )
NEW met2 ( 1440720 1198430 ) ( 1440720 1212675 )
NEW met3 ( 1425360 1198430 ) ( 1440720 1198430 )
NEW met1 ( 1529040 1212675 ) ( 1529040 1213045 )
NEW met2 ( 1529040 1213045 ) ( 1529040 1213785 )
NEW met1 ( 1529040 1213785 ) ( 1602000 1213785 )
NEW met2 ( 1602000 1213785 ) ( 1602000 1232470 0 )
NEW met1 ( 1440720 1212675 ) ( 1529040 1212675 )
NEW met1 ( 1416720 1181595 ) M1M2_PR
NEW met1 ( 1425360 1181595 ) M1M2_PR
NEW met2 ( 1425360 1198430 ) via2_FR
NEW met2 ( 1440720 1198430 ) via2_FR
NEW met1 ( 1440720 1212675 ) M1M2_PR
NEW met1 ( 1529040 1213045 ) M1M2_PR
NEW met1 ( 1529040 1213785 ) M1M2_PR
NEW met1 ( 1602000 1213785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[121\] ( soc la_input[121] ) ( mgmt_buffers la_data_in_mprj[121] )
+ ROUTED met2 ( 1602960 1169385 ) ( 1602960 1232470 )
NEW met2 ( 1602960 1232470 ) ( 1604400 1232470 0 )
NEW met2 ( 1462800 1167350 0 ) ( 1462800 1169385 )
NEW met1 ( 1462800 1169385 ) ( 1602960 1169385 )
NEW met1 ( 1602960 1169385 ) M1M2_PR
NEW met1 ( 1462800 1169385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[122\] ( soc la_input[122] ) ( mgmt_buffers la_data_in_mprj[122] )
+ ROUTED met2 ( 1414800 1167350 0 ) ( 1416240 1167350 )
NEW met2 ( 1416240 1167350 ) ( 1416240 1216375 )
NEW met2 ( 1606800 1215635 ) ( 1606800 1232470 0 )
NEW met1 ( 1490640 1216375 ) ( 1490640 1216745 )
NEW met1 ( 1490640 1216745 ) ( 1491120 1216745 )
NEW met2 ( 1491120 1216745 ) ( 1491120 1216930 )
NEW met2 ( 1491120 1216930 ) ( 1491600 1216930 )
NEW met2 ( 1491600 1216375 ) ( 1491600 1216930 )
NEW met1 ( 1491600 1216375 ) ( 1492560 1216375 )
NEW met1 ( 1492560 1216375 ) ( 1492560 1216745 )
NEW met2 ( 1492560 1216745 ) ( 1492560 1216930 )
NEW met2 ( 1492560 1216930 ) ( 1494480 1216930 )
NEW met2 ( 1494480 1215635 ) ( 1494480 1216930 )
NEW met1 ( 1416240 1216375 ) ( 1490640 1216375 )
NEW met1 ( 1494480 1215635 ) ( 1606800 1215635 )
NEW met1 ( 1416240 1216375 ) M1M2_PR
NEW met1 ( 1606800 1215635 ) M1M2_PR
NEW met1 ( 1491120 1216745 ) M1M2_PR
NEW met1 ( 1491600 1216375 ) M1M2_PR
NEW met1 ( 1492560 1216745 ) M1M2_PR
NEW met1 ( 1494480 1215635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[123\] ( soc la_input[123] ) ( mgmt_buffers la_data_in_mprj[123] )
+ ROUTED met2 ( 1607280 1169015 ) ( 1607280 1232470 )
NEW met2 ( 1607280 1232470 ) ( 1608480 1232470 0 )
NEW met2 ( 1464480 1167350 0 ) ( 1465680 1167350 )
NEW met2 ( 1465680 1167350 ) ( 1465680 1169015 )
NEW met1 ( 1465680 1169015 ) ( 1607280 1169015 )
NEW met1 ( 1607280 1169015 ) M1M2_PR
NEW met1 ( 1465680 1169015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[124\] ( soc la_input[124] ) ( mgmt_buffers la_data_in_mprj[124] )
+ ROUTED met2 ( 1413120 1167350 0 ) ( 1414320 1167350 )
NEW met2 ( 1414320 1167350 ) ( 1414320 1172345 )
NEW met2 ( 1609680 1172345 ) ( 1609680 1232470 )
NEW met2 ( 1609680 1232470 ) ( 1610880 1232470 0 )
NEW met1 ( 1414320 1172345 ) ( 1609680 1172345 )
NEW met1 ( 1414320 1172345 ) M1M2_PR
NEW met1 ( 1609680 1172345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[125\] ( soc la_input[125] ) ( mgmt_buffers la_data_in_mprj[125] )
+ ROUTED met2 ( 1613040 1213045 ) ( 1613040 1232470 0 )
NEW met1 ( 1529520 1213045 ) ( 1529520 1213415 )
NEW met1 ( 1529520 1213045 ) ( 1613040 1213045 )
NEW met2 ( 1466400 1167350 0 ) ( 1467600 1167350 )
NEW met2 ( 1467600 1167350 ) ( 1467600 1183445 )
NEW met1 ( 1467600 1183445 ) ( 1498800 1183445 )
NEW met2 ( 1498800 1183445 ) ( 1498800 1213415 )
NEW met1 ( 1498800 1213415 ) ( 1529520 1213415 )
NEW met1 ( 1613040 1213045 ) M1M2_PR
NEW met1 ( 1467600 1183445 ) M1M2_PR
NEW met1 ( 1498800 1183445 ) M1M2_PR
NEW met1 ( 1498800 1213415 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[126\] ( soc la_input[126] ) ( mgmt_buffers la_data_in_mprj[126] )
+ ROUTED met2 ( 1411200 1167350 0 ) ( 1412400 1167350 )
NEW met2 ( 1412400 1167350 ) ( 1412400 1173085 )
NEW met2 ( 1614000 1173085 ) ( 1614000 1232470 )
NEW met2 ( 1614000 1232470 ) ( 1615440 1232470 0 )
NEW met1 ( 1412400 1173085 ) ( 1614000 1173085 )
NEW met1 ( 1412400 1173085 ) M1M2_PR
NEW met1 ( 1614000 1173085 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[127\] ( soc la_input[127] ) ( mgmt_buffers la_data_in_mprj[127] )
+ ROUTED met2 ( 1617360 1212675 ) ( 1617360 1232470 0 )
NEW met2 ( 1468080 1167350 ) ( 1468320 1167350 0 )
NEW met2 ( 1468080 1167350 ) ( 1468080 1214525 )
NEW met2 ( 1489680 1211750 ) ( 1489680 1214525 )
NEW met2 ( 1489680 1211750 ) ( 1490640 1211750 )
NEW met2 ( 1490640 1211750 ) ( 1490640 1212305 )
NEW met1 ( 1490640 1212305 ) ( 1529520 1212305 )
NEW met1 ( 1529520 1212305 ) ( 1529520 1212675 )
NEW met1 ( 1468080 1214525 ) ( 1489680 1214525 )
NEW met1 ( 1529520 1212675 ) ( 1617360 1212675 )
NEW met1 ( 1617360 1212675 ) M1M2_PR
NEW met1 ( 1468080 1214525 ) M1M2_PR
NEW met1 ( 1489680 1214525 ) M1M2_PR
NEW met1 ( 1490640 1212305 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[12\] ( soc la_input[12] ) ( mgmt_buffers la_data_in_mprj[12] )
+ ROUTED met2 ( 1408080 1167350 ) ( 1409280 1167350 0 )
NEW met2 ( 1408080 1167350 ) ( 1408080 1181595 )
NEW met1 ( 1367760 1181595 ) ( 1408080 1181595 )
NEW met2 ( 1367760 1181595 ) ( 1367760 1232470 )
NEW met2 ( 1367040 1232470 0 ) ( 1367760 1232470 )
NEW met1 ( 1408080 1181595 ) M1M2_PR
NEW met1 ( 1367760 1181595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[13\] ( soc la_input[13] ) ( mgmt_buffers la_data_in_mprj[13] )
+ ROUTED met1 ( 1430640 1200835 ) ( 1430640 1201575 )
NEW met1 ( 1370160 1201575 ) ( 1430640 1201575 )
NEW met2 ( 1370160 1201575 ) ( 1370160 1232470 )
NEW met2 ( 1368960 1232470 0 ) ( 1370160 1232470 )
NEW met2 ( 1470000 1167350 0 ) ( 1470000 1186405 )
NEW met1 ( 1453680 1186405 ) ( 1470000 1186405 )
NEW met2 ( 1453680 1186405 ) ( 1453680 1200835 )
NEW met1 ( 1430640 1200835 ) ( 1453680 1200835 )
NEW met1 ( 1370160 1201575 ) M1M2_PR
NEW met1 ( 1470000 1186405 ) M1M2_PR
NEW met1 ( 1453680 1186405 ) M1M2_PR
NEW met1 ( 1453680 1200835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[14\] ( soc la_input[14] ) ( mgmt_buffers la_data_in_mprj[14] )
+ ROUTED met2 ( 1407600 1167350 0 ) ( 1407600 1199355 )
NEW met1 ( 1371120 1199355 ) ( 1407600 1199355 )
NEW met2 ( 1371120 1199355 ) ( 1371120 1232470 0 )
NEW met1 ( 1407600 1199355 ) M1M2_PR
NEW met1 ( 1371120 1199355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[15\] ( soc la_input[15] ) ( mgmt_buffers la_data_in_mprj[15] )
+ ROUTED met2 ( 1471920 1167350 0 ) ( 1471920 1196395 )
NEW met2 ( 1436880 1196395 ) ( 1436880 1200095 )
NEW met1 ( 1373520 1200095 ) ( 1436880 1200095 )
NEW met2 ( 1373520 1200095 ) ( 1373520 1232470 0 )
NEW met1 ( 1436880 1196395 ) ( 1471920 1196395 )
NEW met1 ( 1471920 1196395 ) M1M2_PR
NEW met1 ( 1436880 1196395 ) M1M2_PR
NEW met1 ( 1436880 1200095 ) M1M2_PR
NEW met1 ( 1373520 1200095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[16\] ( soc la_input[16] ) ( mgmt_buffers la_data_in_mprj[16] )
+ ROUTED met2 ( 1405680 1167350 0 ) ( 1405680 1201945 )
NEW met1 ( 1376880 1201945 ) ( 1405680 1201945 )
NEW met2 ( 1376880 1201945 ) ( 1376880 1232470 )
NEW met2 ( 1375680 1232470 0 ) ( 1376880 1232470 )
NEW met1 ( 1405680 1201945 ) M1M2_PR
NEW met1 ( 1376880 1201945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[17\] ( soc la_input[17] ) ( mgmt_buffers la_data_in_mprj[17] )
+ ROUTED met2 ( 1415280 1196395 ) ( 1415280 1197875 )
NEW met1 ( 1378800 1196395 ) ( 1415280 1196395 )
NEW met2 ( 1378800 1196395 ) ( 1378800 1232470 )
NEW met2 ( 1377600 1232470 0 ) ( 1378800 1232470 )
NEW met2 ( 1473840 1167350 0 ) ( 1473840 1198615 )
NEW met1 ( 1457040 1198615 ) ( 1473840 1198615 )
NEW met2 ( 1457040 1197875 ) ( 1457040 1198615 )
NEW met1 ( 1415280 1197875 ) ( 1457040 1197875 )
NEW met1 ( 1415280 1197875 ) M1M2_PR
NEW met1 ( 1415280 1196395 ) M1M2_PR
NEW met1 ( 1378800 1196395 ) M1M2_PR
NEW met1 ( 1473840 1198615 ) M1M2_PR
NEW met1 ( 1457040 1198615 ) M1M2_PR
NEW met1 ( 1457040 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[18\] ( soc la_input[18] ) ( mgmt_buffers la_data_in_mprj[18] )
+ ROUTED met2 ( 1402800 1167350 ) ( 1403760 1167350 0 )
NEW met2 ( 1402800 1167350 ) ( 1402800 1198615 )
NEW met1 ( 1381200 1198615 ) ( 1402800 1198615 )
NEW met2 ( 1381200 1198615 ) ( 1381200 1232470 )
NEW met2 ( 1380000 1232470 0 ) ( 1381200 1232470 )
NEW met1 ( 1402800 1198615 ) M1M2_PR
NEW met1 ( 1381200 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[19\] ( soc la_input[19] ) ( mgmt_buffers la_data_in_mprj[19] )
+ ROUTED met2 ( 1382160 1183075 ) ( 1382160 1232470 0 )
NEW met2 ( 1474320 1167350 ) ( 1475520 1167350 0 )
NEW met2 ( 1474320 1167350 ) ( 1474320 1183075 )
NEW met1 ( 1382160 1183075 ) ( 1474320 1183075 )
NEW met1 ( 1382160 1183075 ) M1M2_PR
NEW met1 ( 1474320 1183075 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[1\] ( soc la_input[1] ) ( mgmt_buffers la_data_in_mprj[1] )
+ ROUTED met2 ( 1400880 1167350 ) ( 1402080 1167350 0 )
NEW met2 ( 1400880 1167350 ) ( 1400880 1199725 )
NEW met1 ( 1343760 1199725 ) ( 1400880 1199725 )
NEW met2 ( 1343760 1199725 ) ( 1343760 1232470 )
NEW met2 ( 1342560 1232470 0 ) ( 1343760 1232470 )
NEW met1 ( 1400880 1199725 ) M1M2_PR
NEW met1 ( 1343760 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[20\] ( soc la_input[20] ) ( mgmt_buffers la_data_in_mprj[20] )
+ ROUTED met2 ( 1384080 1184555 ) ( 1384080 1232470 0 )
NEW met2 ( 1476240 1167350 ) ( 1477440 1167350 0 )
NEW met2 ( 1476240 1167350 ) ( 1476240 1184555 )
NEW met1 ( 1384080 1184555 ) ( 1476240 1184555 )
NEW met1 ( 1384080 1184555 ) M1M2_PR
NEW met1 ( 1476240 1184555 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[21\] ( soc la_input[21] ) ( mgmt_buffers la_data_in_mprj[21] )
+ ROUTED met2 ( 1398960 1167350 ) ( 1400160 1167350 0 )
NEW met2 ( 1398960 1167350 ) ( 1398960 1170865 )
NEW met1 ( 1386480 1170865 ) ( 1398960 1170865 )
NEW met2 ( 1386480 1170865 ) ( 1386480 1232470 0 )
NEW met1 ( 1398960 1170865 ) M1M2_PR
NEW met1 ( 1386480 1170865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[22\] ( soc la_input[22] ) ( mgmt_buffers la_data_in_mprj[22] )
+ ROUTED met1 ( 1437840 1199725 ) ( 1437840 1200465 )
NEW met1 ( 1387440 1200465 ) ( 1437840 1200465 )
NEW met2 ( 1387440 1200465 ) ( 1387440 1232470 )
NEW met2 ( 1387440 1232470 ) ( 1388640 1232470 0 )
NEW met2 ( 1478160 1167350 ) ( 1479360 1167350 0 )
NEW met2 ( 1478160 1167350 ) ( 1478160 1199725 )
NEW met1 ( 1437840 1199725 ) ( 1478160 1199725 )
NEW met1 ( 1387440 1200465 ) M1M2_PR
NEW met1 ( 1478160 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[23\] ( soc la_input[23] ) ( mgmt_buffers la_data_in_mprj[23] )
+ ROUTED met2 ( 1392240 1194915 ) ( 1392240 1232470 )
NEW met2 ( 1391040 1232470 0 ) ( 1392240 1232470 )
NEW met2 ( 1397520 1167350 ) ( 1398240 1167350 0 )
NEW met2 ( 1397520 1167350 ) ( 1397520 1183630 )
NEW met2 ( 1397040 1183630 ) ( 1397520 1183630 )
NEW met2 ( 1397040 1183630 ) ( 1397040 1194915 )
NEW met1 ( 1392240 1194915 ) ( 1397040 1194915 )
NEW met1 ( 1392240 1194915 ) M1M2_PR
NEW met1 ( 1397040 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[24\] ( soc la_input[24] ) ( mgmt_buffers la_data_in_mprj[24] )
+ ROUTED met2 ( 1393680 1182705 ) ( 1393680 1232470 )
NEW met2 ( 1392720 1232470 0 ) ( 1393680 1232470 )
NEW met2 ( 1481040 1167350 0 ) ( 1481040 1181965 )
NEW met1 ( 1446000 1181965 ) ( 1481040 1181965 )
NEW met1 ( 1446000 1181965 ) ( 1446000 1182705 )
NEW met1 ( 1393680 1182705 ) ( 1446000 1182705 )
NEW met1 ( 1393680 1182705 ) M1M2_PR
NEW met1 ( 1481040 1181965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[25\] ( soc la_input[25] ) ( mgmt_buffers la_data_in_mprj[25] )
+ ROUTED met2 ( 1395120 1167350 ) ( 1396560 1167350 0 )
NEW met2 ( 1395120 1167350 ) ( 1395120 1232470 0 )
+ USE SIGNAL ;
- la_data_in_mprj\[26\] ( soc la_input[26] ) ( mgmt_buffers la_data_in_mprj[26] )
+ ROUTED met2 ( 1410960 1198245 ) ( 1410960 1200835 )
NEW met1 ( 1397520 1200835 ) ( 1410960 1200835 )
NEW met2 ( 1397520 1200835 ) ( 1397520 1232470 0 )
NEW met2 ( 1482960 1167350 0 ) ( 1482960 1196025 )
NEW met1 ( 1456560 1196025 ) ( 1482960 1196025 )
NEW met2 ( 1456560 1196025 ) ( 1456560 1196210 )
NEW met2 ( 1456080 1196210 ) ( 1456560 1196210 )
NEW met2 ( 1456080 1196210 ) ( 1456080 1198245 )
NEW met1 ( 1410960 1198245 ) ( 1456080 1198245 )
NEW met1 ( 1410960 1198245 ) M1M2_PR
NEW met1 ( 1410960 1200835 ) M1M2_PR
NEW met1 ( 1397520 1200835 ) M1M2_PR
NEW met1 ( 1482960 1196025 ) M1M2_PR
NEW met1 ( 1456560 1196025 ) M1M2_PR
NEW met1 ( 1456080 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[27\] ( soc la_input[27] ) ( mgmt_buffers la_data_in_mprj[27] )
+ ROUTED met2 ( 1394640 1167350 0 ) ( 1394640 1196025 )
NEW met1 ( 1394640 1196025 ) ( 1398480 1196025 )
NEW met2 ( 1398480 1196025 ) ( 1398480 1232470 )
NEW met2 ( 1398480 1232470 ) ( 1399680 1232470 0 )
NEW met1 ( 1394640 1196025 ) M1M2_PR
NEW met1 ( 1398480 1196025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[28\] ( soc la_input[28] ) ( mgmt_buffers la_data_in_mprj[28] )
+ ROUTED met2 ( 1420560 1195285 ) ( 1420560 1196395 )
NEW met1 ( 1416720 1196395 ) ( 1420560 1196395 )
NEW met1 ( 1416720 1196025 ) ( 1416720 1196395 )
NEW met1 ( 1402320 1196025 ) ( 1416720 1196025 )
NEW met2 ( 1402320 1196025 ) ( 1402320 1232470 )
NEW met2 ( 1401600 1232470 0 ) ( 1402320 1232470 )
NEW met2 ( 1484880 1167350 0 ) ( 1484880 1181225 )
NEW met1 ( 1454160 1181225 ) ( 1484880 1181225 )
NEW met2 ( 1454160 1181225 ) ( 1454160 1195285 )
NEW met1 ( 1420560 1195285 ) ( 1454160 1195285 )
NEW met1 ( 1420560 1195285 ) M1M2_PR
NEW met1 ( 1420560 1196395 ) M1M2_PR
NEW met1 ( 1402320 1196025 ) M1M2_PR
NEW met1 ( 1484880 1181225 ) M1M2_PR
NEW met1 ( 1454160 1181225 ) M1M2_PR
NEW met1 ( 1454160 1195285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[29\] ( soc la_input[29] ) ( mgmt_buffers la_data_in_mprj[29] )
+ ROUTED met2 ( 1392720 1167350 0 ) ( 1392720 1185665 )
NEW met1 ( 1392720 1185665 ) ( 1403760 1185665 )
NEW met2 ( 1403760 1185665 ) ( 1403760 1232470 0 )
NEW met1 ( 1392720 1185665 ) M1M2_PR
NEW met1 ( 1403760 1185665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[2\] ( soc la_input[2] ) ( mgmt_buffers la_data_in_mprj[2] )
+ ROUTED met2 ( 1345680 1167905 ) ( 1345680 1232470 )
NEW met2 ( 1344960 1232470 0 ) ( 1345680 1232470 )
NEW met2 ( 1485360 1167350 ) ( 1486560 1167350 0 )
NEW met2 ( 1485360 1167350 ) ( 1485360 1167905 )
NEW met1 ( 1345680 1167905 ) ( 1485360 1167905 )
NEW met1 ( 1345680 1167905 ) M1M2_PR
NEW met1 ( 1485360 1167905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[30\] ( soc la_input[30] ) ( mgmt_buffers la_data_in_mprj[30] )
+ ROUTED met2 ( 1391040 1167350 0 ) ( 1392240 1167350 )
NEW met2 ( 1392240 1167350 ) ( 1392240 1181965 )
NEW met1 ( 1392240 1181965 ) ( 1406160 1181965 )
NEW met2 ( 1406160 1181965 ) ( 1406160 1232470 0 )
NEW met1 ( 1392240 1181965 ) M1M2_PR
NEW met1 ( 1406160 1181965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[31\] ( soc la_input[31] ) ( mgmt_buffers la_data_in_mprj[31] )
+ ROUTED met2 ( 1408560 1194545 ) ( 1408560 1232470 0 )
NEW met2 ( 1487280 1167350 ) ( 1488480 1167350 0 )
NEW met2 ( 1487280 1167350 ) ( 1487280 1194545 )
NEW met1 ( 1408560 1194545 ) ( 1487280 1194545 )
NEW met1 ( 1408560 1194545 ) M1M2_PR
NEW met1 ( 1487280 1194545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[32\] ( soc la_input[32] ) ( mgmt_buffers la_data_in_mprj[32] )
+ ROUTED met2 ( 1389120 1167350 0 ) ( 1390320 1167350 )
NEW met2 ( 1390320 1167350 ) ( 1390320 1198245 )
NEW met1 ( 1390320 1198245 ) ( 1409040 1198245 )
NEW met2 ( 1409040 1198245 ) ( 1409040 1232470 )
NEW met2 ( 1409040 1232470 ) ( 1410240 1232470 0 )
NEW met1 ( 1390320 1198245 ) M1M2_PR
NEW met1 ( 1409040 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[33\] ( soc la_input[33] ) ( mgmt_buffers la_data_in_mprj[33] )
+ ROUTED met2 ( 1426320 1181965 ) ( 1426320 1184185 )
NEW met1 ( 1413840 1184185 ) ( 1426320 1184185 )
NEW met2 ( 1413840 1184185 ) ( 1413840 1232470 )
NEW met2 ( 1412640 1232470 0 ) ( 1413840 1232470 )
NEW met2 ( 1489200 1167350 ) ( 1490400 1167350 0 )
NEW met2 ( 1489200 1167350 ) ( 1489200 1181595 )
NEW met1 ( 1444080 1181595 ) ( 1489200 1181595 )
NEW met1 ( 1444080 1181595 ) ( 1444080 1181965 )
NEW met1 ( 1426320 1181965 ) ( 1444080 1181965 )
NEW met1 ( 1426320 1181965 ) M1M2_PR
NEW met1 ( 1426320 1184185 ) M1M2_PR
NEW met1 ( 1413840 1184185 ) M1M2_PR
NEW met1 ( 1489200 1181595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[34\] ( soc la_input[34] ) ( mgmt_buffers la_data_in_mprj[34] )
+ ROUTED met2 ( 1387200 1167350 0 ) ( 1388400 1167350 )
NEW met2 ( 1388400 1167350 ) ( 1388400 1197875 )
NEW met1 ( 1388400 1197875 ) ( 1414800 1197875 )
NEW met2 ( 1414800 1197875 ) ( 1414800 1232470 0 )
NEW met1 ( 1388400 1197875 ) M1M2_PR
NEW met1 ( 1414800 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[35\] ( soc la_input[35] ) ( mgmt_buffers la_data_in_mprj[35] )
+ ROUTED met2 ( 1492080 1167350 0 ) ( 1492080 1171050 )
NEW met3 ( 1417200 1171050 ) ( 1492080 1171050 )
NEW met2 ( 1417200 1171050 ) ( 1417200 1232470 0 )
NEW met2 ( 1492080 1171050 ) via2_FR
NEW met2 ( 1417200 1171050 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[36\] ( soc la_input[36] ) ( mgmt_buffers la_data_in_mprj[36] )
+ ROUTED met2 ( 1385520 1167350 0 ) ( 1385520 1197505 )
NEW met1 ( 1385520 1197505 ) ( 1419120 1197505 )
NEW met2 ( 1419120 1197505 ) ( 1419120 1232470 0 )
NEW met1 ( 1385520 1197505 ) M1M2_PR
NEW met1 ( 1419120 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[37\] ( soc la_input[37] ) ( mgmt_buffers la_data_in_mprj[37] )
+ ROUTED met2 ( 1422000 1180855 ) ( 1422000 1232470 )
NEW met2 ( 1421280 1232470 0 ) ( 1422000 1232470 )
NEW met2 ( 1494000 1167350 0 ) ( 1494000 1180855 )
NEW met1 ( 1422000 1180855 ) ( 1494000 1180855 )
NEW met1 ( 1422000 1180855 ) M1M2_PR
NEW met1 ( 1494000 1180855 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[38\] ( soc la_input[38] ) ( mgmt_buffers la_data_in_mprj[38] )
+ ROUTED met2 ( 1383600 1167350 0 ) ( 1383600 1198985 )
NEW met2 ( 1422480 1232470 ) ( 1423680 1232470 0 )
NEW met1 ( 1383600 1198985 ) ( 1422480 1198985 )
NEW met2 ( 1422480 1198985 ) ( 1422480 1232470 )
NEW met1 ( 1383600 1198985 ) M1M2_PR
NEW met1 ( 1422480 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[39\] ( soc la_input[39] ) ( mgmt_buffers la_data_in_mprj[39] )
+ ROUTED met2 ( 1424880 1232470 ) ( 1425360 1232470 0 )
NEW met2 ( 1495920 1167350 0 ) ( 1495920 1170310 )
NEW met3 ( 1424880 1170310 ) ( 1495920 1170310 )
NEW met2 ( 1424880 1170310 ) ( 1424880 1232470 )
NEW met2 ( 1495920 1170310 ) via2_FR
NEW met2 ( 1424880 1170310 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[3\] ( soc la_input[3] ) ( mgmt_buffers la_data_in_mprj[3] )
+ ROUTED met2 ( 1381680 1167350 0 ) ( 1381680 1198985 )
NEW met1 ( 1347120 1198985 ) ( 1381680 1198985 )
NEW met2 ( 1347120 1198985 ) ( 1347120 1232470 0 )
NEW met1 ( 1381680 1198985 ) M1M2_PR
NEW met1 ( 1347120 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[40\] ( soc la_input[40] ) ( mgmt_buffers la_data_in_mprj[40] )
+ ROUTED met2 ( 1429200 1185665 ) ( 1429200 1232470 )
NEW met2 ( 1427760 1232470 0 ) ( 1429200 1232470 )
NEW met2 ( 1497600 1167350 0 ) ( 1497840 1167350 )
NEW met2 ( 1497840 1167350 ) ( 1497840 1185295 )
NEW met1 ( 1441680 1185295 ) ( 1497840 1185295 )
NEW met1 ( 1441680 1185295 ) ( 1441680 1185665 )
NEW met1 ( 1429200 1185665 ) ( 1441680 1185665 )
NEW met1 ( 1429200 1185665 ) M1M2_PR
NEW met1 ( 1497840 1185295 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[41\] ( soc la_input[41] ) ( mgmt_buffers la_data_in_mprj[41] )
+ ROUTED met2 ( 1380000 1167350 0 ) ( 1381200 1167350 )
NEW met2 ( 1381200 1167350 ) ( 1381200 1197135 )
NEW met1 ( 1381200 1197135 ) ( 1430160 1197135 )
NEW met2 ( 1430160 1197135 ) ( 1430160 1232470 0 )
NEW met1 ( 1381200 1197135 ) M1M2_PR
NEW met1 ( 1430160 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[42\] ( soc la_input[42] ) ( mgmt_buffers la_data_in_mprj[42] )
+ ROUTED met2 ( 1498320 1167350 ) ( 1499520 1167350 0 )
NEW met2 ( 1498320 1167350 ) ( 1498320 1184555 )
NEW met1 ( 1483920 1184555 ) ( 1498320 1184555 )
NEW met1 ( 1483920 1184555 ) ( 1483920 1184925 )
NEW met1 ( 1441200 1184925 ) ( 1441200 1185295 )
NEW met1 ( 1441200 1184925 ) ( 1483920 1184925 )
NEW met2 ( 1432080 1185295 ) ( 1432080 1230990 )
NEW met2 ( 1432080 1230990 ) ( 1432320 1230990 )
NEW met2 ( 1432320 1230990 ) ( 1432320 1232470 0 )
NEW met1 ( 1432080 1185295 ) ( 1441200 1185295 )
NEW met1 ( 1498320 1184555 ) M1M2_PR
NEW met1 ( 1432080 1185295 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[43\] ( soc la_input[43] ) ( mgmt_buffers la_data_in_mprj[43] )
+ ROUTED met2 ( 1378080 1167350 0 ) ( 1379280 1167350 )
NEW met2 ( 1379280 1167350 ) ( 1379280 1186405 )
NEW met1 ( 1379280 1186405 ) ( 1433040 1186405 )
NEW met2 ( 1433040 1186405 ) ( 1433040 1232470 )
NEW met2 ( 1433040 1232470 ) ( 1434240 1232470 0 )
NEW met1 ( 1379280 1186405 ) M1M2_PR
NEW met1 ( 1433040 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[44\] ( soc la_input[44] ) ( mgmt_buffers la_data_in_mprj[44] )
+ ROUTED met2 ( 1500240 1167350 ) ( 1501440 1167350 0 )
NEW met2 ( 1500240 1167350 ) ( 1500240 1195285 )
NEW met1 ( 1455600 1195285 ) ( 1500240 1195285 )
NEW met1 ( 1455600 1195285 ) ( 1455600 1195655 )
NEW met2 ( 1435440 1195655 ) ( 1435440 1233210 )
NEW met2 ( 1435440 1233210 ) ( 1436400 1233210 0 )
NEW met1 ( 1435440 1195655 ) ( 1455600 1195655 )
NEW met1 ( 1500240 1195285 ) M1M2_PR
NEW met1 ( 1435440 1195655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[45\] ( soc la_input[45] ) ( mgmt_buffers la_data_in_mprj[45] )
+ ROUTED met2 ( 1376160 1167350 0 ) ( 1377360 1167350 )
NEW met2 ( 1377360 1167350 ) ( 1377360 1187145 )
NEW met1 ( 1377360 1187145 ) ( 1435920 1187145 )
NEW met2 ( 1435920 1187145 ) ( 1435920 1231175 )
NEW met2 ( 1435920 1231175 ) ( 1436880 1231175 )
NEW met2 ( 1436880 1231175 ) ( 1436880 1232470 )
NEW met2 ( 1436880 1232470 ) ( 1438800 1232470 0 )
NEW met1 ( 1377360 1187145 ) M1M2_PR
NEW met1 ( 1435920 1187145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[46\] ( soc la_input[46] ) ( mgmt_buffers la_data_in_mprj[46] )
+ ROUTED met2 ( 1503120 1167350 0 ) ( 1503120 1185665 )
NEW met1 ( 1442160 1185665 ) ( 1503120 1185665 )
NEW met2 ( 1441200 1232470 0 ) ( 1442160 1232470 )
NEW met2 ( 1442160 1185665 ) ( 1442160 1232470 )
NEW met1 ( 1503120 1185665 ) M1M2_PR
NEW met1 ( 1442160 1185665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[47\] ( soc la_input[47] ) ( mgmt_buffers la_data_in_mprj[47] )
+ ROUTED met2 ( 1374480 1167350 0 ) ( 1374480 1183815 )
NEW met1 ( 1374480 1183815 ) ( 1442640 1183815 )
NEW met2 ( 1442640 1230990 ) ( 1442880 1230990 )
NEW met2 ( 1442880 1230990 ) ( 1442880 1232470 0 )
NEW met2 ( 1442640 1183815 ) ( 1442640 1230990 )
NEW met1 ( 1374480 1183815 ) M1M2_PR
NEW met1 ( 1442640 1183815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[48\] ( soc la_input[48] ) ( mgmt_buffers la_data_in_mprj[48] )
+ ROUTED met2 ( 1505040 1167350 0 ) ( 1505040 1186035 )
NEW met2 ( 1446480 1186035 ) ( 1446480 1233210 )
NEW met2 ( 1445280 1233210 0 ) ( 1446480 1233210 )
NEW met1 ( 1446480 1186035 ) ( 1505040 1186035 )
NEW met1 ( 1505040 1186035 ) M1M2_PR
NEW met1 ( 1446480 1186035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[49\] ( soc la_input[49] ) ( mgmt_buffers la_data_in_mprj[49] )
+ ROUTED met2 ( 1372560 1167350 0 ) ( 1372560 1205275 )
NEW met1 ( 1372560 1205275 ) ( 1438320 1205275 )
NEW met2 ( 1438320 1200095 ) ( 1438320 1205275 )
NEW met2 ( 1447440 1200095 ) ( 1447440 1232470 0 )
NEW met1 ( 1438320 1200095 ) ( 1447440 1200095 )
NEW met1 ( 1372560 1205275 ) M1M2_PR
NEW met1 ( 1438320 1205275 ) M1M2_PR
NEW met1 ( 1438320 1200095 ) M1M2_PR
NEW met1 ( 1447440 1200095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[4\] ( soc la_input[4] ) ( mgmt_buffers la_data_in_mprj[4] )
+ ROUTED met1 ( 1394640 1214895 ) ( 1394640 1215265 )
NEW met1 ( 1349520 1214895 ) ( 1394640 1214895 )
NEW met2 ( 1349520 1214895 ) ( 1349520 1232470 0 )
NEW met2 ( 1505520 1167350 ) ( 1506960 1167350 0 )
NEW met2 ( 1505520 1167350 ) ( 1505520 1198430 )
NEW met3 ( 1469040 1198430 ) ( 1505520 1198430 )
NEW met2 ( 1469040 1198430 ) ( 1469040 1215265 )
NEW met1 ( 1394640 1215265 ) ( 1469040 1215265 )
NEW met1 ( 1349520 1214895 ) M1M2_PR
NEW met2 ( 1505520 1198430 ) via2_FR
NEW met2 ( 1469040 1198430 ) via2_FR
NEW met1 ( 1469040 1215265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[50\] ( soc la_input[50] ) ( mgmt_buffers la_data_in_mprj[50] )
+ ROUTED met2 ( 1370640 1167350 0 ) ( 1370640 1183445 )
NEW met2 ( 1448880 1183445 ) ( 1448880 1232470 )
NEW met2 ( 1448880 1232470 ) ( 1449840 1232470 0 )
NEW met1 ( 1370640 1183445 ) ( 1448880 1183445 )
NEW met1 ( 1370640 1183445 ) M1M2_PR
NEW met1 ( 1448880 1183445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[51\] ( soc la_input[51] ) ( mgmt_buffers la_data_in_mprj[51] )
+ ROUTED met2 ( 1507440 1167350 ) ( 1508640 1167350 0 )
NEW met2 ( 1507440 1167350 ) ( 1507440 1186775 )
NEW met1 ( 1451760 1186775 ) ( 1507440 1186775 )
NEW met2 ( 1451760 1186775 ) ( 1451760 1232470 0 )
NEW met1 ( 1507440 1186775 ) M1M2_PR
NEW met1 ( 1451760 1186775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[52\] ( soc la_input[52] ) ( mgmt_buffers la_data_in_mprj[52] )
+ ROUTED met2 ( 1368960 1167350 0 ) ( 1370160 1167350 )
NEW met2 ( 1370160 1167350 ) ( 1370160 1186035 )
NEW met1 ( 1370160 1186035 ) ( 1433520 1186035 )
NEW met1 ( 1433520 1186035 ) ( 1433520 1186405 )
NEW met2 ( 1453200 1186405 ) ( 1453200 1232470 )
NEW met2 ( 1453200 1232470 ) ( 1453920 1232470 0 )
NEW met1 ( 1433520 1186405 ) ( 1453200 1186405 )
NEW met1 ( 1370160 1186035 ) M1M2_PR
NEW met1 ( 1453200 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[53\] ( soc la_input[53] ) ( mgmt_buffers la_data_in_mprj[53] )
+ ROUTED met2 ( 1456320 1232470 0 ) ( 1457520 1232470 )
NEW met2 ( 1509360 1167350 ) ( 1510560 1167350 0 )
NEW met2 ( 1509360 1167350 ) ( 1509360 1197875 )
NEW met1 ( 1465680 1197875 ) ( 1509360 1197875 )
NEW met1 ( 1465680 1197875 ) ( 1465680 1198245 )
NEW met1 ( 1457520 1198245 ) ( 1465680 1198245 )
NEW met2 ( 1457520 1198245 ) ( 1457520 1232470 )
NEW met1 ( 1509360 1197875 ) M1M2_PR
NEW met1 ( 1457520 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[54\] ( soc la_input[54] ) ( mgmt_buffers la_data_in_mprj[54] )
+ ROUTED met1 ( 1367280 1196025 ) ( 1394160 1196025 )
NEW met2 ( 1394160 1196025 ) ( 1394160 1197690 )
NEW met3 ( 1394160 1197690 ) ( 1401360 1197690 )
NEW met2 ( 1401360 1197690 ) ( 1401360 1199725 )
NEW met1 ( 1401360 1199725 ) ( 1408080 1199725 )
NEW met1 ( 1408080 1199355 ) ( 1408080 1199725 )
NEW met1 ( 1440240 1198985 ) ( 1440240 1199355 )
NEW met1 ( 1440240 1198985 ) ( 1458480 1198985 )
NEW met1 ( 1408080 1199355 ) ( 1440240 1199355 )
NEW met2 ( 1458480 1198985 ) ( 1458480 1232470 0 )
NEW met2 ( 1367040 1167350 0 ) ( 1367040 1168830 )
NEW met2 ( 1367040 1168830 ) ( 1367280 1168830 )
NEW met2 ( 1367280 1168830 ) ( 1367280 1196025 )
NEW met1 ( 1367280 1196025 ) M1M2_PR
NEW met1 ( 1394160 1196025 ) M1M2_PR
NEW met2 ( 1394160 1197690 ) via2_FR
NEW met2 ( 1401360 1197690 ) via2_FR
NEW met1 ( 1401360 1199725 ) M1M2_PR
NEW met1 ( 1458480 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[55\] ( soc la_input[55] ) ( mgmt_buffers la_data_in_mprj[55] )
+ ROUTED met2 ( 1512240 1167350 ) ( 1512480 1167350 0 )
NEW met2 ( 1512240 1167350 ) ( 1512240 1187515 )
NEW met1 ( 1460400 1187515 ) ( 1512240 1187515 )
NEW met2 ( 1460400 1187515 ) ( 1460400 1232470 0 )
NEW met1 ( 1512240 1187515 ) M1M2_PR
NEW met1 ( 1460400 1187515 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[56\] ( soc la_input[56] ) ( mgmt_buffers la_data_in_mprj[56] )
+ ROUTED met2 ( 1365120 1167350 0 ) ( 1366320 1167350 )
NEW met2 ( 1366320 1167350 ) ( 1366320 1186775 )
NEW met1 ( 1451280 1186775 ) ( 1451280 1187145 )
NEW met1 ( 1451280 1187145 ) ( 1462800 1187145 )
NEW met2 ( 1462800 1187145 ) ( 1462800 1232470 0 )
NEW met1 ( 1366320 1186775 ) ( 1451280 1186775 )
NEW met1 ( 1366320 1186775 ) M1M2_PR
NEW met1 ( 1462800 1187145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[57\] ( soc la_input[57] ) ( mgmt_buffers la_data_in_mprj[57] )
+ ROUTED met2 ( 1514160 1167350 0 ) ( 1514160 1198245 )
NEW met1 ( 1466160 1198245 ) ( 1514160 1198245 )
NEW met2 ( 1466160 1198245 ) ( 1466160 1232470 )
NEW met2 ( 1464960 1232470 0 ) ( 1466160 1232470 )
NEW met1 ( 1514160 1198245 ) M1M2_PR
NEW met1 ( 1466160 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[58\] ( soc la_input[58] ) ( mgmt_buffers la_data_in_mprj[58] )
+ ROUTED met2 ( 1363440 1167350 0 ) ( 1363440 1195655 )
NEW met1 ( 1363440 1195655 ) ( 1411920 1195655 )
NEW met1 ( 1411920 1195285 ) ( 1411920 1195655 )
NEW met1 ( 1411920 1195285 ) ( 1417200 1195285 )
NEW met1 ( 1417200 1195285 ) ( 1417200 1195655 )
NEW met1 ( 1417200 1195655 ) ( 1433520 1195655 )
NEW met1 ( 1433520 1195655 ) ( 1433520 1196025 )
NEW met2 ( 1454640 1196025 ) ( 1454640 1197135 )
NEW met1 ( 1454640 1197135 ) ( 1466640 1197135 )
NEW met2 ( 1466640 1197135 ) ( 1466640 1232470 )
NEW met2 ( 1466640 1232470 ) ( 1466880 1232470 0 )
NEW met1 ( 1433520 1196025 ) ( 1454640 1196025 )
NEW met1 ( 1363440 1195655 ) M1M2_PR
NEW met1 ( 1454640 1196025 ) M1M2_PR
NEW met1 ( 1454640 1197135 ) M1M2_PR
NEW met1 ( 1466640 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[59\] ( soc la_input[59] ) ( mgmt_buffers la_data_in_mprj[59] )
+ ROUTED met2 ( 1516080 1167350 0 ) ( 1516080 1194915 )
NEW met1 ( 1470000 1194915 ) ( 1516080 1194915 )
NEW met2 ( 1470000 1194915 ) ( 1470000 1232470 )
NEW met2 ( 1469040 1232470 0 ) ( 1470000 1232470 )
NEW met1 ( 1516080 1194915 ) M1M2_PR
NEW met1 ( 1470000 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[5\] ( soc la_input[5] ) ( mgmt_buffers la_data_in_mprj[5] )
+ ROUTED met2 ( 1361520 1167350 0 ) ( 1361520 1198245 )
NEW met1 ( 1351440 1198245 ) ( 1361520 1198245 )
NEW met2 ( 1351440 1198245 ) ( 1351440 1232470 0 )
NEW met1 ( 1361520 1198245 ) M1M2_PR
NEW met1 ( 1351440 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[60\] ( soc la_input[60] ) ( mgmt_buffers la_data_in_mprj[60] )
+ ROUTED met2 ( 1518000 1167350 0 ) ( 1518000 1196765 )
NEW met1 ( 1471440 1196765 ) ( 1518000 1196765 )
NEW met2 ( 1471440 1196765 ) ( 1471440 1196950 )
NEW met2 ( 1470960 1196950 ) ( 1471440 1196950 )
NEW met2 ( 1470960 1232470 ) ( 1471440 1232470 0 )
NEW met2 ( 1470960 1196950 ) ( 1470960 1232470 )
NEW met1 ( 1518000 1196765 ) M1M2_PR
NEW met1 ( 1471440 1196765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[61\] ( soc la_input[61] ) ( mgmt_buffers la_data_in_mprj[61] )
+ ROUTED met2 ( 1359600 1167350 0 ) ( 1359600 1184925 )
NEW met2 ( 1440720 1184925 ) ( 1440720 1191585 )
NEW met1 ( 1440720 1191585 ) ( 1468560 1191585 )
NEW met2 ( 1468560 1191030 ) ( 1468560 1191585 )
NEW met2 ( 1468560 1191030 ) ( 1469520 1191030 )
NEW met1 ( 1359600 1184925 ) ( 1440720 1184925 )
NEW met1 ( 1469520 1215265 ) ( 1472400 1215265 )
NEW met2 ( 1472400 1215265 ) ( 1472400 1232470 )
NEW met2 ( 1472400 1232470 ) ( 1473840 1232470 0 )
NEW met2 ( 1469520 1191030 ) ( 1469520 1215265 )
NEW met1 ( 1359600 1184925 ) M1M2_PR
NEW met1 ( 1440720 1184925 ) M1M2_PR
NEW met1 ( 1440720 1191585 ) M1M2_PR
NEW met1 ( 1468560 1191585 ) M1M2_PR
NEW met1 ( 1469520 1215265 ) M1M2_PR
NEW met1 ( 1472400 1215265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[62\] ( soc la_input[62] ) ( mgmt_buffers la_data_in_mprj[62] )
+ ROUTED met2 ( 1518480 1167350 ) ( 1519680 1167350 0 )
NEW met2 ( 1518480 1167350 ) ( 1518480 1187145 )
NEW met1 ( 1476720 1187145 ) ( 1518480 1187145 )
NEW met2 ( 1476720 1187145 ) ( 1476720 1232470 )
NEW met2 ( 1475520 1232470 0 ) ( 1476720 1232470 )
NEW met1 ( 1518480 1187145 ) M1M2_PR
NEW met1 ( 1476720 1187145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[63\] ( soc la_input[63] ) ( mgmt_buffers la_data_in_mprj[63] )
+ ROUTED met2 ( 1357920 1167350 0 ) ( 1359120 1167350 )
NEW met2 ( 1359120 1167350 ) ( 1359120 1197875 )
NEW met1 ( 1359120 1197875 ) ( 1362000 1197875 )
NEW met1 ( 1362000 1197875 ) ( 1362000 1198245 )
NEW met1 ( 1362000 1198245 ) ( 1388880 1198245 )
NEW met2 ( 1388880 1198245 ) ( 1388880 1200835 )
NEW met1 ( 1388880 1200835 ) ( 1391760 1200835 )
NEW met1 ( 1391760 1200835 ) ( 1391760 1201205 )
NEW met1 ( 1391760 1201205 ) ( 1428240 1201205 )
NEW met2 ( 1428240 1196765 ) ( 1428240 1201205 )
NEW met1 ( 1428240 1196765 ) ( 1430640 1196765 )
NEW met1 ( 1430640 1196765 ) ( 1430640 1197135 )
NEW met2 ( 1454160 1197135 ) ( 1454160 1200835 )
NEW met1 ( 1454160 1200835 ) ( 1477200 1200835 )
NEW met2 ( 1477200 1200835 ) ( 1477200 1232470 )
NEW met2 ( 1477200 1232470 ) ( 1477920 1232470 0 )
NEW met1 ( 1430640 1197135 ) ( 1454160 1197135 )
NEW met1 ( 1359120 1197875 ) M1M2_PR
NEW met1 ( 1388880 1198245 ) M1M2_PR
NEW met1 ( 1388880 1200835 ) M1M2_PR
NEW met1 ( 1428240 1201205 ) M1M2_PR
NEW met1 ( 1428240 1196765 ) M1M2_PR
NEW met1 ( 1454160 1197135 ) M1M2_PR
NEW met1 ( 1454160 1200835 ) M1M2_PR
NEW met1 ( 1477200 1200835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[64\] ( soc la_input[64] ) ( mgmt_buffers la_data_in_mprj[64] )
+ ROUTED met2 ( 1520880 1167350 ) ( 1521600 1167350 0 )
NEW met2 ( 1520880 1167350 ) ( 1520880 1197135 )
NEW met1 ( 1480080 1197135 ) ( 1520880 1197135 )
NEW met2 ( 1480080 1197135 ) ( 1480080 1232470 0 )
NEW met1 ( 1520880 1197135 ) M1M2_PR
NEW met1 ( 1480080 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[65\] ( soc la_input[65] ) ( mgmt_buffers la_data_in_mprj[65] )
+ ROUTED met2 ( 1356000 1167350 0 ) ( 1357200 1167350 )
NEW met2 ( 1357200 1167350 ) ( 1357200 1181225 )
NEW met2 ( 1453680 1181225 ) ( 1453680 1181410 )
NEW met3 ( 1453680 1181410 ) ( 1470480 1181410 )
NEW met2 ( 1470480 1181410 ) ( 1470480 1186405 )
NEW met1 ( 1470480 1186405 ) ( 1482480 1186405 )
NEW met2 ( 1482480 1186405 ) ( 1482480 1232470 0 )
NEW met1 ( 1357200 1181225 ) ( 1453680 1181225 )
NEW met1 ( 1357200 1181225 ) M1M2_PR
NEW met1 ( 1453680 1181225 ) M1M2_PR
NEW met2 ( 1453680 1181410 ) via2_FR
NEW met2 ( 1470480 1181410 ) via2_FR
NEW met1 ( 1470480 1186405 ) M1M2_PR
NEW met1 ( 1482480 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[66\] ( soc la_input[66] ) ( mgmt_buffers la_data_in_mprj[66] )
+ ROUTED met2 ( 1522320 1167350 ) ( 1523520 1167350 0 )
NEW met2 ( 1522320 1167350 ) ( 1522320 1184925 )
NEW met1 ( 1484400 1184925 ) ( 1522320 1184925 )
NEW met2 ( 1484400 1184925 ) ( 1484400 1232470 0 )
NEW met1 ( 1522320 1184925 ) M1M2_PR
NEW met1 ( 1484400 1184925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[67\] ( soc la_input[67] ) ( mgmt_buffers la_data_in_mprj[67] )
+ ROUTED met2 ( 1354080 1167350 0 ) ( 1355280 1167350 )
NEW met2 ( 1355280 1167350 ) ( 1355280 1203795 )
NEW met1 ( 1355280 1203795 ) ( 1407120 1203795 )
NEW met2 ( 1407120 1201945 ) ( 1407120 1203795 )
NEW met1 ( 1407120 1201945 ) ( 1431120 1201945 )
NEW met1 ( 1431120 1201205 ) ( 1431120 1201945 )
NEW met1 ( 1431120 1201205 ) ( 1436400 1201205 )
NEW met1 ( 1436400 1201205 ) ( 1436400 1201575 )
NEW met2 ( 1485360 1201575 ) ( 1485360 1232470 )
NEW met2 ( 1485360 1232470 ) ( 1486560 1232470 0 )
NEW met1 ( 1436400 1201575 ) ( 1485360 1201575 )
NEW met1 ( 1355280 1203795 ) M1M2_PR
NEW met1 ( 1407120 1203795 ) M1M2_PR
NEW met1 ( 1407120 1201945 ) M1M2_PR
NEW met1 ( 1485360 1201575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[68\] ( soc la_input[68] ) ( mgmt_buffers la_data_in_mprj[68] )
+ ROUTED met2 ( 1525200 1167350 0 ) ( 1525200 1197505 )
NEW met1 ( 1489200 1197505 ) ( 1525200 1197505 )
NEW met2 ( 1489200 1197505 ) ( 1489200 1202130 )
NEW met2 ( 1488240 1202130 ) ( 1489200 1202130 )
NEW met2 ( 1488240 1202130 ) ( 1488240 1232470 )
NEW met2 ( 1488240 1232470 ) ( 1488960 1232470 0 )
NEW met1 ( 1525200 1197505 ) M1M2_PR
NEW met1 ( 1489200 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[69\] ( soc la_input[69] ) ( mgmt_buffers la_data_in_mprj[69] )
+ ROUTED met2 ( 1352400 1167350 0 ) ( 1353360 1167350 )
NEW met2 ( 1353360 1167350 ) ( 1353360 1168275 )
NEW met1 ( 1353360 1168275 ) ( 1492560 1168275 )
NEW met2 ( 1492560 1207310 ) ( 1493520 1207310 )
NEW met2 ( 1493520 1207310 ) ( 1493520 1215635 )
NEW met2 ( 1492080 1215635 ) ( 1493520 1215635 )
NEW met2 ( 1492080 1215635 ) ( 1492080 1232470 )
NEW met2 ( 1491120 1232470 0 ) ( 1492080 1232470 )
NEW met2 ( 1492560 1168275 ) ( 1492560 1207310 )
NEW met1 ( 1353360 1168275 ) M1M2_PR
NEW met1 ( 1492560 1168275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[6\] ( soc la_input[6] ) ( mgmt_buffers la_data_in_mprj[6] )
+ ROUTED met2 ( 1354320 1215635 ) ( 1354320 1232470 )
NEW met2 ( 1353600 1232470 0 ) ( 1354320 1232470 )
NEW met2 ( 1526640 1167350 ) ( 1527120 1167350 0 )
NEW met2 ( 1526640 1167350 ) ( 1526640 1196950 )
NEW met3 ( 1465680 1196950 ) ( 1526640 1196950 )
NEW met2 ( 1465680 1196950 ) ( 1465680 1215635 )
NEW met1 ( 1354320 1215635 ) ( 1465680 1215635 )
NEW met1 ( 1354320 1215635 ) M1M2_PR
NEW met2 ( 1526640 1196950 ) via2_FR
NEW met2 ( 1465680 1196950 ) via2_FR
NEW met1 ( 1465680 1215635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[70\] ( soc la_input[70] ) ( mgmt_buffers la_data_in_mprj[70] )
+ ROUTED met2 ( 1350480 1167350 0 ) ( 1350480 1168645 )
NEW met1 ( 1350480 1168645 ) ( 1493040 1168645 )
NEW met2 ( 1493040 1206570 ) ( 1494960 1206570 )
NEW met2 ( 1494960 1206570 ) ( 1494960 1232470 )
NEW met2 ( 1493040 1232470 0 ) ( 1494960 1232470 )
NEW met2 ( 1493040 1168645 ) ( 1493040 1206570 )
NEW met1 ( 1350480 1168645 ) M1M2_PR
NEW met1 ( 1493040 1168645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[71\] ( soc la_input[71] ) ( mgmt_buffers la_data_in_mprj[71] )
+ ROUTED met2 ( 1529040 1167350 0 ) ( 1529040 1194545 )
NEW met1 ( 1495440 1194545 ) ( 1529040 1194545 )
NEW met2 ( 1495440 1194545 ) ( 1495440 1232470 0 )
NEW met1 ( 1529040 1194545 ) M1M2_PR
NEW met1 ( 1495440 1194545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[72\] ( soc la_input[72] ) ( mgmt_buffers la_data_in_mprj[72] )
+ ROUTED met2 ( 1348560 1167350 0 ) ( 1348560 1213415 )
NEW met2 ( 1497840 1213415 ) ( 1497840 1232470 )
NEW met2 ( 1497600 1232470 0 ) ( 1497840 1232470 )
NEW met2 ( 1390800 1213415 ) ( 1390800 1213785 )
NEW met2 ( 1390800 1213785 ) ( 1391760 1213785 )
NEW met1 ( 1391760 1213785 ) ( 1440720 1213785 )
NEW met1 ( 1440720 1213415 ) ( 1440720 1213785 )
NEW met1 ( 1348560 1213415 ) ( 1390800 1213415 )
NEW met1 ( 1440720 1213415 ) ( 1497840 1213415 )
NEW met1 ( 1348560 1213415 ) M1M2_PR
NEW met1 ( 1497840 1213415 ) M1M2_PR
NEW met1 ( 1390800 1213415 ) M1M2_PR
NEW met1 ( 1391760 1213785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[73\] ( soc la_input[73] ) ( mgmt_buffers la_data_in_mprj[73] )
+ ROUTED met2 ( 1529520 1167350 ) ( 1530720 1167350 0 )
NEW met2 ( 1529520 1167350 ) ( 1529520 1186405 )
NEW met1 ( 1501200 1186405 ) ( 1529520 1186405 )
NEW met2 ( 1501200 1186405 ) ( 1501200 1232470 )
NEW met2 ( 1500000 1232470 0 ) ( 1501200 1232470 )
NEW met1 ( 1529520 1186405 ) M1M2_PR
NEW met1 ( 1501200 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[74\] ( soc la_input[74] ) ( mgmt_buffers la_data_in_mprj[74] )
+ ROUTED met2 ( 1346880 1167350 0 ) ( 1348080 1167350 )
NEW met2 ( 1348080 1167350 ) ( 1348080 1170125 )
NEW met2 ( 1501680 1170125 ) ( 1501680 1232470 0 )
NEW met1 ( 1348080 1170125 ) ( 1501680 1170125 )
NEW met1 ( 1348080 1170125 ) M1M2_PR
NEW met1 ( 1501680 1170125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[75\] ( soc la_input[75] ) ( mgmt_buffers la_data_in_mprj[75] )
+ ROUTED met2 ( 1531440 1167350 ) ( 1532640 1167350 0 )
NEW met2 ( 1531440 1167350 ) ( 1531440 1181965 )
NEW met1 ( 1504080 1181965 ) ( 1531440 1181965 )
NEW met2 ( 1504080 1181965 ) ( 1504080 1232470 0 )
NEW met1 ( 1531440 1181965 ) M1M2_PR
NEW met1 ( 1504080 1181965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[76\] ( soc la_input[76] ) ( mgmt_buffers la_data_in_mprj[76] )
+ ROUTED met2 ( 1344960 1167350 0 ) ( 1346160 1167350 )
NEW met2 ( 1346160 1167350 ) ( 1346160 1213045 )
NEW met2 ( 1506480 1213045 ) ( 1506480 1232470 0 )
NEW met1 ( 1346160 1213045 ) ( 1506480 1213045 )
NEW met1 ( 1346160 1213045 ) M1M2_PR
NEW met1 ( 1506480 1213045 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[77\] ( soc la_input[77] ) ( mgmt_buffers la_data_in_mprj[77] )
+ ROUTED met2 ( 1533360 1167350 ) ( 1534560 1167350 0 )
NEW met2 ( 1533360 1167350 ) ( 1533360 1198985 )
NEW met1 ( 1509360 1198985 ) ( 1533360 1198985 )
NEW met2 ( 1509360 1198985 ) ( 1509360 1232470 )
NEW met2 ( 1508160 1232470 0 ) ( 1509360 1232470 )
NEW met1 ( 1533360 1198985 ) M1M2_PR
NEW met1 ( 1509360 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[78\] ( soc la_input[78] ) ( mgmt_buffers la_data_in_mprj[78] )
+ ROUTED met2 ( 1343040 1167350 0 ) ( 1344240 1167350 )
NEW met2 ( 1344240 1167350 ) ( 1344240 1170495 )
NEW met2 ( 1509840 1170495 ) ( 1509840 1232470 )
NEW met2 ( 1509840 1232470 ) ( 1510560 1232470 0 )
NEW met1 ( 1344240 1170495 ) ( 1509840 1170495 )
NEW met1 ( 1344240 1170495 ) M1M2_PR
NEW met1 ( 1509840 1170495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[79\] ( soc la_input[79] ) ( mgmt_buffers la_data_in_mprj[79] )
+ ROUTED met2 ( 1536240 1167350 0 ) ( 1536240 1197875 )
NEW met1 ( 1512720 1197875 ) ( 1536240 1197875 )
NEW met2 ( 1512720 1197875 ) ( 1512720 1232470 0 )
NEW met1 ( 1536240 1197875 ) M1M2_PR
NEW met1 ( 1512720 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[7\] ( soc la_input[7] ) ( mgmt_buffers la_data_in_mprj[7] )
+ ROUTED met2 ( 1341360 1167350 0 ) ( 1341360 1198615 )
NEW met1 ( 1341360 1198615 ) ( 1354800 1198615 )
NEW met2 ( 1354800 1198615 ) ( 1354800 1232470 )
NEW met2 ( 1354800 1232470 ) ( 1356000 1232470 0 )
NEW met1 ( 1341360 1198615 ) M1M2_PR
NEW met1 ( 1354800 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[80\] ( soc la_input[80] ) ( mgmt_buffers la_data_in_mprj[80] )
+ ROUTED met2 ( 1538160 1167350 0 ) ( 1538160 1198245 )
NEW met1 ( 1515120 1198245 ) ( 1538160 1198245 )
NEW met2 ( 1515120 1198245 ) ( 1515120 1232470 0 )
NEW met1 ( 1538160 1198245 ) M1M2_PR
NEW met1 ( 1515120 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[81\] ( soc la_input[81] ) ( mgmt_buffers la_data_in_mprj[81] )
+ ROUTED met2 ( 1339440 1167350 0 ) ( 1340880 1167350 )
NEW met2 ( 1340880 1167350 ) ( 1340880 1212675 )
NEW met2 ( 1438800 1212675 ) ( 1438800 1214895 )
NEW met1 ( 1438800 1214895 ) ( 1472880 1214895 )
NEW met1 ( 1472880 1214895 ) ( 1472880 1215635 )
NEW met1 ( 1472880 1215635 ) ( 1478160 1215635 )
NEW met1 ( 1478160 1215265 ) ( 1478160 1215635 )
NEW met1 ( 1478160 1215265 ) ( 1517040 1215265 )
NEW met1 ( 1340880 1212675 ) ( 1438800 1212675 )
NEW met2 ( 1517040 1215265 ) ( 1517040 1232470 0 )
NEW met1 ( 1340880 1212675 ) M1M2_PR
NEW met1 ( 1438800 1212675 ) M1M2_PR
NEW met1 ( 1438800 1214895 ) M1M2_PR
NEW met1 ( 1517040 1215265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[82\] ( soc la_input[82] ) ( mgmt_buffers la_data_in_mprj[82] )
+ ROUTED met2 ( 1540080 1167350 0 ) ( 1540080 1187515 )
NEW met1 ( 1520400 1187515 ) ( 1540080 1187515 )
NEW met2 ( 1520400 1187515 ) ( 1520400 1232470 )
NEW met2 ( 1519200 1232470 0 ) ( 1520400 1232470 )
NEW met1 ( 1540080 1187515 ) M1M2_PR
NEW met1 ( 1520400 1187515 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[83\] ( soc la_input[83] ) ( mgmt_buffers la_data_in_mprj[83] )
+ ROUTED met2 ( 1337520 1167350 0 ) ( 1337520 1171975 )
NEW met1 ( 1337520 1171975 ) ( 1521360 1171975 )
NEW met2 ( 1521360 1230990 ) ( 1521600 1230990 )
NEW met2 ( 1521600 1230990 ) ( 1521600 1232470 0 )
NEW met2 ( 1521360 1171975 ) ( 1521360 1230990 )
NEW met1 ( 1337520 1171975 ) M1M2_PR
NEW met1 ( 1521360 1171975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[84\] ( soc la_input[84] ) ( mgmt_buffers la_data_in_mprj[84] )
+ ROUTED met2 ( 1541040 1167350 ) ( 1541760 1167350 0 )
NEW met2 ( 1541040 1167350 ) ( 1541040 1194915 )
NEW met2 ( 1523760 1194915 ) ( 1523760 1232470 0 )
NEW met1 ( 1523760 1194915 ) ( 1541040 1194915 )
NEW met1 ( 1541040 1194915 ) M1M2_PR
NEW met1 ( 1523760 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[85\] ( soc la_input[85] ) ( mgmt_buffers la_data_in_mprj[85] )
+ ROUTED met2 ( 1335840 1167350 0 ) ( 1337040 1167350 )
NEW met2 ( 1337040 1167350 ) ( 1337040 1216005 )
NEW met2 ( 1493040 1216005 ) ( 1493520 1216005 )
NEW met1 ( 1493520 1216005 ) ( 1525680 1216005 )
NEW met2 ( 1525680 1216005 ) ( 1525680 1232470 0 )
NEW met1 ( 1337040 1216005 ) ( 1493040 1216005 )
NEW met1 ( 1337040 1216005 ) M1M2_PR
NEW met1 ( 1493040 1216005 ) M1M2_PR
NEW met1 ( 1493520 1216005 ) M1M2_PR
NEW met1 ( 1525680 1216005 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[86\] ( soc la_input[86] ) ( mgmt_buffers la_data_in_mprj[86] )
+ ROUTED met2 ( 1543680 1167350 0 ) ( 1543680 1168645 )
NEW met1 ( 1528080 1168645 ) ( 1543680 1168645 )
NEW met2 ( 1528080 1168645 ) ( 1528080 1232470 0 )
NEW met1 ( 1543680 1168645 ) M1M2_PR
NEW met1 ( 1528080 1168645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[87\] ( soc la_input[87] ) ( mgmt_buffers la_data_in_mprj[87] )
+ ROUTED met2 ( 1333920 1167350 0 ) ( 1335120 1167350 )
NEW met2 ( 1335120 1167350 ) ( 1335120 1172715 )
NEW met2 ( 1528560 1172715 ) ( 1528560 1232470 )
NEW met2 ( 1528560 1232470 ) ( 1530240 1232470 0 )
NEW met1 ( 1335120 1172715 ) ( 1528560 1172715 )
NEW met1 ( 1335120 1172715 ) M1M2_PR
NEW met1 ( 1528560 1172715 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[88\] ( soc la_input[88] ) ( mgmt_buffers la_data_in_mprj[88] )
+ ROUTED met2 ( 1544880 1167350 ) ( 1545600 1167350 0 )
NEW met1 ( 1532400 1183815 ) ( 1544880 1183815 )
NEW met2 ( 1544880 1167350 ) ( 1544880 1183815 )
NEW met2 ( 1532400 1230990 ) ( 1532640 1230990 )
NEW met2 ( 1532640 1230990 ) ( 1532640 1232470 0 )
NEW met2 ( 1532400 1183815 ) ( 1532400 1230990 )
NEW met1 ( 1544880 1183815 ) M1M2_PR
NEW met1 ( 1532400 1183815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[89\] ( soc la_input[89] ) ( mgmt_buffers la_data_in_mprj[89] )
+ ROUTED met2 ( 1332000 1167350 0 ) ( 1333200 1167350 )
NEW met2 ( 1333200 1167350 ) ( 1333200 1214155 )
NEW met2 ( 1533360 1222665 ) ( 1533360 1232470 )
NEW met2 ( 1533360 1232470 ) ( 1534560 1232470 0 )
NEW met2 ( 1395600 1214155 ) ( 1395600 1214895 )
NEW met1 ( 1395600 1214895 ) ( 1437840 1214895 )
NEW met2 ( 1437840 1214895 ) ( 1437840 1222665 )
NEW met2 ( 1437840 1222665 ) ( 1439280 1222665 )
NEW met1 ( 1333200 1214155 ) ( 1395600 1214155 )
NEW met1 ( 1439280 1222665 ) ( 1533360 1222665 )
NEW met1 ( 1333200 1214155 ) M1M2_PR
NEW met1 ( 1533360 1222665 ) M1M2_PR
NEW met1 ( 1395600 1214155 ) M1M2_PR
NEW met1 ( 1395600 1214895 ) M1M2_PR
NEW met1 ( 1437840 1214895 ) M1M2_PR
NEW met1 ( 1439280 1222665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[8\] ( soc la_input[8] ) ( mgmt_buffers la_data_in_mprj[8] )
+ ROUTED met2 ( 1358160 1171605 ) ( 1358160 1232470 0 )
NEW met2 ( 1547280 1167350 0 ) ( 1547280 1171605 )
NEW met1 ( 1358160 1171605 ) ( 1547280 1171605 )
NEW met1 ( 1358160 1171605 ) M1M2_PR
NEW met1 ( 1547280 1171605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[90\] ( soc la_input[90] ) ( mgmt_buffers la_data_in_mprj[90] )
+ ROUTED met2 ( 1330320 1167350 0 ) ( 1330320 1214525 )
NEW met2 ( 1536720 1223405 ) ( 1536720 1232470 0 )
NEW met2 ( 1380240 1214525 ) ( 1380240 1223405 )
NEW met1 ( 1380240 1223405 ) ( 1437360 1223405 )
NEW met2 ( 1437360 1223405 ) ( 1437360 1223590 )
NEW met2 ( 1437360 1223590 ) ( 1439760 1223590 )
NEW met2 ( 1439760 1223405 ) ( 1439760 1223590 )
NEW met1 ( 1330320 1214525 ) ( 1380240 1214525 )
NEW met1 ( 1439760 1223405 ) ( 1536720 1223405 )
NEW met1 ( 1330320 1214525 ) M1M2_PR
NEW met1 ( 1536720 1223405 ) M1M2_PR
NEW met1 ( 1380240 1214525 ) M1M2_PR
NEW met1 ( 1380240 1223405 ) M1M2_PR
NEW met1 ( 1437360 1223405 ) M1M2_PR
NEW met1 ( 1439760 1223405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[91\] ( soc la_input[91] ) ( mgmt_buffers la_data_in_mprj[91] )
+ ROUTED met2 ( 1549200 1167350 0 ) ( 1549200 1199725 )
NEW met1 ( 1539120 1199725 ) ( 1549200 1199725 )
NEW met2 ( 1539120 1199725 ) ( 1539120 1232470 0 )
NEW met1 ( 1549200 1199725 ) M1M2_PR
NEW met1 ( 1539120 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[92\] ( soc la_input[92] ) ( mgmt_buffers la_data_in_mprj[92] )
+ ROUTED met2 ( 1328400 1167350 0 ) ( 1328400 1180485 )
NEW met1 ( 1328400 1180485 ) ( 1542000 1180485 )
NEW met2 ( 1541280 1232470 0 ) ( 1542000 1232470 )
NEW met2 ( 1542000 1180485 ) ( 1542000 1232470 )
NEW met1 ( 1542000 1180485 ) M1M2_PR
NEW met1 ( 1328400 1180485 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[93\] ( soc la_input[93] ) ( mgmt_buffers la_data_in_mprj[93] )
+ ROUTED met2 ( 1551120 1167350 0 ) ( 1551120 1197875 )
NEW met1 ( 1544400 1197875 ) ( 1551120 1197875 )
NEW met2 ( 1544400 1197875 ) ( 1544400 1232470 )
NEW met2 ( 1543200 1232470 0 ) ( 1544400 1232470 )
NEW met1 ( 1551120 1197875 ) M1M2_PR
NEW met1 ( 1544400 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[94\] ( soc la_input[94] ) ( mgmt_buffers la_data_in_mprj[94] )
+ ROUTED met2 ( 1544880 1223035 ) ( 1544880 1232470 )
NEW met2 ( 1544880 1232470 ) ( 1545600 1232470 0 )
NEW met2 ( 1326480 1167350 0 ) ( 1326480 1215265 )
NEW met2 ( 1390800 1215265 ) ( 1390800 1223035 )
NEW met1 ( 1390800 1223035 ) ( 1436880 1223035 )
NEW met1 ( 1436880 1222665 ) ( 1436880 1223035 )
NEW met1 ( 1436880 1222665 ) ( 1438320 1222665 )
NEW met1 ( 1438320 1222665 ) ( 1438320 1223035 )
NEW met1 ( 1326480 1215265 ) ( 1390800 1215265 )
NEW met1 ( 1438320 1223035 ) ( 1544880 1223035 )
NEW met1 ( 1544880 1223035 ) M1M2_PR
NEW met1 ( 1326480 1215265 ) M1M2_PR
NEW met1 ( 1390800 1215265 ) M1M2_PR
NEW met1 ( 1390800 1223035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[95\] ( soc la_input[95] ) ( mgmt_buffers la_data_in_mprj[95] )
+ ROUTED met2 ( 1551600 1167350 ) ( 1552800 1167350 0 )
NEW met2 ( 1551600 1167350 ) ( 1551600 1198245 )
NEW met1 ( 1547760 1198245 ) ( 1551600 1198245 )
NEW met2 ( 1547760 1198245 ) ( 1547760 1232470 0 )
NEW met1 ( 1551600 1198245 ) M1M2_PR
NEW met1 ( 1547760 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[96\] ( soc la_input[96] ) ( mgmt_buffers la_data_in_mprj[96] )
+ ROUTED met2 ( 1549680 1180115 ) ( 1549680 1232470 0 )
NEW met2 ( 1324560 1167350 ) ( 1324800 1167350 0 )
NEW met2 ( 1324560 1167350 ) ( 1324560 1180115 )
NEW met1 ( 1324560 1180115 ) ( 1549680 1180115 )
NEW met1 ( 1549680 1180115 ) M1M2_PR
NEW met1 ( 1324560 1180115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[97\] ( soc la_input[97] ) ( mgmt_buffers la_data_in_mprj[97] )
+ ROUTED met2 ( 1553520 1167350 ) ( 1554720 1167350 0 )
NEW met2 ( 1553520 1167350 ) ( 1553520 1232470 )
NEW met2 ( 1552080 1232470 0 ) ( 1553520 1232470 )
+ USE SIGNAL ;
- la_data_in_mprj\[98\] ( soc la_input[98] ) ( mgmt_buffers la_data_in_mprj[98] )
+ ROUTED met2 ( 1322880 1167350 0 ) ( 1324080 1167350 )
NEW met2 ( 1324080 1167350 ) ( 1324080 1216375 )
NEW met2 ( 1554000 1222295 ) ( 1554000 1230990 )
NEW met2 ( 1554000 1230990 ) ( 1554240 1230990 )
NEW met2 ( 1554240 1230990 ) ( 1554240 1232470 0 )
NEW met2 ( 1389360 1216375 ) ( 1389360 1222295 )
NEW met1 ( 1324080 1216375 ) ( 1389360 1216375 )
NEW met1 ( 1389360 1222295 ) ( 1554000 1222295 )
NEW met1 ( 1324080 1216375 ) M1M2_PR
NEW met1 ( 1554000 1222295 ) M1M2_PR
NEW met1 ( 1389360 1216375 ) M1M2_PR
NEW met1 ( 1389360 1222295 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[99\] ( soc la_input[99] ) ( mgmt_buffers la_data_in_mprj[99] )
+ ROUTED met2 ( 1556400 1167350 ) ( 1556640 1167350 0 )
NEW met2 ( 1556400 1167350 ) ( 1556400 1232470 )
NEW met2 ( 1556400 1232470 ) ( 1556640 1232470 0 )
+ USE SIGNAL ;
- la_data_in_mprj\[9\] ( soc la_input[9] ) ( mgmt_buffers la_data_in_mprj[9] )
+ ROUTED met2 ( 1360080 1208975 ) ( 1360080 1232470 0 )
NEW met2 ( 1320960 1167350 0 ) ( 1321680 1167350 )
NEW met2 ( 1321680 1167350 ) ( 1321680 1208975 )
NEW met1 ( 1321680 1208975 ) ( 1360080 1208975 )
NEW met1 ( 1360080 1208975 ) M1M2_PR
NEW met1 ( 1321680 1208975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[0\] ( mprj la_data_in[0] ) ( mgmt_buffers la_data_in_core[0] )
+ ROUTED met2 ( 1069200 1325710 ) ( 1070400 1325710 0 )
NEW met2 ( 1069200 1325710 ) ( 1069200 1354755 )
NEW met2 ( 959760 1354755 ) ( 959760 1389350 0 )
NEW met1 ( 959760 1354755 ) ( 1069200 1354755 )
NEW met1 ( 1069200 1354755 ) M1M2_PR
NEW met1 ( 959760 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[100\] ( mprj la_data_in[100] ) ( mgmt_buffers la_data_in_core[100] )
+ ROUTED met2 ( 1412400 1364375 ) ( 1412400 1367705 )
NEW met1 ( 1289520 1367705 ) ( 1412400 1367705 )
NEW met2 ( 1288320 1325710 0 ) ( 1289520 1325710 )
NEW met2 ( 1289520 1325710 ) ( 1289520 1367705 )
NEW met2 ( 1891440 1364375 ) ( 1891440 1368630 )
NEW met1 ( 1412400 1364375 ) ( 1891440 1364375 )
NEW met2 ( 2743920 1368630 ) ( 2743920 1389350 0 )
NEW met3 ( 1951200 1368630 ) ( 1951200 1369370 )
NEW met3 ( 1891440 1368630 ) ( 1951200 1368630 )
NEW met2 ( 2015760 1368815 ) ( 2015760 1369370 )
NEW met1 ( 2015760 1368815 ) ( 2086800 1368815 )
NEW met2 ( 2086800 1368075 ) ( 2086800 1368815 )
NEW met3 ( 2086800 1368075 ) ( 2087520 1368075 )
NEW met3 ( 2087520 1368075 ) ( 2087520 1368630 )
NEW met3 ( 1951200 1369370 ) ( 2015760 1369370 )
NEW met3 ( 2189280 1368630 ) ( 2189280 1369185 )
NEW met3 ( 2189280 1369185 ) ( 2190240 1369185 )
NEW met3 ( 2190240 1368630 ) ( 2190240 1369185 )
NEW met3 ( 2190240 1368630 ) ( 2202960 1368630 )
NEW met2 ( 2202960 1368630 ) ( 2202960 1369370 )
NEW met2 ( 2202960 1369370 ) ( 2203920 1369370 )
NEW met3 ( 2390880 1367150 ) ( 2390880 1368630 )
NEW met3 ( 2390880 1367150 ) ( 2448240 1367150 )
NEW met2 ( 2448240 1367150 ) ( 2448240 1368630 )
NEW met3 ( 2448240 1368630 ) ( 2490720 1368630 )
NEW met3 ( 2490720 1368630 ) ( 2490720 1369370 )
NEW met3 ( 2592480 1367150 ) ( 2592480 1368630 )
NEW met3 ( 2592480 1367150 ) ( 2649360 1367150 )
NEW met2 ( 2649360 1367150 ) ( 2649360 1368630 )
NEW met3 ( 2649360 1368630 ) ( 2743920 1368630 )
NEW met3 ( 2087520 1368630 ) ( 2189280 1368630 )
NEW met2 ( 2289840 1369370 ) ( 2289840 1369925 )
NEW met2 ( 2289840 1369925 ) ( 2290320 1369925 )
NEW met2 ( 2290320 1367150 ) ( 2290320 1369925 )
NEW met3 ( 2290320 1367150 ) ( 2389920 1367150 )
NEW met4 ( 2389920 1367150 ) ( 2389920 1368630 )
NEW met3 ( 2203920 1369370 ) ( 2289840 1369370 )
NEW met3 ( 2389920 1368630 ) ( 2390880 1368630 )
NEW met3 ( 2491680 1368630 ) ( 2491680 1369370 )
NEW met3 ( 2491680 1368630 ) ( 2519760 1368630 )
NEW met2 ( 2519760 1368630 ) ( 2520240 1368630 )
NEW met2 ( 2520240 1368630 ) ( 2520240 1369370 )
NEW met3 ( 2520240 1369370 ) ( 2591520 1369370 )
NEW met3 ( 2591520 1368630 ) ( 2591520 1369370 )
NEW met3 ( 2490720 1369370 ) ( 2491680 1369370 )
NEW met3 ( 2591520 1368630 ) ( 2592480 1368630 )
NEW met1 ( 1412400 1367705 ) M1M2_PR
NEW met1 ( 1412400 1364375 ) M1M2_PR
NEW met1 ( 1289520 1367705 ) M1M2_PR
NEW met1 ( 1891440 1364375 ) M1M2_PR
NEW met2 ( 1891440 1368630 ) via2_FR
NEW met2 ( 2743920 1368630 ) via2_FR
NEW met2 ( 2015760 1369370 ) via2_FR
NEW met1 ( 2015760 1368815 ) M1M2_PR
NEW met1 ( 2086800 1368815 ) M1M2_PR
NEW met2 ( 2086800 1368075 ) via2_FR
NEW met2 ( 2202960 1368630 ) via2_FR
NEW met2 ( 2203920 1369370 ) via2_FR
NEW met2 ( 2448240 1367150 ) via2_FR
NEW met2 ( 2448240 1368630 ) via2_FR
NEW met2 ( 2649360 1367150 ) via2_FR
NEW met2 ( 2649360 1368630 ) via2_FR
NEW met2 ( 2289840 1369370 ) via2_FR
NEW met2 ( 2290320 1367150 ) via2_FR
NEW met3 ( 2389920 1367150 ) M3M4_PR_M
NEW met3 ( 2389920 1368630 ) M3M4_PR_M
NEW met2 ( 2519760 1368630 ) via2_FR
NEW met2 ( 2520240 1369370 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[101\] ( mprj la_data_in[101] ) ( mgmt_buffers la_data_in_core[101] )
+ ROUTED met2 ( 2761680 1360675 ) ( 2761680 1389350 0 )
NEW met1 ( 1291920 1360675 ) ( 2761680 1360675 )
NEW met2 ( 1290720 1325710 0 ) ( 1291920 1325710 )
NEW met2 ( 1291920 1325710 ) ( 1291920 1360675 )
NEW met1 ( 2761680 1360675 ) M1M2_PR
NEW met1 ( 1291920 1360675 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[102\] ( mprj la_data_in[102] ) ( mgmt_buffers la_data_in_core[102] )
+ ROUTED met2 ( 1792080 1339215 ) ( 1792080 1341065 )
NEW met1 ( 1792080 1341065 ) ( 1794480 1341065 )
NEW met1 ( 1794480 1340695 ) ( 1794480 1341065 )
NEW met2 ( 2779440 1324230 ) ( 2779440 1389350 0 )
NEW met1 ( 1292880 1339215 ) ( 1792080 1339215 )
NEW met2 ( 1292880 1325710 0 ) ( 1292880 1339215 )
NEW met2 ( 1854480 1340695 ) ( 1854480 1352350 )
NEW met3 ( 1854480 1352350 ) ( 1856160 1352350 )
NEW met4 ( 1856160 1352350 ) ( 1857120 1352350 )
NEW met4 ( 1857120 1327005 ) ( 1857120 1352350 )
NEW met1 ( 1794480 1340695 ) ( 1854480 1340695 )
NEW met2 ( 2066640 1324230 ) ( 2066640 1333665 )
NEW met3 ( 2066640 1324230 ) ( 2779440 1324230 )
NEW met4 ( 2042400 1323490 ) ( 2042400 1327005 )
NEW met3 ( 2040720 1323490 ) ( 2042400 1323490 )
NEW met3 ( 2040720 1323490 ) ( 2040720 1324415 )
NEW met2 ( 2040720 1324415 ) ( 2041200 1324415 )
NEW met2 ( 2041200 1324415 ) ( 2041200 1325525 )
NEW met2 ( 2041200 1325525 ) ( 2041680 1325525 )
NEW met2 ( 2041680 1325525 ) ( 2041680 1326635 )
NEW met2 ( 2041680 1326635 ) ( 2042160 1326635 )
NEW met2 ( 2042160 1326635 ) ( 2042160 1333665 )
NEW met5 ( 1857120 1327005 ) ( 2042400 1327005 )
NEW met1 ( 2042160 1333665 ) ( 2066640 1333665 )
NEW met2 ( 2779440 1324230 ) via2_FR
NEW met1 ( 1792080 1339215 ) M1M2_PR
NEW met1 ( 1792080 1341065 ) M1M2_PR
NEW met1 ( 1292880 1339215 ) M1M2_PR
NEW met1 ( 1854480 1340695 ) M1M2_PR
NEW met2 ( 1854480 1352350 ) via2_FR
NEW met3 ( 1856160 1352350 ) M3M4_PR_M
NEW met4 ( 1857120 1327005 ) via4_FR
NEW met1 ( 2066640 1333665 ) M1M2_PR
NEW met2 ( 2066640 1324230 ) via2_FR
NEW met4 ( 2042400 1327005 ) via4_FR
NEW met3 ( 2042400 1323490 ) M3M4_PR_M
NEW met2 ( 2040720 1324415 ) via2_FR
NEW met1 ( 2042160 1333665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[103\] ( mprj la_data_in[103] ) ( mgmt_buffers la_data_in_core[103] )
+ ROUTED met2 ( 2797200 1356605 ) ( 2797200 1389350 0 )
NEW met2 ( 1852560 1352350 ) ( 1852560 1356605 )
NEW met3 ( 1294800 1352350 ) ( 1852560 1352350 )
NEW met2 ( 1294800 1325710 0 ) ( 1294800 1352350 )
NEW met2 ( 2017200 1354385 ) ( 2017200 1356605 )
NEW met1 ( 2017200 1354385 ) ( 2066160 1354385 )
NEW met2 ( 2066160 1354385 ) ( 2066160 1356605 )
NEW met1 ( 1852560 1356605 ) ( 2017200 1356605 )
NEW met1 ( 2066160 1356605 ) ( 2797200 1356605 )
NEW met1 ( 2797200 1356605 ) M1M2_PR
NEW met2 ( 1294800 1352350 ) via2_FR
NEW met2 ( 1852560 1352350 ) via2_FR
NEW met1 ( 1852560 1356605 ) M1M2_PR
NEW met1 ( 2017200 1356605 ) M1M2_PR
NEW met1 ( 2017200 1354385 ) M1M2_PR
NEW met1 ( 2066160 1354385 ) M1M2_PR
NEW met1 ( 2066160 1356605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[104\] ( mprj la_data_in[104] ) ( mgmt_buffers la_data_in_core[104] )
+ ROUTED met2 ( 2815440 1366410 ) ( 2815440 1389350 0 )
NEW met3 ( 1297200 1366410 ) ( 2815440 1366410 )
NEW met2 ( 1297200 1325710 0 ) ( 1297200 1366410 )
NEW met2 ( 2815440 1366410 ) via2_FR
NEW met2 ( 1297200 1366410 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[105\] ( mprj la_data_in[105] ) ( mgmt_buffers la_data_in_core[105] )
+ ROUTED met2 ( 1778160 1341065 ) ( 1778160 1351795 )
NEW met2 ( 2832720 1356790 ) ( 2832720 1389350 0 )
NEW met1 ( 1300560 1341065 ) ( 1778160 1341065 )
NEW met2 ( 1869360 1351795 ) ( 1869360 1356790 )
NEW met1 ( 1778160 1351795 ) ( 1869360 1351795 )
NEW met3 ( 1869360 1356790 ) ( 2832720 1356790 )
NEW met2 ( 1299360 1325710 0 ) ( 1300560 1325710 )
NEW met2 ( 1300560 1325710 ) ( 1300560 1341065 )
NEW met1 ( 1778160 1341065 ) M1M2_PR
NEW met1 ( 1778160 1351795 ) M1M2_PR
NEW met2 ( 2832720 1356790 ) via2_FR
NEW met1 ( 1300560 1341065 ) M1M2_PR
NEW met1 ( 1869360 1351795 ) M1M2_PR
NEW met2 ( 1869360 1356790 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[106\] ( mprj la_data_in[106] ) ( mgmt_buffers la_data_in_core[106] )
+ ROUTED met2 ( 1972560 1353645 ) ( 1972560 1354570 )
NEW met2 ( 2850960 1353645 ) ( 2850960 1389350 0 )
NEW met2 ( 1856400 1344950 ) ( 1856400 1349390 )
NEW met2 ( 1856400 1349390 ) ( 1857840 1349390 )
NEW met2 ( 1857840 1349390 ) ( 1857840 1352350 )
NEW met2 ( 1857840 1352350 ) ( 1858800 1352350 )
NEW met3 ( 1858800 1352350 ) ( 1892880 1352350 )
NEW met2 ( 1892880 1352350 ) ( 1892880 1354570 )
NEW met3 ( 1302960 1344950 ) ( 1856400 1344950 )
NEW met3 ( 1892880 1354570 ) ( 1972560 1354570 )
NEW met1 ( 1972560 1353645 ) ( 2850960 1353645 )
NEW met2 ( 1301760 1325710 0 ) ( 1302960 1325710 )
NEW met2 ( 1302960 1325710 ) ( 1302960 1344950 )
NEW met1 ( 1972560 1353645 ) M1M2_PR
NEW met2 ( 1972560 1354570 ) via2_FR
NEW met1 ( 2850960 1353645 ) M1M2_PR
NEW met2 ( 1302960 1344950 ) via2_FR
NEW met2 ( 1856400 1344950 ) via2_FR
NEW met2 ( 1858800 1352350 ) via2_FR
NEW met2 ( 1892880 1352350 ) via2_FR
NEW met2 ( 1892880 1354570 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[107\] ( mprj la_data_in[107] ) ( mgmt_buffers la_data_in_core[107] )
+ ROUTED met2 ( 1969200 1353830 ) ( 1969200 1361415 )
NEW met3 ( 1303440 1353830 ) ( 1969200 1353830 )
NEW met2 ( 2868720 1361415 ) ( 2868720 1389350 0 )
NEW met1 ( 1969200 1361415 ) ( 2868720 1361415 )
NEW met2 ( 1303440 1325710 0 ) ( 1303440 1353830 )
NEW met2 ( 1969200 1353830 ) via2_FR
NEW met1 ( 1969200 1361415 ) M1M2_PR
NEW met2 ( 1303440 1353830 ) via2_FR
NEW met1 ( 2868720 1361415 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[108\] ( mprj la_data_in[108] ) ( mgmt_buffers la_data_in_core[108] )
+ ROUTED met2 ( 2886480 1348650 ) ( 2886480 1389350 0 )
NEW met3 ( 1305840 1348650 ) ( 2886480 1348650 )
NEW met2 ( 1305840 1325710 0 ) ( 1305840 1348650 )
NEW met2 ( 1305840 1348650 ) via2_FR
NEW met2 ( 2886480 1348650 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[109\] ( mprj la_data_in[109] ) ( mgmt_buffers la_data_in_core[109] )
+ ROUTED met2 ( 1972560 1355310 ) ( 1972560 1355495 )
NEW met2 ( 1866960 1364930 ) ( 1866960 1374550 )
NEW met3 ( 1866960 1364930 ) ( 1938480 1364930 )
NEW met2 ( 1938480 1355310 ) ( 1938480 1364930 )
NEW met3 ( 1308240 1374550 ) ( 1866960 1374550 )
NEW met3 ( 1938480 1355310 ) ( 1972560 1355310 )
NEW met2 ( 2904720 1355495 ) ( 2904720 1389350 0 )
NEW met2 ( 1308240 1325710 0 ) ( 1308240 1374550 )
NEW met1 ( 1972560 1355495 ) ( 2904720 1355495 )
NEW met2 ( 1972560 1355310 ) via2_FR
NEW met1 ( 1972560 1355495 ) M1M2_PR
NEW met2 ( 1308240 1374550 ) via2_FR
NEW met2 ( 1866960 1374550 ) via2_FR
NEW met2 ( 1866960 1364930 ) via2_FR
NEW met2 ( 1938480 1364930 ) via2_FR
NEW met2 ( 1938480 1355310 ) via2_FR
NEW met1 ( 2904720 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[10\] ( mprj la_data_in[10] ) ( mgmt_buffers la_data_in_core[10] )
+ ROUTED met2 ( 1092480 1325710 0 ) ( 1093680 1325710 )
NEW met2 ( 1093680 1325710 ) ( 1093680 1354755 )
NEW met2 ( 1138320 1354755 ) ( 1138320 1389350 0 )
NEW met1 ( 1093680 1354755 ) ( 1138320 1354755 )
NEW met1 ( 1093680 1354755 ) M1M2_PR
NEW met1 ( 1138320 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[110\] ( mprj la_data_in[110] ) ( mgmt_buffers la_data_in_core[110] )
+ ROUTED met2 ( 1590480 1339770 ) ( 1590480 1349390 )
NEW met3 ( 1310160 1349390 ) ( 1590480 1349390 )
NEW met2 ( 2922000 1362155 ) ( 2922000 1389350 0 )
NEW met2 ( 1309920 1325710 0 ) ( 1310160 1325710 )
NEW met2 ( 1310160 1325710 ) ( 1310160 1349390 )
NEW met1 ( 1663200 1325155 ) ( 1663200 1325525 )
NEW met1 ( 1632720 1325155 ) ( 1663200 1325155 )
NEW met1 ( 1632720 1324785 ) ( 1632720 1325155 )
NEW met1 ( 1628880 1324785 ) ( 1632720 1324785 )
NEW met2 ( 1628880 1324785 ) ( 1628880 1339770 )
NEW met3 ( 1590480 1339770 ) ( 1628880 1339770 )
NEW met2 ( 1971600 1362155 ) ( 1971600 1362710 )
NEW met3 ( 1963680 1362710 ) ( 1971600 1362710 )
NEW met3 ( 1963680 1362710 ) ( 1963680 1363450 )
NEW met1 ( 1971600 1362155 ) ( 2922000 1362155 )
NEW met2 ( 1835760 1325525 ) ( 1835760 1354015 )
NEW met1 ( 1835760 1354015 ) ( 1846320 1354015 )
NEW met2 ( 1846320 1354015 ) ( 1846320 1355865 )
NEW met1 ( 1846320 1355865 ) ( 1868400 1355865 )
NEW met2 ( 1868400 1354015 ) ( 1868400 1355865 )
NEW met1 ( 1868400 1354015 ) ( 1880880 1354015 )
NEW met2 ( 1880880 1354015 ) ( 1880880 1363450 )
NEW met1 ( 1663200 1325525 ) ( 1835760 1325525 )
NEW met3 ( 1880880 1363450 ) ( 1963680 1363450 )
NEW met2 ( 1590480 1349390 ) via2_FR
NEW met2 ( 1590480 1339770 ) via2_FR
NEW met2 ( 1310160 1349390 ) via2_FR
NEW met1 ( 2922000 1362155 ) M1M2_PR
NEW met1 ( 1628880 1324785 ) M1M2_PR
NEW met2 ( 1628880 1339770 ) via2_FR
NEW met1 ( 1971600 1362155 ) M1M2_PR
NEW met2 ( 1971600 1362710 ) via2_FR
NEW met1 ( 1835760 1325525 ) M1M2_PR
NEW met1 ( 1835760 1354015 ) M1M2_PR
NEW met1 ( 1846320 1354015 ) M1M2_PR
NEW met1 ( 1846320 1355865 ) M1M2_PR
NEW met1 ( 1868400 1355865 ) M1M2_PR
NEW met1 ( 1868400 1354015 ) M1M2_PR
NEW met1 ( 1880880 1354015 ) M1M2_PR
NEW met2 ( 1880880 1363450 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[111\] ( mprj la_data_in[111] ) ( mgmt_buffers la_data_in_core[111] )
+ ROUTED met2 ( 1829040 1359935 ) ( 1829040 1368075 )
NEW met2 ( 1962480 1360490 ) ( 1962480 1361785 )
NEW met1 ( 1313520 1368075 ) ( 1829040 1368075 )
NEW met2 ( 1901040 1359935 ) ( 1901040 1360490 )
NEW met1 ( 1829040 1359935 ) ( 1901040 1359935 )
NEW met3 ( 1901040 1360490 ) ( 1962480 1360490 )
NEW met2 ( 2939760 1361785 ) ( 2939760 1389350 0 )
NEW met1 ( 1962480 1361785 ) ( 2939760 1361785 )
NEW met2 ( 1312320 1325710 0 ) ( 1313520 1325710 )
NEW met2 ( 1313520 1325710 ) ( 1313520 1368075 )
NEW met1 ( 1829040 1368075 ) M1M2_PR
NEW met1 ( 1829040 1359935 ) M1M2_PR
NEW met2 ( 1962480 1360490 ) via2_FR
NEW met1 ( 1962480 1361785 ) M1M2_PR
NEW met1 ( 1313520 1368075 ) M1M2_PR
NEW met1 ( 1901040 1359935 ) M1M2_PR
NEW met2 ( 1901040 1360490 ) via2_FR
NEW met1 ( 2939760 1361785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[112\] ( mprj la_data_in[112] ) ( mgmt_buffers la_data_in_core[112] )
+ ROUTED met2 ( 1986960 1362895 ) ( 1986960 1365670 )
NEW met2 ( 2958000 1362895 ) ( 2958000 1389350 0 )
NEW met2 ( 1870800 1367705 ) ( 1870800 1381950 )
NEW met1 ( 1870800 1367705 ) ( 1890480 1367705 )
NEW met2 ( 1890480 1365670 ) ( 1890480 1367705 )
NEW met3 ( 1314480 1381950 ) ( 1870800 1381950 )
NEW met3 ( 1890480 1365670 ) ( 1986960 1365670 )
NEW met1 ( 1986960 1362895 ) ( 2958000 1362895 )
NEW met2 ( 1314480 1325710 0 ) ( 1314480 1381950 )
NEW met1 ( 1986960 1362895 ) M1M2_PR
NEW met2 ( 1986960 1365670 ) via2_FR
NEW met1 ( 2958000 1362895 ) M1M2_PR
NEW met2 ( 1314480 1381950 ) via2_FR
NEW met2 ( 1870800 1381950 ) via2_FR
NEW met1 ( 1870800 1367705 ) M1M2_PR
NEW met1 ( 1890480 1367705 ) M1M2_PR
NEW met2 ( 1890480 1365670 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[113\] ( mprj la_data_in[113] ) ( mgmt_buffers la_data_in_core[113] )
+ ROUTED met2 ( 1986960 1367705 ) ( 1986960 1367890 )
NEW met2 ( 2975760 1367705 ) ( 2975760 1389350 0 )
NEW met2 ( 1914960 1367890 ) ( 1914960 1369370 )
NEW met3 ( 1316880 1369370 ) ( 1914960 1369370 )
NEW met3 ( 1914960 1367890 ) ( 1986960 1367890 )
NEW met1 ( 1986960 1367705 ) ( 2975760 1367705 )
NEW met2 ( 1316880 1325710 0 ) ( 1316880 1369370 )
NEW met1 ( 1986960 1367705 ) M1M2_PR
NEW met2 ( 1986960 1367890 ) via2_FR
NEW met1 ( 2975760 1367705 ) M1M2_PR
NEW met2 ( 1316880 1369370 ) via2_FR
NEW met2 ( 1914960 1369370 ) via2_FR
NEW met2 ( 1914960 1367890 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[114\] ( mprj la_data_in[114] ) ( mgmt_buffers la_data_in_core[114] )
+ ROUTED met1 ( 2981040 1364005 ) ( 2992560 1364005 )
NEW met2 ( 2992560 1364005 ) ( 2992560 1389350 )
NEW met2 ( 2992560 1389350 ) ( 2994000 1389350 0 )
NEW met2 ( 2981040 1194730 ) ( 2981040 1364005 )
NEW met2 ( 1061520 1194730 ) ( 1061520 1339770 )
NEW met3 ( 1061520 1339770 ) ( 1318800 1339770 )
NEW met3 ( 1061520 1194730 ) ( 2981040 1194730 )
NEW met2 ( 1318800 1325710 0 ) ( 1318800 1339770 )
NEW met2 ( 1061520 1339770 ) via2_FR
NEW met2 ( 2981040 1194730 ) via2_FR
NEW met1 ( 2981040 1364005 ) M1M2_PR
NEW met1 ( 2992560 1364005 ) M1M2_PR
NEW met2 ( 1061520 1194730 ) via2_FR
NEW met2 ( 1318800 1339770 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[115\] ( mprj la_data_in[115] ) ( mgmt_buffers la_data_in_core[115] )
+ ROUTED met2 ( 3011280 1377510 ) ( 3011280 1389350 0 )
NEW met3 ( 1322160 1377510 ) ( 3011280 1377510 )
NEW met2 ( 1320960 1325710 0 ) ( 1322160 1325710 )
NEW met2 ( 1322160 1325710 ) ( 1322160 1377510 )
NEW met2 ( 3011280 1377510 ) via2_FR
NEW met2 ( 1322160 1377510 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[116\] ( mprj la_data_in[116] ) ( mgmt_buffers la_data_in_core[116] )
+ ROUTED met2 ( 3029040 1347910 ) ( 3029040 1389350 0 )
NEW met3 ( 1324560 1347910 ) ( 3029040 1347910 )
NEW met2 ( 1323360 1325710 0 ) ( 1324560 1325710 )
NEW met2 ( 1324560 1325710 ) ( 1324560 1347910 )
NEW met2 ( 3029040 1347910 ) via2_FR
NEW met2 ( 1324560 1347910 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[117\] ( mprj la_data_in[117] ) ( mgmt_buffers la_data_in_core[117] )
+ ROUTED met2 ( 3047280 1329410 ) ( 3047280 1389350 0 )
NEW met2 ( 1885680 1341250 ) ( 1886160 1341250 )
NEW met2 ( 1886160 1341250 ) ( 1886160 1342175 )
NEW met3 ( 1325520 1341250 ) ( 1885680 1341250 )
NEW met2 ( 1325520 1325710 0 ) ( 1325520 1341250 )
NEW met2 ( 2067120 1329410 ) ( 2067120 1339585 )
NEW met3 ( 2067120 1329410 ) ( 3047280 1329410 )
NEW met2 ( 1952400 1339585 ) ( 1952400 1342175 )
NEW met1 ( 1886160 1342175 ) ( 1952400 1342175 )
NEW met1 ( 1952400 1339585 ) ( 2067120 1339585 )
NEW met2 ( 3047280 1329410 ) via2_FR
NEW met2 ( 1325520 1341250 ) via2_FR
NEW met2 ( 1885680 1341250 ) via2_FR
NEW met1 ( 1886160 1342175 ) M1M2_PR
NEW met1 ( 2067120 1339585 ) M1M2_PR
NEW met2 ( 2067120 1329410 ) via2_FR
NEW met1 ( 1952400 1342175 ) M1M2_PR
NEW met1 ( 1952400 1339585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[118\] ( mprj la_data_in[118] ) ( mgmt_buffers la_data_in_core[118] )
+ ROUTED met2 ( 1986480 1358270 ) ( 1986480 1363635 )
NEW met1 ( 1986480 1363635 ) ( 1994640 1363635 )
NEW met1 ( 1994640 1363265 ) ( 1994640 1363635 )
NEW met2 ( 1684560 1355125 ) ( 1684560 1355310 )
NEW met3 ( 1327440 1355310 ) ( 1684560 1355310 )
NEW met2 ( 1915440 1355125 ) ( 1915440 1358270 )
NEW met1 ( 1684560 1355125 ) ( 1915440 1355125 )
NEW met3 ( 1915440 1358270 ) ( 1986480 1358270 )
NEW met2 ( 3065040 1363265 ) ( 3065040 1389350 0 )
NEW met1 ( 1994640 1363265 ) ( 3065040 1363265 )
NEW met2 ( 1327440 1325710 0 ) ( 1327440 1355310 )
NEW met2 ( 1986480 1358270 ) via2_FR
NEW met1 ( 1986480 1363635 ) M1M2_PR
NEW met2 ( 1327440 1355310 ) via2_FR
NEW met1 ( 1684560 1355125 ) M1M2_PR
NEW met2 ( 1684560 1355310 ) via2_FR
NEW met1 ( 1915440 1355125 ) M1M2_PR
NEW met2 ( 1915440 1358270 ) via2_FR
NEW met1 ( 3065040 1363265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[119\] ( mprj la_data_in[119] ) ( mgmt_buffers la_data_in_core[119] )
+ ROUTED met2 ( 3082800 1376770 ) ( 3082800 1389350 0 )
NEW met3 ( 1329840 1376770 ) ( 3082800 1376770 )
NEW met2 ( 1329840 1325710 0 ) ( 1329840 1376770 )
NEW met2 ( 1329840 1376770 ) via2_FR
NEW met2 ( 3082800 1376770 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[11\] ( mprj la_data_in[11] ) ( mgmt_buffers la_data_in_core[11] )
+ ROUTED met2 ( 1094640 1325710 0 ) ( 1094640 1355495 )
NEW met2 ( 1156080 1355495 ) ( 1156080 1389350 0 )
NEW met1 ( 1094640 1355495 ) ( 1156080 1355495 )
NEW met1 ( 1094640 1355495 ) M1M2_PR
NEW met1 ( 1156080 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[120\] ( mprj la_data_in[120] ) ( mgmt_buffers la_data_in_core[120] )
+ ROUTED met2 ( 3100560 1347170 ) ( 3100560 1389350 0 )
NEW met3 ( 1333200 1347170 ) ( 3100560 1347170 )
NEW met2 ( 1332000 1325710 0 ) ( 1333200 1325710 )
NEW met2 ( 1333200 1325710 ) ( 1333200 1347170 )
NEW met2 ( 1333200 1347170 ) via2_FR
NEW met2 ( 3100560 1347170 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[121\] ( mprj la_data_in[121] ) ( mgmt_buffers la_data_in_core[121] )
+ ROUTED met2 ( 3118320 1376030 ) ( 3118320 1389350 0 )
NEW met3 ( 1335600 1376030 ) ( 3118320 1376030 )
NEW met2 ( 1334400 1325710 0 ) ( 1335600 1325710 )
NEW met2 ( 1335600 1325710 ) ( 1335600 1376030 )
NEW met2 ( 1335600 1376030 ) via2_FR
NEW met2 ( 3118320 1376030 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[122\] ( mprj la_data_in[122] ) ( mgmt_buffers la_data_in_core[122] )
+ ROUTED met2 ( 3136560 1375290 ) ( 3136560 1389350 0 )
NEW met3 ( 1336080 1375290 ) ( 3136560 1375290 )
NEW met2 ( 1336080 1325710 0 ) ( 1336080 1375290 )
NEW met2 ( 1336080 1375290 ) via2_FR
NEW met2 ( 3136560 1375290 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[123\] ( mprj la_data_in[123] ) ( mgmt_buffers la_data_in_core[123] )
+ ROUTED met2 ( 3154320 1346430 ) ( 3154320 1389350 0 )
NEW met3 ( 1338480 1346430 ) ( 3154320 1346430 )
NEW met2 ( 1338480 1325710 0 ) ( 1338480 1346430 )
NEW met2 ( 3154320 1346430 ) via2_FR
NEW met2 ( 1338480 1346430 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[124\] ( mprj la_data_in[124] ) ( mgmt_buffers la_data_in_core[124] )
+ ROUTED met2 ( 1572240 1349945 ) ( 1572240 1350130 )
NEW met1 ( 1572240 1349945 ) ( 1627440 1349945 )
NEW met2 ( 1627440 1349945 ) ( 1627440 1353090 )
NEW met2 ( 1340880 1325710 0 ) ( 1340880 1350130 )
NEW met3 ( 1340880 1350130 ) ( 1572240 1350130 )
NEW met2 ( 3171600 1353090 ) ( 3171600 1389350 0 )
NEW met3 ( 1627440 1353090 ) ( 3171600 1353090 )
NEW met2 ( 1340880 1350130 ) via2_FR
NEW met2 ( 1572240 1350130 ) via2_FR
NEW met1 ( 1572240 1349945 ) M1M2_PR
NEW met1 ( 1627440 1349945 ) M1M2_PR
NEW met2 ( 1627440 1353090 ) via2_FR
NEW met2 ( 3171600 1353090 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[125\] ( mprj la_data_in[125] ) ( mgmt_buffers la_data_in_core[125] )
+ ROUTED met1 ( 1343760 1355495 ) ( 1352400 1355495 )
NEW met2 ( 1352400 1355495 ) ( 1352400 1364375 )
NEW met1 ( 1352400 1364375 ) ( 1357200 1364375 )
NEW met2 ( 1357200 1364375 ) ( 1357200 1371035 )
NEW met1 ( 1357200 1371035 ) ( 1362960 1371035 )
NEW met1 ( 1362960 1370665 ) ( 1362960 1371035 )
NEW met1 ( 1362960 1370665 ) ( 1424880 1370665 )
NEW met1 ( 1424880 1369925 ) ( 1424880 1370665 )
NEW met1 ( 1424880 1369925 ) ( 1439760 1369925 )
NEW met1 ( 1439760 1369555 ) ( 1439760 1369925 )
NEW met1 ( 1746000 1369555 ) ( 1746000 1369925 )
NEW met2 ( 3189840 1381950 ) ( 3189840 1389350 0 )
NEW met2 ( 1342560 1325710 0 ) ( 1343760 1325710 )
NEW met2 ( 1343760 1325710 ) ( 1343760 1355495 )
NEW met1 ( 1439760 1369555 ) ( 1746000 1369555 )
NEW met2 ( 1871760 1369925 ) ( 1871760 1381950 )
NEW met1 ( 1746000 1369925 ) ( 1871760 1369925 )
NEW met3 ( 1871760 1381950 ) ( 3189840 1381950 )
NEW met1 ( 1343760 1355495 ) M1M2_PR
NEW met1 ( 1352400 1355495 ) M1M2_PR
NEW met1 ( 1352400 1364375 ) M1M2_PR
NEW met1 ( 1357200 1364375 ) M1M2_PR
NEW met1 ( 1357200 1371035 ) M1M2_PR
NEW met2 ( 3189840 1381950 ) via2_FR
NEW met1 ( 1871760 1369925 ) M1M2_PR
NEW met2 ( 1871760 1381950 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[126\] ( mprj la_data_in[126] ) ( mgmt_buffers la_data_in_core[126] )
+ ROUTED met2 ( 1588560 1342730 ) ( 1588560 1344025 )
NEW met2 ( 1344960 1325710 0 ) ( 1346160 1325710 )
NEW met2 ( 1346160 1325710 ) ( 1346160 1339770 )
NEW met2 ( 1490160 1339770 ) ( 1490160 1342730 )
NEW met3 ( 1346160 1339770 ) ( 1490160 1339770 )
NEW met3 ( 1490160 1342730 ) ( 1588560 1342730 )
NEW met2 ( 1857360 1344025 ) ( 1857360 1344950 )
NEW met3 ( 1857360 1344950 ) ( 1899120 1344950 )
NEW met2 ( 1899120 1344950 ) ( 1899120 1352905 )
NEW met1 ( 1588560 1344025 ) ( 1857360 1344025 )
NEW met2 ( 2722320 1352350 ) ( 2722320 1352535 )
NEW met1 ( 2722320 1352535 ) ( 2822160 1352535 )
NEW met2 ( 2822160 1352350 ) ( 2822160 1352535 )
NEW met2 ( 2203440 1352165 ) ( 2203440 1352350 )
NEW met1 ( 2203440 1352165 ) ( 2303760 1352165 )
NEW met2 ( 2303760 1352165 ) ( 2303760 1352350 )
NEW met3 ( 2303760 1352350 ) ( 2722320 1352350 )
NEW met2 ( 1943760 1352350 ) ( 1944240 1352350 )
NEW met2 ( 1943760 1352350 ) ( 1943760 1352905 )
NEW met1 ( 1899120 1352905 ) ( 1943760 1352905 )
NEW met3 ( 1944240 1352350 ) ( 2203440 1352350 )
NEW met2 ( 3115440 1352350 ) ( 3115440 1352905 )
NEW met1 ( 3115440 1352905 ) ( 3207600 1352905 )
NEW met2 ( 3207600 1352905 ) ( 3207600 1389350 0 )
NEW met3 ( 2822160 1352350 ) ( 3115440 1352350 )
NEW met2 ( 1346160 1339770 ) via2_FR
NEW met2 ( 1588560 1342730 ) via2_FR
NEW met1 ( 1588560 1344025 ) M1M2_PR
NEW met2 ( 1490160 1339770 ) via2_FR
NEW met2 ( 1490160 1342730 ) via2_FR
NEW met1 ( 1857360 1344025 ) M1M2_PR
NEW met2 ( 1857360 1344950 ) via2_FR
NEW met2 ( 1899120 1344950 ) via2_FR
NEW met1 ( 1899120 1352905 ) M1M2_PR
NEW met2 ( 2722320 1352350 ) via2_FR
NEW met1 ( 2722320 1352535 ) M1M2_PR
NEW met1 ( 2822160 1352535 ) M1M2_PR
NEW met2 ( 2822160 1352350 ) via2_FR
NEW met2 ( 2203440 1352350 ) via2_FR
NEW met1 ( 2203440 1352165 ) M1M2_PR
NEW met1 ( 2303760 1352165 ) M1M2_PR
NEW met2 ( 2303760 1352350 ) via2_FR
NEW met2 ( 1944240 1352350 ) via2_FR
NEW met1 ( 1943760 1352905 ) M1M2_PR
NEW met2 ( 3115440 1352350 ) via2_FR
NEW met1 ( 3115440 1352905 ) M1M2_PR
NEW met1 ( 3207600 1352905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[127\] ( mprj la_data_in[127] ) ( mgmt_buffers la_data_in_core[127] )
+ ROUTED met2 ( 1627440 1380470 ) ( 1627440 1382135 )
NEW met2 ( 3225840 1374550 ) ( 3225840 1389350 0 )
NEW met2 ( 1347120 1325710 0 ) ( 1347120 1380470 )
NEW met3 ( 1347120 1380470 ) ( 1627440 1380470 )
NEW met2 ( 1916400 1374550 ) ( 1916400 1375290 )
NEW met3 ( 1916400 1374550 ) ( 3225840 1374550 )
NEW met2 ( 1914960 1375290 ) ( 1914960 1381395 )
NEW met1 ( 1861200 1381395 ) ( 1914960 1381395 )
NEW met2 ( 1861200 1381395 ) ( 1861200 1382505 )
NEW met2 ( 1860240 1382505 ) ( 1861200 1382505 )
NEW met2 ( 1860240 1382135 ) ( 1860240 1382505 )
NEW met1 ( 1627440 1382135 ) ( 1860240 1382135 )
NEW met2 ( 1914960 1375290 ) ( 1916400 1375290 )
NEW met2 ( 1347120 1380470 ) via2_FR
NEW met2 ( 1627440 1380470 ) via2_FR
NEW met1 ( 1627440 1382135 ) M1M2_PR
NEW met2 ( 3225840 1374550 ) via2_FR
NEW met2 ( 1916400 1374550 ) via2_FR
NEW met1 ( 1914960 1381395 ) M1M2_PR
NEW met1 ( 1861200 1381395 ) M1M2_PR
NEW met1 ( 1860240 1382135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[12\] ( mprj la_data_in[12] ) ( mgmt_buffers la_data_in_core[12] )
+ ROUTED met2 ( 1096560 1325710 0 ) ( 1096560 1355865 )
NEW met2 ( 1173360 1355865 ) ( 1173360 1389350 0 )
NEW met1 ( 1096560 1355865 ) ( 1173360 1355865 )
NEW met1 ( 1096560 1355865 ) M1M2_PR
NEW met1 ( 1173360 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[13\] ( mprj la_data_in[13] ) ( mgmt_buffers la_data_in_core[13] )
+ ROUTED met2 ( 1098960 1325710 0 ) ( 1098960 1356605 )
NEW met2 ( 1191600 1356605 ) ( 1191600 1389350 0 )
NEW met1 ( 1098960 1356605 ) ( 1191600 1356605 )
NEW met1 ( 1098960 1356605 ) M1M2_PR
NEW met1 ( 1191600 1356605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[14\] ( mprj la_data_in[14] ) ( mgmt_buffers la_data_in_core[14] )
+ ROUTED met2 ( 1101120 1325710 0 ) ( 1101840 1325710 )
NEW met2 ( 1101840 1325710 ) ( 1101840 1356975 )
NEW met2 ( 1209360 1356975 ) ( 1209360 1389350 0 )
NEW met1 ( 1101840 1356975 ) ( 1209360 1356975 )
NEW met1 ( 1101840 1356975 ) M1M2_PR
NEW met1 ( 1209360 1356975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[15\] ( mprj la_data_in[15] ) ( mgmt_buffers la_data_in_core[15] )
+ ROUTED met2 ( 1103040 1325710 0 ) ( 1104240 1325710 )
NEW met2 ( 1104240 1325710 ) ( 1104240 1364375 )
NEW met2 ( 1227600 1364375 ) ( 1227600 1389350 0 )
NEW met1 ( 1104240 1364375 ) ( 1227600 1364375 )
NEW met1 ( 1104240 1364375 ) M1M2_PR
NEW met1 ( 1227600 1364375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[16\] ( mprj la_data_in[16] ) ( mgmt_buffers la_data_in_core[16] )
+ ROUTED met2 ( 1105200 1325710 0 ) ( 1105200 1360675 )
NEW met2 ( 1245360 1360675 ) ( 1245360 1389350 0 )
NEW met1 ( 1105200 1360675 ) ( 1245360 1360675 )
NEW met1 ( 1105200 1360675 ) M1M2_PR
NEW met1 ( 1245360 1360675 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[17\] ( mprj la_data_in[17] ) ( mgmt_buffers la_data_in_core[17] )
+ ROUTED met2 ( 1107600 1325710 0 ) ( 1107600 1346245 )
NEW met2 ( 1256400 1346245 ) ( 1256400 1359935 )
NEW met2 ( 1256400 1359935 ) ( 1257840 1359935 )
NEW met2 ( 1257840 1359935 ) ( 1257840 1389350 )
NEW met2 ( 1257840 1389350 ) ( 1262640 1389350 0 )
NEW met1 ( 1107600 1346245 ) ( 1256400 1346245 )
NEW met1 ( 1107600 1346245 ) M1M2_PR
NEW met1 ( 1256400 1346245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[18\] ( mprj la_data_in[18] ) ( mgmt_buffers la_data_in_core[18] )
+ ROUTED met2 ( 1110000 1325710 0 ) ( 1110000 1339955 )
NEW met2 ( 1280880 1339955 ) ( 1280880 1389350 0 )
NEW met1 ( 1110000 1339955 ) ( 1280880 1339955 )
NEW met1 ( 1110000 1339955 ) M1M2_PR
NEW met1 ( 1280880 1339955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[19\] ( mprj la_data_in[19] ) ( mgmt_buffers la_data_in_core[19] )
+ ROUTED met2 ( 1111680 1325710 0 ) ( 1112880 1325710 )
NEW met2 ( 1112880 1325710 ) ( 1112880 1341065 )
NEW met2 ( 1298640 1341065 ) ( 1298640 1389350 0 )
NEW met1 ( 1112880 1341065 ) ( 1298640 1341065 )
NEW met1 ( 1112880 1341065 ) M1M2_PR
NEW met1 ( 1298640 1341065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[1\] ( mprj la_data_in[1] ) ( mgmt_buffers la_data_in_core[1] )
+ ROUTED met2 ( 1072560 1325710 0 ) ( 1072560 1354015 )
NEW met2 ( 977520 1354015 ) ( 977520 1389350 0 )
NEW met1 ( 977520 1354015 ) ( 1072560 1354015 )
NEW met1 ( 1072560 1354015 ) M1M2_PR
NEW met1 ( 977520 1354015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[20\] ( mprj la_data_in[20] ) ( mgmt_buffers la_data_in_core[20] )
+ ROUTED met2 ( 1114080 1325710 0 ) ( 1115280 1325710 )
NEW met2 ( 1115280 1325710 ) ( 1115280 1373255 )
NEW met2 ( 1316880 1373255 ) ( 1316880 1389350 0 )
NEW met1 ( 1115280 1373255 ) ( 1316880 1373255 )
NEW met1 ( 1115280 1373255 ) M1M2_PR
NEW met1 ( 1316880 1373255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[21\] ( mprj la_data_in[21] ) ( mgmt_buffers la_data_in_core[21] )
+ ROUTED met2 ( 1116240 1325710 0 ) ( 1116240 1340695 )
NEW met2 ( 1334160 1340695 ) ( 1334160 1389350 0 )
NEW met1 ( 1116240 1340695 ) ( 1334160 1340695 )
NEW met1 ( 1116240 1340695 ) M1M2_PR
NEW met1 ( 1334160 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[22\] ( mprj la_data_in[22] ) ( mgmt_buffers la_data_in_core[22] )
+ ROUTED met2 ( 1118640 1325710 0 ) ( 1118640 1357345 )
NEW met2 ( 1339440 1357345 ) ( 1339440 1364375 )
NEW met1 ( 1339440 1364375 ) ( 1351920 1364375 )
NEW met2 ( 1351920 1364375 ) ( 1351920 1389350 0 )
NEW met1 ( 1118640 1357345 ) ( 1339440 1357345 )
NEW met1 ( 1118640 1357345 ) M1M2_PR
NEW met1 ( 1339440 1357345 ) M1M2_PR
NEW met1 ( 1339440 1364375 ) M1M2_PR
NEW met1 ( 1351920 1364375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[23\] ( mprj la_data_in[23] ) ( mgmt_buffers la_data_in_core[23] )
+ ROUTED met2 ( 1120560 1325710 0 ) ( 1120560 1359935 )
NEW met2 ( 1339920 1357345 ) ( 1339920 1359935 )
NEW met1 ( 1339920 1357345 ) ( 1370160 1357345 )
NEW met2 ( 1370160 1357345 ) ( 1370160 1389350 0 )
NEW met1 ( 1120560 1359935 ) ( 1339920 1359935 )
NEW met1 ( 1120560 1359935 ) M1M2_PR
NEW met1 ( 1339920 1359935 ) M1M2_PR
NEW met1 ( 1339920 1357345 ) M1M2_PR
NEW met1 ( 1370160 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[24\] ( mprj la_data_in[24] ) ( mgmt_buffers la_data_in_core[24] )
+ ROUTED met2 ( 1122720 1325710 0 ) ( 1122960 1325710 )
NEW met2 ( 1122960 1325710 ) ( 1122960 1367335 )
NEW met2 ( 1357680 1364375 ) ( 1357680 1367335 )
NEW met1 ( 1357680 1364375 ) ( 1387920 1364375 )
NEW met2 ( 1387920 1364375 ) ( 1387920 1389350 0 )
NEW met1 ( 1122960 1367335 ) ( 1357680 1367335 )
NEW met1 ( 1122960 1367335 ) M1M2_PR
NEW met1 ( 1357680 1367335 ) M1M2_PR
NEW met1 ( 1357680 1364375 ) M1M2_PR
NEW met1 ( 1387920 1364375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[25\] ( mprj la_data_in[25] ) ( mgmt_buffers la_data_in_core[25] )
+ ROUTED met2 ( 1125120 1325710 0 ) ( 1126320 1325710 )
NEW met2 ( 1126320 1325710 ) ( 1126320 1369925 )
NEW met2 ( 1405680 1369925 ) ( 1405680 1389350 0 )
NEW met1 ( 1126320 1369925 ) ( 1405680 1369925 )
NEW met1 ( 1126320 1369925 ) M1M2_PR
NEW met1 ( 1405680 1369925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[26\] ( mprj la_data_in[26] ) ( mgmt_buffers la_data_in_core[26] )
+ ROUTED met2 ( 1127280 1325710 0 ) ( 1127280 1370295 )
NEW met2 ( 1423440 1370295 ) ( 1423440 1389350 0 )
NEW met1 ( 1127280 1370295 ) ( 1423440 1370295 )
NEW met1 ( 1127280 1370295 ) M1M2_PR
NEW met1 ( 1423440 1370295 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[27\] ( mprj la_data_in[27] ) ( mgmt_buffers la_data_in_core[27] )
+ ROUTED met2 ( 1129200 1325710 0 ) ( 1129200 1344025 )
NEW met2 ( 1441200 1344025 ) ( 1441200 1389350 0 )
NEW met1 ( 1129200 1344025 ) ( 1441200 1344025 )
NEW met1 ( 1129200 1344025 ) M1M2_PR
NEW met1 ( 1441200 1344025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[28\] ( mprj la_data_in[28] ) ( mgmt_buffers la_data_in_core[28] )
+ ROUTED met2 ( 1131600 1325710 0 ) ( 1131600 1371405 )
NEW met2 ( 1459440 1371405 ) ( 1459440 1389350 0 )
NEW met1 ( 1131600 1371405 ) ( 1459440 1371405 )
NEW met1 ( 1131600 1371405 ) M1M2_PR
NEW met1 ( 1459440 1371405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[29\] ( mprj la_data_in[29] ) ( mgmt_buffers la_data_in_core[29] )
+ ROUTED met2 ( 1133760 1325710 0 ) ( 1134960 1325710 )
NEW met2 ( 1134960 1325710 ) ( 1134960 1349205 )
NEW met2 ( 1477200 1349205 ) ( 1477200 1389350 0 )
NEW met1 ( 1134960 1349205 ) ( 1477200 1349205 )
NEW met1 ( 1134960 1349205 ) M1M2_PR
NEW met1 ( 1477200 1349205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[2\] ( mprj la_data_in[2] ) ( mgmt_buffers la_data_in_core[2] )
+ ROUTED met2 ( 1074960 1325710 0 ) ( 1074960 1356235 )
NEW met1 ( 995760 1356235 ) ( 1074960 1356235 )
NEW met2 ( 995760 1356235 ) ( 995760 1389350 0 )
NEW met1 ( 1074960 1356235 ) M1M2_PR
NEW met1 ( 995760 1356235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[30\] ( mprj la_data_in[30] ) ( mgmt_buffers la_data_in_core[30] )
+ ROUTED met2 ( 1136160 1325710 0 ) ( 1137360 1325710 )
NEW met2 ( 1137360 1325710 ) ( 1137360 1378805 )
NEW met2 ( 1494960 1378805 ) ( 1494960 1389350 0 )
NEW met1 ( 1137360 1378805 ) ( 1494960 1378805 )
NEW met1 ( 1137360 1378805 ) M1M2_PR
NEW met1 ( 1494960 1378805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[31\] ( mprj la_data_in[31] ) ( mgmt_buffers la_data_in_core[31] )
+ ROUTED met2 ( 1137840 1325710 0 ) ( 1137840 1347355 )
NEW met2 ( 1513200 1347355 ) ( 1513200 1389350 )
NEW met2 ( 1512720 1389350 0 ) ( 1513200 1389350 )
NEW met1 ( 1137840 1347355 ) ( 1513200 1347355 )
NEW met1 ( 1137840 1347355 ) M1M2_PR
NEW met1 ( 1513200 1347355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[32\] ( mprj la_data_in[32] ) ( mgmt_buffers la_data_in_core[32] )
+ ROUTED met2 ( 1140240 1325710 0 ) ( 1140240 1375845 )
NEW met2 ( 1530480 1375845 ) ( 1530480 1389350 0 )
NEW met1 ( 1140240 1375845 ) ( 1530480 1375845 )
NEW met1 ( 1140240 1375845 ) M1M2_PR
NEW met1 ( 1530480 1375845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[33\] ( mprj la_data_in[33] ) ( mgmt_buffers la_data_in_core[33] )
+ ROUTED met2 ( 1142640 1325710 0 ) ( 1142640 1346615 )
NEW met2 ( 1548720 1346615 ) ( 1548720 1389350 0 )
NEW met1 ( 1142640 1346615 ) ( 1548720 1346615 )
NEW met1 ( 1142640 1346615 ) M1M2_PR
NEW met1 ( 1548720 1346615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[34\] ( mprj la_data_in[34] ) ( mgmt_buffers la_data_in_core[34] )
+ ROUTED met2 ( 1144320 1325710 0 ) ( 1145520 1325710 )
NEW met2 ( 1145520 1325710 ) ( 1145520 1375105 )
NEW met2 ( 1566480 1375105 ) ( 1566480 1389350 0 )
NEW met1 ( 1145520 1375105 ) ( 1566480 1375105 )
NEW met1 ( 1145520 1375105 ) M1M2_PR
NEW met1 ( 1566480 1375105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[35\] ( mprj la_data_in[35] ) ( mgmt_buffers la_data_in_core[35] )
+ ROUTED met2 ( 1146720 1325710 0 ) ( 1147920 1325710 )
NEW met2 ( 1147920 1325710 ) ( 1147920 1344765 )
NEW met2 ( 1569840 1344025 ) ( 1569840 1344765 )
NEW met1 ( 1569840 1344025 ) ( 1582320 1344025 )
NEW met2 ( 1582320 1344025 ) ( 1582320 1389350 )
NEW met2 ( 1582320 1389350 ) ( 1583760 1389350 0 )
NEW met1 ( 1147920 1344765 ) ( 1569840 1344765 )
NEW met1 ( 1147920 1344765 ) M1M2_PR
NEW met1 ( 1569840 1344765 ) M1M2_PR
NEW met1 ( 1569840 1344025 ) M1M2_PR
NEW met1 ( 1582320 1344025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[36\] ( mprj la_data_in[36] ) ( mgmt_buffers la_data_in_core[36] )
+ ROUTED met2 ( 1148880 1325710 0 ) ( 1148880 1368815 )
NEW met2 ( 1600560 1368815 ) ( 1600560 1389350 )
NEW met2 ( 1600560 1389350 ) ( 1602000 1389350 0 )
NEW met1 ( 1148880 1368815 ) ( 1600560 1368815 )
NEW met1 ( 1148880 1368815 ) M1M2_PR
NEW met1 ( 1600560 1368815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[37\] ( mprj la_data_in[37] ) ( mgmt_buffers la_data_in_core[37] )
+ ROUTED met2 ( 1151280 1325710 0 ) ( 1151280 1353275 )
NEW met2 ( 1551600 1349205 ) ( 1551600 1353275 )
NEW met1 ( 1551600 1349205 ) ( 1616880 1349205 )
NEW met2 ( 1616880 1349205 ) ( 1616880 1389350 )
NEW met2 ( 1616880 1389350 ) ( 1619760 1389350 0 )
NEW met1 ( 1151280 1353275 ) ( 1551600 1353275 )
NEW met1 ( 1151280 1353275 ) M1M2_PR
NEW met1 ( 1551600 1353275 ) M1M2_PR
NEW met1 ( 1551600 1349205 ) M1M2_PR
NEW met1 ( 1616880 1349205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[38\] ( mprj la_data_in[38] ) ( mgmt_buffers la_data_in_core[38] )
+ ROUTED met2 ( 1153200 1325710 0 ) ( 1153200 1382135 )
NEW met1 ( 1626960 1382135 ) ( 1626960 1382505 )
NEW met1 ( 1626960 1382505 ) ( 1636080 1382505 )
NEW met2 ( 1636080 1382505 ) ( 1636080 1389350 )
NEW met2 ( 1636080 1389350 ) ( 1637520 1389350 0 )
NEW met1 ( 1153200 1382135 ) ( 1626960 1382135 )
NEW met1 ( 1153200 1382135 ) M1M2_PR
NEW met1 ( 1636080 1382505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[39\] ( mprj la_data_in[39] ) ( mgmt_buffers la_data_in_core[39] )
+ ROUTED met2 ( 1155360 1325710 0 ) ( 1156560 1325710 )
NEW met2 ( 1156560 1325710 ) ( 1156560 1352905 )
NEW met2 ( 1642800 1351795 ) ( 1642800 1352905 )
NEW met1 ( 1642800 1351795 ) ( 1655760 1351795 )
NEW met2 ( 1655760 1351795 ) ( 1655760 1389350 0 )
NEW met1 ( 1156560 1352905 ) ( 1642800 1352905 )
NEW met1 ( 1156560 1352905 ) M1M2_PR
NEW met1 ( 1642800 1352905 ) M1M2_PR
NEW met1 ( 1642800 1351795 ) M1M2_PR
NEW met1 ( 1655760 1351795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[3\] ( mprj la_data_in[3] ) ( mgmt_buffers la_data_in_core[3] )
+ ROUTED met2 ( 1077360 1325710 0 ) ( 1077360 1355495 )
NEW met1 ( 1013040 1355495 ) ( 1077360 1355495 )
NEW met2 ( 1013040 1355495 ) ( 1013040 1389350 0 )
NEW met1 ( 1077360 1355495 ) M1M2_PR
NEW met1 ( 1013040 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[40\] ( mprj la_data_in[40] ) ( mgmt_buffers la_data_in_core[40] )
+ ROUTED met2 ( 1157760 1325710 0 ) ( 1158960 1325710 )
NEW met2 ( 1158960 1325710 ) ( 1158960 1368445 )
NEW met2 ( 1627440 1367705 ) ( 1627440 1368445 )
NEW met1 ( 1158960 1368445 ) ( 1627440 1368445 )
NEW met2 ( 1673040 1367705 ) ( 1673040 1389350 0 )
NEW met1 ( 1627440 1367705 ) ( 1673040 1367705 )
NEW met1 ( 1158960 1368445 ) M1M2_PR
NEW met1 ( 1627440 1368445 ) M1M2_PR
NEW met1 ( 1627440 1367705 ) M1M2_PR
NEW met1 ( 1673040 1367705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[41\] ( mprj la_data_in[41] ) ( mgmt_buffers la_data_in_core[41] )
+ ROUTED met2 ( 1159920 1325710 0 ) ( 1159920 1381395 )
NEW met2 ( 1691280 1381395 ) ( 1691280 1389350 0 )
NEW met1 ( 1159920 1381395 ) ( 1691280 1381395 )
NEW met1 ( 1159920 1381395 ) M1M2_PR
NEW met1 ( 1691280 1381395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[42\] ( mprj la_data_in[42] ) ( mgmt_buffers la_data_in_core[42] )
+ ROUTED met2 ( 1161840 1325710 0 ) ( 1161840 1381025 )
NEW met2 ( 1709040 1381025 ) ( 1709040 1389350 0 )
NEW met1 ( 1161840 1381025 ) ( 1709040 1381025 )
NEW met1 ( 1161840 1381025 ) M1M2_PR
NEW met1 ( 1709040 1381025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[43\] ( mprj la_data_in[43] ) ( mgmt_buffers la_data_in_core[43] )
+ ROUTED met2 ( 1164240 1325710 0 ) ( 1164240 1351795 )
NEW met2 ( 1726800 1356605 ) ( 1726800 1389350 0 )
NEW met2 ( 1641840 1351795 ) ( 1641840 1353275 )
NEW met1 ( 1641840 1353275 ) ( 1648560 1353275 )
NEW met2 ( 1648560 1353275 ) ( 1648560 1355495 )
NEW met1 ( 1648560 1355495 ) ( 1650000 1355495 )
NEW met1 ( 1650000 1355495 ) ( 1650000 1355865 )
NEW met1 ( 1650000 1355865 ) ( 1705200 1355865 )
NEW met1 ( 1705200 1355865 ) ( 1705200 1356605 )
NEW met1 ( 1164240 1351795 ) ( 1641840 1351795 )
NEW met1 ( 1705200 1356605 ) ( 1726800 1356605 )
NEW met1 ( 1164240 1351795 ) M1M2_PR
NEW met1 ( 1726800 1356605 ) M1M2_PR
NEW met1 ( 1641840 1351795 ) M1M2_PR
NEW met1 ( 1641840 1353275 ) M1M2_PR
NEW met1 ( 1648560 1353275 ) M1M2_PR
NEW met1 ( 1648560 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[44\] ( mprj la_data_in[44] ) ( mgmt_buffers la_data_in_core[44] )
+ ROUTED met2 ( 1166400 1325710 0 ) ( 1167600 1325710 )
NEW met2 ( 1167600 1325710 ) ( 1167600 1379545 )
NEW met2 ( 1745040 1379545 ) ( 1745040 1389350 0 )
NEW met1 ( 1167600 1379545 ) ( 1745040 1379545 )
NEW met1 ( 1167600 1379545 ) M1M2_PR
NEW met1 ( 1745040 1379545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[45\] ( mprj la_data_in[45] ) ( mgmt_buffers la_data_in_core[45] )
+ ROUTED met2 ( 1168800 1325710 0 ) ( 1170000 1325710 )
NEW met2 ( 1170000 1325710 ) ( 1170000 1351055 )
NEW met2 ( 1762320 1351795 ) ( 1762320 1389350 0 )
NEW met2 ( 1695120 1351055 ) ( 1695120 1351795 )
NEW met1 ( 1170000 1351055 ) ( 1695120 1351055 )
NEW met1 ( 1695120 1351795 ) ( 1762320 1351795 )
NEW met1 ( 1170000 1351055 ) M1M2_PR
NEW met1 ( 1762320 1351795 ) M1M2_PR
NEW met1 ( 1695120 1351055 ) M1M2_PR
NEW met1 ( 1695120 1351795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[46\] ( mprj la_data_in[46] ) ( mgmt_buffers la_data_in_core[46] )
+ ROUTED met2 ( 1170480 1325710 0 ) ( 1170480 1378065 )
NEW met2 ( 1780560 1378065 ) ( 1780560 1389350 0 )
NEW met1 ( 1170480 1378065 ) ( 1780560 1378065 )
NEW met1 ( 1170480 1378065 ) M1M2_PR
NEW met1 ( 1780560 1378065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[47\] ( mprj la_data_in[47] ) ( mgmt_buffers la_data_in_core[47] )
+ ROUTED met2 ( 1172880 1325710 0 ) ( 1172880 1350315 )
NEW met2 ( 1713840 1350315 ) ( 1713840 1354015 )
NEW met1 ( 1713840 1354015 ) ( 1798320 1354015 )
NEW met2 ( 1798320 1354015 ) ( 1798320 1389350 0 )
NEW met1 ( 1172880 1350315 ) ( 1713840 1350315 )
NEW met1 ( 1172880 1350315 ) M1M2_PR
NEW met1 ( 1713840 1350315 ) M1M2_PR
NEW met1 ( 1713840 1354015 ) M1M2_PR
NEW met1 ( 1798320 1354015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[48\] ( mprj la_data_in[48] ) ( mgmt_buffers la_data_in_core[48] )
+ ROUTED met2 ( 1175280 1325710 0 ) ( 1175280 1376955 )
NEW met2 ( 1816080 1376955 ) ( 1816080 1389350 0 )
NEW met1 ( 1175280 1376955 ) ( 1816080 1376955 )
NEW met1 ( 1175280 1376955 ) M1M2_PR
NEW met1 ( 1816080 1376955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[49\] ( mprj la_data_in[49] ) ( mgmt_buffers la_data_in_core[49] )
+ ROUTED met2 ( 1177440 1325710 0 ) ( 1178640 1325710 )
NEW met2 ( 1178640 1325710 ) ( 1178640 1348835 )
NEW met2 ( 1832400 1348835 ) ( 1832400 1389350 )
NEW met2 ( 1832400 1389350 ) ( 1833840 1389350 0 )
NEW met1 ( 1178640 1348835 ) ( 1832400 1348835 )
NEW met1 ( 1178640 1348835 ) M1M2_PR
NEW met1 ( 1832400 1348835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[4\] ( mprj la_data_in[4] ) ( mgmt_buffers la_data_in_core[4] )
+ ROUTED met2 ( 1077840 1325710 ) ( 1079040 1325710 0 )
NEW met2 ( 1077840 1325710 ) ( 1077840 1353645 )
NEW met1 ( 1031280 1353645 ) ( 1077840 1353645 )
NEW met2 ( 1031280 1353645 ) ( 1031280 1389350 0 )
NEW met1 ( 1077840 1353645 ) M1M2_PR
NEW met1 ( 1031280 1353645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[50\] ( mprj la_data_in[50] ) ( mgmt_buffers la_data_in_core[50] )
+ ROUTED met2 ( 1179360 1325710 0 ) ( 1180080 1325710 )
NEW met2 ( 1180080 1325710 ) ( 1180080 1376585 )
NEW met2 ( 1851600 1376585 ) ( 1851600 1389350 0 )
NEW met1 ( 1180080 1376585 ) ( 1851600 1376585 )
NEW met1 ( 1180080 1376585 ) M1M2_PR
NEW met1 ( 1851600 1376585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[51\] ( mprj la_data_in[51] ) ( mgmt_buffers la_data_in_core[51] )
+ ROUTED met2 ( 1181520 1325710 0 ) ( 1181520 1347725 )
NEW met2 ( 1792560 1347725 ) ( 1792560 1352905 )
NEW met1 ( 1181520 1347725 ) ( 1792560 1347725 )
NEW met2 ( 1853520 1352905 ) ( 1853520 1354015 )
NEW met1 ( 1853520 1354015 ) ( 1867920 1354015 )
NEW met2 ( 1867920 1354015 ) ( 1867920 1389350 )
NEW met2 ( 1867920 1389350 ) ( 1869360 1389350 0 )
NEW met1 ( 1792560 1352905 ) ( 1853520 1352905 )
NEW met1 ( 1181520 1347725 ) M1M2_PR
NEW met1 ( 1792560 1347725 ) M1M2_PR
NEW met1 ( 1792560 1352905 ) M1M2_PR
NEW met1 ( 1853520 1352905 ) M1M2_PR
NEW met1 ( 1853520 1354015 ) M1M2_PR
NEW met1 ( 1867920 1354015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[52\] ( mprj la_data_in[52] ) ( mgmt_buffers la_data_in_core[52] )
+ ROUTED met2 ( 1183920 1325710 0 ) ( 1183920 1375475 )
NEW met2 ( 1887600 1375475 ) ( 1887600 1389350 0 )
NEW met1 ( 1183920 1375475 ) ( 1887600 1375475 )
NEW met1 ( 1183920 1375475 ) M1M2_PR
NEW met1 ( 1887600 1375475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[53\] ( mprj la_data_in[53] ) ( mgmt_buffers la_data_in_core[53] )
+ ROUTED met2 ( 1185840 1325710 0 ) ( 1185840 1342175 )
NEW met2 ( 1788240 1342175 ) ( 1788240 1351055 )
NEW met1 ( 1185840 1342175 ) ( 1788240 1342175 )
NEW met2 ( 1830960 1351055 ) ( 1830960 1356605 )
NEW met1 ( 1830960 1356605 ) ( 1852080 1356605 )
NEW met2 ( 1852080 1356605 ) ( 1852080 1358270 )
NEW met1 ( 1788240 1351055 ) ( 1830960 1351055 )
NEW met2 ( 1902960 1358270 ) ( 1902960 1389350 )
NEW met2 ( 1902960 1389350 ) ( 1905360 1389350 0 )
NEW met3 ( 1852080 1358270 ) ( 1902960 1358270 )
NEW met1 ( 1185840 1342175 ) M1M2_PR
NEW met1 ( 1788240 1342175 ) M1M2_PR
NEW met1 ( 1788240 1351055 ) M1M2_PR
NEW met1 ( 1830960 1351055 ) M1M2_PR
NEW met1 ( 1830960 1356605 ) M1M2_PR
NEW met1 ( 1852080 1356605 ) M1M2_PR
NEW met2 ( 1852080 1358270 ) via2_FR
NEW met2 ( 1902960 1358270 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[54\] ( mprj la_data_in[54] ) ( mgmt_buffers la_data_in_core[54] )
+ ROUTED met2 ( 1188000 1325710 0 ) ( 1189200 1325710 )
NEW met2 ( 1189200 1325710 ) ( 1189200 1371775 )
NEW met2 ( 1863120 1371775 ) ( 1863120 1376585 )
NEW met1 ( 1863120 1376585 ) ( 1923120 1376585 )
NEW met2 ( 1923120 1376585 ) ( 1923120 1389350 0 )
NEW met1 ( 1189200 1371775 ) ( 1863120 1371775 )
NEW met1 ( 1189200 1371775 ) M1M2_PR
NEW met1 ( 1863120 1371775 ) M1M2_PR
NEW met1 ( 1863120 1376585 ) M1M2_PR
NEW met1 ( 1923120 1376585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[55\] ( mprj la_data_in[55] ) ( mgmt_buffers la_data_in_core[55] )
+ ROUTED met2 ( 1190400 1325710 0 ) ( 1191600 1325710 )
NEW met2 ( 1191600 1325710 ) ( 1191600 1342545 )
NEW met2 ( 1855440 1342545 ) ( 1855440 1343470 )
NEW met2 ( 1855440 1343470 ) ( 1857840 1343470 )
NEW met2 ( 1857840 1343470 ) ( 1857840 1344025 )
NEW met1 ( 1857840 1344025 ) ( 1865040 1344025 )
NEW met2 ( 1865040 1344025 ) ( 1865040 1344210 )
NEW met2 ( 1865040 1344210 ) ( 1866000 1344210 )
NEW met2 ( 1866000 1343285 ) ( 1866000 1344210 )
NEW met1 ( 1866000 1343285 ) ( 1885200 1343285 )
NEW met2 ( 1885200 1340510 ) ( 1885200 1343285 )
NEW met2 ( 1885200 1340510 ) ( 1887600 1340510 )
NEW met2 ( 1887600 1339215 ) ( 1887600 1340510 )
NEW met1 ( 1887600 1339215 ) ( 1892400 1339215 )
NEW met1 ( 1896720 1339585 ) ( 1932720 1339585 )
NEW met2 ( 1932720 1339585 ) ( 1932720 1363265 )
NEW met1 ( 1932720 1363265 ) ( 1939440 1363265 )
NEW met2 ( 1939440 1363265 ) ( 1939440 1389350 )
NEW met2 ( 1939440 1389350 ) ( 1940880 1389350 0 )
NEW met1 ( 1191600 1342545 ) ( 1855440 1342545 )
NEW met1 ( 1892400 1338475 ) ( 1896720 1338475 )
NEW met2 ( 1892400 1338475 ) ( 1892400 1339215 )
NEW met2 ( 1896720 1338475 ) ( 1896720 1339585 )
NEW met1 ( 1191600 1342545 ) M1M2_PR
NEW met1 ( 1855440 1342545 ) M1M2_PR
NEW met1 ( 1857840 1344025 ) M1M2_PR
NEW met1 ( 1865040 1344025 ) M1M2_PR
NEW met1 ( 1866000 1343285 ) M1M2_PR
NEW met1 ( 1885200 1343285 ) M1M2_PR
NEW met1 ( 1887600 1339215 ) M1M2_PR
NEW met1 ( 1892400 1339215 ) M1M2_PR
NEW met1 ( 1896720 1339585 ) M1M2_PR
NEW met1 ( 1932720 1339585 ) M1M2_PR
NEW met1 ( 1932720 1363265 ) M1M2_PR
NEW met1 ( 1939440 1363265 ) M1M2_PR
NEW met1 ( 1892400 1338475 ) M1M2_PR
NEW met1 ( 1896720 1338475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[56\] ( mprj la_data_in[56] ) ( mgmt_buffers la_data_in_core[56] )
+ ROUTED met2 ( 1192560 1325710 0 ) ( 1192560 1372145 )
NEW met2 ( 1864080 1360490 ) ( 1864080 1372145 )
NEW met3 ( 1864080 1360490 ) ( 1881840 1360490 )
NEW met2 ( 1881840 1355865 ) ( 1881840 1360490 )
NEW met1 ( 1881840 1355865 ) ( 1890480 1355865 )
NEW met2 ( 1890480 1355865 ) ( 1890480 1361045 )
NEW met2 ( 1890480 1361045 ) ( 1893840 1361045 )
NEW met1 ( 1893840 1361045 ) ( 1894800 1361045 )
NEW met2 ( 1894800 1361045 ) ( 1894800 1364375 )
NEW met1 ( 1192560 1372145 ) ( 1864080 1372145 )
NEW met2 ( 1903920 1363265 ) ( 1903920 1364375 )
NEW met1 ( 1903920 1363265 ) ( 1906800 1363265 )
NEW met2 ( 1906800 1358270 ) ( 1906800 1363265 )
NEW met3 ( 1906800 1358270 ) ( 1914480 1358270 )
NEW met2 ( 1914480 1358270 ) ( 1914480 1370110 )
NEW met2 ( 1914480 1370110 ) ( 1916400 1370110 )
NEW met2 ( 1916400 1368815 ) ( 1916400 1370110 )
NEW met1 ( 1916400 1368815 ) ( 1958640 1368815 )
NEW met2 ( 1958640 1368815 ) ( 1958640 1389350 0 )
NEW met1 ( 1894800 1364375 ) ( 1903920 1364375 )
NEW met1 ( 1192560 1372145 ) M1M2_PR
NEW met1 ( 1864080 1372145 ) M1M2_PR
NEW met2 ( 1864080 1360490 ) via2_FR
NEW met2 ( 1881840 1360490 ) via2_FR
NEW met1 ( 1881840 1355865 ) M1M2_PR
NEW met1 ( 1890480 1355865 ) M1M2_PR
NEW met1 ( 1893840 1361045 ) M1M2_PR
NEW met1 ( 1894800 1361045 ) M1M2_PR
NEW met1 ( 1894800 1364375 ) M1M2_PR
NEW met1 ( 1903920 1364375 ) M1M2_PR
NEW met1 ( 1903920 1363265 ) M1M2_PR
NEW met1 ( 1906800 1363265 ) M1M2_PR
NEW met2 ( 1906800 1358270 ) via2_FR
NEW met2 ( 1914480 1358270 ) via2_FR
NEW met1 ( 1916400 1368815 ) M1M2_PR
NEW met1 ( 1958640 1368815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[57\] ( mprj la_data_in[57] ) ( mgmt_buffers la_data_in_core[57] )
+ ROUTED met2 ( 1194480 1325710 0 ) ( 1194480 1342915 )
NEW met2 ( 1976880 1342545 ) ( 1976880 1389350 0 )
NEW met2 ( 1865040 1339215 ) ( 1865040 1342915 )
NEW met1 ( 1865040 1339215 ) ( 1886640 1339215 )
NEW met2 ( 1886640 1338105 ) ( 1886640 1339215 )
NEW met1 ( 1886640 1338105 ) ( 1912560 1338105 )
NEW met2 ( 1912560 1338105 ) ( 1912560 1342545 )
NEW met1 ( 1194480 1342915 ) ( 1865040 1342915 )
NEW met1 ( 1912560 1342545 ) ( 1976880 1342545 )
NEW met1 ( 1194480 1342915 ) M1M2_PR
NEW met1 ( 1976880 1342545 ) M1M2_PR
NEW met1 ( 1865040 1342915 ) M1M2_PR
NEW met1 ( 1865040 1339215 ) M1M2_PR
NEW met1 ( 1886640 1339215 ) M1M2_PR
NEW met1 ( 1886640 1338105 ) M1M2_PR
NEW met1 ( 1912560 1338105 ) M1M2_PR
NEW met1 ( 1912560 1342545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[58\] ( mprj la_data_in[58] ) ( mgmt_buffers la_data_in_core[58] )
+ ROUTED met2 ( 1196880 1325710 0 ) ( 1196880 1372515 )
NEW met2 ( 1993200 1372515 ) ( 1993200 1389350 )
NEW met2 ( 1993200 1389350 ) ( 1994640 1389350 0 )
NEW met1 ( 1196880 1372515 ) ( 1993200 1372515 )
NEW met1 ( 1196880 1372515 ) M1M2_PR
NEW met1 ( 1993200 1372515 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[59\] ( mprj la_data_in[59] ) ( mgmt_buffers la_data_in_core[59] )
+ ROUTED met2 ( 1199040 1325710 0 ) ( 1200240 1325710 )
NEW met2 ( 1200240 1325710 ) ( 1200240 1343655 )
NEW met2 ( 2012400 1342915 ) ( 2012400 1389350 0 )
NEW met2 ( 1893360 1342915 ) ( 1893360 1343655 )
NEW met1 ( 1200240 1343655 ) ( 1893360 1343655 )
NEW met1 ( 1893360 1342915 ) ( 2012400 1342915 )
NEW met1 ( 1200240 1343655 ) M1M2_PR
NEW met1 ( 2012400 1342915 ) M1M2_PR
NEW met1 ( 1893360 1343655 ) M1M2_PR
NEW met1 ( 1893360 1342915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[5\] ( mprj la_data_in[5] ) ( mgmt_buffers la_data_in_core[5] )
+ ROUTED met1 ( 1049040 1357345 ) ( 1061040 1357345 )
NEW met1 ( 1061040 1356975 ) ( 1061040 1357345 )
NEW met2 ( 1049040 1357345 ) ( 1049040 1389350 0 )
NEW met2 ( 1080720 1325710 ) ( 1081440 1325710 0 )
NEW met2 ( 1080720 1325710 ) ( 1080720 1356975 )
NEW met1 ( 1061040 1356975 ) ( 1080720 1356975 )
NEW met1 ( 1049040 1357345 ) M1M2_PR
NEW met1 ( 1080720 1356975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[60\] ( mprj la_data_in[60] ) ( mgmt_buffers la_data_in_core[60] )
+ ROUTED met2 ( 1201440 1325710 0 ) ( 1202640 1325710 )
NEW met2 ( 1202640 1325710 ) ( 1202640 1372885 )
NEW met2 ( 2030160 1372885 ) ( 2030160 1389350 0 )
NEW met1 ( 1202640 1372885 ) ( 2030160 1372885 )
NEW met1 ( 1202640 1372885 ) M1M2_PR
NEW met1 ( 2030160 1372885 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[61\] ( mprj la_data_in[61] ) ( mgmt_buffers la_data_in_core[61] )
+ ROUTED met2 ( 1203360 1325710 0 ) ( 1204560 1325710 )
NEW met2 ( 1204560 1325710 ) ( 1204560 1344395 )
NEW met2 ( 2047920 1344395 ) ( 2047920 1389350 0 )
NEW met1 ( 1892400 1344395 ) ( 1892400 1344765 )
NEW met1 ( 1892400 1344765 ) ( 1893360 1344765 )
NEW met1 ( 1893360 1344395 ) ( 1893360 1344765 )
NEW met1 ( 1204560 1344395 ) ( 1892400 1344395 )
NEW met1 ( 1893360 1344395 ) ( 2047920 1344395 )
NEW met1 ( 1204560 1344395 ) M1M2_PR
NEW met1 ( 2047920 1344395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[62\] ( mprj la_data_in[62] ) ( mgmt_buffers la_data_in_core[62] )
+ ROUTED met2 ( 1205520 1325710 0 ) ( 1205520 1373625 )
NEW met2 ( 2066160 1373625 ) ( 2066160 1389350 0 )
NEW met1 ( 1205520 1373625 ) ( 2066160 1373625 )
NEW met1 ( 1205520 1373625 ) M1M2_PR
NEW met1 ( 2066160 1373625 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[63\] ( mprj la_data_in[63] ) ( mgmt_buffers la_data_in_core[63] )
+ ROUTED met2 ( 1207920 1325710 0 ) ( 1207920 1345135 )
NEW met2 ( 2083440 1345135 ) ( 2083440 1389350 0 )
NEW met1 ( 1207920 1345135 ) ( 2083440 1345135 )
NEW met1 ( 1207920 1345135 ) M1M2_PR
NEW met1 ( 2083440 1345135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[64\] ( mprj la_data_in[64] ) ( mgmt_buffers la_data_in_core[64] )
+ ROUTED met2 ( 1210080 1325710 0 ) ( 1211280 1325710 )
NEW met2 ( 1211280 1325710 ) ( 1211280 1373995 )
NEW met2 ( 2101200 1373995 ) ( 2101200 1389350 0 )
NEW met1 ( 1211280 1373995 ) ( 2101200 1373995 )
NEW met1 ( 1211280 1373995 ) M1M2_PR
NEW met1 ( 2101200 1373995 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[65\] ( mprj la_data_in[65] ) ( mgmt_buffers la_data_in_core[65] )
+ ROUTED met2 ( 1212000 1325710 0 ) ( 1213200 1325710 )
NEW met2 ( 1213200 1325710 ) ( 1213200 1345505 )
NEW met2 ( 2119440 1345505 ) ( 2119440 1389350 0 )
NEW met1 ( 1213200 1345505 ) ( 2119440 1345505 )
NEW met1 ( 1213200 1345505 ) M1M2_PR
NEW met1 ( 2119440 1345505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[66\] ( mprj la_data_in[66] ) ( mgmt_buffers la_data_in_core[66] )
+ ROUTED met2 ( 1214400 1325710 0 ) ( 1215120 1325710 )
NEW met2 ( 1215120 1325710 ) ( 1215120 1374735 )
NEW met2 ( 2137200 1374735 ) ( 2137200 1389350 0 )
NEW met1 ( 1215120 1374735 ) ( 2137200 1374735 )
NEW met1 ( 1215120 1374735 ) M1M2_PR
NEW met1 ( 2137200 1374735 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[67\] ( mprj la_data_in[67] ) ( mgmt_buffers la_data_in_core[67] )
+ ROUTED met2 ( 1216560 1325710 0 ) ( 1216560 1345875 )
NEW met2 ( 2155440 1345875 ) ( 2155440 1389350 0 )
NEW met1 ( 1216560 1345875 ) ( 2155440 1345875 )
NEW met1 ( 1216560 1345875 ) M1M2_PR
NEW met1 ( 2155440 1345875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[68\] ( mprj la_data_in[68] ) ( mgmt_buffers la_data_in_core[68] )
+ ROUTED met2 ( 1218960 1325710 0 ) ( 1218960 1381765 )
NEW met2 ( 2172720 1381765 ) ( 2172720 1389350 0 )
NEW met1 ( 1218960 1381765 ) ( 2172720 1381765 )
NEW met1 ( 1218960 1381765 ) M1M2_PR
NEW met1 ( 2172720 1381765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[69\] ( mprj la_data_in[69] ) ( mgmt_buffers la_data_in_core[69] )
+ ROUTED met2 ( 1220880 1325710 0 ) ( 1220880 1352165 )
NEW met2 ( 2190480 1352165 ) ( 2190480 1389350 0 )
NEW met1 ( 1220880 1352165 ) ( 2190480 1352165 )
NEW met1 ( 1220880 1352165 ) M1M2_PR
NEW met1 ( 2190480 1352165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[6\] ( mprj la_data_in[6] ) ( mgmt_buffers la_data_in_core[6] )
+ ROUTED met2 ( 1066800 1356605 ) ( 1066800 1389350 0 )
NEW met2 ( 1083600 1325710 0 ) ( 1083600 1356605 )
NEW met1 ( 1066800 1356605 ) ( 1083600 1356605 )
NEW met1 ( 1066800 1356605 ) M1M2_PR
NEW met1 ( 1083600 1356605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[70\] ( mprj la_data_in[70] ) ( mgmt_buffers la_data_in_core[70] )
+ ROUTED met2 ( 1223040 1325710 0 ) ( 1223760 1325710 )
NEW met2 ( 1223760 1325710 ) ( 1223760 1380655 )
NEW met2 ( 2208720 1380655 ) ( 2208720 1389350 0 )
NEW met1 ( 1223760 1380655 ) ( 2208720 1380655 )
NEW met1 ( 1223760 1380655 ) M1M2_PR
NEW met1 ( 2208720 1380655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[71\] ( mprj la_data_in[71] ) ( mgmt_buffers la_data_in_core[71] )
+ ROUTED met2 ( 1225440 1325710 0 ) ( 1226640 1325710 )
NEW met2 ( 1226640 1325710 ) ( 1226640 1351425 )
NEW met2 ( 2226480 1351425 ) ( 2226480 1389350 0 )
NEW met2 ( 1662960 1351425 ) ( 1662960 1354570 )
NEW met3 ( 1662960 1354570 ) ( 1696080 1354570 )
NEW met2 ( 1696080 1351425 ) ( 1696080 1354570 )
NEW met1 ( 1226640 1351425 ) ( 1662960 1351425 )
NEW met1 ( 1895280 1351055 ) ( 1895280 1351425 )
NEW met1 ( 1895280 1351055 ) ( 1896240 1351055 )
NEW met1 ( 1896240 1351055 ) ( 1896240 1351425 )
NEW met1 ( 1696080 1351425 ) ( 1895280 1351425 )
NEW met1 ( 1896240 1351425 ) ( 2226480 1351425 )
NEW met1 ( 1226640 1351425 ) M1M2_PR
NEW met1 ( 2226480 1351425 ) M1M2_PR
NEW met1 ( 1662960 1351425 ) M1M2_PR
NEW met2 ( 1662960 1354570 ) via2_FR
NEW met2 ( 1696080 1354570 ) via2_FR
NEW met1 ( 1696080 1351425 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[72\] ( mprj la_data_in[72] ) ( mgmt_buffers la_data_in_core[72] )
+ ROUTED met2 ( 1227120 1325710 0 ) ( 1227120 1380285 )
NEW met2 ( 2244240 1380285 ) ( 2244240 1389350 0 )
NEW met1 ( 1227120 1380285 ) ( 2244240 1380285 )
NEW met1 ( 1227120 1380285 ) M1M2_PR
NEW met1 ( 2244240 1380285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[73\] ( mprj la_data_in[73] ) ( mgmt_buffers la_data_in_core[73] )
+ ROUTED met2 ( 1229520 1325710 0 ) ( 1229520 1350685 )
NEW met2 ( 2262000 1350685 ) ( 2262000 1389350 0 )
NEW met2 ( 1741680 1350685 ) ( 1741680 1352905 )
NEW met1 ( 1741680 1352905 ) ( 1763760 1352905 )
NEW met2 ( 1763760 1350685 ) ( 1763760 1352905 )
NEW met1 ( 1229520 1350685 ) ( 1741680 1350685 )
NEW met1 ( 1763760 1350685 ) ( 2262000 1350685 )
NEW met1 ( 1229520 1350685 ) M1M2_PR
NEW met1 ( 2262000 1350685 ) M1M2_PR
NEW met1 ( 1741680 1350685 ) M1M2_PR
NEW met1 ( 1741680 1352905 ) M1M2_PR
NEW met1 ( 1763760 1352905 ) M1M2_PR
NEW met1 ( 1763760 1350685 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[74\] ( mprj la_data_in[74] ) ( mgmt_buffers la_data_in_core[74] )
+ ROUTED met2 ( 1231920 1325710 0 ) ( 1231920 1379915 )
NEW met2 ( 2279760 1379915 ) ( 2279760 1389350 0 )
NEW met1 ( 1231920 1379915 ) ( 2279760 1379915 )
NEW met1 ( 1231920 1379915 ) M1M2_PR
NEW met1 ( 2279760 1379915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[75\] ( mprj la_data_in[75] ) ( mgmt_buffers la_data_in_core[75] )
+ ROUTED met2 ( 1234080 1325710 0 ) ( 1235280 1325710 )
NEW met2 ( 1235280 1325710 ) ( 1235280 1349575 )
NEW met2 ( 2298000 1349575 ) ( 2298000 1389350 0 )
NEW met1 ( 1235280 1349575 ) ( 2298000 1349575 )
NEW met1 ( 1235280 1349575 ) M1M2_PR
NEW met1 ( 2298000 1349575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[76\] ( mprj la_data_in[76] ) ( mgmt_buffers la_data_in_core[76] )
+ ROUTED met2 ( 1236000 1325710 0 ) ( 1237200 1325710 )
NEW met2 ( 1237200 1325710 ) ( 1237200 1379175 )
NEW met2 ( 2315760 1379175 ) ( 2315760 1389350 0 )
NEW met1 ( 1237200 1379175 ) ( 2315760 1379175 )
NEW met1 ( 1237200 1379175 ) M1M2_PR
NEW met1 ( 2315760 1379175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[77\] ( mprj la_data_in[77] ) ( mgmt_buffers la_data_in_core[77] )
+ ROUTED met2 ( 1238160 1325710 0 ) ( 1238160 1348465 )
NEW met2 ( 2333040 1348465 ) ( 2333040 1389350 0 )
NEW met1 ( 1238160 1348465 ) ( 2333040 1348465 )
NEW met1 ( 1238160 1348465 ) M1M2_PR
NEW met1 ( 2333040 1348465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[78\] ( mprj la_data_in[78] ) ( mgmt_buffers la_data_in_core[78] )
+ ROUTED met2 ( 2351280 1378435 ) ( 2351280 1389350 0 )
NEW met1 ( 1240560 1378435 ) ( 2351280 1378435 )
NEW met2 ( 1240560 1325710 0 ) ( 1240560 1378435 )
NEW met1 ( 2351280 1378435 ) M1M2_PR
NEW met1 ( 1240560 1378435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[79\] ( mprj la_data_in[79] ) ( mgmt_buffers la_data_in_core[79] )
+ ROUTED met2 ( 2369040 1348095 ) ( 2369040 1389350 0 )
NEW met1 ( 1242960 1348095 ) ( 2369040 1348095 )
NEW met2 ( 1242960 1325710 0 ) ( 1242960 1348095 )
NEW met1 ( 2369040 1348095 ) M1M2_PR
NEW met1 ( 1242960 1348095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[7\] ( mprj la_data_in[7] ) ( mgmt_buffers la_data_in_core[7] )
+ ROUTED met2 ( 1084560 1325710 ) ( 1086000 1325710 0 )
NEW met2 ( 1084560 1325710 ) ( 1084560 1389350 0 )
+ USE SIGNAL ;
- la_data_in_user\[80\] ( mprj la_data_in[80] ) ( mgmt_buffers la_data_in_core[80] )
+ ROUTED met2 ( 2387280 1377695 ) ( 2387280 1389350 0 )
NEW met1 ( 1245840 1377695 ) ( 2387280 1377695 )
NEW met2 ( 1244640 1325710 0 ) ( 1245840 1325710 )
NEW met2 ( 1245840 1325710 ) ( 1245840 1377695 )
NEW met1 ( 2387280 1377695 ) M1M2_PR
NEW met1 ( 1245840 1377695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[81\] ( mprj la_data_in[81] ) ( mgmt_buffers la_data_in_core[81] )
+ ROUTED met2 ( 2405040 1346985 ) ( 2405040 1389350 0 )
NEW met1 ( 1248240 1346985 ) ( 2405040 1346985 )
NEW met2 ( 1247040 1325710 0 ) ( 1248240 1325710 )
NEW met2 ( 1248240 1325710 ) ( 1248240 1346985 )
NEW met1 ( 2405040 1346985 ) M1M2_PR
NEW met1 ( 1248240 1346985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[82\] ( mprj la_data_in[82] ) ( mgmt_buffers la_data_in_core[82] )
+ ROUTED met2 ( 2422320 1370110 ) ( 2422320 1389350 0 )
NEW met3 ( 1249200 1370110 ) ( 2422320 1370110 )
NEW met2 ( 1249200 1325710 0 ) ( 1249200 1370110 )
NEW met2 ( 2422320 1370110 ) via2_FR
NEW met2 ( 1249200 1370110 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[83\] ( mprj la_data_in[83] ) ( mgmt_buffers la_data_in_core[83] )
+ ROUTED met2 ( 2440560 1340510 ) ( 2440560 1389350 0 )
NEW met2 ( 1251600 1325710 0 ) ( 1251600 1340510 )
NEW met3 ( 1251600 1340510 ) ( 2440560 1340510 )
NEW met2 ( 2440560 1340510 ) via2_FR
NEW met2 ( 1251600 1340510 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[84\] ( mprj la_data_in[84] ) ( mgmt_buffers la_data_in_core[84] )
+ ROUTED met2 ( 2458320 1370850 ) ( 2458320 1389350 0 )
NEW met3 ( 1253520 1370850 ) ( 2458320 1370850 )
NEW met2 ( 1253520 1325710 0 ) ( 1253520 1370850 )
NEW met2 ( 1253520 1370850 ) via2_FR
NEW met2 ( 2458320 1370850 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[85\] ( mprj la_data_in[85] ) ( mgmt_buffers la_data_in_core[85] )
+ ROUTED met2 ( 2476080 1346245 ) ( 2476080 1389350 0 )
NEW met1 ( 1256880 1346245 ) ( 2476080 1346245 )
NEW met2 ( 1255680 1325710 0 ) ( 1256880 1325710 )
NEW met2 ( 1256880 1325710 ) ( 1256880 1346245 )
NEW met1 ( 1256880 1346245 ) M1M2_PR
NEW met1 ( 2476080 1346245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[86\] ( mprj la_data_in[86] ) ( mgmt_buffers la_data_in_core[86] )
+ ROUTED met2 ( 2494320 1371590 ) ( 2494320 1389350 0 )
NEW met3 ( 1259280 1371590 ) ( 2494320 1371590 )
NEW met2 ( 1258080 1325710 0 ) ( 1259280 1325710 )
NEW met2 ( 1259280 1325710 ) ( 1259280 1371590 )
NEW met2 ( 1259280 1371590 ) via2_FR
NEW met2 ( 2494320 1371590 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[87\] ( mprj la_data_in[87] ) ( mgmt_buffers la_data_in_core[87] )
+ ROUTED met2 ( 2497200 1341990 ) ( 2497200 1342175 )
NEW met1 ( 2497200 1342175 ) ( 2510160 1342175 )
NEW met2 ( 2510160 1342175 ) ( 2510160 1389350 )
NEW met2 ( 2510160 1389350 ) ( 2511600 1389350 0 )
NEW met3 ( 1260240 1341990 ) ( 2497200 1341990 )
NEW met2 ( 1260240 1325710 0 ) ( 1260240 1341990 )
NEW met2 ( 1260240 1341990 ) via2_FR
NEW met2 ( 2497200 1341990 ) via2_FR
NEW met1 ( 2497200 1342175 ) M1M2_PR
NEW met1 ( 2510160 1342175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[88\] ( mprj la_data_in[88] ) ( mgmt_buffers la_data_in_core[88] )
+ ROUTED met2 ( 2529840 1372330 ) ( 2529840 1389350 0 )
NEW met3 ( 1262160 1372330 ) ( 2529840 1372330 )
NEW met2 ( 1262160 1325710 0 ) ( 1262160 1372330 )
NEW met2 ( 1262160 1372330 ) via2_FR
NEW met2 ( 2529840 1372330 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[89\] ( mprj la_data_in[89] ) ( mgmt_buffers la_data_in_core[89] )
+ ROUTED met4 ( 2497440 1343470 ) ( 2497440 1346985 )
NEW met4 ( 2497440 1346985 ) ( 2498400 1346985 )
NEW met4 ( 2498400 1346985 ) ( 2498400 1351610 )
NEW met3 ( 2498400 1351610 ) ( 2547600 1351610 )
NEW met2 ( 2547600 1351610 ) ( 2547600 1389350 0 )
NEW met3 ( 1264560 1343470 ) ( 2497440 1343470 )
NEW met2 ( 1264560 1325710 0 ) ( 1264560 1343470 )
NEW met2 ( 1264560 1343470 ) via2_FR
NEW met3 ( 2497440 1343470 ) M3M4_PR_M
NEW met3 ( 2498400 1351610 ) M3M4_PR_M
NEW met2 ( 2547600 1351610 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[8\] ( mprj la_data_in[8] ) ( mgmt_buffers la_data_in_core[8] )
+ ROUTED met2 ( 1087920 1325710 0 ) ( 1087920 1353645 )
NEW met1 ( 1087920 1353645 ) ( 1102320 1353645 )
NEW met2 ( 1102320 1353645 ) ( 1102320 1389350 0 )
NEW met1 ( 1087920 1353645 ) M1M2_PR
NEW met1 ( 1102320 1353645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[90\] ( mprj la_data_in[90] ) ( mgmt_buffers la_data_in_core[90] )
+ ROUTED met2 ( 2565360 1345690 ) ( 2565360 1389350 0 )
NEW met3 ( 1266960 1345690 ) ( 2565360 1345690 )
NEW met2 ( 1266720 1325710 0 ) ( 1266960 1325710 )
NEW met2 ( 1266960 1325710 ) ( 1266960 1345690 )
NEW met2 ( 2565360 1345690 ) via2_FR
NEW met2 ( 1266960 1345690 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[91\] ( mprj la_data_in[91] ) ( mgmt_buffers la_data_in_core[91] )
+ ROUTED met2 ( 2583120 1373070 ) ( 2583120 1389350 0 )
NEW met3 ( 1269840 1373070 ) ( 2583120 1373070 )
NEW met2 ( 1268640 1325710 0 ) ( 1269840 1325710 )
NEW met2 ( 1269840 1325710 ) ( 1269840 1373070 )
NEW met2 ( 2583120 1373070 ) via2_FR
NEW met2 ( 1269840 1373070 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[92\] ( mprj la_data_in[92] ) ( mgmt_buffers la_data_in_core[92] )
+ ROUTED met2 ( 2600880 1373810 ) ( 2600880 1389350 0 )
NEW met3 ( 1270800 1373810 ) ( 2600880 1373810 )
NEW met2 ( 1270800 1325710 0 ) ( 1270800 1373810 )
NEW met2 ( 2600880 1373810 ) via2_FR
NEW met2 ( 1270800 1373810 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[93\] ( mprj la_data_in[93] ) ( mgmt_buffers la_data_in_core[93] )
+ ROUTED met2 ( 1057200 1249480 ) ( 1057680 1249480 )
NEW met2 ( 1057680 1249480 ) ( 1057680 1341250 )
NEW met1 ( 2608080 1331815 ) ( 2617680 1331815 )
NEW met2 ( 2608080 1209530 ) ( 2608080 1331815 )
NEW met2 ( 2617680 1389350 ) ( 2619120 1389350 0 )
NEW met2 ( 2617680 1331815 ) ( 2617680 1389350 )
NEW met2 ( 1057200 1209530 ) ( 1057200 1249480 )
NEW met3 ( 1057680 1341250 ) ( 1273200 1341250 )
NEW met3 ( 1057200 1209530 ) ( 2608080 1209530 )
NEW met2 ( 1273200 1325710 0 ) ( 1273200 1341250 )
NEW met2 ( 2608080 1209530 ) via2_FR
NEW met2 ( 1057680 1341250 ) via2_FR
NEW met1 ( 2608080 1331815 ) M1M2_PR
NEW met1 ( 2617680 1331815 ) M1M2_PR
NEW met2 ( 1057200 1209530 ) via2_FR
NEW met2 ( 1273200 1341250 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[94\] ( mprj la_data_in[94] ) ( mgmt_buffers la_data_in_core[94] )
+ ROUTED met2 ( 2636880 1326450 ) ( 2636880 1389350 0 )
NEW met3 ( 1380960 1338290 ) ( 1380960 1339030 )
NEW met3 ( 1380960 1339030 ) ( 1381200 1339030 )
NEW met2 ( 1381200 1338475 ) ( 1381200 1339030 )
NEW met2 ( 1275600 1325710 0 ) ( 1275600 1339030 )
NEW met3 ( 1275600 1339030 ) ( 1276320 1339030 )
NEW met3 ( 1276320 1338290 ) ( 1276320 1339030 )
NEW met3 ( 1276320 1338290 ) ( 1380960 1338290 )
NEW met2 ( 2064720 1326450 ) ( 2064720 1334035 )
NEW met3 ( 2064720 1326450 ) ( 2636880 1326450 )
NEW met3 ( 1855200 1338290 ) ( 1855200 1339030 )
NEW met2 ( 1946640 1334035 ) ( 1946640 1339030 )
NEW met3 ( 1946640 1338290 ) ( 1946640 1339030 )
NEW met3 ( 1855200 1338290 ) ( 1946640 1338290 )
NEW met1 ( 1946640 1334035 ) ( 2064720 1334035 )
NEW met2 ( 1490160 1338475 ) ( 1490640 1338475 )
NEW met2 ( 1490640 1338475 ) ( 1490640 1339770 )
NEW met2 ( 1490640 1339770 ) ( 1491120 1339770 )
NEW met3 ( 1491120 1339770 ) ( 1502880 1339770 )
NEW met3 ( 1502880 1339030 ) ( 1502880 1339770 )
NEW met1 ( 1381200 1338475 ) ( 1490160 1338475 )
NEW met3 ( 1502880 1339030 ) ( 1855200 1339030 )
NEW met2 ( 2636880 1326450 ) via2_FR
NEW met2 ( 1381200 1339030 ) via2_FR
NEW met1 ( 1381200 1338475 ) M1M2_PR
NEW met2 ( 1275600 1339030 ) via2_FR
NEW met2 ( 2064720 1326450 ) via2_FR
NEW met1 ( 2064720 1334035 ) M1M2_PR
NEW met1 ( 1946640 1334035 ) M1M2_PR
NEW met2 ( 1946640 1339030 ) via2_FR
NEW met1 ( 1490160 1338475 ) M1M2_PR
NEW met2 ( 1491120 1339770 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[95\] ( mprj la_data_in[95] ) ( mgmt_buffers la_data_in_core[95] )
+ ROUTED met2 ( 1339440 1324230 ) ( 1339440 1325895 )
NEW met2 ( 2654640 1325710 ) ( 2654640 1389350 0 )
NEW met2 ( 1277280 1325710 0 ) ( 1278480 1325710 )
NEW met2 ( 1278480 1325710 ) ( 1278480 1325895 )
NEW met1 ( 1278480 1325895 ) ( 1339440 1325895 )
NEW met2 ( 1540560 1324230 ) ( 1540560 1325895 )
NEW met2 ( 2063760 1325155 ) ( 2063760 1325710 )
NEW met3 ( 2063760 1325710 ) ( 2654640 1325710 )
NEW met3 ( 1894560 1324230 ) ( 1894560 1324415 )
NEW met3 ( 1894560 1324415 ) ( 1894800 1324415 )
NEW met2 ( 1894800 1324415 ) ( 1894800 1325155 )
NEW met2 ( 1894800 1325155 ) ( 1895760 1325155 )
NEW met2 ( 1895760 1324785 ) ( 1895760 1325155 )
NEW met3 ( 1540560 1324230 ) ( 1894560 1324230 )
NEW met2 ( 1382640 1324230 ) ( 1382640 1325895 )
NEW met3 ( 1339440 1324230 ) ( 1382640 1324230 )
NEW met1 ( 1382640 1325895 ) ( 1540560 1325895 )
NEW met2 ( 1949040 1324785 ) ( 1949040 1326635 )
NEW met1 ( 1949040 1326635 ) ( 1978800 1326635 )
NEW met2 ( 1978800 1326635 ) ( 1978800 1327375 )
NEW met1 ( 1978800 1327375 ) ( 2035440 1327375 )
NEW met2 ( 2035440 1325155 ) ( 2035440 1327375 )
NEW met1 ( 1895760 1324785 ) ( 1949040 1324785 )
NEW met1 ( 2035440 1325155 ) ( 2063760 1325155 )
NEW met2 ( 2654640 1325710 ) via2_FR
NEW met2 ( 1339440 1324230 ) via2_FR
NEW met1 ( 1339440 1325895 ) M1M2_PR
NEW met1 ( 1278480 1325895 ) M1M2_PR
NEW met1 ( 1540560 1325895 ) M1M2_PR
NEW met2 ( 1540560 1324230 ) via2_FR
NEW met1 ( 2063760 1325155 ) M1M2_PR
NEW met2 ( 2063760 1325710 ) via2_FR
NEW met2 ( 1894800 1324415 ) via2_FR
NEW met1 ( 1895760 1324785 ) M1M2_PR
NEW met2 ( 1382640 1324230 ) via2_FR
NEW met1 ( 1382640 1325895 ) M1M2_PR
NEW met1 ( 1949040 1324785 ) M1M2_PR
NEW met1 ( 1949040 1326635 ) M1M2_PR
NEW met1 ( 1978800 1326635 ) M1M2_PR
NEW met1 ( 1978800 1327375 ) M1M2_PR
NEW met1 ( 2035440 1327375 ) M1M2_PR
NEW met1 ( 2035440 1325155 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[96\] ( mprj la_data_in[96] ) ( mgmt_buffers la_data_in_core[96] )
+ ROUTED met1 ( 1744080 1339955 ) ( 1744080 1340325 )
NEW met1 ( 1744080 1340325 ) ( 1857840 1340325 )
NEW met2 ( 2672400 1355865 ) ( 2672400 1389350 0 )
NEW met2 ( 1857840 1324415 ) ( 1857840 1340325 )
NEW met4 ( 1644000 1336995 ) ( 1644000 1338290 )
NEW met4 ( 1644000 1336995 ) ( 1645920 1336995 )
NEW met4 ( 1645920 1336995 ) ( 1645920 1339770 )
NEW met3 ( 1645920 1339770 ) ( 1681200 1339770 )
NEW met2 ( 1681200 1339770 ) ( 1681200 1339955 )
NEW met1 ( 1681200 1339955 ) ( 1744080 1339955 )
NEW met2 ( 1940400 1324415 ) ( 1940400 1354015 )
NEW met1 ( 1940400 1354015 ) ( 1977360 1354015 )
NEW met2 ( 1977360 1354015 ) ( 1977360 1355865 )
NEW met1 ( 1857840 1324415 ) ( 1940400 1324415 )
NEW met1 ( 1977360 1355865 ) ( 2672400 1355865 )
NEW met4 ( 1381920 1338290 ) ( 1381920 1342730 )
NEW met3 ( 1381920 1338290 ) ( 1644000 1338290 )
NEW met2 ( 1279440 1324970 ) ( 1279680 1324970 0 )
NEW met2 ( 1279440 1324970 ) ( 1279440 1342730 )
NEW met3 ( 1279440 1342730 ) ( 1381920 1342730 )
NEW met1 ( 1857840 1340325 ) M1M2_PR
NEW met1 ( 2672400 1355865 ) M1M2_PR
NEW met1 ( 1857840 1324415 ) M1M2_PR
NEW met3 ( 1644000 1338290 ) M3M4_PR_M
NEW met3 ( 1645920 1339770 ) M3M4_PR_M
NEW met2 ( 1681200 1339770 ) via2_FR
NEW met1 ( 1681200 1339955 ) M1M2_PR
NEW met1 ( 1940400 1324415 ) M1M2_PR
NEW met1 ( 1940400 1354015 ) M1M2_PR
NEW met1 ( 1977360 1354015 ) M1M2_PR
NEW met1 ( 1977360 1355865 ) M1M2_PR
NEW met3 ( 1381920 1342730 ) M3M4_PR_M
NEW met3 ( 1381920 1338290 ) M3M4_PR_M
NEW met2 ( 1279440 1342730 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[97\] ( mprj la_data_in[97] ) ( mgmt_buffers la_data_in_core[97] )
+ ROUTED met2 ( 2690160 1324970 ) ( 2690160 1389350 0 )
NEW met2 ( 1338960 1324785 ) ( 1338960 1339030 )
NEW met3 ( 1283280 1339030 ) ( 1338960 1339030 )
NEW met2 ( 1283280 1325710 ) ( 1283280 1339030 )
NEW met2 ( 1281840 1325710 0 ) ( 1283280 1325710 )
NEW met2 ( 2063280 1324785 ) ( 2063280 1324970 )
NEW met3 ( 2063280 1324970 ) ( 2690160 1324970 )
NEW met4 ( 1854240 1338290 ) ( 1854240 1339030 )
NEW met4 ( 1854240 1339030 ) ( 1856160 1339030 )
NEW met3 ( 1856160 1339030 ) ( 1885920 1339030 )
NEW met4 ( 1885920 1339030 ) ( 1886880 1339030 )
NEW met4 ( 1886880 1339030 ) ( 1886880 1341250 )
NEW met1 ( 1562160 1324785 ) ( 1562160 1325155 )
NEW met1 ( 1562160 1325155 ) ( 1564080 1325155 )
NEW met1 ( 1564080 1324785 ) ( 1564080 1325155 )
NEW met1 ( 1338960 1324785 ) ( 1562160 1324785 )
NEW met4 ( 1764000 1336995 ) ( 1764000 1338290 )
NEW met3 ( 1764000 1338290 ) ( 1854240 1338290 )
NEW met2 ( 1625520 1324785 ) ( 1625520 1345690 )
NEW met2 ( 1625520 1345690 ) ( 1626480 1345690 )
NEW met2 ( 1626480 1344765 ) ( 1626480 1345690 )
NEW met1 ( 1626480 1344765 ) ( 1635600 1344765 )
NEW met2 ( 1635600 1339770 ) ( 1635600 1344765 )
NEW met3 ( 1635600 1339770 ) ( 1636320 1339770 )
NEW met4 ( 1636320 1336995 ) ( 1636320 1339770 )
NEW met1 ( 1564080 1324785 ) ( 1625520 1324785 )
NEW met5 ( 1636320 1336995 ) ( 1764000 1336995 )
NEW met2 ( 1999440 1324785 ) ( 1999440 1338105 )
NEW met1 ( 1951920 1338105 ) ( 1999440 1338105 )
NEW met2 ( 1951920 1338105 ) ( 1951920 1339585 )
NEW met1 ( 1943760 1339585 ) ( 1951920 1339585 )
NEW met2 ( 1943760 1339585 ) ( 1943760 1341250 )
NEW met3 ( 1886880 1341250 ) ( 1943760 1341250 )
NEW met1 ( 1999440 1324785 ) ( 2063280 1324785 )
NEW met2 ( 2690160 1324970 ) via2_FR
NEW met1 ( 1338960 1324785 ) M1M2_PR
NEW met2 ( 1338960 1339030 ) via2_FR
NEW met2 ( 1283280 1339030 ) via2_FR
NEW met1 ( 2063280 1324785 ) M1M2_PR
NEW met2 ( 2063280 1324970 ) via2_FR
NEW met3 ( 1854240 1338290 ) M3M4_PR_M
NEW met3 ( 1856160 1339030 ) M3M4_PR_M
NEW met3 ( 1885920 1339030 ) M3M4_PR_M
NEW met3 ( 1886880 1341250 ) M3M4_PR_M
NEW met4 ( 1764000 1336995 ) via4_FR
NEW met3 ( 1764000 1338290 ) M3M4_PR_M
NEW met1 ( 1625520 1324785 ) M1M2_PR
NEW met1 ( 1626480 1344765 ) M1M2_PR
NEW met1 ( 1635600 1344765 ) M1M2_PR
NEW met2 ( 1635600 1339770 ) via2_FR
NEW met3 ( 1636320 1339770 ) M3M4_PR_M
NEW met4 ( 1636320 1336995 ) via4_FR
NEW met1 ( 1999440 1324785 ) M1M2_PR
NEW met1 ( 1999440 1338105 ) M1M2_PR
NEW met1 ( 1951920 1338105 ) M1M2_PR
NEW met1 ( 1951920 1339585 ) M1M2_PR
NEW met1 ( 1943760 1339585 ) M1M2_PR
NEW met2 ( 1943760 1341250 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[98\] ( mprj la_data_in[98] ) ( mgmt_buffers la_data_in_core[98] )
+ ROUTED met2 ( 1890480 1368630 ) ( 1890480 1374550 )
NEW met3 ( 1284240 1368630 ) ( 1890480 1368630 )
NEW met2 ( 2707920 1361045 ) ( 2707920 1389350 0 )
NEW met2 ( 1284240 1325710 0 ) ( 1284240 1368630 )
NEW met2 ( 1913520 1361045 ) ( 1913520 1374550 )
NEW met3 ( 1890480 1374550 ) ( 1913520 1374550 )
NEW met1 ( 1913520 1361045 ) ( 2707920 1361045 )
NEW met2 ( 1284240 1368630 ) via2_FR
NEW met2 ( 1890480 1374550 ) via2_FR
NEW met2 ( 1890480 1368630 ) via2_FR
NEW met1 ( 2707920 1361045 ) M1M2_PR
NEW met1 ( 1913520 1361045 ) M1M2_PR
NEW met2 ( 1913520 1374550 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[99\] ( mprj la_data_in[99] ) ( mgmt_buffers la_data_in_core[99] )
+ ROUTED met2 ( 1287600 1392310 ) ( 1288080 1392310 )
NEW met3 ( 1288080 1392310 ) ( 1288800 1392310 )
NEW met3 ( 1288800 1392310 ) ( 1288800 1393050 )
NEW met2 ( 1932240 1356050 ) ( 1932240 1363265 )
NEW met3 ( 1932240 1356050 ) ( 1943760 1356050 )
NEW met2 ( 1943760 1354755 ) ( 1943760 1356050 )
NEW met2 ( 2726160 1354755 ) ( 2726160 1389350 0 )
NEW met2 ( 1286160 1325710 0 ) ( 1287600 1325710 )
NEW met2 ( 1287600 1325710 ) ( 1287600 1392310 )
NEW met2 ( 1908240 1363265 ) ( 1908240 1371775 )
NEW met1 ( 1865040 1371775 ) ( 1908240 1371775 )
NEW met2 ( 1865040 1371775 ) ( 1865040 1376955 )
NEW met1 ( 1842960 1376955 ) ( 1865040 1376955 )
NEW met2 ( 1842960 1376955 ) ( 1842960 1393050 )
NEW met3 ( 1288800 1393050 ) ( 1842960 1393050 )
NEW met1 ( 1908240 1363265 ) ( 1932240 1363265 )
NEW met1 ( 1943760 1354755 ) ( 2726160 1354755 )
NEW met2 ( 1288080 1392310 ) via2_FR
NEW met1 ( 1932240 1363265 ) M1M2_PR
NEW met2 ( 1932240 1356050 ) via2_FR
NEW met2 ( 1943760 1356050 ) via2_FR
NEW met1 ( 1943760 1354755 ) M1M2_PR
NEW met1 ( 2726160 1354755 ) M1M2_PR
NEW met1 ( 1908240 1363265 ) M1M2_PR
NEW met1 ( 1908240 1371775 ) M1M2_PR
NEW met1 ( 1865040 1371775 ) M1M2_PR
NEW met1 ( 1865040 1376955 ) M1M2_PR
NEW met1 ( 1842960 1376955 ) M1M2_PR
NEW met2 ( 1842960 1393050 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[9\] ( mprj la_data_in[9] ) ( mgmt_buffers la_data_in_core[9] )
+ ROUTED met2 ( 1090080 1325710 0 ) ( 1091280 1325710 )
NEW met2 ( 1091280 1325710 ) ( 1091280 1354015 )
NEW met1 ( 1091280 1354015 ) ( 1120080 1354015 )
NEW met2 ( 1120080 1354015 ) ( 1120080 1389350 0 )
NEW met1 ( 1091280 1354015 ) M1M2_PR
NEW met1 ( 1120080 1354015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[0\] ( soc la_output[0] ) ( mgmt_buffers la_data_out_mprj[0] )
+ ROUTED met2 ( 1062000 1175490 ) ( 1062000 1232470 0 )
NEW met2 ( 1674960 1167350 ) ( 1674960 1175490 )
NEW met2 ( 1674960 1167350 ) ( 1676160 1167350 0 )
NEW met3 ( 1062000 1175490 ) ( 1674960 1175490 )
NEW met2 ( 1062000 1175490 ) via2_FR
NEW met2 ( 1674960 1175490 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[100\] ( soc la_output[100] ) ( mgmt_buffers la_data_out_mprj[100] )
+ ROUTED met2 ( 1201440 1167350 0 ) ( 1202640 1167350 )
NEW met2 ( 1202640 1167350 ) ( 1202640 1194545 )
NEW met2 ( 1278480 1194545 ) ( 1278480 1232470 )
NEW met2 ( 1278480 1232470 ) ( 1279680 1232470 0 )
NEW met1 ( 1202640 1194545 ) ( 1278480 1194545 )
NEW met1 ( 1202640 1194545 ) M1M2_PR
NEW met1 ( 1278480 1194545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[101\] ( soc la_output[101] ) ( mgmt_buffers la_data_out_mprj[101] )
+ ROUTED met2 ( 1281840 1220075 ) ( 1281840 1232470 0 )
NEW met2 ( 1676880 1167350 ) ( 1678080 1167350 0 )
NEW met2 ( 1676880 1167350 ) ( 1676880 1220075 )
NEW met1 ( 1281840 1220075 ) ( 1676880 1220075 )
NEW met1 ( 1281840 1220075 ) M1M2_PR
NEW met1 ( 1676880 1220075 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[102\] ( soc la_output[102] ) ( mgmt_buffers la_data_out_mprj[102] )
+ ROUTED met2 ( 1199520 1167350 0 ) ( 1200720 1167350 )
NEW met2 ( 1200720 1167350 ) ( 1200720 1194915 )
NEW met2 ( 1284240 1194915 ) ( 1284240 1232470 0 )
NEW met1 ( 1200720 1194915 ) ( 1284240 1194915 )
NEW met1 ( 1200720 1194915 ) M1M2_PR
NEW met1 ( 1284240 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[103\] ( soc la_output[103] ) ( mgmt_buffers la_data_out_mprj[103] )
+ ROUTED met2 ( 1286640 1177525 ) ( 1286640 1232470 )
NEW met2 ( 1286160 1232470 0 ) ( 1286640 1232470 )
NEW met2 ( 1679760 1167350 0 ) ( 1679760 1177525 )
NEW met1 ( 1286640 1177525 ) ( 1679760 1177525 )
NEW met1 ( 1286640 1177525 ) M1M2_PR
NEW met1 ( 1679760 1177525 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[104\] ( soc la_output[104] ) ( mgmt_buffers la_data_out_mprj[104] )
+ ROUTED met2 ( 1197840 1167350 0 ) ( 1197840 1193805 )
NEW met2 ( 1287120 1193805 ) ( 1287120 1232470 )
NEW met2 ( 1287120 1232470 ) ( 1288320 1232470 0 )
NEW met1 ( 1197840 1193805 ) ( 1287120 1193805 )
NEW met1 ( 1197840 1193805 ) M1M2_PR
NEW met1 ( 1287120 1193805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[105\] ( soc la_output[105] ) ( mgmt_buffers la_data_out_mprj[105] )
+ ROUTED met2 ( 1291920 1220815 ) ( 1291920 1232470 )
NEW met2 ( 1290720 1232470 0 ) ( 1291920 1232470 )
NEW met2 ( 1586160 1216005 ) ( 1586160 1220815 )
NEW met1 ( 1586160 1216005 ) ( 1681680 1216005 )
NEW met2 ( 1681680 1167350 0 ) ( 1681680 1216005 )
NEW met1 ( 1291920 1220815 ) ( 1586160 1220815 )
NEW met1 ( 1291920 1220815 ) M1M2_PR
NEW met1 ( 1586160 1220815 ) M1M2_PR
NEW met1 ( 1586160 1216005 ) M1M2_PR
NEW met1 ( 1681680 1216005 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[106\] ( soc la_output[106] ) ( mgmt_buffers la_data_out_mprj[106] )
+ ROUTED met2 ( 1195920 1167350 0 ) ( 1195920 1207125 )
NEW met2 ( 1292880 1207125 ) ( 1292880 1232470 0 )
NEW met1 ( 1195920 1207125 ) ( 1292880 1207125 )
NEW met1 ( 1195920 1207125 ) M1M2_PR
NEW met1 ( 1292880 1207125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[107\] ( soc la_output[107] ) ( mgmt_buffers la_data_out_mprj[107] )
+ ROUTED met2 ( 1683600 1167350 0 ) ( 1683600 1178265 )
NEW met1 ( 1294800 1178265 ) ( 1683600 1178265 )
NEW met1 ( 1293360 1195285 ) ( 1294800 1195285 )
NEW met2 ( 1293360 1195285 ) ( 1293360 1233210 )
NEW met2 ( 1293360 1233210 ) ( 1294800 1233210 0 )
NEW met2 ( 1294800 1178265 ) ( 1294800 1195285 )
NEW met1 ( 1294800 1178265 ) M1M2_PR
NEW met1 ( 1683600 1178265 ) M1M2_PR
NEW met1 ( 1294800 1195285 ) M1M2_PR
NEW met1 ( 1293360 1195285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[108\] ( soc la_output[108] ) ( mgmt_buffers la_data_out_mprj[108] )
+ ROUTED met2 ( 1194000 1167350 0 ) ( 1194000 1187145 )
NEW met2 ( 1297200 1187145 ) ( 1297200 1232470 0 )
NEW met1 ( 1194000 1187145 ) ( 1297200 1187145 )
NEW met1 ( 1194000 1187145 ) M1M2_PR
NEW met1 ( 1297200 1187145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[109\] ( soc la_output[109] ) ( mgmt_buffers la_data_out_mprj[109] )
+ ROUTED met2 ( 1300560 1219705 ) ( 1300560 1232470 )
NEW met2 ( 1299360 1232470 0 ) ( 1300560 1232470 )
NEW met2 ( 1685280 1167350 0 ) ( 1685520 1167350 )
NEW met2 ( 1685520 1167350 ) ( 1685520 1215265 )
NEW met2 ( 1584240 1215265 ) ( 1584240 1219705 )
NEW met1 ( 1584240 1215265 ) ( 1685520 1215265 )
NEW met1 ( 1300560 1219705 ) ( 1584240 1219705 )
NEW met1 ( 1300560 1219705 ) M1M2_PR
NEW met1 ( 1685520 1215265 ) M1M2_PR
NEW met1 ( 1584240 1219705 ) M1M2_PR
NEW met1 ( 1584240 1215265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[10\] ( soc la_output[10] ) ( mgmt_buffers la_data_out_mprj[10] )
+ ROUTED met2 ( 1192080 1167350 ) ( 1192320 1167350 0 )
NEW met2 ( 1192080 1167350 ) ( 1192080 1205645 )
NEW met2 ( 1083600 1205645 ) ( 1083600 1232470 0 )
NEW met1 ( 1083600 1205645 ) ( 1192080 1205645 )
NEW met1 ( 1192080 1205645 ) M1M2_PR
NEW met1 ( 1083600 1205645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[110\] ( soc la_output[110] ) ( mgmt_buffers la_data_out_mprj[110] )
+ ROUTED met2 ( 1302960 1177895 ) ( 1302960 1232470 )
NEW met2 ( 1301760 1232470 0 ) ( 1302960 1232470 )
NEW met2 ( 1686000 1167350 ) ( 1687200 1167350 0 )
NEW met2 ( 1686000 1167350 ) ( 1686000 1177895 )
NEW met1 ( 1302960 1177895 ) ( 1686000 1177895 )
NEW met1 ( 1302960 1177895 ) M1M2_PR
NEW met1 ( 1686000 1177895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[111\] ( soc la_output[111] ) ( mgmt_buffers la_data_out_mprj[111] )
+ ROUTED met2 ( 1190400 1167350 0 ) ( 1191600 1167350 )
NEW met2 ( 1191600 1167350 ) ( 1191600 1200095 )
NEW met2 ( 1303440 1200095 ) ( 1303440 1232470 0 )
NEW met1 ( 1191600 1200095 ) ( 1303440 1200095 )
NEW met1 ( 1191600 1200095 ) M1M2_PR
NEW met1 ( 1303440 1200095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[112\] ( soc la_output[112] ) ( mgmt_buffers la_data_out_mprj[112] )
+ ROUTED met2 ( 1305840 1220445 ) ( 1305840 1232470 0 )
NEW met2 ( 1687920 1167350 ) ( 1689120 1167350 0 )
NEW met2 ( 1687920 1167350 ) ( 1687920 1220445 )
NEW met1 ( 1305840 1220445 ) ( 1687920 1220445 )
NEW met1 ( 1305840 1220445 ) M1M2_PR
NEW met1 ( 1687920 1220445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[113\] ( soc la_output[113] ) ( mgmt_buffers la_data_out_mprj[113] )
+ ROUTED met2 ( 1188480 1167350 0 ) ( 1189680 1167350 )
NEW met2 ( 1189680 1167350 ) ( 1189680 1200465 )
NEW met2 ( 1308240 1200465 ) ( 1308240 1232470 0 )
NEW met1 ( 1189680 1200465 ) ( 1308240 1200465 )
NEW met1 ( 1189680 1200465 ) M1M2_PR
NEW met1 ( 1308240 1200465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[114\] ( soc la_output[114] ) ( mgmt_buffers la_data_out_mprj[114] )
+ ROUTED met2 ( 1690800 1167350 0 ) ( 1690800 1178635 )
NEW met1 ( 1309680 1178635 ) ( 1690800 1178635 )
NEW met2 ( 1309680 1230990 ) ( 1309920 1230990 )
NEW met2 ( 1309920 1230990 ) ( 1309920 1232470 0 )
NEW met2 ( 1309680 1178635 ) ( 1309680 1230990 )
NEW met1 ( 1309680 1178635 ) M1M2_PR
NEW met1 ( 1690800 1178635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[115\] ( soc la_output[115] ) ( mgmt_buffers la_data_out_mprj[115] )
+ ROUTED met2 ( 1186800 1167350 0 ) ( 1187280 1167350 )
NEW met2 ( 1187280 1167350 ) ( 1187280 1208605 )
NEW met2 ( 1311120 1208605 ) ( 1311120 1232470 )
NEW met2 ( 1311120 1232470 ) ( 1312320 1232470 0 )
NEW met1 ( 1187280 1208605 ) ( 1311120 1208605 )
NEW met1 ( 1187280 1208605 ) M1M2_PR
NEW met1 ( 1311120 1208605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[116\] ( soc la_output[116] ) ( mgmt_buffers la_data_out_mprj[116] )
+ ROUTED met2 ( 1314480 1223405 ) ( 1314480 1232470 0 )
NEW met2 ( 1691760 1167350 ) ( 1692720 1167350 0 )
NEW met2 ( 1691760 1167350 ) ( 1691760 1223035 )
NEW met1 ( 1585680 1221185 ) ( 1585680 1221555 )
NEW met1 ( 1585680 1221555 ) ( 1598160 1221555 )
NEW met2 ( 1598160 1221555 ) ( 1598160 1223035 )
NEW met1 ( 1598160 1223035 ) ( 1691760 1223035 )
NEW met2 ( 1365360 1221555 ) ( 1365360 1223405 )
NEW met1 ( 1365360 1221555 ) ( 1438800 1221555 )
NEW met2 ( 1438800 1221555 ) ( 1438800 1222295 )
NEW met2 ( 1438800 1222295 ) ( 1439760 1222295 )
NEW met2 ( 1439760 1221185 ) ( 1439760 1222295 )
NEW met1 ( 1314480 1223405 ) ( 1365360 1223405 )
NEW met1 ( 1439760 1221185 ) ( 1585680 1221185 )
NEW met1 ( 1314480 1223405 ) M1M2_PR
NEW met1 ( 1691760 1223035 ) M1M2_PR
NEW met1 ( 1598160 1221555 ) M1M2_PR
NEW met1 ( 1598160 1223035 ) M1M2_PR
NEW met1 ( 1365360 1223405 ) M1M2_PR
NEW met1 ( 1365360 1221555 ) M1M2_PR
NEW met1 ( 1438800 1221555 ) M1M2_PR
NEW met1 ( 1439760 1221185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[117\] ( soc la_output[117] ) ( mgmt_buffers la_data_out_mprj[117] )
+ ROUTED met2 ( 1184880 1167350 0 ) ( 1184880 1181225 )
NEW met1 ( 1184880 1181225 ) ( 1194960 1181225 )
NEW met2 ( 1194960 1181225 ) ( 1194960 1201575 )
NEW met2 ( 1277520 1201575 ) ( 1277520 1203795 )
NEW met1 ( 1277520 1203795 ) ( 1316880 1203795 )
NEW met2 ( 1316880 1203795 ) ( 1316880 1232470 0 )
NEW met1 ( 1194960 1201575 ) ( 1277520 1201575 )
NEW met1 ( 1184880 1181225 ) M1M2_PR
NEW met1 ( 1194960 1181225 ) M1M2_PR
NEW met1 ( 1194960 1201575 ) M1M2_PR
NEW met1 ( 1277520 1201575 ) M1M2_PR
NEW met1 ( 1277520 1203795 ) M1M2_PR
NEW met1 ( 1316880 1203795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[118\] ( soc la_output[118] ) ( mgmt_buffers la_data_out_mprj[118] )
+ ROUTED met2 ( 1318800 1179005 ) ( 1318800 1232470 0 )
NEW met2 ( 1694640 1167350 0 ) ( 1694640 1179005 )
NEW met1 ( 1318800 1179005 ) ( 1694640 1179005 )
NEW met1 ( 1318800 1179005 ) M1M2_PR
NEW met1 ( 1694640 1179005 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[119\] ( soc la_output[119] ) ( mgmt_buffers la_data_out_mprj[119] )
+ ROUTED met2 ( 1182960 1167350 0 ) ( 1182960 1201205 )
NEW met1 ( 1182960 1201205 ) ( 1189680 1201205 )
NEW met1 ( 1189680 1200835 ) ( 1189680 1201205 )
NEW met2 ( 1319760 1200835 ) ( 1319760 1232470 )
NEW met2 ( 1319760 1232470 ) ( 1320960 1232470 0 )
NEW met1 ( 1189680 1200835 ) ( 1319760 1200835 )
NEW met1 ( 1182960 1201205 ) M1M2_PR
NEW met1 ( 1319760 1200835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[11\] ( soc la_output[11] ) ( mgmt_buffers la_data_out_mprj[11] )
+ ROUTED met2 ( 1138320 1213785 ) ( 1138320 1213970 )
NEW met2 ( 1135440 1213785 ) ( 1135440 1215450 )
NEW met3 ( 1086000 1215450 ) ( 1135440 1215450 )
NEW met2 ( 1086000 1215450 ) ( 1086000 1232470 0 )
NEW met1 ( 1135440 1213785 ) ( 1138320 1213785 )
NEW met2 ( 1695120 1167350 ) ( 1696320 1167350 0 )
NEW met2 ( 1695120 1167350 ) ( 1695120 1213230 )
NEW met3 ( 1643760 1213230 ) ( 1695120 1213230 )
NEW met2 ( 1643760 1213230 ) ( 1643760 1213415 )
NEW met2 ( 1540560 1213415 ) ( 1540560 1213970 )
NEW met3 ( 1138320 1213970 ) ( 1540560 1213970 )
NEW met1 ( 1540560 1213415 ) ( 1643760 1213415 )
NEW met1 ( 1138320 1213785 ) M1M2_PR
NEW met2 ( 1138320 1213970 ) via2_FR
NEW met1 ( 1135440 1213785 ) M1M2_PR
NEW met2 ( 1135440 1215450 ) via2_FR
NEW met2 ( 1086000 1215450 ) via2_FR
NEW met2 ( 1695120 1213230 ) via2_FR
NEW met2 ( 1643760 1213230 ) via2_FR
NEW met1 ( 1643760 1213415 ) M1M2_PR
NEW met1 ( 1540560 1213415 ) M1M2_PR
NEW met2 ( 1540560 1213970 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[120\] ( soc la_output[120] ) ( mgmt_buffers la_data_out_mprj[120] )
+ ROUTED met2 ( 1181280 1167350 0 ) ( 1182480 1167350 )
NEW met2 ( 1182480 1167350 ) ( 1182480 1183075 )
NEW met1 ( 1182480 1183075 ) ( 1194480 1183075 )
NEW met2 ( 1194480 1183075 ) ( 1194480 1201205 )
NEW met1 ( 1280880 1201205 ) ( 1280880 1201575 )
NEW met1 ( 1280880 1201575 ) ( 1322160 1201575 )
NEW met2 ( 1322160 1201575 ) ( 1322160 1232470 )
NEW met2 ( 1322160 1232470 ) ( 1323360 1232470 0 )
NEW met1 ( 1194480 1201205 ) ( 1280880 1201205 )
NEW met1 ( 1182480 1183075 ) M1M2_PR
NEW met1 ( 1194480 1183075 ) M1M2_PR
NEW met1 ( 1194480 1201205 ) M1M2_PR
NEW met1 ( 1322160 1201575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[121\] ( soc la_output[121] ) ( mgmt_buffers la_data_out_mprj[121] )
+ ROUTED met2 ( 1325520 1179745 ) ( 1325520 1232470 0 )
NEW met2 ( 1697040 1167350 ) ( 1698240 1167350 0 )
NEW met2 ( 1697040 1167350 ) ( 1697040 1179745 )
NEW met1 ( 1325520 1179745 ) ( 1697040 1179745 )
NEW met1 ( 1325520 1179745 ) M1M2_PR
NEW met1 ( 1697040 1179745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[122\] ( soc la_output[122] ) ( mgmt_buffers la_data_out_mprj[122] )
+ ROUTED met2 ( 1179360 1167350 0 ) ( 1180560 1167350 )
NEW met2 ( 1180560 1167350 ) ( 1180560 1177155 )
NEW met2 ( 1327440 1177155 ) ( 1327440 1232470 0 )
NEW met1 ( 1180560 1177155 ) ( 1327440 1177155 )
NEW met1 ( 1180560 1177155 ) M1M2_PR
NEW met1 ( 1327440 1177155 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[123\] ( soc la_output[123] ) ( mgmt_buffers la_data_out_mprj[123] )
+ ROUTED met2 ( 1329840 1223035 ) ( 1329840 1232470 0 )
NEW met2 ( 1699920 1167350 ) ( 1700160 1167350 0 )
NEW met2 ( 1559760 1221925 ) ( 1559760 1223405 )
NEW met2 ( 1699920 1167350 ) ( 1699920 1223405 )
NEW met2 ( 1585200 1223405 ) ( 1585200 1223590 )
NEW met2 ( 1585200 1223590 ) ( 1585680 1223590 )
NEW met2 ( 1585680 1223405 ) ( 1585680 1223590 )
NEW met1 ( 1559760 1223405 ) ( 1585200 1223405 )
NEW met1 ( 1585680 1223405 ) ( 1699920 1223405 )
NEW met1 ( 1370160 1221925 ) ( 1370160 1223035 )
NEW met1 ( 1329840 1223035 ) ( 1370160 1223035 )
NEW met1 ( 1370160 1221925 ) ( 1559760 1221925 )
NEW met1 ( 1329840 1223035 ) M1M2_PR
NEW met1 ( 1559760 1221925 ) M1M2_PR
NEW met1 ( 1559760 1223405 ) M1M2_PR
NEW met1 ( 1699920 1223405 ) M1M2_PR
NEW met1 ( 1585200 1223405 ) M1M2_PR
NEW met1 ( 1585680 1223405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[124\] ( soc la_output[124] ) ( mgmt_buffers la_data_out_mprj[124] )
+ ROUTED met2 ( 1177440 1167350 0 ) ( 1178640 1167350 )
NEW met2 ( 1178640 1167350 ) ( 1178640 1179375 )
NEW met2 ( 1330800 1179375 ) ( 1330800 1232470 )
NEW met2 ( 1330800 1232470 ) ( 1332000 1232470 0 )
NEW met1 ( 1178640 1179375 ) ( 1330800 1179375 )
NEW met1 ( 1178640 1179375 ) M1M2_PR
NEW met1 ( 1330800 1179375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[125\] ( soc la_output[125] ) ( mgmt_buffers la_data_out_mprj[125] )
+ ROUTED met2 ( 1334160 1179375 ) ( 1334160 1232470 )
NEW met2 ( 1334160 1232470 ) ( 1334400 1232470 0 )
NEW met2 ( 1701840 1167350 0 ) ( 1701840 1179375 )
NEW met1 ( 1334160 1179375 ) ( 1701840 1179375 )
NEW met1 ( 1334160 1179375 ) M1M2_PR
NEW met1 ( 1701840 1179375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[126\] ( soc la_output[126] ) ( mgmt_buffers la_data_out_mprj[126] )
+ ROUTED met2 ( 1175760 1167350 0 ) ( 1175760 1221555 )
NEW met2 ( 1336080 1221555 ) ( 1336080 1232470 0 )
NEW met1 ( 1175760 1221555 ) ( 1336080 1221555 )
NEW met1 ( 1175760 1221555 ) M1M2_PR
NEW met1 ( 1336080 1221555 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[127\] ( soc la_output[127] ) ( mgmt_buffers la_data_out_mprj[127] )
+ ROUTED met2 ( 1703760 1167350 0 ) ( 1703760 1216375 )
NEW met2 ( 1533360 1200465 ) ( 1533360 1212305 )
NEW met2 ( 1584720 1212305 ) ( 1584720 1216375 )
NEW met1 ( 1533360 1212305 ) ( 1584720 1212305 )
NEW met1 ( 1584720 1216375 ) ( 1703760 1216375 )
NEW met2 ( 1341360 1221185 ) ( 1341360 1231175 )
NEW met2 ( 1339920 1231175 ) ( 1341360 1231175 )
NEW met2 ( 1339920 1231175 ) ( 1339920 1232470 )
NEW met2 ( 1338480 1232470 0 ) ( 1339920 1232470 )
NEW met2 ( 1437360 1201205 ) ( 1437360 1221185 )
NEW met1 ( 1437360 1201205 ) ( 1439280 1201205 )
NEW met2 ( 1439280 1200465 ) ( 1439280 1201205 )
NEW met1 ( 1341360 1221185 ) ( 1437360 1221185 )
NEW met1 ( 1439280 1200465 ) ( 1533360 1200465 )
NEW met1 ( 1703760 1216375 ) M1M2_PR
NEW met1 ( 1533360 1200465 ) M1M2_PR
NEW met1 ( 1533360 1212305 ) M1M2_PR
NEW met1 ( 1584720 1212305 ) M1M2_PR
NEW met1 ( 1584720 1216375 ) M1M2_PR
NEW met1 ( 1341360 1221185 ) M1M2_PR
NEW met1 ( 1437360 1221185 ) M1M2_PR
NEW met1 ( 1437360 1201205 ) M1M2_PR
NEW met1 ( 1439280 1201205 ) M1M2_PR
NEW met1 ( 1439280 1200465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[12\] ( soc la_output[12] ) ( mgmt_buffers la_data_out_mprj[12] )
+ ROUTED met2 ( 1172400 1167350 ) ( 1173840 1167350 0 )
NEW met2 ( 1172400 1167350 ) ( 1172400 1209345 )
NEW met2 ( 1087920 1209345 ) ( 1087920 1232470 0 )
NEW met1 ( 1087920 1209345 ) ( 1172400 1209345 )
NEW met1 ( 1172400 1209345 ) M1M2_PR
NEW met1 ( 1087920 1209345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[13\] ( soc la_output[13] ) ( mgmt_buffers la_data_out_mprj[13] )
+ ROUTED met2 ( 1091280 1176230 ) ( 1091280 1232470 )
NEW met2 ( 1090080 1232470 0 ) ( 1091280 1232470 )
NEW met2 ( 1705680 1167350 0 ) ( 1705680 1176230 )
NEW met3 ( 1091280 1176230 ) ( 1705680 1176230 )
NEW met2 ( 1091280 1176230 ) via2_FR
NEW met2 ( 1705680 1176230 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[14\] ( soc la_output[14] ) ( mgmt_buffers la_data_out_mprj[14] )
+ ROUTED met2 ( 1171920 1167350 0 ) ( 1171920 1208605 )
NEW met2 ( 1093680 1208605 ) ( 1093680 1232470 )
NEW met2 ( 1092480 1232470 0 ) ( 1093680 1232470 )
NEW met1 ( 1093680 1208605 ) ( 1171920 1208605 )
NEW met1 ( 1171920 1208605 ) M1M2_PR
NEW met1 ( 1093680 1208605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[15\] ( soc la_output[15] ) ( mgmt_buffers la_data_out_mprj[15] )
+ ROUTED met2 ( 1138800 1213230 ) ( 1138800 1213415 )
NEW met2 ( 1136880 1213415 ) ( 1136880 1214710 )
NEW met3 ( 1094640 1214710 ) ( 1136880 1214710 )
NEW met2 ( 1094640 1214710 ) ( 1094640 1232470 0 )
NEW met1 ( 1136880 1213415 ) ( 1138800 1213415 )
NEW met3 ( 1643040 1213230 ) ( 1643040 1213970 )
NEW met3 ( 1643040 1213970 ) ( 1706160 1213970 )
NEW met2 ( 1706160 1167350 ) ( 1706160 1213970 )
NEW met2 ( 1706160 1167350 ) ( 1707360 1167350 0 )
NEW met3 ( 1138800 1213230 ) ( 1643040 1213230 )
NEW met2 ( 1138800 1213230 ) via2_FR
NEW met1 ( 1138800 1213415 ) M1M2_PR
NEW met1 ( 1136880 1213415 ) M1M2_PR
NEW met2 ( 1136880 1214710 ) via2_FR
NEW met2 ( 1094640 1214710 ) via2_FR
NEW met2 ( 1706160 1213970 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[16\] ( soc la_output[16] ) ( mgmt_buffers la_data_out_mprj[16] )
+ ROUTED met2 ( 1169040 1167350 ) ( 1170240 1167350 0 )
NEW met2 ( 1169040 1167350 ) ( 1169040 1208235 )
NEW met2 ( 1096560 1208235 ) ( 1096560 1232470 0 )
NEW met1 ( 1096560 1208235 ) ( 1169040 1208235 )
NEW met1 ( 1169040 1208235 ) M1M2_PR
NEW met1 ( 1096560 1208235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[17\] ( soc la_output[17] ) ( mgmt_buffers la_data_out_mprj[17] )
+ ROUTED met2 ( 1098960 1178450 ) ( 1098960 1232470 0 )
NEW met2 ( 1708080 1167350 ) ( 1708080 1178450 )
NEW met2 ( 1708080 1167350 ) ( 1709280 1167350 0 )
NEW met3 ( 1098960 1178450 ) ( 1708080 1178450 )
NEW met2 ( 1098960 1178450 ) via2_FR
NEW met2 ( 1708080 1178450 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[18\] ( soc la_output[18] ) ( mgmt_buffers la_data_out_mprj[18] )
+ ROUTED met2 ( 1167120 1167350 ) ( 1168320 1167350 0 )
NEW met2 ( 1167120 1167350 ) ( 1167120 1202315 )
NEW met2 ( 1102320 1202315 ) ( 1102320 1232470 )
NEW met2 ( 1101120 1232470 0 ) ( 1102320 1232470 )
NEW met1 ( 1102320 1202315 ) ( 1167120 1202315 )
NEW met1 ( 1167120 1202315 ) M1M2_PR
NEW met1 ( 1102320 1202315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[19\] ( soc la_output[19] ) ( mgmt_buffers la_data_out_mprj[19] )
+ ROUTED met4 ( 1140000 1211750 ) ( 1140000 1213785 )
NEW met4 ( 1137120 1213785 ) ( 1137120 1213970 )
NEW met3 ( 1104240 1213970 ) ( 1137120 1213970 )
NEW met2 ( 1104240 1213970 ) ( 1104240 1232470 )
NEW met2 ( 1103040 1232470 0 ) ( 1104240 1232470 )
NEW met4 ( 1137120 1213785 ) ( 1140000 1213785 )
NEW met2 ( 1710480 1167350 ) ( 1710480 1211750 )
NEW met2 ( 1710480 1167350 ) ( 1711200 1167350 0 )
NEW met2 ( 1209840 1211565 ) ( 1209840 1211750 )
NEW met1 ( 1209840 1211565 ) ( 1211760 1211565 )
NEW met2 ( 1211760 1211565 ) ( 1211760 1211750 )
NEW met3 ( 1140000 1211750 ) ( 1209840 1211750 )
NEW met3 ( 1211760 1211750 ) ( 1710480 1211750 )
NEW met3 ( 1140000 1211750 ) M3M4_PR_M
NEW met3 ( 1137120 1213970 ) M3M4_PR_M
NEW met2 ( 1104240 1213970 ) via2_FR
NEW met2 ( 1710480 1211750 ) via2_FR
NEW met2 ( 1209840 1211750 ) via2_FR
NEW met1 ( 1209840 1211565 ) M1M2_PR
NEW met1 ( 1211760 1211565 ) M1M2_PR
NEW met2 ( 1211760 1211750 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[1\] ( soc la_output[1] ) ( mgmt_buffers la_data_out_mprj[1] )
+ ROUTED met2 ( 1166400 1167350 0 ) ( 1166640 1167350 )
NEW met2 ( 1166640 1167350 ) ( 1166640 1204165 )
NEW met2 ( 1063920 1204165 ) ( 1063920 1232470 0 )
NEW met1 ( 1063920 1204165 ) ( 1166640 1204165 )
NEW met1 ( 1166640 1204165 ) M1M2_PR
NEW met1 ( 1063920 1204165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[20\] ( soc la_output[20] ) ( mgmt_buffers la_data_out_mprj[20] )
+ ROUTED met2 ( 1106640 1177710 ) ( 1106640 1232470 )
NEW met2 ( 1105200 1232470 0 ) ( 1106640 1232470 )
NEW met2 ( 1712880 1167350 0 ) ( 1712880 1177710 )
NEW met3 ( 1106640 1177710 ) ( 1712880 1177710 )
NEW met2 ( 1106640 1177710 ) via2_FR
NEW met2 ( 1712880 1177710 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[21\] ( soc la_output[21] ) ( mgmt_buffers la_data_out_mprj[21] )
+ ROUTED met2 ( 1164720 1167350 0 ) ( 1164720 1205275 )
NEW met2 ( 1107600 1205275 ) ( 1107600 1232470 0 )
NEW met1 ( 1107600 1205275 ) ( 1164720 1205275 )
NEW met1 ( 1164720 1205275 ) M1M2_PR
NEW met1 ( 1107600 1205275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[22\] ( soc la_output[22] ) ( mgmt_buffers la_data_out_mprj[22] )
+ ROUTED met2 ( 1137840 1211750 ) ( 1137840 1213230 )
NEW met3 ( 1137840 1211750 ) ( 1139040 1211750 )
NEW met3 ( 1139040 1211010 ) ( 1139040 1211750 )
NEW met2 ( 1110000 1213230 ) ( 1110000 1232470 0 )
NEW met3 ( 1110000 1213230 ) ( 1137840 1213230 )
NEW met2 ( 1714800 1167350 0 ) ( 1714800 1211010 )
NEW met3 ( 1139040 1211010 ) ( 1714800 1211010 )
NEW met2 ( 1137840 1213230 ) via2_FR
NEW met2 ( 1137840 1211750 ) via2_FR
NEW met2 ( 1110000 1213230 ) via2_FR
NEW met2 ( 1714800 1211010 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[23\] ( soc la_output[23] ) ( mgmt_buffers la_data_out_mprj[23] )
+ ROUTED met2 ( 1162800 1167350 0 ) ( 1162800 1207495 )
NEW met2 ( 1112880 1207495 ) ( 1112880 1232470 )
NEW met2 ( 1111680 1232470 0 ) ( 1112880 1232470 )
NEW met1 ( 1112880 1207495 ) ( 1162800 1207495 )
NEW met1 ( 1162800 1207495 ) M1M2_PR
NEW met1 ( 1112880 1207495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[24\] ( soc la_output[24] ) ( mgmt_buffers la_data_out_mprj[24] )
+ ROUTED met2 ( 1115280 1176970 ) ( 1115280 1232470 )
NEW met2 ( 1114080 1232470 0 ) ( 1115280 1232470 )
NEW met2 ( 1716720 1167350 0 ) ( 1716720 1176970 )
NEW met3 ( 1115280 1176970 ) ( 1716720 1176970 )
NEW met2 ( 1115280 1176970 ) via2_FR
NEW met2 ( 1716720 1176970 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[25\] ( soc la_output[25] ) ( mgmt_buffers la_data_out_mprj[25] )
+ ROUTED met2 ( 1160880 1167350 0 ) ( 1160880 1199725 )
NEW met2 ( 1116240 1199725 ) ( 1116240 1232470 0 )
NEW met1 ( 1116240 1199725 ) ( 1160880 1199725 )
NEW met1 ( 1160880 1199725 ) M1M2_PR
NEW met1 ( 1116240 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[26\] ( soc la_output[26] ) ( mgmt_buffers la_data_out_mprj[26] )
+ ROUTED met2 ( 1138320 1211010 ) ( 1138320 1212490 )
NEW met2 ( 1138320 1212490 ) ( 1139280 1212490 )
NEW met2 ( 1139280 1212490 ) ( 1139280 1214155 )
NEW met2 ( 1341360 1213415 ) ( 1341360 1214710 )
NEW met3 ( 1341360 1214710 ) ( 1438320 1214710 )
NEW met2 ( 1438320 1213415 ) ( 1438320 1214710 )
NEW met2 ( 1541520 1213970 ) ( 1541520 1214155 )
NEW met2 ( 1118640 1211010 ) ( 1118640 1232470 0 )
NEW met3 ( 1118640 1211010 ) ( 1138320 1211010 )
NEW met2 ( 1239120 1212490 ) ( 1239120 1214155 )
NEW met3 ( 1239120 1212490 ) ( 1338960 1212490 )
NEW met2 ( 1338960 1212490 ) ( 1338960 1213415 )
NEW met1 ( 1139280 1214155 ) ( 1239120 1214155 )
NEW met1 ( 1338960 1213415 ) ( 1341360 1213415 )
NEW met2 ( 1440240 1212490 ) ( 1440240 1213415 )
NEW met3 ( 1440240 1212490 ) ( 1538640 1212490 )
NEW met2 ( 1538640 1212490 ) ( 1538640 1214155 )
NEW met1 ( 1438320 1213415 ) ( 1440240 1213415 )
NEW met1 ( 1538640 1214155 ) ( 1541520 1214155 )
NEW met2 ( 1642320 1213970 ) ( 1642800 1213970 )
NEW met2 ( 1642800 1213970 ) ( 1642800 1214710 )
NEW met3 ( 1642800 1214710 ) ( 1690800 1214710 )
NEW met2 ( 1690800 1214155 ) ( 1690800 1214710 )
NEW met1 ( 1690800 1214155 ) ( 1696080 1214155 )
NEW met2 ( 1696080 1213230 ) ( 1696080 1214155 )
NEW met3 ( 1696080 1213230 ) ( 1717200 1213230 )
NEW met2 ( 1717200 1167350 ) ( 1717200 1213230 )
NEW met2 ( 1717200 1167350 ) ( 1718400 1167350 0 )
NEW met3 ( 1541520 1213970 ) ( 1642320 1213970 )
NEW met2 ( 1138320 1211010 ) via2_FR
NEW met1 ( 1139280 1214155 ) M1M2_PR
NEW met1 ( 1341360 1213415 ) M1M2_PR
NEW met2 ( 1341360 1214710 ) via2_FR
NEW met2 ( 1438320 1214710 ) via2_FR
NEW met1 ( 1438320 1213415 ) M1M2_PR
NEW met2 ( 1541520 1213970 ) via2_FR
NEW met1 ( 1541520 1214155 ) M1M2_PR
NEW met2 ( 1118640 1211010 ) via2_FR
NEW met1 ( 1239120 1214155 ) M1M2_PR
NEW met2 ( 1239120 1212490 ) via2_FR
NEW met2 ( 1338960 1212490 ) via2_FR
NEW met1 ( 1338960 1213415 ) M1M2_PR
NEW met1 ( 1440240 1213415 ) M1M2_PR
NEW met2 ( 1440240 1212490 ) via2_FR
NEW met2 ( 1538640 1212490 ) via2_FR
NEW met1 ( 1538640 1214155 ) M1M2_PR
NEW met2 ( 1642320 1213970 ) via2_FR
NEW met2 ( 1642800 1214710 ) via2_FR
NEW met2 ( 1690800 1214710 ) via2_FR
NEW met1 ( 1690800 1214155 ) M1M2_PR
NEW met1 ( 1696080 1214155 ) M1M2_PR
NEW met2 ( 1696080 1213230 ) via2_FR
NEW met2 ( 1717200 1213230 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[27\] ( soc la_output[27] ) ( mgmt_buffers la_data_out_mprj[27] )
+ ROUTED met2 ( 1158000 1167350 ) ( 1159200 1167350 0 )
NEW met2 ( 1158000 1167350 ) ( 1158000 1203795 )
NEW met2 ( 1120560 1203795 ) ( 1120560 1232470 0 )
NEW met1 ( 1120560 1203795 ) ( 1158000 1203795 )
NEW met1 ( 1158000 1203795 ) M1M2_PR
NEW met1 ( 1120560 1203795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[28\] ( soc la_output[28] ) ( mgmt_buffers la_data_out_mprj[28] )
+ ROUTED met2 ( 1719120 1167350 ) ( 1719120 1179190 )
NEW met2 ( 1719120 1167350 ) ( 1720320 1167350 0 )
NEW met3 ( 1122480 1179190 ) ( 1719120 1179190 )
NEW met2 ( 1122480 1230990 ) ( 1122720 1230990 )
NEW met2 ( 1122720 1230990 ) ( 1122720 1232470 0 )
NEW met2 ( 1122480 1179190 ) ( 1122480 1230990 )
NEW met2 ( 1122480 1179190 ) via2_FR
NEW met2 ( 1719120 1179190 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[29\] ( soc la_output[29] ) ( mgmt_buffers la_data_out_mprj[29] )
+ ROUTED met2 ( 1156080 1167350 ) ( 1157280 1167350 0 )
NEW met2 ( 1156080 1167350 ) ( 1156080 1204905 )
NEW met2 ( 1126320 1204905 ) ( 1126320 1232470 )
NEW met2 ( 1125120 1232470 0 ) ( 1126320 1232470 )
NEW met1 ( 1126320 1204905 ) ( 1156080 1204905 )
NEW met1 ( 1156080 1204905 ) M1M2_PR
NEW met1 ( 1126320 1204905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[2\] ( soc la_output[2] ) ( mgmt_buffers la_data_out_mprj[2] )
+ ROUTED met3 ( 1439520 1214710 ) ( 1439520 1215450 )
NEW met2 ( 1066320 1218410 ) ( 1066320 1232470 0 )
NEW met2 ( 1641840 1213045 ) ( 1641840 1214710 )
NEW met1 ( 1641840 1213045 ) ( 1694160 1213045 )
NEW met2 ( 1694160 1210270 ) ( 1694160 1213045 )
NEW met3 ( 1694160 1210270 ) ( 1721520 1210270 )
NEW met2 ( 1721520 1167350 ) ( 1721520 1210270 )
NEW met2 ( 1721520 1167350 ) ( 1722240 1167350 0 )
NEW met3 ( 1439520 1214710 ) ( 1641840 1214710 )
NEW met2 ( 1135440 1218225 ) ( 1135440 1218410 )
NEW met1 ( 1135440 1218225 ) ( 1158480 1218225 )
NEW met2 ( 1158480 1214710 ) ( 1158480 1218225 )
NEW met3 ( 1066320 1218410 ) ( 1135440 1218410 )
NEW met4 ( 1340640 1214710 ) ( 1340640 1216190 )
NEW met4 ( 1340640 1216190 ) ( 1341600 1216190 )
NEW met4 ( 1341600 1215450 ) ( 1341600 1216190 )
NEW met3 ( 1158480 1214710 ) ( 1340640 1214710 )
NEW met3 ( 1341600 1215450 ) ( 1439520 1215450 )
NEW met2 ( 1066320 1218410 ) via2_FR
NEW met2 ( 1641840 1214710 ) via2_FR
NEW met1 ( 1641840 1213045 ) M1M2_PR
NEW met1 ( 1694160 1213045 ) M1M2_PR
NEW met2 ( 1694160 1210270 ) via2_FR
NEW met2 ( 1721520 1210270 ) via2_FR
NEW met2 ( 1135440 1218410 ) via2_FR
NEW met1 ( 1135440 1218225 ) M1M2_PR
NEW met1 ( 1158480 1218225 ) M1M2_PR
NEW met2 ( 1158480 1214710 ) via2_FR
NEW met3 ( 1340640 1214710 ) M3M4_PR_M
NEW met3 ( 1341600 1215450 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_out_mprj\[30\] ( soc la_output[30] ) ( mgmt_buffers la_data_out_mprj[30] )
+ ROUTED met2 ( 1154640 1167350 ) ( 1155360 1167350 0 )
NEW met2 ( 1154640 1167350 ) ( 1154640 1204535 )
NEW met2 ( 1127280 1204535 ) ( 1127280 1232470 0 )
NEW met1 ( 1127280 1204535 ) ( 1154640 1204535 )
NEW met1 ( 1154640 1204535 ) M1M2_PR
NEW met1 ( 1127280 1204535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[31\] ( soc la_output[31] ) ( mgmt_buffers la_data_out_mprj[31] )
+ ROUTED met2 ( 1129200 1180670 ) ( 1129200 1232470 0 )
NEW met2 ( 1723920 1167350 0 ) ( 1723920 1180670 )
NEW met3 ( 1129200 1180670 ) ( 1723920 1180670 )
NEW met2 ( 1129200 1180670 ) via2_FR
NEW met2 ( 1723920 1180670 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[32\] ( soc la_output[32] ) ( mgmt_buffers la_data_out_mprj[32] )
+ ROUTED met2 ( 1153680 1167350 0 ) ( 1153680 1206015 )
NEW met2 ( 1131600 1206015 ) ( 1131600 1232470 0 )
NEW met1 ( 1131600 1206015 ) ( 1153680 1206015 )
NEW met1 ( 1153680 1206015 ) M1M2_PR
NEW met1 ( 1131600 1206015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[33\] ( soc la_output[33] ) ( mgmt_buffers la_data_out_mprj[33] )
+ ROUTED met2 ( 1725840 1167350 0 ) ( 1725840 1217115 )
NEW met2 ( 1134000 1217115 ) ( 1134000 1232470 )
NEW met2 ( 1133760 1232470 0 ) ( 1134000 1232470 )
NEW met1 ( 1134000 1217115 ) ( 1725840 1217115 )
NEW met1 ( 1725840 1217115 ) M1M2_PR
NEW met1 ( 1134000 1217115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[34\] ( soc la_output[34] ) ( mgmt_buffers la_data_out_mprj[34] )
+ ROUTED met2 ( 1151760 1167350 0 ) ( 1151760 1199355 )
NEW met2 ( 1135920 1199355 ) ( 1135920 1232470 )
NEW met2 ( 1135920 1232470 ) ( 1136160 1232470 0 )
NEW met1 ( 1135920 1199355 ) ( 1151760 1199355 )
NEW met1 ( 1151760 1199355 ) M1M2_PR
NEW met1 ( 1135920 1199355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[35\] ( soc la_output[35] ) ( mgmt_buffers la_data_out_mprj[35] )
+ ROUTED met2 ( 1139760 1173455 ) ( 1139760 1214710 )
NEW met2 ( 1137840 1214710 ) ( 1139760 1214710 )
NEW met2 ( 1137840 1214710 ) ( 1137840 1232470 0 )
NEW met2 ( 1727760 1167350 0 ) ( 1727760 1173455 )
NEW met1 ( 1139760 1173455 ) ( 1727760 1173455 )
NEW met1 ( 1139760 1173455 ) M1M2_PR
NEW met1 ( 1727760 1173455 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[36\] ( soc la_output[36] ) ( mgmt_buffers la_data_out_mprj[36] )
+ ROUTED met2 ( 1149840 1167350 0 ) ( 1149840 1198615 )
NEW met1 ( 1140240 1198615 ) ( 1149840 1198615 )
NEW met2 ( 1140240 1198615 ) ( 1140240 1232470 0 )
NEW met1 ( 1149840 1198615 ) M1M2_PR
NEW met1 ( 1140240 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[37\] ( soc la_output[37] ) ( mgmt_buffers la_data_out_mprj[37] )
+ ROUTED met2 ( 1728240 1167350 ) ( 1729440 1167350 0 )
NEW met2 ( 1728240 1167350 ) ( 1728240 1217485 )
NEW met2 ( 1142640 1217485 ) ( 1142640 1232470 0 )
NEW met1 ( 1142640 1217485 ) ( 1728240 1217485 )
NEW met1 ( 1728240 1217485 ) M1M2_PR
NEW met1 ( 1142640 1217485 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[38\] ( soc la_output[38] ) ( mgmt_buffers la_data_out_mprj[38] )
+ ROUTED met2 ( 1146960 1167350 ) ( 1148160 1167350 0 )
NEW met2 ( 1146960 1167350 ) ( 1146960 1208790 )
NEW met2 ( 1146000 1208790 ) ( 1146960 1208790 )
NEW met2 ( 1146000 1208790 ) ( 1146000 1232470 )
NEW met2 ( 1144320 1232470 0 ) ( 1146000 1232470 )
+ USE SIGNAL ;
- la_data_out_mprj\[39\] ( soc la_output[39] ) ( mgmt_buffers la_data_out_mprj[39] )
+ ROUTED met2 ( 1147920 1179930 ) ( 1147920 1232470 )
NEW met2 ( 1146720 1232470 0 ) ( 1147920 1232470 )
NEW met2 ( 1730160 1167350 ) ( 1730160 1179930 )
NEW met2 ( 1730160 1167350 ) ( 1731360 1167350 0 )
NEW met3 ( 1147920 1179930 ) ( 1730160 1179930 )
NEW met2 ( 1147920 1179930 ) via2_FR
NEW met2 ( 1730160 1179930 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[3\] ( soc la_output[3] ) ( mgmt_buffers la_data_out_mprj[3] )
+ ROUTED met2 ( 1069680 1207865 ) ( 1069680 1232470 )
NEW met2 ( 1068480 1232470 0 ) ( 1069680 1232470 )
NEW met2 ( 1146240 1167350 0 ) ( 1146240 1168830 )
NEW met2 ( 1146000 1168830 ) ( 1146240 1168830 )
NEW met2 ( 1146000 1168830 ) ( 1146000 1207865 )
NEW met1 ( 1069680 1207865 ) ( 1146000 1207865 )
NEW met1 ( 1069680 1207865 ) M1M2_PR
NEW met1 ( 1146000 1207865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[40\] ( soc la_output[40] ) ( mgmt_buffers la_data_out_mprj[40] )
+ ROUTED met2 ( 1148880 1216745 ) ( 1148880 1232470 0 )
NEW met2 ( 1732080 1167350 ) ( 1733280 1167350 0 )
NEW met2 ( 1732080 1167350 ) ( 1732080 1216745 )
NEW met2 ( 1489200 1214155 ) ( 1489200 1216745 )
NEW met1 ( 1489200 1214155 ) ( 1492080 1214155 )
NEW met1 ( 1492080 1214155 ) ( 1492080 1214525 )
NEW met1 ( 1492080 1214525 ) ( 1497360 1214525 )
NEW met2 ( 1497360 1214525 ) ( 1497360 1216745 )
NEW met1 ( 1148880 1216745 ) ( 1489200 1216745 )
NEW met1 ( 1497360 1216745 ) ( 1732080 1216745 )
NEW met1 ( 1148880 1216745 ) M1M2_PR
NEW met1 ( 1732080 1216745 ) M1M2_PR
NEW met1 ( 1489200 1216745 ) M1M2_PR
NEW met1 ( 1489200 1214155 ) M1M2_PR
NEW met1 ( 1497360 1214525 ) M1M2_PR
NEW met1 ( 1497360 1216745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[41\] ( soc la_output[41] ) ( mgmt_buffers la_data_out_mprj[41] )
+ ROUTED met2 ( 1144320 1167350 0 ) ( 1145520 1167350 )
NEW met2 ( 1145520 1167350 ) ( 1145520 1198245 )
NEW met1 ( 1145520 1198245 ) ( 1151280 1198245 )
NEW met2 ( 1151280 1198245 ) ( 1151280 1232470 0 )
NEW met1 ( 1145520 1198245 ) M1M2_PR
NEW met1 ( 1151280 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[42\] ( soc la_output[42] ) ( mgmt_buffers la_data_out_mprj[42] )
+ ROUTED met2 ( 1153200 1173825 ) ( 1153200 1232470 0 )
NEW met2 ( 1734960 1167350 0 ) ( 1734960 1173825 )
NEW met1 ( 1153200 1173825 ) ( 1734960 1173825 )
NEW met1 ( 1153200 1173825 ) M1M2_PR
NEW met1 ( 1734960 1173825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[43\] ( soc la_output[43] ) ( mgmt_buffers la_data_out_mprj[43] )
+ ROUTED met2 ( 1142640 1167350 0 ) ( 1142640 1188625 )
NEW met1 ( 1142640 1188625 ) ( 1154160 1188625 )
NEW met2 ( 1154160 1188625 ) ( 1154160 1232470 )
NEW met2 ( 1154160 1232470 ) ( 1155360 1232470 0 )
NEW met1 ( 1142640 1188625 ) M1M2_PR
NEW met1 ( 1154160 1188625 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[44\] ( soc la_output[44] ) ( mgmt_buffers la_data_out_mprj[44] )
+ ROUTED met2 ( 1158960 1218225 ) ( 1158960 1232470 )
NEW met2 ( 1157760 1232470 0 ) ( 1158960 1232470 )
NEW met2 ( 1736880 1167350 0 ) ( 1736880 1218225 )
NEW met1 ( 1158960 1218225 ) ( 1736880 1218225 )
NEW met1 ( 1158960 1218225 ) M1M2_PR
NEW met1 ( 1736880 1218225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[45\] ( soc la_output[45] ) ( mgmt_buffers la_data_out_mprj[45] )
+ ROUTED met2 ( 1140720 1167350 0 ) ( 1140720 1194915 )
NEW met1 ( 1140720 1194915 ) ( 1159920 1194915 )
NEW met2 ( 1159920 1194915 ) ( 1159920 1232470 0 )
NEW met1 ( 1140720 1194915 ) M1M2_PR
NEW met1 ( 1159920 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[46\] ( soc la_output[46] ) ( mgmt_buffers la_data_out_mprj[46] )
+ ROUTED met2 ( 1191120 1171790 ) ( 1191120 1200095 )
NEW met1 ( 1161840 1200095 ) ( 1191120 1200095 )
NEW met2 ( 1161840 1200095 ) ( 1161840 1232470 0 )
NEW met2 ( 1738800 1167350 0 ) ( 1738800 1171790 )
NEW met3 ( 1191120 1171790 ) ( 1738800 1171790 )
NEW met2 ( 1191120 1171790 ) via2_FR
NEW met1 ( 1191120 1200095 ) M1M2_PR
NEW met1 ( 1161840 1200095 ) M1M2_PR
NEW met2 ( 1738800 1171790 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[47\] ( soc la_output[47] ) ( mgmt_buffers la_data_out_mprj[47] )
+ ROUTED met2 ( 1138800 1167350 0 ) ( 1138800 1194545 )
NEW met1 ( 1138800 1194545 ) ( 1164240 1194545 )
NEW met2 ( 1164240 1194545 ) ( 1164240 1232470 0 )
NEW met1 ( 1138800 1194545 ) M1M2_PR
NEW met1 ( 1164240 1194545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[48\] ( soc la_output[48] ) ( mgmt_buffers la_data_out_mprj[48] )
+ ROUTED met2 ( 1194960 1208975 ) ( 1194960 1210455 )
NEW met1 ( 1167600 1208975 ) ( 1194960 1208975 )
NEW met2 ( 1167600 1208975 ) ( 1167600 1232470 )
NEW met2 ( 1166400 1232470 0 ) ( 1167600 1232470 )
NEW met2 ( 1739280 1167350 ) ( 1740480 1167350 0 )
NEW met2 ( 1739280 1167350 ) ( 1739280 1210455 )
NEW met1 ( 1194960 1210455 ) ( 1739280 1210455 )
NEW met1 ( 1194960 1210455 ) M1M2_PR
NEW met1 ( 1194960 1208975 ) M1M2_PR
NEW met1 ( 1167600 1208975 ) M1M2_PR
NEW met1 ( 1739280 1210455 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[49\] ( soc la_output[49] ) ( mgmt_buffers la_data_out_mprj[49] )
+ ROUTED met2 ( 1168080 1232470 ) ( 1168800 1232470 0 )
NEW met2 ( 1136880 1166610 ) ( 1137120 1166610 0 )
NEW met2 ( 1136880 1166610 ) ( 1136880 1187145 )
NEW met1 ( 1136880 1187145 ) ( 1168080 1187145 )
NEW met2 ( 1168080 1187145 ) ( 1168080 1232470 )
NEW met1 ( 1136880 1187145 ) M1M2_PR
NEW met1 ( 1168080 1187145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[4\] ( soc la_output[4] ) ( mgmt_buffers la_data_out_mprj[4] )
+ ROUTED met2 ( 1741200 1167350 ) ( 1741200 1174750 )
NEW met2 ( 1741200 1167350 ) ( 1742400 1167350 0 )
NEW met3 ( 1070640 1174750 ) ( 1741200 1174750 )
NEW met2 ( 1070400 1230990 ) ( 1070640 1230990 )
NEW met2 ( 1070400 1230990 ) ( 1070400 1232470 0 )
NEW met2 ( 1070640 1174750 ) ( 1070640 1230990 )
NEW met2 ( 1070640 1174750 ) via2_FR
NEW met2 ( 1741200 1174750 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[50\] ( soc la_output[50] ) ( mgmt_buffers la_data_out_mprj[50] )
+ ROUTED met2 ( 1170480 1193065 ) ( 1170480 1232470 0 )
NEW met2 ( 1135200 1167350 0 ) ( 1136400 1167350 )
NEW met2 ( 1136400 1167350 ) ( 1136400 1193065 )
NEW met1 ( 1136400 1193065 ) ( 1170480 1193065 )
NEW met1 ( 1170480 1193065 ) M1M2_PR
NEW met1 ( 1136400 1193065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[51\] ( soc la_output[51] ) ( mgmt_buffers la_data_out_mprj[51] )
+ ROUTED met1 ( 1205040 1209345 ) ( 1205040 1210085 )
NEW met1 ( 1172880 1209345 ) ( 1205040 1209345 )
NEW met2 ( 1172880 1209345 ) ( 1172880 1232470 0 )
NEW met2 ( 1743120 1167350 ) ( 1744320 1167350 0 )
NEW met2 ( 1743120 1167350 ) ( 1743120 1210085 )
NEW met1 ( 1205040 1210085 ) ( 1743120 1210085 )
NEW met1 ( 1172880 1209345 ) M1M2_PR
NEW met1 ( 1743120 1210085 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[52\] ( soc la_output[52] ) ( mgmt_buffers la_data_out_mprj[52] )
+ ROUTED met2 ( 1175280 1192325 ) ( 1175280 1232470 0 )
NEW met2 ( 1133280 1167350 0 ) ( 1134480 1167350 )
NEW met2 ( 1134480 1167350 ) ( 1134480 1192325 )
NEW met1 ( 1134480 1192325 ) ( 1175280 1192325 )
NEW met1 ( 1175280 1192325 ) M1M2_PR
NEW met1 ( 1134480 1192325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[53\] ( soc la_output[53] ) ( mgmt_buffers la_data_out_mprj[53] )
+ ROUTED met2 ( 1193520 1172530 ) ( 1193520 1196765 )
NEW met1 ( 1177680 1196765 ) ( 1193520 1196765 )
NEW met2 ( 1177680 1196765 ) ( 1177680 1232470 )
NEW met2 ( 1177440 1232470 0 ) ( 1177680 1232470 )
NEW met2 ( 1746000 1167350 0 ) ( 1746000 1172530 )
NEW met3 ( 1193520 1172530 ) ( 1746000 1172530 )
NEW met2 ( 1193520 1172530 ) via2_FR
NEW met1 ( 1193520 1196765 ) M1M2_PR
NEW met1 ( 1177680 1196765 ) M1M2_PR
NEW met2 ( 1746000 1172530 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[54\] ( soc la_output[54] ) ( mgmt_buffers la_data_out_mprj[54] )
+ ROUTED met2 ( 1178160 1193435 ) ( 1178160 1232470 )
NEW met2 ( 1178160 1232470 ) ( 1179360 1232470 0 )
NEW met2 ( 1131600 1167350 0 ) ( 1131600 1193435 )
NEW met1 ( 1131600 1193435 ) ( 1178160 1193435 )
NEW met1 ( 1178160 1193435 ) M1M2_PR
NEW met1 ( 1131600 1193435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[55\] ( soc la_output[55] ) ( mgmt_buffers la_data_out_mprj[55] )
+ ROUTED met2 ( 1181520 1217855 ) ( 1181520 1232470 0 )
NEW met2 ( 1746480 1167350 ) ( 1747920 1167350 0 )
NEW met2 ( 1746480 1167350 ) ( 1746480 1217855 )
NEW met1 ( 1181520 1217855 ) ( 1746480 1217855 )
NEW met1 ( 1181520 1217855 ) M1M2_PR
NEW met1 ( 1746480 1217855 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[56\] ( soc la_output[56] ) ( mgmt_buffers la_data_out_mprj[56] )
+ ROUTED met2 ( 1183920 1181225 ) ( 1183920 1232470 0 )
NEW met2 ( 1129680 1167350 0 ) ( 1129680 1181225 )
NEW met1 ( 1129680 1181225 ) ( 1183920 1181225 )
NEW met1 ( 1183920 1181225 ) M1M2_PR
NEW met1 ( 1129680 1181225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[57\] ( soc la_output[57] ) ( mgmt_buffers la_data_out_mprj[57] )
+ ROUTED met2 ( 1209360 1175305 ) ( 1209360 1197135 )
NEW met1 ( 1185840 1197135 ) ( 1209360 1197135 )
NEW met2 ( 1185840 1197135 ) ( 1185840 1232470 0 )
NEW met2 ( 1749840 1167350 0 ) ( 1749840 1175305 )
NEW met1 ( 1209360 1175305 ) ( 1749840 1175305 )
NEW met1 ( 1209360 1175305 ) M1M2_PR
NEW met1 ( 1209360 1197135 ) M1M2_PR
NEW met1 ( 1185840 1197135 ) M1M2_PR
NEW met1 ( 1749840 1175305 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[58\] ( soc la_output[58] ) ( mgmt_buffers la_data_out_mprj[58] )
+ ROUTED met2 ( 1186800 1185665 ) ( 1186800 1232470 )
NEW met2 ( 1186800 1232470 ) ( 1188000 1232470 0 )
NEW met2 ( 1127760 1167350 0 ) ( 1127760 1185665 )
NEW met1 ( 1127760 1185665 ) ( 1186800 1185665 )
NEW met1 ( 1186800 1185665 ) M1M2_PR
NEW met1 ( 1127760 1185665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[59\] ( soc la_output[59] ) ( mgmt_buffers la_data_out_mprj[59] )
+ ROUTED met2 ( 1191600 1210825 ) ( 1191600 1232470 )
NEW met2 ( 1190400 1232470 0 ) ( 1191600 1232470 )
NEW met2 ( 1750320 1167350 ) ( 1751520 1167350 0 )
NEW met2 ( 1750320 1167350 ) ( 1750320 1210825 )
NEW met1 ( 1191600 1210825 ) ( 1750320 1210825 )
NEW met1 ( 1191600 1210825 ) M1M2_PR
NEW met1 ( 1750320 1210825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[5\] ( soc la_output[5] ) ( mgmt_buffers la_data_out_mprj[5] )
+ ROUTED met2 ( 1125840 1167350 ) ( 1126080 1167350 0 )
NEW met2 ( 1125840 1167350 ) ( 1125840 1198615 )
NEW met1 ( 1072560 1198615 ) ( 1125840 1198615 )
NEW met2 ( 1072560 1198615 ) ( 1072560 1232470 0 )
NEW met1 ( 1125840 1198615 ) M1M2_PR
NEW met1 ( 1072560 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[60\] ( soc la_output[60] ) ( mgmt_buffers la_data_out_mprj[60] )
+ ROUTED met2 ( 1208400 1174195 ) ( 1208400 1195655 )
NEW met1 ( 1192560 1195655 ) ( 1208400 1195655 )
NEW met2 ( 1192560 1195655 ) ( 1192560 1232470 0 )
NEW met2 ( 1752240 1167350 ) ( 1753440 1167350 0 )
NEW met2 ( 1752240 1167350 ) ( 1752240 1174195 )
NEW met1 ( 1208400 1174195 ) ( 1752240 1174195 )
NEW met1 ( 1208400 1174195 ) M1M2_PR
NEW met1 ( 1208400 1195655 ) M1M2_PR
NEW met1 ( 1192560 1195655 ) M1M2_PR
NEW met1 ( 1752240 1174195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[61\] ( soc la_output[61] ) ( mgmt_buffers la_data_out_mprj[61] )
+ ROUTED met2 ( 1193040 1184185 ) ( 1193040 1232470 )
NEW met2 ( 1193040 1232470 ) ( 1194480 1232470 0 )
NEW met2 ( 1124160 1167350 0 ) ( 1125360 1167350 )
NEW met2 ( 1125360 1167350 ) ( 1125360 1184185 )
NEW met1 ( 1125360 1184185 ) ( 1193040 1184185 )
NEW met1 ( 1193040 1184185 ) M1M2_PR
NEW met1 ( 1125360 1184185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[62\] ( soc la_output[62] ) ( mgmt_buffers la_data_out_mprj[62] )
+ ROUTED met2 ( 1196880 1218595 ) ( 1196880 1232470 0 )
NEW met2 ( 1754160 1167350 ) ( 1755360 1167350 0 )
NEW met2 ( 1754160 1167350 ) ( 1754160 1218595 )
NEW met1 ( 1196880 1218595 ) ( 1754160 1218595 )
NEW met1 ( 1196880 1218595 ) M1M2_PR
NEW met1 ( 1754160 1218595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[63\] ( soc la_output[63] ) ( mgmt_buffers la_data_out_mprj[63] )
+ ROUTED met2 ( 1197360 1193805 ) ( 1197360 1232470 )
NEW met2 ( 1197360 1232470 ) ( 1199040 1232470 0 )
NEW met2 ( 1122240 1167350 0 ) ( 1122960 1167350 )
NEW met2 ( 1122960 1167350 ) ( 1122960 1193805 )
NEW met1 ( 1122960 1193805 ) ( 1197360 1193805 )
NEW met1 ( 1197360 1193805 ) M1M2_PR
NEW met1 ( 1122960 1193805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[64\] ( soc la_output[64] ) ( mgmt_buffers la_data_out_mprj[64] )
+ ROUTED met2 ( 1220880 1174565 ) ( 1220880 1203425 )
NEW met1 ( 1201680 1203425 ) ( 1220880 1203425 )
NEW met2 ( 1757040 1167350 0 ) ( 1757040 1174565 )
NEW met1 ( 1220880 1174565 ) ( 1757040 1174565 )
NEW met2 ( 1201440 1230990 ) ( 1201680 1230990 )
NEW met2 ( 1201440 1230990 ) ( 1201440 1232470 0 )
NEW met2 ( 1201680 1203425 ) ( 1201680 1230990 )
NEW met1 ( 1220880 1174565 ) M1M2_PR
NEW met1 ( 1220880 1203425 ) M1M2_PR
NEW met1 ( 1201680 1203425 ) M1M2_PR
NEW met1 ( 1757040 1174565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[65\] ( soc la_output[65] ) ( mgmt_buffers la_data_out_mprj[65] )
+ ROUTED met2 ( 1202160 1184925 ) ( 1202160 1232470 )
NEW met2 ( 1202160 1232470 ) ( 1203360 1232470 0 )
NEW met2 ( 1120560 1167350 0 ) ( 1120560 1184925 )
NEW met1 ( 1120560 1184925 ) ( 1202160 1184925 )
NEW met1 ( 1202160 1184925 ) M1M2_PR
NEW met1 ( 1120560 1184925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[66\] ( soc la_output[66] ) ( mgmt_buffers la_data_out_mprj[66] )
+ ROUTED met1 ( 1221840 1209345 ) ( 1221840 1209715 )
NEW met1 ( 1205520 1209345 ) ( 1221840 1209345 )
NEW met2 ( 1205520 1209345 ) ( 1205520 1232470 0 )
NEW met2 ( 1758960 1167350 0 ) ( 1758960 1209715 )
NEW met1 ( 1221840 1209715 ) ( 1758960 1209715 )
NEW met1 ( 1205520 1209345 ) M1M2_PR
NEW met1 ( 1758960 1209715 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[67\] ( soc la_output[67] ) ( mgmt_buffers la_data_out_mprj[67] )
+ ROUTED met2 ( 1207920 1188995 ) ( 1207920 1232470 0 )
NEW met2 ( 1118640 1167350 0 ) ( 1118640 1188995 )
NEW met1 ( 1118640 1188995 ) ( 1207920 1188995 )
NEW met1 ( 1207920 1188995 ) M1M2_PR
NEW met1 ( 1118640 1188995 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[68\] ( soc la_output[68] ) ( mgmt_buffers la_data_out_mprj[68] )
+ ROUTED met2 ( 1210320 1175675 ) ( 1210320 1232470 )
NEW met2 ( 1210080 1232470 0 ) ( 1210320 1232470 )
NEW met2 ( 1760880 1167350 0 ) ( 1760880 1175675 )
NEW met1 ( 1210320 1175675 ) ( 1760880 1175675 )
NEW met1 ( 1210320 1175675 ) M1M2_PR
NEW met1 ( 1760880 1175675 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[69\] ( soc la_output[69] ) ( mgmt_buffers la_data_out_mprj[69] )
+ ROUTED met2 ( 1210800 1189735 ) ( 1210800 1232470 )
NEW met2 ( 1210800 1232470 ) ( 1212000 1232470 0 )
NEW met2 ( 1116720 1167350 0 ) ( 1116720 1189735 )
NEW met1 ( 1116720 1189735 ) ( 1210800 1189735 )
NEW met1 ( 1210800 1189735 ) M1M2_PR
NEW met1 ( 1116720 1189735 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[6\] ( soc la_output[6] ) ( mgmt_buffers la_data_out_mprj[6] )
+ ROUTED met2 ( 1761360 1167350 ) ( 1762560 1167350 0 )
NEW met3 ( 1136160 1215450 ) ( 1136160 1216190 )
NEW met3 ( 1074960 1216190 ) ( 1136160 1216190 )
NEW met2 ( 1074960 1216190 ) ( 1074960 1232470 0 )
NEW met3 ( 1490400 1215450 ) ( 1490400 1216190 )
NEW met3 ( 1692000 1214710 ) ( 1692000 1215450 )
NEW met3 ( 1490400 1215450 ) ( 1692000 1215450 )
NEW met3 ( 1730400 1213970 ) ( 1730400 1214710 )
NEW met3 ( 1730400 1213970 ) ( 1761360 1213970 )
NEW met3 ( 1692000 1214710 ) ( 1730400 1214710 )
NEW met2 ( 1761360 1167350 ) ( 1761360 1213970 )
NEW met3 ( 1340640 1215450 ) ( 1340640 1216190 )
NEW met3 ( 1136160 1215450 ) ( 1340640 1215450 )
NEW met3 ( 1340640 1216190 ) ( 1490400 1216190 )
NEW met2 ( 1074960 1216190 ) via2_FR
NEW met2 ( 1761360 1213970 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[70\] ( soc la_output[70] ) ( mgmt_buffers la_data_out_mprj[70] )
+ ROUTED met2 ( 1213200 1190475 ) ( 1213200 1232470 )
NEW met2 ( 1213200 1232470 ) ( 1214400 1232470 0 )
NEW met2 ( 1115040 1167350 0 ) ( 1116240 1167350 )
NEW met2 ( 1116240 1167350 ) ( 1116240 1190475 )
NEW met1 ( 1116240 1190475 ) ( 1213200 1190475 )
NEW met1 ( 1213200 1190475 ) M1M2_PR
NEW met1 ( 1116240 1190475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[71\] ( soc la_output[71] ) ( mgmt_buffers la_data_out_mprj[71] )
+ ROUTED met2 ( 1216560 1174935 ) ( 1216560 1232470 0 )
NEW met2 ( 1763280 1167350 ) ( 1764480 1167350 0 )
NEW met2 ( 1763280 1167350 ) ( 1763280 1174935 )
NEW met1 ( 1216560 1174935 ) ( 1763280 1174935 )
NEW met1 ( 1216560 1174935 ) M1M2_PR
NEW met1 ( 1763280 1174935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[72\] ( soc la_output[72] ) ( mgmt_buffers la_data_out_mprj[72] )
+ ROUTED met2 ( 1218960 1189365 ) ( 1218960 1232470 0 )
NEW met2 ( 1113120 1167350 0 ) ( 1114320 1167350 )
NEW met2 ( 1114320 1167350 ) ( 1114320 1189365 )
NEW met1 ( 1114320 1189365 ) ( 1218960 1189365 )
NEW met1 ( 1218960 1189365 ) M1M2_PR
NEW met1 ( 1114320 1189365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[73\] ( soc la_output[73] ) ( mgmt_buffers la_data_out_mprj[73] )
+ ROUTED met2 ( 1222320 1211195 ) ( 1222320 1232470 )
NEW met2 ( 1220880 1232470 0 ) ( 1222320 1232470 )
NEW met2 ( 1765200 1167350 ) ( 1766400 1167350 0 )
NEW met2 ( 1765200 1167350 ) ( 1765200 1211195 )
NEW met1 ( 1222320 1211195 ) ( 1765200 1211195 )
NEW met1 ( 1222320 1211195 ) M1M2_PR
NEW met1 ( 1765200 1211195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[74\] ( soc la_output[74] ) ( mgmt_buffers la_data_out_mprj[74] )
+ ROUTED met2 ( 1230480 1176785 ) ( 1230480 1199725 )
NEW met1 ( 1223280 1199725 ) ( 1230480 1199725 )
NEW met2 ( 1768080 1167350 0 ) ( 1768080 1176785 )
NEW met1 ( 1230480 1176785 ) ( 1768080 1176785 )
NEW met2 ( 1223040 1230990 ) ( 1223280 1230990 )
NEW met2 ( 1223040 1230990 ) ( 1223040 1232470 0 )
NEW met2 ( 1223280 1199725 ) ( 1223280 1230990 )
NEW met1 ( 1230480 1176785 ) M1M2_PR
NEW met1 ( 1230480 1199725 ) M1M2_PR
NEW met1 ( 1223280 1199725 ) M1M2_PR
NEW met1 ( 1768080 1176785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[75\] ( soc la_output[75] ) ( mgmt_buffers la_data_out_mprj[75] )
+ ROUTED met2 ( 1224240 1190105 ) ( 1224240 1232470 )
NEW met2 ( 1224240 1232470 ) ( 1225440 1232470 0 )
NEW met2 ( 1109520 1167350 0 ) ( 1109520 1190105 )
NEW met1 ( 1109520 1190105 ) ( 1224240 1190105 )
NEW met1 ( 1224240 1190105 ) M1M2_PR
NEW met1 ( 1109520 1190105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[76\] ( soc la_output[76] ) ( mgmt_buffers la_data_out_mprj[76] )
+ ROUTED met2 ( 1227120 1218965 ) ( 1227120 1232470 0 )
NEW met2 ( 1768560 1167350 ) ( 1770000 1167350 0 )
NEW met2 ( 1768560 1167350 ) ( 1768560 1218965 )
NEW met1 ( 1227120 1218965 ) ( 1768560 1218965 )
NEW met1 ( 1227120 1218965 ) M1M2_PR
NEW met1 ( 1768560 1218965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[77\] ( soc la_output[77] ) ( mgmt_buffers la_data_out_mprj[77] )
+ ROUTED met2 ( 1229520 1188255 ) ( 1229520 1232470 0 )
NEW met2 ( 1107600 1167350 0 ) ( 1107600 1188255 )
NEW met1 ( 1107600 1188255 ) ( 1229520 1188255 )
NEW met1 ( 1229520 1188255 ) M1M2_PR
NEW met1 ( 1107600 1188255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[78\] ( soc la_output[78] ) ( mgmt_buffers la_data_out_mprj[78] )
+ ROUTED met2 ( 1231920 1176045 ) ( 1231920 1232470 0 )
NEW met2 ( 1771920 1167350 0 ) ( 1771920 1176045 )
NEW met1 ( 1231920 1176045 ) ( 1771920 1176045 )
NEW met1 ( 1231920 1176045 ) M1M2_PR
NEW met1 ( 1771920 1176045 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[79\] ( soc la_output[79] ) ( mgmt_buffers la_data_out_mprj[79] )
+ ROUTED met2 ( 1232880 1186405 ) ( 1232880 1232470 )
NEW met2 ( 1232880 1232470 ) ( 1234080 1232470 0 )
NEW met2 ( 1105680 1167350 0 ) ( 1105680 1186405 )
NEW met1 ( 1105680 1186405 ) ( 1232880 1186405 )
NEW met1 ( 1232880 1186405 ) M1M2_PR
NEW met1 ( 1105680 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[7\] ( soc la_output[7] ) ( mgmt_buffers la_data_out_mprj[7] )
+ ROUTED met2 ( 1107120 1208975 ) ( 1107120 1210270 )
NEW met1 ( 1077360 1208975 ) ( 1107120 1208975 )
NEW met2 ( 1077360 1208975 ) ( 1077360 1232470 0 )
NEW met2 ( 1692720 1210270 ) ( 1692720 1215450 )
NEW met3 ( 1107120 1210270 ) ( 1692720 1210270 )
NEW met3 ( 1692720 1215450 ) ( 1773360 1215450 )
NEW met2 ( 1773600 1167350 0 ) ( 1773600 1168830 )
NEW met2 ( 1773360 1168830 ) ( 1773600 1168830 )
NEW met2 ( 1773360 1168830 ) ( 1773360 1215450 )
NEW met2 ( 1773360 1215450 ) via2_FR
NEW met2 ( 1107120 1210270 ) via2_FR
NEW met1 ( 1107120 1208975 ) M1M2_PR
NEW met1 ( 1077360 1208975 ) M1M2_PR
NEW met2 ( 1692720 1210270 ) via2_FR
NEW met2 ( 1692720 1215450 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[80\] ( soc la_output[80] ) ( mgmt_buffers la_data_out_mprj[80] )
+ ROUTED met2 ( 1104000 1167350 0 ) ( 1105200 1167350 )
NEW met2 ( 1105200 1167350 ) ( 1105200 1186775 )
NEW met1 ( 1105200 1186775 ) ( 1236240 1186775 )
NEW met2 ( 1236000 1230990 ) ( 1236240 1230990 )
NEW met2 ( 1236000 1230990 ) ( 1236000 1232470 0 )
NEW met2 ( 1236240 1186775 ) ( 1236240 1230990 )
NEW met1 ( 1236240 1186775 ) M1M2_PR
NEW met1 ( 1105200 1186775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[81\] ( soc la_output[81] ) ( mgmt_buffers la_data_out_mprj[81] )
+ ROUTED met2 ( 1238160 1206570 ) ( 1238160 1232470 0 )
NEW met2 ( 1774320 1167350 ) ( 1775520 1167350 0 )
NEW met2 ( 1774320 1167350 ) ( 1774320 1176415 )
NEW met2 ( 1239120 1176415 ) ( 1239120 1206570 )
NEW met2 ( 1238160 1206570 ) ( 1239120 1206570 )
NEW met1 ( 1239120 1176415 ) ( 1774320 1176415 )
NEW met1 ( 1774320 1176415 ) M1M2_PR
NEW met1 ( 1239120 1176415 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[82\] ( soc la_output[82] ) ( mgmt_buffers la_data_out_mprj[82] )
+ ROUTED met1 ( 1188000 1201945 ) ( 1188000 1202315 )
NEW met2 ( 1102080 1167350 0 ) ( 1103280 1167350 )
NEW met2 ( 1103280 1167350 ) ( 1103280 1201945 )
NEW met1 ( 1103280 1201945 ) ( 1188000 1201945 )
NEW met2 ( 1240560 1202315 ) ( 1240560 1232470 0 )
NEW met1 ( 1188000 1202315 ) ( 1240560 1202315 )
NEW met1 ( 1103280 1201945 ) M1M2_PR
NEW met1 ( 1240560 1202315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[83\] ( soc la_output[83] ) ( mgmt_buffers la_data_out_mprj[83] )
+ ROUTED met2 ( 1359600 1200095 ) ( 1359600 1211565 )
NEW met2 ( 1776240 1167350 ) ( 1777440 1167350 0 )
NEW met2 ( 1776240 1167350 ) ( 1776240 1211565 )
NEW met2 ( 1303920 1198615 ) ( 1303920 1200095 )
NEW met1 ( 1242960 1198615 ) ( 1303920 1198615 )
NEW met2 ( 1242960 1198615 ) ( 1242960 1232470 0 )
NEW met1 ( 1303920 1200095 ) ( 1359600 1200095 )
NEW met1 ( 1359600 1211565 ) ( 1776240 1211565 )
NEW met1 ( 1359600 1200095 ) M1M2_PR
NEW met1 ( 1359600 1211565 ) M1M2_PR
NEW met1 ( 1776240 1211565 ) M1M2_PR
NEW met1 ( 1303920 1200095 ) M1M2_PR
NEW met1 ( 1303920 1198615 ) M1M2_PR
NEW met1 ( 1242960 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[84\] ( soc la_output[84] ) ( mgmt_buffers la_data_out_mprj[84] )
+ ROUTED met2 ( 1100160 1167350 0 ) ( 1101360 1167350 )
NEW met2 ( 1101360 1167350 ) ( 1101360 1203055 )
NEW met2 ( 1243440 1203055 ) ( 1243440 1232470 )
NEW met2 ( 1243440 1232470 ) ( 1244640 1232470 0 )
NEW met1 ( 1101360 1203055 ) ( 1243440 1203055 )
NEW met1 ( 1101360 1203055 ) M1M2_PR
NEW met1 ( 1243440 1203055 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[85\] ( soc la_output[85] ) ( mgmt_buffers la_data_out_mprj[85] )
+ ROUTED met2 ( 1779120 1167350 0 ) ( 1779120 1227475 )
NEW met1 ( 1743120 1227475 ) ( 1779120 1227475 )
NEW met1 ( 1743120 1227105 ) ( 1743120 1227475 )
NEW met2 ( 1248240 1226735 ) ( 1248240 1232470 )
NEW met2 ( 1247040 1232470 0 ) ( 1248240 1232470 )
NEW met1 ( 1654800 1226735 ) ( 1654800 1227105 )
NEW met1 ( 1248240 1226735 ) ( 1654800 1226735 )
NEW met1 ( 1654800 1227105 ) ( 1743120 1227105 )
NEW met1 ( 1779120 1227475 ) M1M2_PR
NEW met1 ( 1248240 1226735 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[86\] ( soc la_output[86] ) ( mgmt_buffers la_data_out_mprj[86] )
+ ROUTED met2 ( 1098480 1167350 0 ) ( 1098480 1202685 )
NEW met2 ( 1249200 1202685 ) ( 1249200 1232470 0 )
NEW met1 ( 1098480 1202685 ) ( 1249200 1202685 )
NEW met1 ( 1098480 1202685 ) M1M2_PR
NEW met1 ( 1249200 1202685 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[87\] ( soc la_output[87] ) ( mgmt_buffers la_data_out_mprj[87] )
+ ROUTED met2 ( 1779600 1167350 ) ( 1781040 1167350 0 )
NEW met2 ( 1779600 1167350 ) ( 1779600 1225995 )
NEW met2 ( 1251600 1226365 ) ( 1251600 1232470 0 )
NEW met1 ( 1655760 1225995 ) ( 1655760 1226365 )
NEW met1 ( 1251600 1226365 ) ( 1655760 1226365 )
NEW met1 ( 1655760 1225995 ) ( 1779600 1225995 )
NEW met1 ( 1779600 1225995 ) M1M2_PR
NEW met1 ( 1251600 1226365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[88\] ( soc la_output[88] ) ( mgmt_buffers la_data_out_mprj[88] )
+ ROUTED met1 ( 1188000 1203425 ) ( 1188000 1204535 )
NEW met2 ( 1096560 1167350 0 ) ( 1096560 1203425 )
NEW met1 ( 1096560 1203425 ) ( 1188000 1203425 )
NEW met2 ( 1253520 1204535 ) ( 1253520 1232470 0 )
NEW met1 ( 1188000 1204535 ) ( 1253520 1204535 )
NEW met1 ( 1096560 1203425 ) M1M2_PR
NEW met1 ( 1253520 1204535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[89\] ( soc la_output[89] ) ( mgmt_buffers la_data_out_mprj[89] )
+ ROUTED met2 ( 1781520 1167350 ) ( 1782960 1167350 0 )
NEW met2 ( 1781520 1167350 ) ( 1781520 1229325 )
NEW met1 ( 1270320 1228585 ) ( 1270320 1229325 )
NEW met1 ( 1256880 1228585 ) ( 1270320 1228585 )
NEW met2 ( 1256880 1228585 ) ( 1256880 1232470 )
NEW met2 ( 1255680 1232470 0 ) ( 1256880 1232470 )
NEW met1 ( 1270320 1229325 ) ( 1781520 1229325 )
NEW met1 ( 1781520 1229325 ) M1M2_PR
NEW met1 ( 1256880 1228585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[8\] ( soc la_output[8] ) ( mgmt_buffers la_data_out_mprj[8] )
+ ROUTED met2 ( 1094640 1167350 0 ) ( 1094640 1196025 )
NEW met1 ( 1079760 1196025 ) ( 1094640 1196025 )
NEW met2 ( 1079760 1196025 ) ( 1079760 1232470 )
NEW met2 ( 1079040 1232470 0 ) ( 1079760 1232470 )
NEW met1 ( 1094640 1196025 ) M1M2_PR
NEW met1 ( 1079760 1196025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[90\] ( soc la_output[90] ) ( mgmt_buffers la_data_out_mprj[90] )
+ ROUTED met1 ( 1365360 1208975 ) ( 1365360 1209345 )
NEW met1 ( 1365360 1208975 ) ( 1368240 1208975 )
NEW met2 ( 1368240 1177155 ) ( 1368240 1208975 )
NEW met2 ( 1783440 1167350 ) ( 1784640 1167350 0 )
NEW met2 ( 1783440 1167350 ) ( 1783440 1177155 )
NEW met2 ( 1259280 1209345 ) ( 1259280 1232470 )
NEW met2 ( 1258080 1232470 0 ) ( 1259280 1232470 )
NEW met1 ( 1259280 1209345 ) ( 1365360 1209345 )
NEW met1 ( 1368240 1177155 ) ( 1783440 1177155 )
NEW met1 ( 1368240 1208975 ) M1M2_PR
NEW met1 ( 1368240 1177155 ) M1M2_PR
NEW met1 ( 1783440 1177155 ) M1M2_PR
NEW met1 ( 1259280 1209345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[91\] ( soc la_output[91] ) ( mgmt_buffers la_data_out_mprj[91] )
+ ROUTED met2 ( 1188720 1201575 ) ( 1188720 1203795 )
NEW met2 ( 1092960 1167350 0 ) ( 1094160 1167350 )
NEW met2 ( 1094160 1167350 ) ( 1094160 1201575 )
NEW met1 ( 1094160 1201575 ) ( 1188720 1201575 )
NEW met2 ( 1260240 1203795 ) ( 1260240 1232470 0 )
NEW met1 ( 1188720 1203795 ) ( 1260240 1203795 )
NEW met1 ( 1188720 1201575 ) M1M2_PR
NEW met1 ( 1188720 1203795 ) M1M2_PR
NEW met1 ( 1094160 1201575 ) M1M2_PR
NEW met1 ( 1260240 1203795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[92\] ( soc la_output[92] ) ( mgmt_buffers la_data_out_mprj[92] )
+ ROUTED met2 ( 1785840 1167350 ) ( 1786560 1167350 0 )
NEW met2 ( 1785840 1167350 ) ( 1785840 1228955 )
NEW met2 ( 1262160 1227475 ) ( 1262160 1232470 0 )
NEW met2 ( 1653840 1227475 ) ( 1653840 1228955 )
NEW met1 ( 1262160 1227475 ) ( 1653840 1227475 )
NEW met1 ( 1653840 1228955 ) ( 1785840 1228955 )
NEW met1 ( 1785840 1228955 ) M1M2_PR
NEW met1 ( 1262160 1227475 ) M1M2_PR
NEW met1 ( 1653840 1227475 ) M1M2_PR
NEW met1 ( 1653840 1228955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[93\] ( soc la_output[93] ) ( mgmt_buffers la_data_out_mprj[93] )
+ ROUTED met2 ( 1189200 1200835 ) ( 1189200 1204165 )
NEW met2 ( 1091040 1167350 0 ) ( 1092240 1167350 )
NEW met2 ( 1092240 1167350 ) ( 1092240 1200835 )
NEW met1 ( 1092240 1200835 ) ( 1189200 1200835 )
NEW met2 ( 1264560 1204165 ) ( 1264560 1232470 0 )
NEW met1 ( 1189200 1204165 ) ( 1264560 1204165 )
NEW met1 ( 1189200 1200835 ) M1M2_PR
NEW met1 ( 1189200 1204165 ) M1M2_PR
NEW met1 ( 1092240 1200835 ) M1M2_PR
NEW met1 ( 1264560 1204165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[94\] ( soc la_output[94] ) ( mgmt_buffers la_data_out_mprj[94] )
+ ROUTED met2 ( 1787280 1167350 ) ( 1788480 1167350 0 )
NEW met2 ( 1787280 1167350 ) ( 1787280 1228585 )
NEW met1 ( 1743120 1228585 ) ( 1787280 1228585 )
NEW met2 ( 1743120 1228030 ) ( 1743120 1228585 )
NEW met2 ( 1742640 1228030 ) ( 1743120 1228030 )
NEW met2 ( 1742640 1227475 ) ( 1742640 1228030 )
NEW met2 ( 1266960 1227105 ) ( 1266960 1232470 )
NEW met2 ( 1266720 1232470 0 ) ( 1266960 1232470 )
NEW met1 ( 1654320 1227105 ) ( 1654320 1227475 )
NEW met1 ( 1266960 1227105 ) ( 1654320 1227105 )
NEW met1 ( 1654320 1227475 ) ( 1742640 1227475 )
NEW met1 ( 1787280 1228585 ) M1M2_PR
NEW met1 ( 1743120 1228585 ) M1M2_PR
NEW met1 ( 1742640 1227475 ) M1M2_PR
NEW met1 ( 1266960 1227105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[95\] ( soc la_output[95] ) ( mgmt_buffers la_data_out_mprj[95] )
+ ROUTED met2 ( 1089120 1167350 0 ) ( 1090320 1167350 )
NEW met2 ( 1090320 1167350 ) ( 1090320 1191585 )
NEW met2 ( 1267440 1191585 ) ( 1267440 1232470 )
NEW met2 ( 1267440 1232470 ) ( 1268640 1232470 0 )
NEW met1 ( 1090320 1191585 ) ( 1267440 1191585 )
NEW met1 ( 1090320 1191585 ) M1M2_PR
NEW met1 ( 1267440 1191585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[96\] ( soc la_output[96] ) ( mgmt_buffers la_data_out_mprj[96] )
+ ROUTED met2 ( 1790160 1167350 0 ) ( 1790160 1224515 )
NEW met1 ( 1744560 1224515 ) ( 1790160 1224515 )
NEW met2 ( 1744560 1224515 ) ( 1744560 1229510 )
NEW met2 ( 1742640 1229510 ) ( 1744560 1229510 )
NEW met2 ( 1742640 1228585 ) ( 1742640 1229510 )
NEW met2 ( 1270800 1228585 ) ( 1270800 1232470 0 )
NEW met1 ( 1270800 1228585 ) ( 1742640 1228585 )
NEW met1 ( 1790160 1224515 ) M1M2_PR
NEW met1 ( 1744560 1224515 ) M1M2_PR
NEW met1 ( 1742640 1228585 ) M1M2_PR
NEW met1 ( 1270800 1228585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[97\] ( soc la_output[97] ) ( mgmt_buffers la_data_out_mprj[97] )
+ ROUTED met2 ( 1187760 1200465 ) ( 1187760 1205275 )
NEW met2 ( 1087440 1167350 0 ) ( 1087440 1181965 )
NEW met1 ( 1087440 1181965 ) ( 1109040 1181965 )
NEW met2 ( 1109040 1181965 ) ( 1109040 1200465 )
NEW met1 ( 1109040 1200465 ) ( 1187760 1200465 )
NEW met2 ( 1273200 1205275 ) ( 1273200 1232470 0 )
NEW met1 ( 1187760 1205275 ) ( 1273200 1205275 )
NEW met1 ( 1187760 1200465 ) M1M2_PR
NEW met1 ( 1187760 1205275 ) M1M2_PR
NEW met1 ( 1087440 1181965 ) M1M2_PR
NEW met1 ( 1109040 1181965 ) M1M2_PR
NEW met1 ( 1109040 1200465 ) M1M2_PR
NEW met1 ( 1273200 1205275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[98\] ( soc la_output[98] ) ( mgmt_buffers la_data_out_mprj[98] )
+ ROUTED met2 ( 1275600 1228955 ) ( 1275600 1232470 0 )
NEW met2 ( 1653360 1228955 ) ( 1653360 1232655 )
NEW met1 ( 1275600 1228955 ) ( 1653360 1228955 )
NEW met2 ( 1713840 1216375 ) ( 1713840 1232655 )
NEW met1 ( 1713840 1216375 ) ( 1792080 1216375 )
NEW met1 ( 1653360 1232655 ) ( 1713840 1232655 )
NEW met2 ( 1792080 1167350 0 ) ( 1792080 1216375 )
NEW met1 ( 1275600 1228955 ) M1M2_PR
NEW met1 ( 1653360 1228955 ) M1M2_PR
NEW met1 ( 1653360 1232655 ) M1M2_PR
NEW met1 ( 1713840 1232655 ) M1M2_PR
NEW met1 ( 1713840 1216375 ) M1M2_PR
NEW met1 ( 1792080 1216375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[99\] ( soc la_output[99] ) ( mgmt_buffers la_data_out_mprj[99] )
+ ROUTED met2 ( 1085520 1167350 0 ) ( 1085520 1192695 )
NEW met2 ( 1276080 1192695 ) ( 1276080 1232470 )
NEW met2 ( 1276080 1232470 ) ( 1277280 1232470 0 )
NEW met1 ( 1085520 1192695 ) ( 1276080 1192695 )
NEW met1 ( 1085520 1192695 ) M1M2_PR
NEW met1 ( 1276080 1192695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[9\] ( soc la_output[9] ) ( mgmt_buffers la_data_out_mprj[9] )
+ ROUTED met2 ( 1794000 1167350 0 ) ( 1794000 1185665 )
NEW met2 ( 1082640 1224515 ) ( 1082640 1232470 )
NEW met2 ( 1081440 1232470 0 ) ( 1082640 1232470 )
NEW met2 ( 1690800 1220815 ) ( 1690800 1224515 )
NEW met1 ( 1690800 1220815 ) ( 1758000 1220815 )
NEW met2 ( 1758000 1185665 ) ( 1758000 1220815 )
NEW met1 ( 1082640 1224515 ) ( 1690800 1224515 )
NEW met1 ( 1758000 1185665 ) ( 1794000 1185665 )
NEW met1 ( 1794000 1185665 ) M1M2_PR
NEW met1 ( 1082640 1224515 ) M1M2_PR
NEW met1 ( 1690800 1224515 ) M1M2_PR
NEW met1 ( 1690800 1220815 ) M1M2_PR
NEW met1 ( 1758000 1220815 ) M1M2_PR
NEW met1 ( 1758000 1185665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[0\] ( mprj la_data_out[0] ) ( mgmt_buffers la_data_out_core[0] )
+ ROUTED met2 ( 965520 1355125 ) ( 965520 1389350 0 )
NEW met2 ( 1349520 1325710 0 ) ( 1349520 1355125 )
NEW met1 ( 965520 1355125 ) ( 1349520 1355125 )
NEW met1 ( 965520 1355125 ) M1M2_PR
NEW met1 ( 1349520 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[100\] ( mprj la_data_out[100] ) ( mgmt_buffers la_data_out_core[100] )
+ ROUTED met1 ( 1568400 1357345 ) ( 1608240 1357345 )
NEW met2 ( 1608240 1357345 ) ( 1608240 1359935 )
NEW met2 ( 1567200 1325710 0 ) ( 1568400 1325710 )
NEW met2 ( 1568400 1325710 ) ( 1568400 1357345 )
NEW met2 ( 1649040 1353275 ) ( 1649040 1359935 )
NEW met1 ( 1608240 1359935 ) ( 1649040 1359935 )
NEW met2 ( 2749680 1353275 ) ( 2749680 1389350 0 )
NEW met1 ( 1649040 1353275 ) ( 2749680 1353275 )
NEW met1 ( 1568400 1357345 ) M1M2_PR
NEW met1 ( 1608240 1357345 ) M1M2_PR
NEW met1 ( 1608240 1359935 ) M1M2_PR
NEW met1 ( 1649040 1359935 ) M1M2_PR
NEW met1 ( 1649040 1353275 ) M1M2_PR
NEW met1 ( 2749680 1353275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[101\] ( mprj la_data_out[101] ) ( mgmt_buffers la_data_out_core[101] )
+ ROUTED met2 ( 2767440 1346615 ) ( 2767440 1389350 0 )
NEW met2 ( 1569360 1325710 0 ) ( 1569360 1346615 )
NEW met1 ( 1569360 1346615 ) ( 2767440 1346615 )
NEW met1 ( 1569360 1346615 ) M1M2_PR
NEW met1 ( 2767440 1346615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[102\] ( mprj la_data_out[102] ) ( mgmt_buffers la_data_out_core[102] )
+ ROUTED met2 ( 2785200 1367335 ) ( 2785200 1389350 0 )
NEW met2 ( 1571760 1325710 0 ) ( 1572720 1325710 )
NEW met2 ( 1572720 1325710 ) ( 1572720 1367335 )
NEW met1 ( 1572720 1367335 ) ( 2785200 1367335 )
NEW met1 ( 1572720 1367335 ) M1M2_PR
NEW met1 ( 2785200 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[103\] ( mprj la_data_out[103] ) ( mgmt_buffers la_data_out_core[103] )
+ ROUTED met1 ( 1574160 1354015 ) ( 1598640 1354015 )
NEW met2 ( 1598640 1349390 ) ( 1598640 1354015 )
NEW met2 ( 2803440 1339770 ) ( 2803440 1389350 0 )
NEW met2 ( 1574160 1325710 0 ) ( 1574160 1354015 )
NEW met2 ( 1636080 1344765 ) ( 1636080 1349390 )
NEW met1 ( 1636080 1344765 ) ( 1682160 1344765 )
NEW met2 ( 1682160 1339770 ) ( 1682160 1344765 )
NEW met3 ( 1598640 1349390 ) ( 1636080 1349390 )
NEW met3 ( 1682160 1339770 ) ( 2803440 1339770 )
NEW met1 ( 1574160 1354015 ) M1M2_PR
NEW met1 ( 1598640 1354015 ) M1M2_PR
NEW met2 ( 1598640 1349390 ) via2_FR
NEW met2 ( 2803440 1339770 ) via2_FR
NEW met2 ( 1636080 1349390 ) via2_FR
NEW met1 ( 1636080 1344765 ) M1M2_PR
NEW met1 ( 1682160 1344765 ) M1M2_PR
NEW met2 ( 1682160 1339770 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[104\] ( mprj la_data_out[104] ) ( mgmt_buffers la_data_out_core[104] )
+ ROUTED met1 ( 1577040 1367705 ) ( 1613040 1367705 )
NEW met2 ( 1613040 1367705 ) ( 1613040 1377325 )
NEW met2 ( 2821200 1377325 ) ( 2821200 1389350 0 )
NEW met2 ( 1575840 1325710 0 ) ( 1577040 1325710 )
NEW met2 ( 1577040 1325710 ) ( 1577040 1367705 )
NEW met1 ( 1613040 1377325 ) ( 2821200 1377325 )
NEW met1 ( 1577040 1367705 ) M1M2_PR
NEW met1 ( 1613040 1367705 ) M1M2_PR
NEW met1 ( 1613040 1377325 ) M1M2_PR
NEW met1 ( 2821200 1377325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[105\] ( mprj la_data_out[105] ) ( mgmt_buffers la_data_out_core[105] )
+ ROUTED met3 ( 1579440 1339770 ) ( 1589520 1339770 )
NEW met2 ( 1589520 1339770 ) ( 1589520 1342730 )
NEW met2 ( 2838960 1342730 ) ( 2838960 1389350 0 )
NEW met2 ( 1578240 1325710 0 ) ( 1579440 1325710 )
NEW met2 ( 1579440 1325710 ) ( 1579440 1339770 )
NEW met3 ( 1589520 1342730 ) ( 2838960 1342730 )
NEW met2 ( 1579440 1339770 ) via2_FR
NEW met2 ( 1589520 1339770 ) via2_FR
NEW met2 ( 1589520 1342730 ) via2_FR
NEW met2 ( 2838960 1342730 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[106\] ( mprj la_data_out[106] ) ( mgmt_buffers la_data_out_core[106] )
+ ROUTED met2 ( 2856720 1376215 ) ( 2856720 1389350 0 )
NEW met2 ( 1580400 1325710 0 ) ( 1581840 1325710 )
NEW met2 ( 1581840 1325710 ) ( 1581840 1326450 )
NEW met2 ( 1581840 1326450 ) ( 1583280 1326450 )
NEW met2 ( 1583280 1326450 ) ( 1583280 1376215 )
NEW met1 ( 1583280 1376215 ) ( 2856720 1376215 )
NEW met1 ( 2856720 1376215 ) M1M2_PR
NEW met1 ( 1583280 1376215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[107\] ( mprj la_data_out[107] ) ( mgmt_buffers la_data_out_core[107] )
+ ROUTED met2 ( 1582320 1325710 0 ) ( 1583760 1325710 )
NEW met2 ( 1583760 1325710 ) ( 1583760 1344210 )
NEW met2 ( 2874480 1344210 ) ( 2874480 1389350 0 )
NEW met3 ( 1583760 1344210 ) ( 2874480 1344210 )
NEW met2 ( 1583760 1344210 ) via2_FR
NEW met2 ( 2874480 1344210 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[108\] ( mprj la_data_out[108] ) ( mgmt_buffers la_data_out_core[108] )
+ ROUTED met2 ( 2892720 1375845 ) ( 2892720 1389350 0 )
NEW met2 ( 1584720 1325710 0 ) ( 1584720 1375845 )
NEW met1 ( 1584720 1375845 ) ( 2892720 1375845 )
NEW met1 ( 2892720 1375845 ) M1M2_PR
NEW met1 ( 1584720 1375845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[109\] ( mprj la_data_out[109] ) ( mgmt_buffers la_data_out_core[109] )
+ ROUTED met2 ( 1588080 1353090 ) ( 1588560 1353090 )
NEW met3 ( 1588560 1353090 ) ( 1626480 1353090 )
NEW met2 ( 1626480 1353090 ) ( 1626480 1354570 )
NEW met2 ( 2347920 1351425 ) ( 2347920 1351610 )
NEW met2 ( 2598480 1340510 ) ( 2598480 1351610 )
NEW met2 ( 1586880 1325710 0 ) ( 1588080 1325710 )
NEW met2 ( 1588080 1325710 ) ( 1588080 1353090 )
NEW met2 ( 1642320 1349390 ) ( 1642320 1354570 )
NEW met3 ( 1642320 1349390 ) ( 1690320 1349390 )
NEW met2 ( 1690320 1349390 ) ( 1690320 1351610 )
NEW met2 ( 1690320 1351610 ) ( 1693680 1351610 )
NEW met3 ( 1626480 1354570 ) ( 1642320 1354570 )
NEW met2 ( 2345520 1351425 ) ( 2345520 1351610 )
NEW met1 ( 2345520 1351425 ) ( 2347920 1351425 )
NEW met2 ( 2497680 1340510 ) ( 2497680 1351610 )
NEW met3 ( 2347920 1351610 ) ( 2497680 1351610 )
NEW met3 ( 2497680 1340510 ) ( 2598480 1340510 )
NEW met2 ( 2910480 1351610 ) ( 2910480 1389350 0 )
NEW met3 ( 2598480 1351610 ) ( 2910480 1351610 )
NEW met3 ( 1693680 1351610 ) ( 2345520 1351610 )
NEW met2 ( 1588560 1353090 ) via2_FR
NEW met2 ( 1626480 1353090 ) via2_FR
NEW met2 ( 1626480 1354570 ) via2_FR
NEW met1 ( 2347920 1351425 ) M1M2_PR
NEW met2 ( 2347920 1351610 ) via2_FR
NEW met2 ( 2598480 1340510 ) via2_FR
NEW met2 ( 2598480 1351610 ) via2_FR
NEW met2 ( 1642320 1354570 ) via2_FR
NEW met2 ( 1642320 1349390 ) via2_FR
NEW met2 ( 1690320 1349390 ) via2_FR
NEW met2 ( 1693680 1351610 ) via2_FR
NEW met1 ( 2345520 1351425 ) M1M2_PR
NEW met2 ( 2345520 1351610 ) via2_FR
NEW met2 ( 2497680 1351610 ) via2_FR
NEW met2 ( 2497680 1340510 ) via2_FR
NEW met2 ( 2910480 1351610 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[10\] ( mprj la_data_out[10] ) ( mgmt_buffers la_data_out_core[10] )
+ ROUTED met2 ( 1144080 1341435 ) ( 1144080 1389350 0 )
NEW met2 ( 1371120 1325710 0 ) ( 1371120 1341435 )
NEW met1 ( 1144080 1341435 ) ( 1371120 1341435 )
NEW met1 ( 1144080 1341435 ) M1M2_PR
NEW met1 ( 1371120 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[110\] ( mprj la_data_out[110] ) ( mgmt_buffers la_data_out_core[110] )
+ ROUTED met2 ( 1589280 1325710 0 ) ( 1590000 1325710 )
NEW met2 ( 1590000 1325710 ) ( 1590000 1375105 )
NEW met2 ( 2928240 1375105 ) ( 2928240 1389350 0 )
NEW met1 ( 1590000 1375105 ) ( 2928240 1375105 )
NEW met1 ( 1590000 1375105 ) M1M2_PR
NEW met1 ( 2928240 1375105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[111\] ( mprj la_data_out[111] ) ( mgmt_buffers la_data_out_core[111] )
+ ROUTED met2 ( 2347920 1350685 ) ( 2347920 1350870 )
NEW met2 ( 2599440 1341990 ) ( 2599440 1350870 )
NEW met2 ( 1590960 1325710 0 ) ( 1590960 1351610 )
NEW met3 ( 1692960 1350870 ) ( 1692960 1351610 )
NEW met3 ( 1590960 1351610 ) ( 1692960 1351610 )
NEW met2 ( 2346960 1350685 ) ( 2346960 1350870 )
NEW met1 ( 2346960 1350685 ) ( 2347920 1350685 )
NEW met2 ( 2498640 1341990 ) ( 2498640 1350870 )
NEW met3 ( 2347920 1350870 ) ( 2498640 1350870 )
NEW met3 ( 2498640 1341990 ) ( 2599440 1341990 )
NEW met2 ( 2946000 1350870 ) ( 2946000 1389350 0 )
NEW met3 ( 2599440 1350870 ) ( 2946000 1350870 )
NEW met3 ( 1692960 1350870 ) ( 2346960 1350870 )
NEW met2 ( 1590960 1351610 ) via2_FR
NEW met1 ( 2347920 1350685 ) M1M2_PR
NEW met2 ( 2347920 1350870 ) via2_FR
NEW met2 ( 2599440 1341990 ) via2_FR
NEW met2 ( 2599440 1350870 ) via2_FR
NEW met1 ( 2346960 1350685 ) M1M2_PR
NEW met2 ( 2346960 1350870 ) via2_FR
NEW met2 ( 2498640 1350870 ) via2_FR
NEW met2 ( 2498640 1341990 ) via2_FR
NEW met2 ( 2946000 1350870 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[112\] ( mprj la_data_out[112] ) ( mgmt_buffers la_data_out_core[112] )
+ ROUTED met2 ( 2963760 1381210 ) ( 2963760 1389350 0 )
NEW met2 ( 1593360 1325710 0 ) ( 1593360 1381210 )
NEW met3 ( 1593360 1381210 ) ( 2963760 1381210 )
NEW met2 ( 1593360 1381210 ) via2_FR
NEW met2 ( 2963760 1381210 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[113\] ( mprj la_data_out[113] ) ( mgmt_buffers la_data_out_core[113] )
+ ROUTED met2 ( 2146320 1345135 ) ( 2146320 1350130 )
NEW met2 ( 2348400 1350130 ) ( 2348400 1352165 )
NEW met2 ( 2598960 1343470 ) ( 2598960 1350130 )
NEW met2 ( 2982000 1350130 ) ( 2982000 1389350 0 )
NEW met3 ( 1692000 1350130 ) ( 1692000 1350870 )
NEW met2 ( 2144880 1345135 ) ( 2144880 1350130 )
NEW met3 ( 1692000 1350130 ) ( 2144880 1350130 )
NEW met1 ( 2144880 1345135 ) ( 2146320 1345135 )
NEW met2 ( 2346000 1350130 ) ( 2346000 1352165 )
NEW met3 ( 2146320 1350130 ) ( 2346000 1350130 )
NEW met1 ( 2346000 1352165 ) ( 2348400 1352165 )
NEW met2 ( 2498160 1343470 ) ( 2498160 1350130 )
NEW met3 ( 2348400 1350130 ) ( 2498160 1350130 )
NEW met3 ( 2498160 1343470 ) ( 2598960 1343470 )
NEW met3 ( 2598960 1350130 ) ( 2982000 1350130 )
NEW met2 ( 1594800 1325710 ) ( 1595760 1325710 0 )
NEW met2 ( 1594800 1325710 ) ( 1594800 1350870 )
NEW met3 ( 1594800 1350870 ) ( 1692000 1350870 )
NEW met1 ( 2146320 1345135 ) M1M2_PR
NEW met2 ( 2146320 1350130 ) via2_FR
NEW met2 ( 2348400 1350130 ) via2_FR
NEW met1 ( 2348400 1352165 ) M1M2_PR
NEW met2 ( 2598960 1343470 ) via2_FR
NEW met2 ( 2598960 1350130 ) via2_FR
NEW met2 ( 2982000 1350130 ) via2_FR
NEW met1 ( 2144880 1345135 ) M1M2_PR
NEW met2 ( 2144880 1350130 ) via2_FR
NEW met2 ( 2346000 1350130 ) via2_FR
NEW met1 ( 2346000 1352165 ) M1M2_PR
NEW met2 ( 2498160 1350130 ) via2_FR
NEW met2 ( 2498160 1343470 ) via2_FR
NEW met2 ( 1594800 1350870 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[114\] ( mprj la_data_out[114] ) ( mgmt_buffers la_data_out_core[114] )
+ ROUTED met2 ( 2999280 1379730 ) ( 2999280 1389350 0 )
NEW met2 ( 1597920 1324970 0 ) ( 1599120 1324970 )
NEW met2 ( 1599120 1324970 ) ( 1599120 1379730 )
NEW met3 ( 1599120 1379730 ) ( 2999280 1379730 )
NEW met2 ( 2999280 1379730 ) via2_FR
NEW met2 ( 1599120 1379730 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[115\] ( mprj la_data_out[115] ) ( mgmt_buffers la_data_out_core[115] )
+ ROUTED met2 ( 2145840 1351610 ) ( 2145840 1353830 )
NEW met3 ( 2145840 1353830 ) ( 2246160 1353830 )
NEW met2 ( 2246160 1349390 ) ( 2246160 1353830 )
NEW met2 ( 2347440 1349575 ) ( 2347440 1351610 )
NEW met2 ( 2597520 1345690 ) ( 2597520 1351610 )
NEW met3 ( 2597520 1345690 ) ( 2649360 1345690 )
NEW met2 ( 2649360 1345690 ) ( 2649360 1349390 )
NEW met2 ( 3017040 1349390 ) ( 3017040 1389350 0 )
NEW met3 ( 1691040 1349390 ) ( 1691040 1350130 )
NEW met2 ( 2145360 1349390 ) ( 2145360 1351610 )
NEW met3 ( 1691040 1349390 ) ( 2145360 1349390 )
NEW met2 ( 2145360 1351610 ) ( 2145840 1351610 )
NEW met2 ( 2346480 1349390 ) ( 2346480 1351425 )
NEW met2 ( 2346480 1351425 ) ( 2346960 1351425 )
NEW met2 ( 2346960 1351425 ) ( 2346960 1351610 )
NEW met3 ( 2246160 1349390 ) ( 2346480 1349390 )
NEW met2 ( 2346960 1351610 ) ( 2347440 1351610 )
NEW met2 ( 2496720 1340510 ) ( 2496720 1341065 )
NEW met1 ( 2496720 1341065 ) ( 2499120 1341065 )
NEW met2 ( 2499120 1341065 ) ( 2499120 1350130 )
NEW met3 ( 2499120 1350130 ) ( 2548320 1350130 )
NEW met3 ( 2548320 1350130 ) ( 2548320 1351610 )
NEW met3 ( 2548320 1351610 ) ( 2597520 1351610 )
NEW met3 ( 2649360 1349390 ) ( 3017040 1349390 )
NEW met2 ( 1599840 1325710 0 ) ( 1601040 1325710 )
NEW met2 ( 1601040 1325710 ) ( 1601040 1350130 )
NEW met3 ( 1601040 1350130 ) ( 1691040 1350130 )
NEW met2 ( 2441520 1340510 ) ( 2441520 1349575 )
NEW met1 ( 2347440 1349575 ) ( 2441520 1349575 )
NEW met3 ( 2441520 1340510 ) ( 2496720 1340510 )
NEW met2 ( 2145840 1353830 ) via2_FR
NEW met2 ( 2246160 1353830 ) via2_FR
NEW met2 ( 2246160 1349390 ) via2_FR
NEW met1 ( 2347440 1349575 ) M1M2_PR
NEW met2 ( 2597520 1351610 ) via2_FR
NEW met2 ( 2597520 1345690 ) via2_FR
NEW met2 ( 2649360 1345690 ) via2_FR
NEW met2 ( 2649360 1349390 ) via2_FR
NEW met2 ( 3017040 1349390 ) via2_FR
NEW met2 ( 2145360 1349390 ) via2_FR
NEW met2 ( 2346480 1349390 ) via2_FR
NEW met2 ( 2496720 1340510 ) via2_FR
NEW met1 ( 2496720 1341065 ) M1M2_PR
NEW met1 ( 2499120 1341065 ) M1M2_PR
NEW met2 ( 2499120 1350130 ) via2_FR
NEW met2 ( 1601040 1350130 ) via2_FR
NEW met2 ( 2441520 1340510 ) via2_FR
NEW met1 ( 2441520 1349575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[116\] ( mprj la_data_out[116] ) ( mgmt_buffers la_data_out_core[116] )
+ ROUTED met2 ( 3035280 1380470 ) ( 3035280 1389350 0 )
NEW met2 ( 1602000 1325710 0 ) ( 1602000 1356605 )
NEW met2 ( 1628400 1356605 ) ( 1628400 1380470 )
NEW met1 ( 1602000 1356605 ) ( 1628400 1356605 )
NEW met3 ( 1628400 1380470 ) ( 3035280 1380470 )
NEW met1 ( 1602000 1356605 ) M1M2_PR
NEW met2 ( 3035280 1380470 ) via2_FR
NEW met1 ( 1628400 1356605 ) M1M2_PR
NEW met2 ( 1628400 1380470 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[117\] ( mprj la_data_out[117] ) ( mgmt_buffers la_data_out_core[117] )
+ ROUTED met2 ( 1604400 1325710 0 ) ( 1604400 1378990 )
NEW met2 ( 3053040 1378990 ) ( 3053040 1389350 0 )
NEW met3 ( 1604400 1378990 ) ( 3053040 1378990 )
NEW met2 ( 1604400 1378990 ) via2_FR
NEW met2 ( 3053040 1378990 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[118\] ( mprj la_data_out[118] ) ( mgmt_buffers la_data_out_core[118] )
+ ROUTED met2 ( 1606800 1325710 0 ) ( 1607760 1325710 )
NEW met2 ( 1607760 1325710 ) ( 1607760 1337735 )
NEW met2 ( 3071280 1339030 ) ( 3071280 1389350 0 )
NEW met2 ( 2066640 1339030 ) ( 2066640 1339215 )
NEW met3 ( 2066640 1339030 ) ( 3071280 1339030 )
NEW met2 ( 1886160 1338105 ) ( 1886160 1339585 )
NEW met2 ( 1886160 1339585 ) ( 1887120 1339585 )
NEW met2 ( 1887120 1339030 ) ( 1887120 1339585 )
NEW met2 ( 1944240 1337365 ) ( 1944240 1339030 )
NEW met2 ( 1944240 1337365 ) ( 1945200 1337365 )
NEW met1 ( 1945200 1337365 ) ( 1951440 1337365 )
NEW met2 ( 1951440 1337365 ) ( 1951440 1339215 )
NEW met3 ( 1887120 1339030 ) ( 1944240 1339030 )
NEW met1 ( 1951440 1339215 ) ( 2066640 1339215 )
NEW met1 ( 1642800 1337735 ) ( 1642800 1338105 )
NEW met1 ( 1607760 1337735 ) ( 1642800 1337735 )
NEW met1 ( 1642800 1338105 ) ( 1886160 1338105 )
NEW met2 ( 3071280 1339030 ) via2_FR
NEW met1 ( 1607760 1337735 ) M1M2_PR
NEW met1 ( 2066640 1339215 ) M1M2_PR
NEW met2 ( 2066640 1339030 ) via2_FR
NEW met1 ( 1886160 1338105 ) M1M2_PR
NEW met2 ( 1887120 1339030 ) via2_FR
NEW met2 ( 1944240 1339030 ) via2_FR
NEW met1 ( 1945200 1337365 ) M1M2_PR
NEW met1 ( 1951440 1337365 ) M1M2_PR
NEW met1 ( 1951440 1339215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[119\] ( mprj la_data_out[119] ) ( mgmt_buffers la_data_out_core[119] )
+ ROUTED met2 ( 1608480 1325710 0 ) ( 1609680 1325710 )
NEW met2 ( 1609680 1325710 ) ( 1609680 1384170 )
NEW met2 ( 3088560 1384170 ) ( 3088560 1389350 0 )
NEW met3 ( 1609680 1384170 ) ( 3088560 1384170 )
NEW met2 ( 1609680 1384170 ) via2_FR
NEW met2 ( 3088560 1384170 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[11\] ( mprj la_data_out[11] ) ( mgmt_buffers la_data_out_core[11] )
+ ROUTED met2 ( 1162320 1369185 ) ( 1162320 1389350 0 )
NEW met2 ( 1373520 1325710 0 ) ( 1373520 1369185 )
NEW met1 ( 1162320 1369185 ) ( 1373520 1369185 )
NEW met1 ( 1162320 1369185 ) M1M2_PR
NEW met1 ( 1373520 1369185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[120\] ( mprj la_data_out[120] ) ( mgmt_buffers la_data_out_core[120] )
+ ROUTED met2 ( 1610880 1325710 0 ) ( 1612560 1325710 )
NEW met2 ( 1612560 1325710 ) ( 1612560 1338845 )
NEW met2 ( 3106320 1338845 ) ( 3106320 1389350 0 )
NEW met1 ( 1612560 1338845 ) ( 3106320 1338845 )
NEW met1 ( 3106320 1338845 ) M1M2_PR
NEW met1 ( 1612560 1338845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[121\] ( mprj la_data_out[121] ) ( mgmt_buffers la_data_out_core[121] )
+ ROUTED met2 ( 1613040 1325710 0 ) ( 1614480 1325710 )
NEW met2 ( 1614480 1325710 ) ( 1614480 1378250 )
NEW met2 ( 3124560 1378250 ) ( 3124560 1389350 0 )
NEW met3 ( 1614480 1378250 ) ( 3124560 1378250 )
NEW met2 ( 1614480 1378250 ) via2_FR
NEW met2 ( 3124560 1378250 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[122\] ( mprj la_data_out[122] ) ( mgmt_buffers la_data_out_core[122] )
+ ROUTED met1 ( 1792560 1339215 ) ( 1792560 1339585 )
NEW met1 ( 1792560 1339215 ) ( 1794000 1339215 )
NEW met1 ( 1794000 1339215 ) ( 1794000 1339585 )
NEW met1 ( 1794000 1339585 ) ( 1889040 1339585 )
NEW met2 ( 3142320 1327930 ) ( 3142320 1389350 0 )
NEW met1 ( 1889040 1337365 ) ( 1894800 1337365 )
NEW met2 ( 1894800 1335330 ) ( 1894800 1337365 )
NEW met2 ( 1894800 1335330 ) ( 1895280 1335330 )
NEW met2 ( 1895280 1328115 ) ( 1895280 1335330 )
NEW met2 ( 1889040 1337365 ) ( 1889040 1339585 )
NEW met2 ( 2065680 1327930 ) ( 2065680 1339030 )
NEW met3 ( 2065680 1327930 ) ( 3142320 1327930 )
NEW met2 ( 1615440 1325710 0 ) ( 1615440 1340325 )
NEW met1 ( 1615440 1340325 ) ( 1634640 1340325 )
NEW met2 ( 1634640 1339585 ) ( 1634640 1340325 )
NEW met1 ( 1634640 1339585 ) ( 1792560 1339585 )
NEW met2 ( 1987920 1328115 ) ( 1987920 1339030 )
NEW met1 ( 1895280 1328115 ) ( 1987920 1328115 )
NEW met3 ( 1987920 1339030 ) ( 2065680 1339030 )
NEW met2 ( 3142320 1327930 ) via2_FR
NEW met1 ( 1889040 1339585 ) M1M2_PR
NEW met1 ( 1889040 1337365 ) M1M2_PR
NEW met1 ( 1894800 1337365 ) M1M2_PR
NEW met1 ( 1895280 1328115 ) M1M2_PR
NEW met2 ( 2065680 1339030 ) via2_FR
NEW met2 ( 2065680 1327930 ) via2_FR
NEW met1 ( 1615440 1340325 ) M1M2_PR
NEW met1 ( 1634640 1340325 ) M1M2_PR
NEW met1 ( 1634640 1339585 ) M1M2_PR
NEW met1 ( 1987920 1328115 ) M1M2_PR
NEW met2 ( 1987920 1339030 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[123\] ( mprj la_data_out[123] ) ( mgmt_buffers la_data_out_core[123] )
+ ROUTED met1 ( 1617360 1349205 ) ( 1627920 1349205 )
NEW met2 ( 1627920 1349205 ) ( 1627920 1349945 )
NEW met4 ( 1970400 1353645 ) ( 1970400 1353830 )
NEW met3 ( 1970400 1353830 ) ( 1978320 1353830 )
NEW met2 ( 3160560 1327190 ) ( 3160560 1389350 0 )
NEW met2 ( 1617360 1325710 0 ) ( 1617360 1349205 )
NEW met2 ( 1857360 1349945 ) ( 1857360 1352350 )
NEW met3 ( 1857360 1352350 ) ( 1858080 1352350 )
NEW met4 ( 1858080 1352350 ) ( 1858080 1353645 )
NEW met1 ( 1627920 1349945 ) ( 1857360 1349945 )
NEW met5 ( 1858080 1353645 ) ( 1970400 1353645 )
NEW met2 ( 2064240 1327190 ) ( 2064240 1327375 )
NEW met3 ( 2064240 1327190 ) ( 3160560 1327190 )
NEW met1 ( 1978320 1337365 ) ( 1993680 1337365 )
NEW met2 ( 1993680 1337365 ) ( 1993680 1338105 )
NEW met2 ( 1993680 1338105 ) ( 1995120 1338105 )
NEW met2 ( 1995120 1333665 ) ( 1995120 1338105 )
NEW met1 ( 1995120 1333665 ) ( 2041200 1333665 )
NEW met2 ( 2041200 1327375 ) ( 2041200 1333665 )
NEW met2 ( 1978320 1337365 ) ( 1978320 1353830 )
NEW met1 ( 2041200 1327375 ) ( 2064240 1327375 )
NEW met2 ( 3160560 1327190 ) via2_FR
NEW met1 ( 1617360 1349205 ) M1M2_PR
NEW met1 ( 1627920 1349205 ) M1M2_PR
NEW met1 ( 1627920 1349945 ) M1M2_PR
NEW met4 ( 1970400 1353645 ) via4_FR
NEW met3 ( 1970400 1353830 ) M3M4_PR_M
NEW met2 ( 1978320 1353830 ) via2_FR
NEW met1 ( 1857360 1349945 ) M1M2_PR
NEW met2 ( 1857360 1352350 ) via2_FR
NEW met3 ( 1858080 1352350 ) M3M4_PR_M
NEW met4 ( 1858080 1353645 ) via4_FR
NEW met2 ( 2064240 1327190 ) via2_FR
NEW met1 ( 2064240 1327375 ) M1M2_PR
NEW met1 ( 1978320 1337365 ) M1M2_PR
NEW met1 ( 1993680 1337365 ) M1M2_PR
NEW met1 ( 1995120 1333665 ) M1M2_PR
NEW met1 ( 2041200 1333665 ) M1M2_PR
NEW met1 ( 2041200 1327375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[124\] ( mprj la_data_out[124] ) ( mgmt_buffers la_data_out_core[124] )
+ ROUTED met1 ( 1620720 1356975 ) ( 1627920 1356975 )
NEW met2 ( 1627920 1356975 ) ( 1627920 1383430 )
NEW met2 ( 3177840 1383430 ) ( 3177840 1389350 0 )
NEW met2 ( 1619520 1325710 0 ) ( 1620720 1325710 )
NEW met2 ( 1620720 1325710 ) ( 1620720 1356975 )
NEW met3 ( 1627920 1383430 ) ( 3177840 1383430 )
NEW met1 ( 1620720 1356975 ) M1M2_PR
NEW met1 ( 1627920 1356975 ) M1M2_PR
NEW met2 ( 1627920 1383430 ) via2_FR
NEW met2 ( 3177840 1383430 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[125\] ( mprj la_data_out[125] ) ( mgmt_buffers la_data_out_core[125] )
+ ROUTED met1 ( 1786320 1341805 ) ( 1794480 1341805 )
NEW met2 ( 1794480 1339215 ) ( 1794480 1341805 )
NEW met2 ( 3195600 1338290 ) ( 3195600 1389350 0 )
NEW met2 ( 1786320 1337365 ) ( 1786320 1341805 )
NEW met2 ( 1858320 1339215 ) ( 1858320 1340325 )
NEW met1 ( 1794480 1339215 ) ( 1858320 1339215 )
NEW met2 ( 1621920 1325710 0 ) ( 1623120 1325710 )
NEW met2 ( 1623120 1325710 ) ( 1623120 1339955 )
NEW met1 ( 1623120 1339955 ) ( 1643280 1339955 )
NEW met2 ( 1643280 1337735 ) ( 1643280 1339955 )
NEW met1 ( 1643280 1337735 ) ( 1692240 1337735 )
NEW met1 ( 1692240 1337365 ) ( 1692240 1337735 )
NEW met1 ( 1692240 1337365 ) ( 1786320 1337365 )
NEW met4 ( 1947360 1338290 ) ( 1947360 1340325 )
NEW met4 ( 1945440 1340325 ) ( 1947360 1340325 )
NEW met4 ( 1945440 1339030 ) ( 1945440 1340325 )
NEW met3 ( 1945200 1339030 ) ( 1945440 1339030 )
NEW met2 ( 1945200 1338105 ) ( 1945200 1339030 )
NEW met1 ( 1936080 1338105 ) ( 1945200 1338105 )
NEW met2 ( 1936080 1338105 ) ( 1936080 1340325 )
NEW met1 ( 1858320 1340325 ) ( 1936080 1340325 )
NEW met3 ( 1947360 1338290 ) ( 3195600 1338290 )
NEW met2 ( 3195600 1338290 ) via2_FR
NEW met1 ( 1786320 1341805 ) M1M2_PR
NEW met1 ( 1794480 1341805 ) M1M2_PR
NEW met1 ( 1794480 1339215 ) M1M2_PR
NEW met1 ( 1786320 1337365 ) M1M2_PR
NEW met1 ( 1858320 1339215 ) M1M2_PR
NEW met1 ( 1858320 1340325 ) M1M2_PR
NEW met1 ( 1623120 1339955 ) M1M2_PR
NEW met1 ( 1643280 1339955 ) M1M2_PR
NEW met1 ( 1643280 1337735 ) M1M2_PR
NEW met3 ( 1947360 1338290 ) M3M4_PR_M
NEW met3 ( 1945440 1339030 ) M3M4_PR_M
NEW met2 ( 1945200 1339030 ) via2_FR
NEW met1 ( 1945200 1338105 ) M1M2_PR
NEW met1 ( 1936080 1338105 ) M1M2_PR
NEW met1 ( 1936080 1340325 ) M1M2_PR
NEW met3 ( 1945440 1339030 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_data_out_user\[126\] ( mprj la_data_out[126] ) ( mgmt_buffers la_data_out_core[126] )
+ ROUTED met2 ( 3213840 1382690 ) ( 3213840 1389350 0 )
NEW met2 ( 1623600 1325710 0 ) ( 1623600 1382690 )
NEW met3 ( 1623600 1382690 ) ( 3213840 1382690 )
NEW met2 ( 1623600 1382690 ) via2_FR
NEW met2 ( 3213840 1382690 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[127\] ( mprj la_data_out[127] ) ( mgmt_buffers la_data_out_core[127] )
+ ROUTED met2 ( 1829040 1383245 ) ( 1829040 1391385 )
NEW met2 ( 1844400 1391385 ) ( 1844400 1392310 )
NEW met1 ( 1829040 1391385 ) ( 1844400 1391385 )
NEW met2 ( 1624560 1324230 ) ( 1626000 1324230 0 )
NEW met2 ( 1624560 1324230 ) ( 1624560 1327190 )
NEW met2 ( 1624560 1327190 ) ( 1625040 1327190 )
NEW met2 ( 1625040 1327190 ) ( 1625040 1383245 )
NEW met1 ( 1625040 1383245 ) ( 1829040 1383245 )
NEW met4 ( 3024480 1391570 ) ( 3024480 1392310 )
NEW met3 ( 3024480 1392310 ) ( 3052560 1392310 )
NEW met2 ( 3052560 1392125 ) ( 3052560 1392310 )
NEW met1 ( 3052560 1392125 ) ( 3054000 1392125 )
NEW met2 ( 3054000 1392125 ) ( 3054000 1392310 )
NEW met4 ( 3226080 1390830 ) ( 3226080 1391570 )
NEW met3 ( 3226080 1390090 ) ( 3226080 1390830 )
NEW met3 ( 3226080 1390090 ) ( 3230160 1390090 )
NEW met2 ( 3230160 1390090 ) ( 3231600 1390090 0 )
NEW met3 ( 2144400 1392310 ) ( 2144400 1393050 )
NEW met2 ( 2144400 1392310 ) ( 2145360 1392310 )
NEW met2 ( 3125520 1392310 ) ( 3125520 1392495 )
NEW met1 ( 3125520 1392495 ) ( 3155280 1392495 )
NEW met2 ( 3155280 1392310 ) ( 3155280 1392495 )
NEW met3 ( 3155280 1392310 ) ( 3225120 1392310 )
NEW met3 ( 3225120 1391570 ) ( 3225120 1392310 )
NEW met3 ( 3054000 1392310 ) ( 3125520 1392310 )
NEW met3 ( 3225120 1391570 ) ( 3226080 1391570 )
NEW met2 ( 2203440 1392310 ) ( 2203440 1392495 )
NEW met3 ( 2145360 1392310 ) ( 2203440 1392310 )
NEW met2 ( 2756400 1392125 ) ( 2756400 1392310 )
NEW met3 ( 2756400 1392310 ) ( 2756640 1392310 )
NEW met3 ( 2756640 1392310 ) ( 2756640 1393050 )
NEW met3 ( 2951520 1392310 ) ( 2951520 1393050 )
NEW met4 ( 2951520 1390275 ) ( 2951520 1392310 )
NEW met4 ( 2951520 1390275 ) ( 2952480 1390275 )
NEW met4 ( 2952480 1390275 ) ( 2952480 1391570 )
NEW met3 ( 2952480 1391570 ) ( 3024480 1391570 )
NEW met2 ( 1987440 1392125 ) ( 1987440 1392310 )
NEW met1 ( 1987440 1392125 ) ( 2087280 1392125 )
NEW met2 ( 2087280 1392125 ) ( 2087280 1392310 )
NEW met3 ( 2087280 1392310 ) ( 2087520 1392310 )
NEW met3 ( 2087520 1392310 ) ( 2087520 1393050 )
NEW met3 ( 1844400 1392310 ) ( 1987440 1392310 )
NEW met3 ( 2087520 1393050 ) ( 2144400 1393050 )
NEW met3 ( 2794080 1391570 ) ( 2794080 1393050 )
NEW met3 ( 2794080 1391570 ) ( 2893920 1391570 )
NEW met3 ( 2893920 1391570 ) ( 2893920 1393050 )
NEW met3 ( 2756640 1393050 ) ( 2794080 1393050 )
NEW met3 ( 2893920 1393050 ) ( 2951520 1393050 )
NEW met2 ( 2448240 1392310 ) ( 2448240 1392495 )
NEW met1 ( 2203440 1392495 ) ( 2448240 1392495 )
NEW met2 ( 2627760 1392125 ) ( 2627760 1392310 )
NEW met3 ( 2578080 1392310 ) ( 2627760 1392310 )
NEW met3 ( 2578080 1392310 ) ( 2578080 1393050 )
NEW met1 ( 2627760 1392125 ) ( 2756400 1392125 )
NEW met2 ( 2477040 1392310 ) ( 2477040 1392495 )
NEW met1 ( 2477040 1392495 ) ( 2506800 1392495 )
NEW met2 ( 2506800 1392310 ) ( 2506800 1392495 )
NEW met3 ( 2506800 1392310 ) ( 2577120 1392310 )
NEW met3 ( 2577120 1392310 ) ( 2577120 1393050 )
NEW met3 ( 2448240 1392310 ) ( 2477040 1392310 )
NEW met3 ( 2577120 1393050 ) ( 2578080 1393050 )
NEW met1 ( 1829040 1383245 ) M1M2_PR
NEW met1 ( 1829040 1391385 ) M1M2_PR
NEW met1 ( 1844400 1391385 ) M1M2_PR
NEW met2 ( 1844400 1392310 ) via2_FR
NEW met1 ( 1625040 1383245 ) M1M2_PR
NEW met3 ( 3024480 1391570 ) M3M4_PR_M
NEW met3 ( 3024480 1392310 ) M3M4_PR_M
NEW met2 ( 3052560 1392310 ) via2_FR
NEW met1 ( 3052560 1392125 ) M1M2_PR
NEW met1 ( 3054000 1392125 ) M1M2_PR
NEW met2 ( 3054000 1392310 ) via2_FR
NEW met3 ( 3226080 1391570 ) M3M4_PR_M
NEW met3 ( 3226080 1390830 ) M3M4_PR_M
NEW met2 ( 3230160 1390090 ) via2_FR
NEW met2 ( 2144400 1392310 ) via2_FR
NEW met2 ( 2145360 1392310 ) via2_FR
NEW met2 ( 3125520 1392310 ) via2_FR
NEW met1 ( 3125520 1392495 ) M1M2_PR
NEW met1 ( 3155280 1392495 ) M1M2_PR
NEW met2 ( 3155280 1392310 ) via2_FR
NEW met2 ( 2203440 1392310 ) via2_FR
NEW met1 ( 2203440 1392495 ) M1M2_PR
NEW met1 ( 2756400 1392125 ) M1M2_PR
NEW met2 ( 2756400 1392310 ) via2_FR
NEW met3 ( 2951520 1392310 ) M3M4_PR_M
NEW met3 ( 2952480 1391570 ) M3M4_PR_M
NEW met2 ( 1987440 1392310 ) via2_FR
NEW met1 ( 1987440 1392125 ) M1M2_PR
NEW met1 ( 2087280 1392125 ) M1M2_PR
NEW met2 ( 2087280 1392310 ) via2_FR
NEW met2 ( 2448240 1392310 ) via2_FR
NEW met1 ( 2448240 1392495 ) M1M2_PR
NEW met1 ( 2627760 1392125 ) M1M2_PR
NEW met2 ( 2627760 1392310 ) via2_FR
NEW met2 ( 2477040 1392310 ) via2_FR
NEW met1 ( 2477040 1392495 ) M1M2_PR
NEW met1 ( 2506800 1392495 ) M1M2_PR
NEW met2 ( 2506800 1392310 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[12\] ( mprj la_data_out[12] ) ( mgmt_buffers la_data_out_core[12] )
+ ROUTED met2 ( 1179600 1340325 ) ( 1179600 1389350 0 )
NEW met2 ( 1374480 1325710 ) ( 1375680 1325710 0 )
NEW met2 ( 1374480 1325710 ) ( 1374480 1340325 )
NEW met1 ( 1179600 1340325 ) ( 1374480 1340325 )
NEW met1 ( 1179600 1340325 ) M1M2_PR
NEW met1 ( 1374480 1340325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[13\] ( mprj la_data_out[13] ) ( mgmt_buffers la_data_out_core[13] )
+ ROUTED met2 ( 1197360 1369555 ) ( 1197360 1389350 0 )
NEW met2 ( 1376400 1325710 ) ( 1377600 1325710 0 )
NEW met2 ( 1376400 1325710 ) ( 1376400 1369555 )
NEW met1 ( 1197360 1369555 ) ( 1376400 1369555 )
NEW met1 ( 1197360 1369555 ) M1M2_PR
NEW met1 ( 1376400 1369555 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[14\] ( mprj la_data_out[14] ) ( mgmt_buffers la_data_out_core[14] )
+ ROUTED met2 ( 1215600 1339585 ) ( 1215600 1389350 0 )
NEW met2 ( 1378800 1325710 ) ( 1380000 1325710 0 )
NEW met2 ( 1378800 1325710 ) ( 1378800 1339585 )
NEW met1 ( 1215600 1339585 ) ( 1378800 1339585 )
NEW met1 ( 1215600 1339585 ) M1M2_PR
NEW met1 ( 1378800 1339585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[15\] ( mprj la_data_out[15] ) ( mgmt_buffers la_data_out_core[15] )
+ ROUTED met2 ( 1233360 1356975 ) ( 1233360 1389350 0 )
NEW met1 ( 1238640 1356235 ) ( 1238640 1356975 )
NEW met1 ( 1233360 1356975 ) ( 1238640 1356975 )
NEW met2 ( 1382160 1325710 0 ) ( 1382160 1355865 )
NEW met1 ( 1343280 1355865 ) ( 1382160 1355865 )
NEW met1 ( 1343280 1355495 ) ( 1343280 1355865 )
NEW met1 ( 1338480 1355495 ) ( 1343280 1355495 )
NEW met1 ( 1338480 1355495 ) ( 1338480 1356235 )
NEW met1 ( 1238640 1356235 ) ( 1338480 1356235 )
NEW met1 ( 1233360 1356975 ) M1M2_PR
NEW met1 ( 1382160 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[16\] ( mprj la_data_out[16] ) ( mgmt_buffers la_data_out_core[16] )
+ ROUTED met2 ( 1384080 1325710 0 ) ( 1384080 1353645 )
NEW met2 ( 1251120 1353645 ) ( 1251120 1389350 0 )
NEW met1 ( 1251120 1353645 ) ( 1384080 1353645 )
NEW met1 ( 1384080 1353645 ) M1M2_PR
NEW met1 ( 1251120 1353645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[17\] ( mprj la_data_out[17] ) ( mgmt_buffers la_data_out_core[17] )
+ ROUTED met2 ( 1386480 1325710 0 ) ( 1386480 1356975 )
NEW met2 ( 1268880 1356975 ) ( 1268880 1389350 0 )
NEW met1 ( 1268880 1356975 ) ( 1386480 1356975 )
NEW met1 ( 1386480 1356975 ) M1M2_PR
NEW met1 ( 1268880 1356975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[18\] ( mprj la_data_out[18] ) ( mgmt_buffers la_data_out_core[18] )
+ ROUTED met2 ( 1387440 1325710 ) ( 1388640 1325710 0 )
NEW met2 ( 1387440 1325710 ) ( 1387440 1354755 )
NEW met2 ( 1286640 1354755 ) ( 1286640 1389350 0 )
NEW met1 ( 1286640 1354755 ) ( 1387440 1354755 )
NEW met1 ( 1387440 1354755 ) M1M2_PR
NEW met1 ( 1286640 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[19\] ( mprj la_data_out[19] ) ( mgmt_buffers la_data_out_core[19] )
+ ROUTED met2 ( 1389840 1325710 ) ( 1391040 1325710 0 )
NEW met2 ( 1389840 1325710 ) ( 1389840 1354015 )
NEW met2 ( 1304880 1354015 ) ( 1304880 1389350 0 )
NEW met1 ( 1304880 1354015 ) ( 1389840 1354015 )
NEW met1 ( 1389840 1354015 ) M1M2_PR
NEW met1 ( 1304880 1354015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[1\] ( mprj la_data_out[1] ) ( mgmt_buffers la_data_out_core[1] )
+ ROUTED met2 ( 983760 1377325 ) ( 983760 1389350 0 )
NEW met2 ( 1351440 1325710 0 ) ( 1351440 1377325 )
NEW met1 ( 983760 1377325 ) ( 1351440 1377325 )
NEW met1 ( 983760 1377325 ) M1M2_PR
NEW met1 ( 1351440 1377325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[20\] ( mprj la_data_out[20] ) ( mgmt_buffers la_data_out_core[20] )
+ ROUTED met2 ( 1392720 1325710 0 ) ( 1392720 1356605 )
NEW met2 ( 1322640 1356605 ) ( 1322640 1389350 0 )
NEW met1 ( 1322640 1356605 ) ( 1392720 1356605 )
NEW met1 ( 1392720 1356605 ) M1M2_PR
NEW met1 ( 1322640 1356605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[21\] ( mprj la_data_out[21] ) ( mgmt_buffers la_data_out_core[21] )
+ ROUTED met1 ( 1340400 1359935 ) ( 1395120 1359935 )
NEW met2 ( 1340400 1359935 ) ( 1340400 1389350 0 )
NEW met2 ( 1395120 1325710 0 ) ( 1395120 1359935 )
NEW met1 ( 1395120 1359935 ) M1M2_PR
NEW met1 ( 1340400 1359935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[22\] ( mprj la_data_out[22] ) ( mgmt_buffers la_data_out_core[22] )
+ ROUTED met1 ( 1358160 1367335 ) ( 1397520 1367335 )
NEW met2 ( 1358160 1367335 ) ( 1358160 1389350 0 )
NEW met2 ( 1397520 1325710 0 ) ( 1397520 1367335 )
NEW met1 ( 1397520 1367335 ) M1M2_PR
NEW met1 ( 1358160 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[23\] ( mprj la_data_out[23] ) ( mgmt_buffers la_data_out_core[23] )
+ ROUTED met1 ( 1375920 1357345 ) ( 1398480 1357345 )
NEW met2 ( 1375920 1357345 ) ( 1375920 1389350 0 )
NEW met2 ( 1398480 1325710 ) ( 1399680 1325710 0 )
NEW met2 ( 1398480 1325710 ) ( 1398480 1357345 )
NEW met1 ( 1398480 1357345 ) M1M2_PR
NEW met1 ( 1375920 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[24\] ( mprj la_data_out[24] ) ( mgmt_buffers la_data_out_core[24] )
+ ROUTED met1 ( 1394160 1364375 ) ( 1400400 1364375 )
NEW met2 ( 1394160 1364375 ) ( 1394160 1389350 0 )
NEW met2 ( 1400400 1325710 ) ( 1401600 1325710 0 )
NEW met2 ( 1400400 1325710 ) ( 1400400 1364375 )
NEW met1 ( 1400400 1364375 ) M1M2_PR
NEW met1 ( 1394160 1364375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[25\] ( mprj la_data_out[25] ) ( mgmt_buffers la_data_out_core[25] )
+ ROUTED met1 ( 1403760 1364375 ) ( 1411920 1364375 )
NEW met2 ( 1411920 1364375 ) ( 1411920 1389350 0 )
NEW met2 ( 1403760 1325710 0 ) ( 1403760 1364375 )
NEW met1 ( 1403760 1364375 ) M1M2_PR
NEW met1 ( 1411920 1364375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[26\] ( mprj la_data_out[26] ) ( mgmt_buffers la_data_out_core[26] )
+ ROUTED met1 ( 1406160 1367335 ) ( 1429200 1367335 )
NEW met2 ( 1429200 1367335 ) ( 1429200 1389350 0 )
NEW met2 ( 1406160 1325710 0 ) ( 1406160 1367335 )
NEW met1 ( 1406160 1367335 ) M1M2_PR
NEW met1 ( 1429200 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[27\] ( mprj la_data_out[27] ) ( mgmt_buffers la_data_out_core[27] )
+ ROUTED met2 ( 1408560 1325710 0 ) ( 1408560 1354755 )
NEW met2 ( 1447440 1354755 ) ( 1447440 1389350 0 )
NEW met1 ( 1408560 1354755 ) ( 1447440 1354755 )
NEW met1 ( 1408560 1354755 ) M1M2_PR
NEW met1 ( 1447440 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[28\] ( mprj la_data_out[28] ) ( mgmt_buffers la_data_out_core[28] )
+ ROUTED met2 ( 1410240 1325710 0 ) ( 1410960 1325710 )
NEW met2 ( 1410960 1325710 ) ( 1410960 1355125 )
NEW met2 ( 1465200 1355125 ) ( 1465200 1389350 0 )
NEW met1 ( 1410960 1355125 ) ( 1465200 1355125 )
NEW met1 ( 1410960 1355125 ) M1M2_PR
NEW met1 ( 1465200 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[29\] ( mprj la_data_out[29] ) ( mgmt_buffers la_data_out_core[29] )
+ ROUTED met2 ( 1412640 1325710 0 ) ( 1413840 1325710 )
NEW met2 ( 1413840 1325710 ) ( 1413840 1356975 )
NEW met2 ( 1483440 1356975 ) ( 1483440 1389350 0 )
NEW met1 ( 1413840 1356975 ) ( 1483440 1356975 )
NEW met1 ( 1413840 1356975 ) M1M2_PR
NEW met1 ( 1483440 1356975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[2\] ( mprj la_data_out[2] ) ( mgmt_buffers la_data_out_core[2] )
+ ROUTED met2 ( 1001040 1376215 ) ( 1001040 1389350 0 )
NEW met2 ( 1353600 1325710 0 ) ( 1354320 1325710 )
NEW met2 ( 1354320 1325710 ) ( 1354320 1376215 )
NEW met1 ( 1001040 1376215 ) ( 1354320 1376215 )
NEW met1 ( 1001040 1376215 ) M1M2_PR
NEW met1 ( 1354320 1376215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[30\] ( mprj la_data_out[30] ) ( mgmt_buffers la_data_out_core[30] )
+ ROUTED met2 ( 1414800 1325710 0 ) ( 1414800 1356605 )
NEW met2 ( 1500720 1356605 ) ( 1500720 1389350 0 )
NEW met1 ( 1414800 1356605 ) ( 1500720 1356605 )
NEW met1 ( 1414800 1356605 ) M1M2_PR
NEW met1 ( 1500720 1356605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[31\] ( mprj la_data_out[31] ) ( mgmt_buffers la_data_out_core[31] )
+ ROUTED met2 ( 1417200 1325710 0 ) ( 1417200 1356235 )
NEW met2 ( 1518480 1356235 ) ( 1518480 1389350 0 )
NEW met1 ( 1417200 1356235 ) ( 1518480 1356235 )
NEW met1 ( 1417200 1356235 ) M1M2_PR
NEW met1 ( 1518480 1356235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[32\] ( mprj la_data_out[32] ) ( mgmt_buffers la_data_out_core[32] )
+ ROUTED met2 ( 1419120 1325710 0 ) ( 1419120 1357345 )
NEW met2 ( 1536720 1357345 ) ( 1536720 1389350 0 )
NEW met1 ( 1419120 1357345 ) ( 1536720 1357345 )
NEW met1 ( 1419120 1357345 ) M1M2_PR
NEW met1 ( 1536720 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[33\] ( mprj la_data_out[33] ) ( mgmt_buffers la_data_out_core[33] )
+ ROUTED met1 ( 1422480 1355865 ) ( 1429680 1355865 )
NEW met2 ( 1429680 1355865 ) ( 1429680 1367335 )
NEW met2 ( 1554480 1367335 ) ( 1554480 1389350 0 )
NEW met2 ( 1421280 1325710 0 ) ( 1422480 1325710 )
NEW met2 ( 1422480 1325710 ) ( 1422480 1355865 )
NEW met1 ( 1429680 1367335 ) ( 1554480 1367335 )
NEW met1 ( 1422480 1355865 ) M1M2_PR
NEW met1 ( 1429680 1355865 ) M1M2_PR
NEW met1 ( 1429680 1367335 ) M1M2_PR
NEW met1 ( 1554480 1367335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[34\] ( mprj la_data_out[34] ) ( mgmt_buffers la_data_out_core[34] )
+ ROUTED met2 ( 1572240 1376215 ) ( 1572240 1389350 0 )
NEW met2 ( 1423680 1325710 0 ) ( 1424880 1325710 )
NEW met2 ( 1424880 1325710 ) ( 1424880 1376215 )
NEW met1 ( 1424880 1376215 ) ( 1572240 1376215 )
NEW met1 ( 1424880 1376215 ) M1M2_PR
NEW met1 ( 1572240 1376215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[35\] ( mprj la_data_out[35] ) ( mgmt_buffers la_data_out_core[35] )
+ ROUTED met2 ( 1589040 1339585 ) ( 1589040 1389350 )
NEW met2 ( 1589040 1389350 ) ( 1590000 1389350 0 )
NEW met2 ( 1425360 1325710 0 ) ( 1425360 1339585 )
NEW met1 ( 1425360 1339585 ) ( 1589040 1339585 )
NEW met1 ( 1425360 1339585 ) M1M2_PR
NEW met1 ( 1589040 1339585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[36\] ( mprj la_data_out[36] ) ( mgmt_buffers la_data_out_core[36] )
+ ROUTED met2 ( 1607760 1359935 ) ( 1607760 1389350 0 )
NEW met2 ( 1427760 1325710 0 ) ( 1427760 1359935 )
NEW met1 ( 1427760 1359935 ) ( 1607760 1359935 )
NEW met1 ( 1427760 1359935 ) M1M2_PR
NEW met1 ( 1607760 1359935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[37\] ( mprj la_data_out[37] ) ( mgmt_buffers la_data_out_core[37] )
+ ROUTED met2 ( 1580880 1339955 ) ( 1580880 1340510 )
NEW met2 ( 1580880 1340510 ) ( 1582320 1340510 )
NEW met2 ( 1582320 1340325 ) ( 1582320 1340510 )
NEW met1 ( 1582320 1340325 ) ( 1614960 1340325 )
NEW met2 ( 1614960 1340325 ) ( 1614960 1354755 )
NEW met1 ( 1614960 1354755 ) ( 1624560 1354755 )
NEW met2 ( 1624560 1354755 ) ( 1624560 1389350 )
NEW met2 ( 1624560 1389350 ) ( 1626000 1389350 0 )
NEW met2 ( 1430160 1325710 0 ) ( 1430160 1339955 )
NEW met1 ( 1430160 1339955 ) ( 1580880 1339955 )
NEW met1 ( 1430160 1339955 ) M1M2_PR
NEW met1 ( 1580880 1339955 ) M1M2_PR
NEW met1 ( 1582320 1340325 ) M1M2_PR
NEW met1 ( 1614960 1340325 ) M1M2_PR
NEW met1 ( 1614960 1354755 ) M1M2_PR
NEW met1 ( 1624560 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[38\] ( mprj la_data_out[38] ) ( mgmt_buffers la_data_out_core[38] )
+ ROUTED met2 ( 1432320 1325710 0 ) ( 1433520 1325710 )
NEW met2 ( 1433520 1325710 ) ( 1433520 1370295 )
NEW met2 ( 1643760 1370295 ) ( 1643760 1389350 0 )
NEW met1 ( 1433520 1370295 ) ( 1643760 1370295 )
NEW met1 ( 1433520 1370295 ) M1M2_PR
NEW met1 ( 1643760 1370295 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[39\] ( mprj la_data_out[39] ) ( mgmt_buffers la_data_out_core[39] )
+ ROUTED met2 ( 1622640 1339955 ) ( 1622640 1356050 )
NEW met2 ( 1434240 1325710 0 ) ( 1435440 1325710 )
NEW met2 ( 1435440 1325710 ) ( 1435440 1340695 )
NEW met2 ( 1643280 1352905 ) ( 1643280 1356050 )
NEW met1 ( 1643280 1352905 ) ( 1661520 1352905 )
NEW met2 ( 1661520 1352905 ) ( 1661520 1389350 0 )
NEW met3 ( 1622640 1356050 ) ( 1643280 1356050 )
NEW met1 ( 1581360 1339955 ) ( 1581360 1340695 )
NEW met1 ( 1435440 1340695 ) ( 1581360 1340695 )
NEW met1 ( 1581360 1339955 ) ( 1622640 1339955 )
NEW met1 ( 1435440 1340695 ) M1M2_PR
NEW met1 ( 1622640 1339955 ) M1M2_PR
NEW met2 ( 1622640 1356050 ) via2_FR
NEW met2 ( 1643280 1356050 ) via2_FR
NEW met1 ( 1643280 1352905 ) M1M2_PR
NEW met1 ( 1661520 1352905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[3\] ( mprj la_data_out[3] ) ( mgmt_buffers la_data_out_core[3] )
+ ROUTED met2 ( 1019280 1352535 ) ( 1019280 1389350 0 )
NEW met2 ( 1354800 1325710 ) ( 1356000 1325710 0 )
NEW met2 ( 1354800 1325710 ) ( 1354800 1352535 )
NEW met1 ( 1019280 1352535 ) ( 1354800 1352535 )
NEW met1 ( 1019280 1352535 ) M1M2_PR
NEW met1 ( 1354800 1352535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[40\] ( mprj la_data_out[40] ) ( mgmt_buffers la_data_out_core[40] )
+ ROUTED met2 ( 1436400 1325710 0 ) ( 1436400 1392495 )
NEW met2 ( 1677840 1392310 ) ( 1677840 1392495 )
NEW met2 ( 1677840 1392310 ) ( 1679280 1392310 0 )
NEW met1 ( 1499280 1391755 ) ( 1499280 1392495 )
NEW met1 ( 1499280 1391755 ) ( 1501200 1391755 )
NEW met1 ( 1501200 1391755 ) ( 1501200 1392495 )
NEW met1 ( 1436400 1392495 ) ( 1499280 1392495 )
NEW met1 ( 1501200 1392495 ) ( 1677840 1392495 )
NEW met1 ( 1436400 1392495 ) M1M2_PR
NEW met1 ( 1677840 1392495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[41\] ( mprj la_data_out[41] ) ( mgmt_buffers la_data_out_core[41] )
+ ROUTED met2 ( 1438800 1325710 0 ) ( 1438800 1338105 )
NEW met2 ( 1639920 1328485 ) ( 1639920 1338105 )
NEW met2 ( 1695600 1389350 ) ( 1697040 1389350 0 )
NEW met1 ( 1639920 1328485 ) ( 1695600 1328485 )
NEW met2 ( 1695600 1328485 ) ( 1695600 1389350 )
NEW met2 ( 1489200 1338105 ) ( 1489200 1339030 )
NEW met3 ( 1489200 1339030 ) ( 1492080 1339030 )
NEW met2 ( 1492080 1338105 ) ( 1492080 1339030 )
NEW met1 ( 1438800 1338105 ) ( 1489200 1338105 )
NEW met1 ( 1492080 1338105 ) ( 1639920 1338105 )
NEW met1 ( 1438800 1338105 ) M1M2_PR
NEW met1 ( 1639920 1338105 ) M1M2_PR
NEW met1 ( 1639920 1328485 ) M1M2_PR
NEW met1 ( 1695600 1328485 ) M1M2_PR
NEW met1 ( 1489200 1338105 ) M1M2_PR
NEW met2 ( 1489200 1339030 ) via2_FR
NEW met2 ( 1492080 1339030 ) via2_FR
NEW met1 ( 1492080 1338105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[42\] ( mprj la_data_out[42] ) ( mgmt_buffers la_data_out_core[42] )
+ ROUTED met2 ( 1713840 1389165 ) ( 1713840 1389350 )
NEW met2 ( 1713840 1389350 ) ( 1714800 1389350 0 )
NEW met1 ( 1442160 1389165 ) ( 1713840 1389165 )
NEW met2 ( 1441200 1325710 0 ) ( 1442160 1325710 )
NEW met2 ( 1442160 1325710 ) ( 1442160 1389165 )
NEW met1 ( 1442160 1389165 ) M1M2_PR
NEW met1 ( 1713840 1389165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[43\] ( mprj la_data_out[43] ) ( mgmt_buffers la_data_out_core[43] )
+ ROUTED met2 ( 1442880 1325710 0 ) ( 1444080 1325710 )
NEW met2 ( 1444080 1325710 ) ( 1444080 1336995 )
NEW met1 ( 1444080 1336995 ) ( 1733040 1336995 )
NEW met2 ( 1733040 1336995 ) ( 1733040 1389350 0 )
NEW met1 ( 1444080 1336995 ) M1M2_PR
NEW met1 ( 1733040 1336995 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[44\] ( mprj la_data_out[44] ) ( mgmt_buffers la_data_out_core[44] )
+ ROUTED met2 ( 1750320 1384355 ) ( 1750320 1389350 0 )
NEW met1 ( 1446480 1384355 ) ( 1750320 1384355 )
NEW met2 ( 1445280 1325710 0 ) ( 1446480 1325710 )
NEW met2 ( 1446480 1325710 ) ( 1446480 1384355 )
NEW met1 ( 1750320 1384355 ) M1M2_PR
NEW met1 ( 1446480 1384355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[45\] ( mprj la_data_out[45] ) ( mgmt_buffers la_data_out_core[45] )
+ ROUTED met2 ( 1768560 1336255 ) ( 1768560 1389350 0 )
NEW met2 ( 1447440 1325710 0 ) ( 1447440 1336255 )
NEW met1 ( 1447440 1336255 ) ( 1768560 1336255 )
NEW met1 ( 1768560 1336255 ) M1M2_PR
NEW met1 ( 1447440 1336255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[46\] ( mprj la_data_out[46] ) ( mgmt_buffers la_data_out_core[46] )
+ ROUTED met2 ( 1785360 1388795 ) ( 1785360 1389350 )
NEW met2 ( 1785360 1389350 ) ( 1786320 1389350 0 )
NEW met1 ( 1449840 1388795 ) ( 1785360 1388795 )
NEW met2 ( 1449840 1325710 0 ) ( 1449840 1388795 )
NEW met1 ( 1785360 1388795 ) M1M2_PR
NEW met1 ( 1449840 1388795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[47\] ( mprj la_data_out[47] ) ( mgmt_buffers la_data_out_core[47] )
+ ROUTED met2 ( 1804080 1332925 ) ( 1804080 1389350 0 )
NEW met2 ( 1451760 1325710 0 ) ( 1451760 1337365 )
NEW met2 ( 1691760 1332925 ) ( 1691760 1337365 )
NEW met1 ( 1451760 1337365 ) ( 1691760 1337365 )
NEW met1 ( 1691760 1332925 ) ( 1804080 1332925 )
NEW met1 ( 1804080 1332925 ) M1M2_PR
NEW met1 ( 1451760 1337365 ) M1M2_PR
NEW met1 ( 1691760 1337365 ) M1M2_PR
NEW met1 ( 1691760 1332925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[48\] ( mprj la_data_out[48] ) ( mgmt_buffers la_data_out_core[48] )
+ ROUTED met2 ( 1820880 1391385 ) ( 1820880 1391570 )
NEW met2 ( 1820880 1391570 ) ( 1822320 1391570 0 )
NEW met2 ( 1453920 1325710 0 ) ( 1454160 1325710 )
NEW met2 ( 1454160 1325710 ) ( 1454160 1391385 )
NEW met1 ( 1454160 1391385 ) ( 1820880 1391385 )
NEW met1 ( 1820880 1391385 ) M1M2_PR
NEW met1 ( 1454160 1391385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[49\] ( mprj la_data_out[49] ) ( mgmt_buffers la_data_out_core[49] )
+ ROUTED met2 ( 1784880 1367705 ) ( 1784880 1389535 )
NEW met1 ( 1784880 1367705 ) ( 1839600 1367705 )
NEW met2 ( 1839600 1367705 ) ( 1839600 1389350 0 )
NEW met1 ( 1457520 1389535 ) ( 1784880 1389535 )
NEW met2 ( 1456320 1325710 0 ) ( 1457520 1325710 )
NEW met2 ( 1457520 1325710 ) ( 1457520 1389535 )
NEW met1 ( 1784880 1389535 ) M1M2_PR
NEW met1 ( 1784880 1367705 ) M1M2_PR
NEW met1 ( 1839600 1367705 ) M1M2_PR
NEW met1 ( 1457520 1389535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[4\] ( mprj la_data_out[4] ) ( mgmt_buffers la_data_out_core[4] )
+ ROUTED met2 ( 1037040 1371035 ) ( 1037040 1389350 0 )
NEW met2 ( 1356720 1325710 ) ( 1358160 1325710 0 )
NEW met2 ( 1356720 1325710 ) ( 1356720 1371035 )
NEW met1 ( 1037040 1371035 ) ( 1356720 1371035 )
NEW met1 ( 1037040 1371035 ) M1M2_PR
NEW met1 ( 1356720 1371035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[50\] ( mprj la_data_out[50] ) ( mgmt_buffers la_data_out_core[50] )
+ ROUTED met2 ( 1458480 1325710 0 ) ( 1458480 1336625 )
NEW met2 ( 1819440 1336625 ) ( 1819440 1354755 )
NEW met1 ( 1819440 1354755 ) ( 1857840 1354755 )
NEW met2 ( 1857840 1354755 ) ( 1857840 1389350 0 )
NEW met1 ( 1458480 1336625 ) ( 1819440 1336625 )
NEW met1 ( 1458480 1336625 ) M1M2_PR
NEW met1 ( 1819440 1336625 ) M1M2_PR
NEW met1 ( 1819440 1354755 ) M1M2_PR
NEW met1 ( 1857840 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[51\] ( mprj la_data_out[51] ) ( mgmt_buffers la_data_out_core[51] )
+ ROUTED met2 ( 1875600 1387315 ) ( 1875600 1389350 0 )
NEW met1 ( 1460400 1387315 ) ( 1875600 1387315 )
NEW met2 ( 1460400 1325710 0 ) ( 1460400 1387315 )
NEW met1 ( 1460400 1387315 ) M1M2_PR
NEW met1 ( 1875600 1387315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[52\] ( mprj la_data_out[52] ) ( mgmt_buffers la_data_out_core[52] )
+ ROUTED met2 ( 1462800 1325710 0 ) ( 1462800 1355495 )
NEW met2 ( 1636560 1324785 ) ( 1636560 1325895 )
NEW met1 ( 1636560 1324415 ) ( 1636560 1324785 )
NEW met1 ( 1636560 1324415 ) ( 1647120 1324415 )
NEW met1 ( 1647120 1324415 ) ( 1647120 1324785 )
NEW met2 ( 1647120 1324785 ) ( 1647120 1325895 )
NEW met2 ( 1879920 1325895 ) ( 1879920 1373255 )
NEW met1 ( 1879920 1373255 ) ( 1891920 1373255 )
NEW met2 ( 1891920 1373255 ) ( 1891920 1389350 )
NEW met2 ( 1891920 1389350 ) ( 1893360 1389350 0 )
NEW met1 ( 1647120 1325895 ) ( 1879920 1325895 )
NEW met1 ( 1562160 1355125 ) ( 1562160 1355495 )
NEW met1 ( 1562160 1355125 ) ( 1601520 1355125 )
NEW met2 ( 1601520 1325895 ) ( 1601520 1355125 )
NEW met1 ( 1462800 1355495 ) ( 1562160 1355495 )
NEW met1 ( 1601520 1325895 ) ( 1636560 1325895 )
NEW met1 ( 1462800 1355495 ) M1M2_PR
NEW met1 ( 1636560 1325895 ) M1M2_PR
NEW met1 ( 1636560 1324785 ) M1M2_PR
NEW met1 ( 1647120 1324785 ) M1M2_PR
NEW met1 ( 1647120 1325895 ) M1M2_PR
NEW met1 ( 1879920 1325895 ) M1M2_PR
NEW met1 ( 1879920 1373255 ) M1M2_PR
NEW met1 ( 1891920 1373255 ) M1M2_PR
NEW met1 ( 1601520 1355125 ) M1M2_PR
NEW met1 ( 1601520 1325895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[53\] ( mprj la_data_out[53] ) ( mgmt_buffers la_data_out_core[53] )
+ ROUTED met2 ( 1464960 1325710 0 ) ( 1466160 1325710 )
NEW met2 ( 1466160 1325710 ) ( 1466160 1391755 )
NEW met2 ( 1497840 1391570 ) ( 1497840 1391755 )
NEW met3 ( 1497840 1391570 ) ( 1501680 1391570 )
NEW met2 ( 1501680 1391570 ) ( 1501680 1391755 )
NEW met1 ( 1466160 1391755 ) ( 1497840 1391755 )
NEW met2 ( 1901520 1391755 ) ( 1903440 1391755 )
NEW met1 ( 1903440 1391755 ) ( 1909680 1391755 )
NEW met2 ( 1909680 1391570 ) ( 1909680 1391755 )
NEW met2 ( 1909680 1391570 ) ( 1911600 1391570 0 )
NEW met1 ( 1501680 1391755 ) ( 1901520 1391755 )
NEW met1 ( 1466160 1391755 ) M1M2_PR
NEW met1 ( 1497840 1391755 ) M1M2_PR
NEW met2 ( 1497840 1391570 ) via2_FR
NEW met2 ( 1501680 1391570 ) via2_FR
NEW met1 ( 1501680 1391755 ) M1M2_PR
NEW met1 ( 1901520 1391755 ) M1M2_PR
NEW met1 ( 1903440 1391755 ) M1M2_PR
NEW met1 ( 1909680 1391755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[54\] ( mprj la_data_out[54] ) ( mgmt_buffers la_data_out_core[54] )
+ ROUTED met2 ( 1927440 1389350 ) ( 1928880 1389350 0 )
NEW met2 ( 1466880 1325710 0 ) ( 1468080 1325710 )
NEW met2 ( 1468080 1325710 ) ( 1468080 1335885 )
NEW met2 ( 1895280 1335885 ) ( 1895280 1336625 )
NEW met2 ( 1895280 1336625 ) ( 1897680 1336625 )
NEW met1 ( 1897680 1336625 ) ( 1915440 1336625 )
NEW met2 ( 1915440 1336625 ) ( 1915440 1338105 )
NEW met1 ( 1915440 1338105 ) ( 1927440 1338105 )
NEW met1 ( 1468080 1335885 ) ( 1895280 1335885 )
NEW met2 ( 1927440 1338105 ) ( 1927440 1389350 )
NEW met1 ( 1468080 1335885 ) M1M2_PR
NEW met1 ( 1895280 1335885 ) M1M2_PR
NEW met1 ( 1897680 1336625 ) M1M2_PR
NEW met1 ( 1915440 1336625 ) M1M2_PR
NEW met1 ( 1915440 1338105 ) M1M2_PR
NEW met1 ( 1927440 1338105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[55\] ( mprj la_data_out[55] ) ( mgmt_buffers la_data_out_core[55] )
+ ROUTED met2 ( 1469040 1325710 0 ) ( 1469040 1392125 )
NEW met2 ( 1498800 1392125 ) ( 1498800 1392310 )
NEW met3 ( 1498800 1392310 ) ( 1502160 1392310 )
NEW met2 ( 1502160 1392125 ) ( 1502160 1392310 )
NEW met1 ( 1469040 1392125 ) ( 1498800 1392125 )
NEW met1 ( 1901520 1392125 ) ( 1901520 1392495 )
NEW met1 ( 1901520 1392495 ) ( 1903440 1392495 )
NEW met1 ( 1903440 1392125 ) ( 1903440 1392495 )
NEW met1 ( 1903440 1392125 ) ( 1910160 1392125 )
NEW met1 ( 1910160 1391755 ) ( 1910160 1392125 )
NEW met1 ( 1910160 1391755 ) ( 1945200 1391755 )
NEW met2 ( 1945200 1391570 ) ( 1945200 1391755 )
NEW met2 ( 1945200 1391570 ) ( 1946640 1391570 0 )
NEW met1 ( 1502160 1392125 ) ( 1901520 1392125 )
NEW met1 ( 1469040 1392125 ) M1M2_PR
NEW met1 ( 1498800 1392125 ) M1M2_PR
NEW met2 ( 1498800 1392310 ) via2_FR
NEW met2 ( 1502160 1392310 ) via2_FR
NEW met1 ( 1502160 1392125 ) M1M2_PR
NEW met1 ( 1945200 1391755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[56\] ( mprj la_data_out[56] ) ( mgmt_buffers la_data_out_core[56] )
+ ROUTED met2 ( 1541040 1354755 ) ( 1541040 1356605 )
NEW met1 ( 1541040 1356605 ) ( 1597200 1356605 )
NEW met1 ( 1612080 1339585 ) ( 1634160 1339585 )
NEW met1 ( 1597200 1338845 ) ( 1612080 1338845 )
NEW met2 ( 1597200 1338845 ) ( 1597200 1356605 )
NEW met2 ( 1612080 1338845 ) ( 1612080 1339585 )
NEW met2 ( 1634160 1338475 ) ( 1634160 1339585 )
NEW met2 ( 1964880 1327375 ) ( 1964880 1389350 0 )
NEW met1 ( 1471440 1354755 ) ( 1541040 1354755 )
NEW met2 ( 1471440 1325710 0 ) ( 1471440 1354755 )
NEW met2 ( 1880400 1325895 ) ( 1880400 1338475 )
NEW met1 ( 1880400 1325895 ) ( 1897680 1325895 )
NEW met2 ( 1897680 1325895 ) ( 1897680 1327375 )
NEW met2 ( 1897680 1327375 ) ( 1899600 1327375 )
NEW met1 ( 1634160 1338475 ) ( 1880400 1338475 )
NEW met1 ( 1899600 1327375 ) ( 1964880 1327375 )
NEW met1 ( 1541040 1354755 ) M1M2_PR
NEW met1 ( 1541040 1356605 ) M1M2_PR
NEW met1 ( 1597200 1356605 ) M1M2_PR
NEW met1 ( 1612080 1339585 ) M1M2_PR
NEW met1 ( 1634160 1339585 ) M1M2_PR
NEW met1 ( 1597200 1338845 ) M1M2_PR
NEW met1 ( 1612080 1338845 ) M1M2_PR
NEW met1 ( 1634160 1338475 ) M1M2_PR
NEW met1 ( 1964880 1327375 ) M1M2_PR
NEW met1 ( 1471440 1354755 ) M1M2_PR
NEW met1 ( 1880400 1338475 ) M1M2_PR
NEW met1 ( 1880400 1325895 ) M1M2_PR
NEW met1 ( 1897680 1325895 ) M1M2_PR
NEW met1 ( 1899600 1327375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[57\] ( mprj la_data_out[57] ) ( mgmt_buffers la_data_out_core[57] )
+ ROUTED met2 ( 1473840 1325710 0 ) ( 1473840 1391015 )
NEW met2 ( 1497840 1390830 ) ( 1497840 1391015 )
NEW met2 ( 1497840 1390830 ) ( 1499280 1390830 )
NEW met2 ( 1499280 1390830 ) ( 1499280 1391015 )
NEW met1 ( 1473840 1391015 ) ( 1497840 1391015 )
NEW met2 ( 1981200 1390830 ) ( 1981200 1391015 )
NEW met2 ( 1981200 1390830 ) ( 1982640 1390830 0 )
NEW met1 ( 1499280 1391015 ) ( 1981200 1391015 )
NEW met1 ( 1473840 1391015 ) M1M2_PR
NEW met1 ( 1497840 1391015 ) M1M2_PR
NEW met1 ( 1499280 1391015 ) M1M2_PR
NEW met1 ( 1981200 1391015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[58\] ( mprj la_data_out[58] ) ( mgmt_buffers la_data_out_core[58] )
+ ROUTED met2 ( 1475520 1325710 0 ) ( 1476720 1325710 )
NEW met2 ( 1476720 1325710 ) ( 1476720 1335145 )
NEW met2 ( 1893840 1335145 ) ( 1893840 1338105 )
NEW met2 ( 1893840 1338105 ) ( 1895280 1338105 )
NEW met2 ( 1895280 1337365 ) ( 1895280 1338105 )
NEW met1 ( 1476720 1335145 ) ( 1893840 1335145 )
NEW met2 ( 1914000 1337365 ) ( 1914000 1371775 )
NEW met1 ( 1914000 1371775 ) ( 2000400 1371775 )
NEW met2 ( 2000400 1371775 ) ( 2000400 1389350 0 )
NEW met1 ( 1895280 1337365 ) ( 1914000 1337365 )
NEW met1 ( 1476720 1335145 ) M1M2_PR
NEW met1 ( 1893840 1335145 ) M1M2_PR
NEW met1 ( 1895280 1337365 ) M1M2_PR
NEW met1 ( 1914000 1337365 ) M1M2_PR
NEW met1 ( 1914000 1371775 ) M1M2_PR
NEW met1 ( 2000400 1371775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[59\] ( mprj la_data_out[59] ) ( mgmt_buffers la_data_out_core[59] )
+ ROUTED met2 ( 2018160 1388425 ) ( 2018160 1389350 0 )
NEW met1 ( 1479120 1388425 ) ( 2018160 1388425 )
NEW met2 ( 1477920 1325710 0 ) ( 1479120 1325710 )
NEW met2 ( 1479120 1325710 ) ( 1479120 1388425 )
NEW met1 ( 2018160 1388425 ) M1M2_PR
NEW met1 ( 1479120 1388425 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[5\] ( mprj la_data_out[5] ) ( mgmt_buffers la_data_out_core[5] )
+ ROUTED met2 ( 1054800 1343285 ) ( 1054800 1389350 0 )
NEW met2 ( 1360080 1325710 0 ) ( 1360080 1343285 )
NEW met1 ( 1054800 1343285 ) ( 1360080 1343285 )
NEW met1 ( 1054800 1343285 ) M1M2_PR
NEW met1 ( 1360080 1343285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[60\] ( mprj la_data_out[60] ) ( mgmt_buffers la_data_out_core[60] )
+ ROUTED met2 ( 1480080 1325710 0 ) ( 1480080 1334775 )
NEW met2 ( 2034960 1325155 ) ( 2034960 1389350 )
NEW met2 ( 2034960 1389350 ) ( 2035920 1389350 0 )
NEW met2 ( 1945200 1325895 ) ( 1945200 1334775 )
NEW met1 ( 1945200 1325895 ) ( 1952400 1325895 )
NEW met2 ( 1952400 1325155 ) ( 1952400 1325895 )
NEW met1 ( 1480080 1334775 ) ( 1945200 1334775 )
NEW met1 ( 1952400 1325155 ) ( 2034960 1325155 )
NEW met1 ( 1480080 1334775 ) M1M2_PR
NEW met1 ( 2034960 1325155 ) M1M2_PR
NEW met1 ( 1945200 1334775 ) M1M2_PR
NEW met1 ( 1945200 1325895 ) M1M2_PR
NEW met1 ( 1952400 1325895 ) M1M2_PR
NEW met1 ( 1952400 1325155 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[61\] ( mprj la_data_out[61] ) ( mgmt_buffers la_data_out_core[61] )
+ ROUTED met2 ( 2054160 1388055 ) ( 2054160 1389350 0 )
NEW met1 ( 1482480 1388055 ) ( 2054160 1388055 )
NEW met2 ( 1482480 1325710 0 ) ( 1482480 1388055 )
NEW met1 ( 1482480 1388055 ) M1M2_PR
NEW met1 ( 2054160 1388055 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[62\] ( mprj la_data_out[62] ) ( mgmt_buffers la_data_out_core[62] )
+ ROUTED met2 ( 1946160 1334035 ) ( 1946160 1338475 )
NEW met2 ( 1484400 1325710 0 ) ( 1484400 1334035 )
NEW met1 ( 1484400 1334035 ) ( 1946160 1334035 )
NEW met1 ( 1946160 1338475 ) ( 2071920 1338475 )
NEW met2 ( 2071920 1338475 ) ( 2071920 1389350 0 )
NEW met1 ( 1946160 1334035 ) M1M2_PR
NEW met1 ( 1946160 1338475 ) M1M2_PR
NEW met1 ( 1484400 1334035 ) M1M2_PR
NEW met1 ( 2071920 1338475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[63\] ( mprj la_data_out[63] ) ( mgmt_buffers la_data_out_core[63] )
+ ROUTED met2 ( 2089680 1387685 ) ( 2089680 1389350 0 )
NEW met1 ( 1487760 1387685 ) ( 2089680 1387685 )
NEW met2 ( 1486560 1325710 0 ) ( 1487760 1325710 )
NEW met2 ( 1487760 1325710 ) ( 1487760 1387685 )
NEW met1 ( 1487760 1387685 ) M1M2_PR
NEW met1 ( 2089680 1387685 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[64\] ( mprj la_data_out[64] ) ( mgmt_buffers la_data_out_core[64] )
+ ROUTED met2 ( 1994160 1333665 ) ( 1994160 1337365 )
NEW met2 ( 1488960 1325710 0 ) ( 1490160 1325710 )
NEW met2 ( 1490160 1325710 ) ( 1490160 1333665 )
NEW met1 ( 1490160 1333665 ) ( 1994160 1333665 )
NEW met1 ( 1994160 1337365 ) ( 2107440 1337365 )
NEW met2 ( 2107440 1337365 ) ( 2107440 1389350 0 )
NEW met1 ( 1994160 1333665 ) M1M2_PR
NEW met1 ( 1994160 1337365 ) M1M2_PR
NEW met1 ( 1490160 1333665 ) M1M2_PR
NEW met1 ( 2107440 1337365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[65\] ( mprj la_data_out[65] ) ( mgmt_buffers la_data_out_core[65] )
+ ROUTED met2 ( 2125200 1386575 ) ( 2125200 1389350 0 )
NEW met1 ( 1491120 1386575 ) ( 2125200 1386575 )
NEW met2 ( 1491120 1325710 0 ) ( 1491600 1325710 )
NEW met2 ( 1491600 1325710 ) ( 1491600 1340325 )
NEW met2 ( 1491120 1340325 ) ( 1491600 1340325 )
NEW met2 ( 1491120 1340325 ) ( 1491120 1386575 )
NEW met1 ( 1491120 1386575 ) M1M2_PR
NEW met1 ( 2125200 1386575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[66\] ( mprj la_data_out[66] ) ( mgmt_buffers la_data_out_core[66] )
+ ROUTED met2 ( 1493040 1325710 0 ) ( 1493040 1333295 )
NEW met1 ( 1493040 1333295 ) ( 2143440 1333295 )
NEW met2 ( 2143440 1333295 ) ( 2143440 1389350 0 )
NEW met1 ( 1493040 1333295 ) M1M2_PR
NEW met1 ( 2143440 1333295 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[67\] ( mprj la_data_out[67] ) ( mgmt_buffers la_data_out_core[67] )
+ ROUTED met2 ( 2161200 1386205 ) ( 2161200 1389350 0 )
NEW met1 ( 1495440 1386205 ) ( 2161200 1386205 )
NEW met2 ( 1495440 1325710 0 ) ( 1495440 1386205 )
NEW met1 ( 2161200 1386205 ) M1M2_PR
NEW met1 ( 1495440 1386205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[68\] ( mprj la_data_out[68] ) ( mgmt_buffers la_data_out_core[68] )
+ ROUTED met2 ( 2178480 1332185 ) ( 2178480 1389350 0 )
NEW met2 ( 1497600 1325710 0 ) ( 1498800 1325710 )
NEW met2 ( 1498800 1325710 ) ( 1498800 1332185 )
NEW met1 ( 1498800 1332185 ) ( 2178480 1332185 )
NEW met1 ( 2178480 1332185 ) M1M2_PR
NEW met1 ( 1498800 1332185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[69\] ( mprj la_data_out[69] ) ( mgmt_buffers la_data_out_core[69] )
+ ROUTED met2 ( 2196720 1385465 ) ( 2196720 1389350 0 )
NEW met1 ( 1501200 1385465 ) ( 2196720 1385465 )
NEW met2 ( 1500000 1325710 0 ) ( 1501200 1325710 )
NEW met2 ( 1501200 1325710 ) ( 1501200 1385465 )
NEW met1 ( 2196720 1385465 ) M1M2_PR
NEW met1 ( 1501200 1385465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[6\] ( mprj la_data_out[6] ) ( mgmt_buffers la_data_out_core[6] )
+ ROUTED met2 ( 1073040 1370665 ) ( 1073040 1389350 0 )
NEW met2 ( 1362480 1325710 0 ) ( 1362480 1370665 )
NEW met1 ( 1073040 1370665 ) ( 1362480 1370665 )
NEW met1 ( 1073040 1370665 ) M1M2_PR
NEW met1 ( 1362480 1370665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[70\] ( mprj la_data_out[70] ) ( mgmt_buffers la_data_out_core[70] )
+ ROUTED met2 ( 2214480 1331815 ) ( 2214480 1389350 0 )
NEW met2 ( 1501680 1325710 0 ) ( 1501680 1331815 )
NEW met1 ( 1501680 1331815 ) ( 2214480 1331815 )
NEW met1 ( 2214480 1331815 ) M1M2_PR
NEW met1 ( 1501680 1331815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[71\] ( mprj la_data_out[71] ) ( mgmt_buffers la_data_out_core[71] )
+ ROUTED met2 ( 2232720 1384725 ) ( 2232720 1389350 0 )
NEW met1 ( 1504080 1384725 ) ( 2232720 1384725 )
NEW met2 ( 1504080 1325710 0 ) ( 1504080 1384725 )
NEW met1 ( 2232720 1384725 ) M1M2_PR
NEW met1 ( 1504080 1384725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[72\] ( mprj la_data_out[72] ) ( mgmt_buffers la_data_out_core[72] )
+ ROUTED met2 ( 2250000 1331445 ) ( 2250000 1389350 0 )
NEW met2 ( 1506480 1325710 0 ) ( 1506480 1331445 )
NEW met1 ( 1506480 1331445 ) ( 2250000 1331445 )
NEW met1 ( 2250000 1331445 ) M1M2_PR
NEW met1 ( 1506480 1331445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[73\] ( mprj la_data_out[73] ) ( mgmt_buffers la_data_out_core[73] )
+ ROUTED met2 ( 2267760 1383985 ) ( 2267760 1389350 0 )
NEW met2 ( 1508160 1325710 0 ) ( 1509360 1325710 )
NEW met2 ( 1509360 1325710 ) ( 1509360 1383985 )
NEW met1 ( 1509360 1383985 ) ( 2267760 1383985 )
NEW met1 ( 1509360 1383985 ) M1M2_PR
NEW met1 ( 2267760 1383985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[74\] ( mprj la_data_out[74] ) ( mgmt_buffers la_data_out_core[74] )
+ ROUTED met2 ( 2286000 1330705 ) ( 2286000 1389350 0 )
NEW met2 ( 1510560 1325710 0 ) ( 1511760 1325710 )
NEW met2 ( 1511760 1325710 ) ( 1511760 1330705 )
NEW met1 ( 1511760 1330705 ) ( 2286000 1330705 )
NEW met1 ( 2286000 1330705 ) M1M2_PR
NEW met1 ( 1511760 1330705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[75\] ( mprj la_data_out[75] ) ( mgmt_buffers la_data_out_core[75] )
+ ROUTED met2 ( 1613520 1354755 ) ( 1613520 1367705 )
NEW met1 ( 1613520 1367705 ) ( 1626480 1367705 )
NEW met2 ( 1626480 1367705 ) ( 1626480 1384170 )
NEW met2 ( 1626480 1384170 ) ( 1629840 1384170 )
NEW met2 ( 1629840 1382875 ) ( 1629840 1384170 )
NEW met1 ( 1629840 1382875 ) ( 1640880 1382875 )
NEW met2 ( 1640880 1382875 ) ( 1640880 1385835 )
NEW met2 ( 2303760 1385835 ) ( 2303760 1389350 0 )
NEW met1 ( 1640880 1385835 ) ( 2303760 1385835 )
NEW met2 ( 1512720 1325710 0 ) ( 1512720 1355125 )
NEW met1 ( 1512720 1355125 ) ( 1541520 1355125 )
NEW met1 ( 1541520 1354755 ) ( 1541520 1355125 )
NEW met1 ( 1541520 1354755 ) ( 1613520 1354755 )
NEW met1 ( 1613520 1354755 ) M1M2_PR
NEW met1 ( 1613520 1367705 ) M1M2_PR
NEW met1 ( 1626480 1367705 ) M1M2_PR
NEW met1 ( 1629840 1382875 ) M1M2_PR
NEW met1 ( 1640880 1382875 ) M1M2_PR
NEW met1 ( 1640880 1385835 ) M1M2_PR
NEW met1 ( 2303760 1385835 ) M1M2_PR
NEW met1 ( 1512720 1355125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[76\] ( mprj la_data_out[76] ) ( mgmt_buffers la_data_out_core[76] )
+ ROUTED met2 ( 2321520 1330335 ) ( 2321520 1389350 0 )
NEW met2 ( 1515120 1325710 0 ) ( 1515120 1330335 )
NEW met1 ( 1515120 1330335 ) ( 2321520 1330335 )
NEW met1 ( 2321520 1330335 ) M1M2_PR
NEW met1 ( 1515120 1330335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[77\] ( mprj la_data_out[77] ) ( mgmt_buffers la_data_out_core[77] )
+ ROUTED met2 ( 2337840 1391570 ) ( 2339280 1391570 0 )
NEW met3 ( 1517040 1391570 ) ( 2337840 1391570 )
NEW met2 ( 1517040 1325710 0 ) ( 1517040 1391570 )
NEW met2 ( 1517040 1391570 ) via2_FR
NEW met2 ( 2337840 1391570 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[78\] ( mprj la_data_out[78] ) ( mgmt_buffers la_data_out_core[78] )
+ ROUTED met2 ( 2357040 1329965 ) ( 2357040 1389350 0 )
NEW met2 ( 1519200 1325710 0 ) ( 1520400 1325710 )
NEW met2 ( 1520400 1325710 ) ( 1520400 1329965 )
NEW met1 ( 1520400 1329965 ) ( 2357040 1329965 )
NEW met1 ( 2357040 1329965 ) M1M2_PR
NEW met1 ( 1520400 1329965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[79\] ( mprj la_data_out[79] ) ( mgmt_buffers la_data_out_core[79] )
+ ROUTED met2 ( 2373840 1390830 ) ( 2375280 1390830 0 )
NEW met3 ( 1522800 1390830 ) ( 2373840 1390830 )
NEW met2 ( 1521600 1325710 0 ) ( 1522800 1325710 )
NEW met2 ( 1522800 1325710 ) ( 1522800 1390830 )
NEW met2 ( 2373840 1390830 ) via2_FR
NEW met2 ( 1522800 1390830 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[7\] ( mprj la_data_out[7] ) ( mgmt_buffers la_data_out_core[7] )
+ ROUTED met2 ( 1090320 1341805 ) ( 1090320 1389350 0 )
NEW met2 ( 1363440 1325710 ) ( 1364640 1325710 0 )
NEW met2 ( 1363440 1325710 ) ( 1363440 1341805 )
NEW met1 ( 1090320 1341805 ) ( 1363440 1341805 )
NEW met1 ( 1090320 1341805 ) M1M2_PR
NEW met1 ( 1363440 1341805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[80\] ( mprj la_data_out[80] ) ( mgmt_buffers la_data_out_core[80] )
+ ROUTED met2 ( 2393040 1329225 ) ( 2393040 1389350 0 )
NEW met2 ( 1523760 1325710 0 ) ( 1523760 1329225 )
NEW met1 ( 1523760 1329225 ) ( 2393040 1329225 )
NEW met1 ( 2393040 1329225 ) M1M2_PR
NEW met1 ( 1523760 1329225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[81\] ( mprj la_data_out[81] ) ( mgmt_buffers la_data_out_core[81] )
+ ROUTED met2 ( 2409360 1390090 ) ( 2410800 1390090 0 )
NEW met3 ( 1526160 1390090 ) ( 2409360 1390090 )
NEW met2 ( 1525680 1325710 0 ) ( 1526160 1325710 )
NEW met2 ( 1526160 1325710 ) ( 1526160 1390090 )
NEW met2 ( 2409360 1390090 ) via2_FR
NEW met2 ( 1526160 1390090 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[82\] ( mprj la_data_out[82] ) ( mgmt_buffers la_data_out_core[82] )
+ ROUTED met2 ( 2428560 1328855 ) ( 2428560 1389350 0 )
NEW met2 ( 1528080 1325710 0 ) ( 1528080 1328855 )
NEW met1 ( 1528080 1328855 ) ( 2428560 1328855 )
NEW met1 ( 2428560 1328855 ) M1M2_PR
NEW met1 ( 1528080 1328855 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[83\] ( mprj la_data_out[83] ) ( mgmt_buffers la_data_out_core[83] )
+ ROUTED met2 ( 2444880 1389350 ) ( 2446320 1389350 0 )
NEW met3 ( 1531920 1389350 ) ( 2444880 1389350 )
NEW met2 ( 1530240 1325710 0 ) ( 1531920 1325710 )
NEW met2 ( 1531920 1325710 ) ( 1531920 1389350 )
NEW met2 ( 2444880 1389350 ) via2_FR
NEW met2 ( 1531920 1389350 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[84\] ( mprj la_data_out[84] ) ( mgmt_buffers la_data_out_core[84] )
+ ROUTED met1 ( 1988400 1328115 ) ( 1988400 1328485 )
NEW met2 ( 2464560 1328115 ) ( 2464560 1389350 0 )
NEW met2 ( 1532640 1325710 0 ) ( 1533840 1325710 )
NEW met2 ( 1533840 1325710 ) ( 1533840 1328115 )
NEW met1 ( 1988400 1328115 ) ( 2464560 1328115 )
NEW met1 ( 1864560 1328115 ) ( 1864560 1328485 )
NEW met1 ( 1533840 1328115 ) ( 1864560 1328115 )
NEW met1 ( 1864560 1328485 ) ( 1988400 1328485 )
NEW met1 ( 2464560 1328115 ) M1M2_PR
NEW met1 ( 1533840 1328115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[85\] ( mprj la_data_out[85] ) ( mgmt_buffers la_data_out_core[85] )
+ ROUTED met2 ( 2480880 1388610 ) ( 2480880 1389350 )
NEW met2 ( 2480880 1389350 ) ( 2482320 1389350 0 )
NEW met3 ( 1535760 1388610 ) ( 2480880 1388610 )
NEW met2 ( 1534560 1325710 0 ) ( 1535760 1325710 )
NEW met2 ( 1535760 1325710 ) ( 1535760 1388610 )
NEW met2 ( 1535760 1388610 ) via2_FR
NEW met2 ( 2480880 1388610 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[86\] ( mprj la_data_out[86] ) ( mgmt_buffers la_data_out_core[86] )
+ ROUTED met2 ( 2499600 1327745 ) ( 2499600 1389350 0 )
NEW met2 ( 1536720 1325710 0 ) ( 1536720 1327745 )
NEW met1 ( 1536720 1327745 ) ( 2499600 1327745 )
NEW met1 ( 2499600 1327745 ) M1M2_PR
NEW met1 ( 1536720 1327745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[87\] ( mprj la_data_out[87] ) ( mgmt_buffers la_data_out_core[87] )
+ ROUTED met2 ( 2517840 1352535 ) ( 2517840 1389350 0 )
NEW met1 ( 1539120 1352535 ) ( 2517840 1352535 )
NEW met2 ( 1539120 1325710 0 ) ( 1539120 1352535 )
NEW met1 ( 1539120 1352535 ) M1M2_PR
NEW met1 ( 2517840 1352535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[88\] ( mprj la_data_out[88] ) ( mgmt_buffers la_data_out_core[88] )
+ ROUTED met2 ( 1541280 1325710 0 ) ( 1542000 1325710 )
NEW met2 ( 1542000 1325710 ) ( 1542000 1387870 )
NEW met2 ( 2535600 1387870 ) ( 2535600 1389350 0 )
NEW met3 ( 1542000 1387870 ) ( 2535600 1387870 )
NEW met2 ( 1542000 1387870 ) via2_FR
NEW met2 ( 2535600 1387870 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[89\] ( mprj la_data_out[89] ) ( mgmt_buffers la_data_out_core[89] )
+ ROUTED met2 ( 2553360 1355125 ) ( 2553360 1389350 0 )
NEW met2 ( 1543200 1325710 0 ) ( 1544400 1325710 )
NEW met2 ( 1544400 1325710 ) ( 1544400 1369185 )
NEW met2 ( 1915920 1355125 ) ( 1915920 1368815 )
NEW met1 ( 1648560 1368815 ) ( 1648560 1369185 )
NEW met1 ( 1544400 1369185 ) ( 1648560 1369185 )
NEW met2 ( 1825680 1356235 ) ( 1825680 1368815 )
NEW met1 ( 1825680 1356235 ) ( 1907760 1356235 )
NEW met2 ( 1907760 1356235 ) ( 1907760 1361045 )
NEW met1 ( 1907760 1361045 ) ( 1912560 1361045 )
NEW met2 ( 1912560 1361045 ) ( 1912560 1368815 )
NEW met1 ( 1912560 1368815 ) ( 1915920 1368815 )
NEW met1 ( 1915920 1355125 ) ( 2553360 1355125 )
NEW met1 ( 1648560 1368815 ) ( 1825680 1368815 )
NEW met1 ( 1544400 1369185 ) M1M2_PR
NEW met1 ( 2553360 1355125 ) M1M2_PR
NEW met1 ( 1915920 1368815 ) M1M2_PR
NEW met1 ( 1915920 1355125 ) M1M2_PR
NEW met1 ( 1825680 1368815 ) M1M2_PR
NEW met1 ( 1825680 1356235 ) M1M2_PR
NEW met1 ( 1907760 1356235 ) M1M2_PR
NEW met1 ( 1907760 1361045 ) M1M2_PR
NEW met1 ( 1912560 1361045 ) M1M2_PR
NEW met1 ( 1912560 1368815 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[8\] ( mprj la_data_out[8] ) ( mgmt_buffers la_data_out_core[8] )
+ ROUTED met2 ( 1108560 1326265 ) ( 1108560 1389350 0 )
NEW met2 ( 1365840 1325710 ) ( 1367040 1325710 0 )
NEW met2 ( 1365840 1325710 ) ( 1365840 1326265 )
NEW met1 ( 1108560 1326265 ) ( 1365840 1326265 )
NEW met1 ( 1108560 1326265 ) M1M2_PR
NEW met1 ( 1365840 1326265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[90\] ( mprj la_data_out[90] ) ( mgmt_buffers la_data_out_core[90] )
+ ROUTED met2 ( 2571600 1327375 ) ( 2571600 1389350 0 )
NEW met2 ( 1545600 1325710 0 ) ( 1546800 1325710 )
NEW met2 ( 1546800 1325710 ) ( 1546800 1327375 )
NEW met1 ( 2094960 1327005 ) ( 2094960 1327375 )
NEW met1 ( 2094960 1327375 ) ( 2571600 1327375 )
NEW met1 ( 1894320 1327005 ) ( 1894320 1327375 )
NEW met1 ( 1894320 1327005 ) ( 1898640 1327005 )
NEW met2 ( 1898640 1327005 ) ( 1900080 1327005 )
NEW met1 ( 1546800 1327375 ) ( 1894320 1327375 )
NEW met1 ( 1900080 1327005 ) ( 2094960 1327005 )
NEW met1 ( 2571600 1327375 ) M1M2_PR
NEW met1 ( 1546800 1327375 ) M1M2_PR
NEW met1 ( 1898640 1327005 ) M1M2_PR
NEW met1 ( 1900080 1327005 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[91\] ( mprj la_data_out[91] ) ( mgmt_buffers la_data_out_core[91] )
+ ROUTED met2 ( 2588880 1387130 ) ( 2588880 1389350 0 )
NEW met2 ( 1547760 1325710 0 ) ( 1547760 1387130 )
NEW met3 ( 1547760 1387130 ) ( 2588880 1387130 )
NEW met2 ( 1547760 1387130 ) via2_FR
NEW met2 ( 2588880 1387130 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[92\] ( mprj la_data_out[92] ) ( mgmt_buffers la_data_out_core[92] )
+ ROUTED met2 ( 2607120 1327005 ) ( 2607120 1389350 0 )
NEW met2 ( 1549680 1325710 0 ) ( 1549680 1327005 )
NEW met2 ( 2095440 1326265 ) ( 2095440 1327005 )
NEW met1 ( 2095440 1327005 ) ( 2607120 1327005 )
NEW met1 ( 1943760 1326265 ) ( 1943760 1326635 )
NEW met1 ( 1943760 1326265 ) ( 2095440 1326265 )
NEW met1 ( 1891440 1326635 ) ( 1891440 1327005 )
NEW met1 ( 1891440 1326635 ) ( 1898160 1326635 )
NEW met2 ( 1898160 1326635 ) ( 1901040 1326635 )
NEW met1 ( 1549680 1327005 ) ( 1891440 1327005 )
NEW met1 ( 1901040 1326635 ) ( 1943760 1326635 )
NEW met1 ( 2607120 1327005 ) M1M2_PR
NEW met1 ( 1549680 1327005 ) M1M2_PR
NEW met1 ( 2095440 1326265 ) M1M2_PR
NEW met1 ( 2095440 1327005 ) M1M2_PR
NEW met1 ( 1898160 1326635 ) M1M2_PR
NEW met1 ( 1901040 1326635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[93\] ( mprj la_data_out[93] ) ( mgmt_buffers la_data_out_core[93] )
+ ROUTED met1 ( 1552080 1353275 ) ( 1628400 1353275 )
NEW met2 ( 1628400 1349205 ) ( 1628400 1353275 )
NEW met2 ( 2624880 1349205 ) ( 2624880 1389350 0 )
NEW met2 ( 1552080 1325710 0 ) ( 1552080 1353275 )
NEW met1 ( 1628400 1349205 ) ( 2624880 1349205 )
NEW met1 ( 1552080 1353275 ) M1M2_PR
NEW met1 ( 1628400 1353275 ) M1M2_PR
NEW met1 ( 1628400 1349205 ) M1M2_PR
NEW met1 ( 2624880 1349205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[94\] ( mprj la_data_out[94] ) ( mgmt_buffers la_data_out_core[94] )
+ ROUTED met2 ( 2642640 1386390 ) ( 2642640 1389350 0 )
NEW met2 ( 1554240 1325710 0 ) ( 1554960 1325710 )
NEW met2 ( 1554960 1325710 ) ( 1554960 1386390 )
NEW met3 ( 1554960 1386390 ) ( 2642640 1386390 )
NEW met2 ( 1554960 1386390 ) via2_FR
NEW met2 ( 2642640 1386390 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[95\] ( mprj la_data_out[95] ) ( mgmt_buffers la_data_out_core[95] )
+ ROUTED met2 ( 1556640 1325710 0 ) ( 1557840 1325710 )
NEW met2 ( 1557840 1325710 ) ( 1557840 1378805 )
NEW met2 ( 2660880 1378805 ) ( 2660880 1389350 0 )
NEW met1 ( 1557840 1378805 ) ( 2660880 1378805 )
NEW met1 ( 1557840 1378805 ) M1M2_PR
NEW met1 ( 2660880 1378805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[96\] ( mprj la_data_out[96] ) ( mgmt_buffers la_data_out_core[96] )
+ ROUTED met2 ( 2678160 1326635 ) ( 2678160 1389350 0 )
NEW met2 ( 1558320 1325710 0 ) ( 1558320 1326635 )
NEW met4 ( 1979040 1346985 ) ( 1979040 1353830 )
NEW met3 ( 1979040 1353830 ) ( 1979760 1353830 )
NEW met2 ( 1979760 1326635 ) ( 1979760 1353830 )
NEW met1 ( 1979760 1326635 ) ( 2678160 1326635 )
NEW met1 ( 1848240 1326265 ) ( 1848240 1326635 )
NEW met1 ( 1848240 1326265 ) ( 1897200 1326265 )
NEW met2 ( 1897200 1326265 ) ( 1897200 1328485 )
NEW met2 ( 1897200 1328485 ) ( 1900080 1328485 )
NEW met2 ( 1900080 1328485 ) ( 1900080 1344950 )
NEW met3 ( 1900080 1344950 ) ( 1900320 1344950 )
NEW met4 ( 1900320 1344950 ) ( 1900320 1346985 )
NEW met1 ( 1558320 1326635 ) ( 1848240 1326635 )
NEW met5 ( 1900320 1346985 ) ( 1979040 1346985 )
NEW met1 ( 2678160 1326635 ) M1M2_PR
NEW met1 ( 1558320 1326635 ) M1M2_PR
NEW met4 ( 1979040 1346985 ) via4_FR
NEW met3 ( 1979040 1353830 ) M3M4_PR_M
NEW met2 ( 1979760 1353830 ) via2_FR
NEW met1 ( 1979760 1326635 ) M1M2_PR
NEW met1 ( 1897200 1326265 ) M1M2_PR
NEW met2 ( 1900080 1344950 ) via2_FR
NEW met3 ( 1900320 1344950 ) M3M4_PR_M
NEW met4 ( 1900320 1346985 ) via4_FR
NEW met3 ( 1900080 1344950 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_out_user\[97\] ( mprj la_data_out[97] ) ( mgmt_buffers la_data_out_core[97] )
+ ROUTED met2 ( 1560720 1325710 0 ) ( 1561680 1325710 )
NEW met2 ( 1561680 1325710 ) ( 1561680 1385650 )
NEW met2 ( 2696400 1385650 ) ( 2696400 1389350 0 )
NEW met3 ( 1561680 1385650 ) ( 2696400 1385650 )
NEW met2 ( 1561680 1385650 ) via2_FR
NEW met2 ( 2696400 1385650 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[98\] ( mprj la_data_out[98] ) ( mgmt_buffers la_data_out_core[98] )
+ ROUTED met2 ( 1563120 1325710 0 ) ( 1563120 1347355 )
NEW met2 ( 2714160 1347355 ) ( 2714160 1389350 0 )
NEW met1 ( 1563120 1347355 ) ( 2714160 1347355 )
NEW met1 ( 1563120 1347355 ) M1M2_PR
NEW met1 ( 2714160 1347355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[99\] ( mprj la_data_out[99] ) ( mgmt_buffers la_data_out_core[99] )
+ ROUTED met2 ( 2731920 1326265 ) ( 2731920 1389350 0 )
NEW met2 ( 1846800 1325525 ) ( 1846800 1326265 )
NEW met2 ( 2095920 1325525 ) ( 2095920 1326265 )
NEW met1 ( 2095920 1326265 ) ( 2731920 1326265 )
NEW met2 ( 1565280 1325710 0 ) ( 1566480 1325710 )
NEW met2 ( 1566480 1325710 ) ( 1566480 1326265 )
NEW met1 ( 1566480 1326265 ) ( 1596720 1326265 )
NEW met2 ( 1596720 1326265 ) ( 1597200 1326265 )
NEW met2 ( 1597200 1325525 ) ( 1597200 1326265 )
NEW met1 ( 1597200 1325525 ) ( 1597680 1325525 )
NEW met1 ( 1597680 1325525 ) ( 1597680 1326265 )
NEW met1 ( 1597680 1326265 ) ( 1846800 1326265 )
NEW met2 ( 1951440 1324785 ) ( 1951440 1325525 )
NEW met1 ( 1951440 1324785 ) ( 1997040 1324785 )
NEW met2 ( 1997040 1324785 ) ( 1997040 1325525 )
NEW met1 ( 1846800 1325525 ) ( 1951440 1325525 )
NEW met1 ( 1997040 1325525 ) ( 2095920 1325525 )
NEW met1 ( 2731920 1326265 ) M1M2_PR
NEW met1 ( 1846800 1326265 ) M1M2_PR
NEW met1 ( 1846800 1325525 ) M1M2_PR
NEW met1 ( 2095920 1325525 ) M1M2_PR
NEW met1 ( 2095920 1326265 ) M1M2_PR
NEW met1 ( 1566480 1326265 ) M1M2_PR
NEW met1 ( 1596720 1326265 ) M1M2_PR
NEW met1 ( 1597200 1325525 ) M1M2_PR
NEW met1 ( 1951440 1325525 ) M1M2_PR
NEW met1 ( 1951440 1324785 ) M1M2_PR
NEW met1 ( 1997040 1324785 ) M1M2_PR
NEW met1 ( 1997040 1325525 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[9\] ( mprj la_data_out[9] ) ( mgmt_buffers la_data_out_core[9] )
+ ROUTED met2 ( 1126320 1385465 ) ( 1126320 1389350 0 )
NEW met2 ( 1368240 1325710 ) ( 1368960 1325710 0 )
NEW met2 ( 1368240 1325710 ) ( 1368240 1385465 )
NEW met1 ( 1126320 1385465 ) ( 1368240 1385465 )
NEW met1 ( 1126320 1385465 ) M1M2_PR
NEW met1 ( 1368240 1385465 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[0\] ( soc la_oen[0] ) ( mgmt_buffers la_oen_mprj[0] )
+ ROUTED met2 ( 1558320 1167350 0 ) ( 1558320 1194915 )
NEW met1 ( 1558320 1194915 ) ( 1618320 1194915 )
NEW met2 ( 1618320 1194915 ) ( 1618320 1232470 )
NEW met2 ( 1618320 1232470 ) ( 1619520 1232470 0 )
NEW met1 ( 1558320 1194915 ) M1M2_PR
NEW met1 ( 1618320 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[100\] ( soc la_oen[100] ) ( mgmt_buffers la_oen_mprj[100] )
+ ROUTED met2 ( 1340400 1222665 ) ( 1340400 1224145 )
NEW met1 ( 1340400 1224145 ) ( 1390320 1224145 )
NEW met2 ( 1390320 1224145 ) ( 1390320 1229695 )
NEW met2 ( 1837680 1229695 ) ( 1837680 1232470 0 )
NEW met2 ( 1319280 1167350 0 ) ( 1319280 1224885 )
NEW met1 ( 1319280 1224885 ) ( 1337520 1224885 )
NEW met2 ( 1337520 1222665 ) ( 1337520 1224885 )
NEW met1 ( 1337520 1222665 ) ( 1340400 1222665 )
NEW met1 ( 1390320 1229695 ) ( 1837680 1229695 )
NEW met1 ( 1340400 1222665 ) M1M2_PR
NEW met1 ( 1340400 1224145 ) M1M2_PR
NEW met1 ( 1390320 1224145 ) M1M2_PR
NEW met1 ( 1390320 1229695 ) M1M2_PR
NEW met1 ( 1837680 1229695 ) M1M2_PR
NEW met1 ( 1319280 1224885 ) M1M2_PR
NEW met1 ( 1337520 1224885 ) M1M2_PR
NEW met1 ( 1337520 1222665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[101\] ( soc la_oen[101] ) ( mgmt_buffers la_oen_mprj[101] )
+ ROUTED met2 ( 1560240 1167350 0 ) ( 1560240 1222665 )
NEW met2 ( 1839600 1222665 ) ( 1839600 1232470 )
NEW met2 ( 1839360 1232470 0 ) ( 1839600 1232470 )
NEW met1 ( 1586160 1222665 ) ( 1586160 1223035 )
NEW met1 ( 1586160 1223035 ) ( 1586880 1223035 )
NEW met1 ( 1586880 1222665 ) ( 1586880 1223035 )
NEW met1 ( 1560240 1222665 ) ( 1586160 1222665 )
NEW met1 ( 1586880 1222665 ) ( 1839600 1222665 )
NEW met1 ( 1560240 1222665 ) M1M2_PR
NEW met1 ( 1839600 1222665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[102\] ( soc la_oen[102] ) ( mgmt_buffers la_oen_mprj[102] )
+ ROUTED met2 ( 1387920 1224885 ) ( 1387920 1229695 )
NEW met1 ( 1387920 1229695 ) ( 1389840 1229695 )
NEW met1 ( 1389840 1229695 ) ( 1389840 1230065 )
NEW met2 ( 1840560 1230065 ) ( 1840560 1232470 )
NEW met2 ( 1840560 1232470 ) ( 1841760 1232470 0 )
NEW met2 ( 1317360 1167350 0 ) ( 1317360 1225255 )
NEW met1 ( 1317360 1225255 ) ( 1338000 1225255 )
NEW met1 ( 1338000 1224885 ) ( 1338000 1225255 )
NEW met1 ( 1338000 1224885 ) ( 1387920 1224885 )
NEW met1 ( 1389840 1230065 ) ( 1840560 1230065 )
NEW met1 ( 1387920 1224885 ) M1M2_PR
NEW met1 ( 1387920 1229695 ) M1M2_PR
NEW met1 ( 1840560 1230065 ) M1M2_PR
NEW met1 ( 1317360 1225255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[103\] ( soc la_oen[103] ) ( mgmt_buffers la_oen_mprj[103] )
+ ROUTED met2 ( 1562160 1167350 0 ) ( 1562160 1222295 )
NEW met2 ( 1843920 1222295 ) ( 1843920 1232470 0 )
NEW met1 ( 1562160 1222295 ) ( 1843920 1222295 )
NEW met1 ( 1562160 1222295 ) M1M2_PR
NEW met1 ( 1843920 1222295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[104\] ( soc la_oen[104] ) ( mgmt_buffers la_oen_mprj[104] )
+ ROUTED met2 ( 1846320 1228215 ) ( 1846320 1232470 0 )
NEW met2 ( 1315440 1167350 0 ) ( 1315440 1181965 )
NEW met1 ( 1315440 1181965 ) ( 1345200 1181965 )
NEW met2 ( 1345200 1181965 ) ( 1345200 1228215 )
NEW met1 ( 1345200 1228215 ) ( 1846320 1228215 )
NEW met1 ( 1846320 1228215 ) M1M2_PR
NEW met1 ( 1315440 1181965 ) M1M2_PR
NEW met1 ( 1345200 1181965 ) M1M2_PR
NEW met1 ( 1345200 1228215 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[105\] ( soc la_oen[105] ) ( mgmt_buffers la_oen_mprj[105] )
+ ROUTED met2 ( 1563840 1167350 0 ) ( 1565040 1167350 )
NEW met2 ( 1565040 1167350 ) ( 1565040 1180115 )
NEW met2 ( 1848240 1180115 ) ( 1848240 1232470 0 )
NEW met1 ( 1565040 1180115 ) ( 1848240 1180115 )
NEW met1 ( 1565040 1180115 ) M1M2_PR
NEW met1 ( 1848240 1180115 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[106\] ( soc la_oen[106] ) ( mgmt_buffers la_oen_mprj[106] )
+ ROUTED met2 ( 1313760 1167350 0 ) ( 1314960 1167350 )
NEW met2 ( 1314960 1167350 ) ( 1314960 1201390 )
NEW met2 ( 1849200 1201390 ) ( 1849200 1232470 )
NEW met2 ( 1849200 1232470 ) ( 1850400 1232470 0 )
NEW met3 ( 1314960 1201390 ) ( 1849200 1201390 )
NEW met2 ( 1314960 1201390 ) via2_FR
NEW met2 ( 1849200 1201390 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[107\] ( soc la_oen[107] ) ( mgmt_buffers la_oen_mprj[107] )
+ ROUTED met2 ( 1565760 1167350 0 ) ( 1566960 1167350 )
NEW met2 ( 1566960 1167350 ) ( 1566960 1219335 )
NEW met2 ( 1851600 1219335 ) ( 1851600 1232470 )
NEW met2 ( 1851600 1232470 ) ( 1852800 1232470 0 )
NEW met1 ( 1566960 1219335 ) ( 1851600 1219335 )
NEW met1 ( 1566960 1219335 ) M1M2_PR
NEW met1 ( 1851600 1219335 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[108\] ( soc la_oen[108] ) ( mgmt_buffers la_oen_mprj[108] )
+ ROUTED met2 ( 1388880 1227845 ) ( 1388880 1230435 )
NEW met2 ( 1854960 1227845 ) ( 1854960 1232470 0 )
NEW met1 ( 1388880 1227845 ) ( 1854960 1227845 )
NEW met2 ( 1311840 1167350 0 ) ( 1311840 1168645 )
NEW met1 ( 1311840 1168645 ) ( 1344720 1168645 )
NEW met2 ( 1344720 1168645 ) ( 1344720 1230435 )
NEW met1 ( 1344720 1230435 ) ( 1388880 1230435 )
NEW met1 ( 1388880 1230435 ) M1M2_PR
NEW met1 ( 1388880 1227845 ) M1M2_PR
NEW met1 ( 1854960 1227845 ) M1M2_PR
NEW met1 ( 1311840 1168645 ) M1M2_PR
NEW met1 ( 1344720 1168645 ) M1M2_PR
NEW met1 ( 1344720 1230435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[109\] ( soc la_oen[109] ) ( mgmt_buffers la_oen_mprj[109] )
+ ROUTED met2 ( 1567680 1167350 0 ) ( 1568880 1167350 )
NEW met2 ( 1568880 1167350 ) ( 1568880 1199725 )
NEW met1 ( 1568880 1199725 ) ( 1580880 1199725 )
NEW met2 ( 1580880 1199725 ) ( 1580880 1200835 )
NEW met2 ( 1856880 1200835 ) ( 1856880 1232470 0 )
NEW met1 ( 1580880 1200835 ) ( 1856880 1200835 )
NEW met1 ( 1568880 1199725 ) M1M2_PR
NEW met1 ( 1580880 1199725 ) M1M2_PR
NEW met1 ( 1580880 1200835 ) M1M2_PR
NEW met1 ( 1856880 1200835 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[10\] ( soc la_oen[10] ) ( mgmt_buffers la_oen_mprj[10] )
+ ROUTED met2 ( 1391280 1201205 ) ( 1391280 1208975 )
NEW met2 ( 1639920 1208975 ) ( 1639920 1232470 )
NEW met2 ( 1639920 1232470 ) ( 1641120 1232470 0 )
NEW met2 ( 1309920 1167350 0 ) ( 1310160 1167350 )
NEW met2 ( 1310160 1167350 ) ( 1310160 1201205 )
NEW met1 ( 1310160 1201205 ) ( 1391280 1201205 )
NEW met1 ( 1391280 1208975 ) ( 1639920 1208975 )
NEW met1 ( 1391280 1201205 ) M1M2_PR
NEW met1 ( 1391280 1208975 ) M1M2_PR
NEW met1 ( 1639920 1208975 ) M1M2_PR
NEW met1 ( 1310160 1201205 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[110\] ( soc la_oen[110] ) ( mgmt_buffers la_oen_mprj[110] )
+ ROUTED met2 ( 1569360 1167350 0 ) ( 1569360 1183075 )
NEW met1 ( 1568400 1183075 ) ( 1569360 1183075 )
NEW met2 ( 1568400 1183075 ) ( 1568400 1201575 )
NEW met2 ( 1859280 1201575 ) ( 1859280 1232470 0 )
NEW met1 ( 1568400 1201575 ) ( 1859280 1201575 )
NEW met1 ( 1569360 1183075 ) M1M2_PR
NEW met1 ( 1568400 1183075 ) M1M2_PR
NEW met1 ( 1568400 1201575 ) M1M2_PR
NEW met1 ( 1859280 1201575 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[111\] ( soc la_oen[111] ) ( mgmt_buffers la_oen_mprj[111] )
+ ROUTED met2 ( 1308240 1167350 0 ) ( 1309200 1167350 )
NEW met2 ( 1309200 1167350 ) ( 1309200 1225625 )
NEW met1 ( 1844880 1225255 ) ( 1844880 1225625 )
NEW met1 ( 1844880 1225255 ) ( 1860240 1225255 )
NEW met2 ( 1860240 1225255 ) ( 1860240 1232470 )
NEW met2 ( 1860240 1232470 ) ( 1861440 1232470 0 )
NEW met2 ( 1692240 1224515 ) ( 1692240 1225625 )
NEW met1 ( 1692240 1224515 ) ( 1697520 1224515 )
NEW met2 ( 1697520 1224515 ) ( 1697520 1225625 )
NEW met1 ( 1309200 1225625 ) ( 1692240 1225625 )
NEW met1 ( 1697520 1225625 ) ( 1844880 1225625 )
NEW met1 ( 1309200 1225625 ) M1M2_PR
NEW met1 ( 1860240 1225255 ) M1M2_PR
NEW met1 ( 1692240 1225625 ) M1M2_PR
NEW met1 ( 1692240 1224515 ) M1M2_PR
NEW met1 ( 1697520 1224515 ) M1M2_PR
NEW met1 ( 1697520 1225625 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[112\] ( soc la_oen[112] ) ( mgmt_buffers la_oen_mprj[112] )
+ ROUTED met2 ( 1571280 1167350 0 ) ( 1571280 1200465 )
NEW met2 ( 1862160 1200465 ) ( 1862160 1232470 )
NEW met2 ( 1862160 1232470 ) ( 1863360 1232470 0 )
NEW met1 ( 1571280 1200465 ) ( 1862160 1200465 )
NEW met1 ( 1571280 1200465 ) M1M2_PR
NEW met1 ( 1862160 1200465 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[113\] ( soc la_oen[113] ) ( mgmt_buffers la_oen_mprj[113] )
+ ROUTED met2 ( 1306320 1167350 0 ) ( 1306320 1206570 )
NEW met3 ( 1306320 1206570 ) ( 1338000 1206570 )
NEW met2 ( 1338000 1206570 ) ( 1338000 1207310 )
NEW met2 ( 1338000 1207310 ) ( 1338480 1207310 )
NEW met2 ( 1864560 1207310 ) ( 1864560 1232470 )
NEW met2 ( 1864560 1232470 ) ( 1865760 1232470 0 )
NEW met3 ( 1338480 1207310 ) ( 1864560 1207310 )
NEW met2 ( 1306320 1206570 ) via2_FR
NEW met2 ( 1338000 1206570 ) via2_FR
NEW met2 ( 1338480 1207310 ) via2_FR
NEW met2 ( 1864560 1207310 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[114\] ( soc la_oen[114] ) ( mgmt_buffers la_oen_mprj[114] )
+ ROUTED met2 ( 1573200 1167350 0 ) ( 1573200 1201205 )
NEW met2 ( 1867920 1201205 ) ( 1867920 1232470 0 )
NEW met1 ( 1573200 1201205 ) ( 1867920 1201205 )
NEW met1 ( 1573200 1201205 ) M1M2_PR
NEW met1 ( 1867920 1201205 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[115\] ( soc la_oen[115] ) ( mgmt_buffers la_oen_mprj[115] )
+ ROUTED met2 ( 1388400 1227290 ) ( 1388400 1227845 )
NEW met2 ( 1388400 1227290 ) ( 1389840 1227290 )
NEW met2 ( 1389840 1225255 ) ( 1389840 1227290 )
NEW met1 ( 1844400 1224885 ) ( 1844400 1225255 )
NEW met1 ( 1844400 1224885 ) ( 1870320 1224885 )
NEW met2 ( 1870320 1224885 ) ( 1870320 1232470 0 )
NEW met1 ( 1642800 1224885 ) ( 1642800 1225255 )
NEW met1 ( 1389840 1225255 ) ( 1642800 1225255 )
NEW met1 ( 1698960 1224885 ) ( 1698960 1225255 )
NEW met1 ( 1642800 1224885 ) ( 1698960 1224885 )
NEW met1 ( 1698960 1225255 ) ( 1844400 1225255 )
NEW met2 ( 1304400 1167350 0 ) ( 1304400 1173085 )
NEW met1 ( 1304400 1173085 ) ( 1342320 1173085 )
NEW met2 ( 1342320 1173085 ) ( 1342320 1227845 )
NEW met1 ( 1342320 1227845 ) ( 1388400 1227845 )
NEW met1 ( 1388400 1227845 ) M1M2_PR
NEW met1 ( 1389840 1225255 ) M1M2_PR
NEW met1 ( 1870320 1224885 ) M1M2_PR
NEW met1 ( 1304400 1173085 ) M1M2_PR
NEW met1 ( 1342320 1173085 ) M1M2_PR
NEW met1 ( 1342320 1227845 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[116\] ( soc la_oen[116] ) ( mgmt_buffers la_oen_mprj[116] )
+ ROUTED met2 ( 1574880 1167350 0 ) ( 1576080 1167350 )
NEW met2 ( 1576080 1167350 ) ( 1576080 1198985 )
NEW met1 ( 1576080 1198985 ) ( 1626480 1198985 )
NEW met2 ( 1626480 1198985 ) ( 1626480 1209345 )
NEW met2 ( 1872240 1209345 ) ( 1872240 1232470 0 )
NEW met1 ( 1626480 1209345 ) ( 1872240 1209345 )
NEW met1 ( 1576080 1198985 ) M1M2_PR
NEW met1 ( 1626480 1198985 ) M1M2_PR
NEW met1 ( 1626480 1209345 ) M1M2_PR
NEW met1 ( 1872240 1209345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[117\] ( soc la_oen[117] ) ( mgmt_buffers la_oen_mprj[117] )
+ ROUTED met1 ( 1389360 1224885 ) ( 1389360 1225255 )
NEW met2 ( 1598640 1224885 ) ( 1598640 1225070 )
NEW met2 ( 1598640 1225070 ) ( 1599120 1225070 )
NEW met2 ( 1599120 1221555 ) ( 1599120 1225070 )
NEW met1 ( 1599120 1221555 ) ( 1613040 1221555 )
NEW met1 ( 1613040 1221555 ) ( 1613040 1221925 )
NEW met1 ( 1389360 1224885 ) ( 1598640 1224885 )
NEW met1 ( 1814160 1224145 ) ( 1814160 1224885 )
NEW met1 ( 1814160 1224145 ) ( 1873200 1224145 )
NEW met2 ( 1873200 1224145 ) ( 1873200 1232470 )
NEW met2 ( 1873200 1232470 ) ( 1874400 1232470 0 )
NEW met2 ( 1699440 1221925 ) ( 1699440 1224885 )
NEW met2 ( 1699440 1224885 ) ( 1699920 1224885 )
NEW met1 ( 1613040 1221925 ) ( 1699440 1221925 )
NEW met1 ( 1699920 1224885 ) ( 1814160 1224885 )
NEW met2 ( 1302720 1167350 0 ) ( 1303920 1167350 )
NEW met2 ( 1303920 1167350 ) ( 1303920 1183445 )
NEW met1 ( 1303920 1183445 ) ( 1347600 1183445 )
NEW met2 ( 1347600 1183445 ) ( 1347600 1225255 )
NEW met1 ( 1347600 1225255 ) ( 1389360 1225255 )
NEW met1 ( 1598640 1224885 ) M1M2_PR
NEW met1 ( 1599120 1221555 ) M1M2_PR
NEW met1 ( 1873200 1224145 ) M1M2_PR
NEW met1 ( 1699440 1221925 ) M1M2_PR
NEW met1 ( 1699920 1224885 ) M1M2_PR
NEW met1 ( 1303920 1183445 ) M1M2_PR
NEW met1 ( 1347600 1183445 ) M1M2_PR
NEW met1 ( 1347600 1225255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[118\] ( soc la_oen[118] ) ( mgmt_buffers la_oen_mprj[118] )
+ ROUTED met2 ( 1576800 1167350 0 ) ( 1578000 1167350 )
NEW met2 ( 1578000 1167350 ) ( 1578000 1201945 )
NEW met2 ( 1875600 1201945 ) ( 1875600 1232470 )
NEW met2 ( 1875600 1232470 ) ( 1876800 1232470 0 )
NEW met1 ( 1578000 1201945 ) ( 1875600 1201945 )
NEW met1 ( 1578000 1201945 ) M1M2_PR
NEW met1 ( 1875600 1201945 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[119\] ( soc la_oen[119] ) ( mgmt_buffers la_oen_mprj[119] )
+ ROUTED met1 ( 1391760 1194915 ) ( 1391760 1195285 )
NEW met1 ( 1391760 1195285 ) ( 1411440 1195285 )
NEW met2 ( 1411440 1195285 ) ( 1411440 1203795 )
NEW met2 ( 1300800 1167350 0 ) ( 1302000 1167350 )
NEW met2 ( 1302000 1167350 ) ( 1302000 1194915 )
NEW met1 ( 1302000 1194915 ) ( 1391760 1194915 )
NEW met2 ( 1878960 1203795 ) ( 1878960 1232470 0 )
NEW met1 ( 1411440 1203795 ) ( 1878960 1203795 )
NEW met1 ( 1411440 1195285 ) M1M2_PR
NEW met1 ( 1411440 1203795 ) M1M2_PR
NEW met1 ( 1302000 1194915 ) M1M2_PR
NEW met1 ( 1878960 1203795 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[11\] ( soc la_oen[11] ) ( mgmt_buffers la_oen_mprj[11] )
+ ROUTED met2 ( 1578720 1167350 0 ) ( 1579920 1167350 )
NEW met2 ( 1579920 1167350 ) ( 1579920 1180855 )
NEW met2 ( 1643520 1232470 0 ) ( 1644240 1232470 )
NEW met2 ( 1621200 1180855 ) ( 1621200 1193805 )
NEW met1 ( 1621200 1193805 ) ( 1644240 1193805 )
NEW met1 ( 1579920 1180855 ) ( 1621200 1180855 )
NEW met2 ( 1644240 1193805 ) ( 1644240 1232470 )
NEW met1 ( 1579920 1180855 ) M1M2_PR
NEW met1 ( 1621200 1180855 ) M1M2_PR
NEW met1 ( 1621200 1193805 ) M1M2_PR
NEW met1 ( 1644240 1193805 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[120\] ( soc la_oen[120] ) ( mgmt_buffers la_oen_mprj[120] )
+ ROUTED met2 ( 1376400 1187515 ) ( 1376400 1194545 )
NEW met2 ( 1298880 1167350 0 ) ( 1300080 1167350 )
NEW met2 ( 1300080 1167350 ) ( 1300080 1194545 )
NEW met1 ( 1300080 1194545 ) ( 1376400 1194545 )
NEW met2 ( 1459920 1187515 ) ( 1459920 1205275 )
NEW met1 ( 1376400 1187515 ) ( 1459920 1187515 )
NEW met2 ( 1880880 1205275 ) ( 1880880 1232470 0 )
NEW met1 ( 1459920 1205275 ) ( 1880880 1205275 )
NEW met1 ( 1376400 1194545 ) M1M2_PR
NEW met1 ( 1376400 1187515 ) M1M2_PR
NEW met1 ( 1300080 1194545 ) M1M2_PR
NEW met1 ( 1459920 1187515 ) M1M2_PR
NEW met1 ( 1459920 1205275 ) M1M2_PR
NEW met1 ( 1880880 1205275 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[121\] ( soc la_oen[121] ) ( mgmt_buffers la_oen_mprj[121] )
+ ROUTED met2 ( 1580400 1167350 0 ) ( 1580400 1187145 )
NEW met1 ( 1858800 1187145 ) ( 1858800 1187515 )
NEW met1 ( 1858800 1187515 ) ( 1883280 1187515 )
NEW met2 ( 1883280 1187515 ) ( 1883280 1232470 0 )
NEW met1 ( 1672560 1187145 ) ( 1672560 1187515 )
NEW met1 ( 1672560 1187515 ) ( 1692240 1187515 )
NEW met1 ( 1692240 1187145 ) ( 1692240 1187515 )
NEW met1 ( 1580400 1187145 ) ( 1672560 1187145 )
NEW met1 ( 1692240 1187145 ) ( 1858800 1187145 )
NEW met1 ( 1580400 1187145 ) M1M2_PR
NEW met1 ( 1883280 1187515 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[122\] ( soc la_oen[122] ) ( mgmt_buffers la_oen_mprj[122] )
+ ROUTED met2 ( 1297200 1167350 0 ) ( 1298160 1167350 )
NEW met2 ( 1298160 1167350 ) ( 1298160 1199170 )
NEW met3 ( 1298160 1199170 ) ( 1338960 1199170 )
NEW met2 ( 1338960 1199170 ) ( 1338960 1206570 )
NEW met2 ( 1884240 1206570 ) ( 1884240 1232470 )
NEW met2 ( 1884240 1232470 ) ( 1885440 1232470 0 )
NEW met3 ( 1338960 1206570 ) ( 1884240 1206570 )
NEW met2 ( 1298160 1199170 ) via2_FR
NEW met2 ( 1338960 1199170 ) via2_FR
NEW met2 ( 1338960 1206570 ) via2_FR
NEW met2 ( 1884240 1206570 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[123\] ( soc la_oen[123] ) ( mgmt_buffers la_oen_mprj[123] )
+ ROUTED met2 ( 1582320 1167350 0 ) ( 1582320 1193065 )
NEW met1 ( 1582320 1193065 ) ( 1591920 1193065 )
NEW met1 ( 1591920 1193065 ) ( 1591920 1193805 )
NEW met1 ( 1591920 1193805 ) ( 1619280 1193805 )
NEW met1 ( 1619280 1193805 ) ( 1619280 1194545 )
NEW met2 ( 1886640 1194545 ) ( 1886640 1232470 )
NEW met2 ( 1886640 1232470 ) ( 1887840 1232470 0 )
NEW met2 ( 1742160 1194545 ) ( 1742160 1194730 )
NEW met2 ( 1742160 1194730 ) ( 1742640 1194730 )
NEW met2 ( 1742640 1194545 ) ( 1742640 1194730 )
NEW met1 ( 1619280 1194545 ) ( 1742160 1194545 )
NEW met1 ( 1742640 1194545 ) ( 1886640 1194545 )
NEW met1 ( 1582320 1193065 ) M1M2_PR
NEW met1 ( 1886640 1194545 ) M1M2_PR
NEW met1 ( 1742160 1194545 ) M1M2_PR
NEW met1 ( 1742640 1194545 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[124\] ( soc la_oen[124] ) ( mgmt_buffers la_oen_mprj[124] )
+ ROUTED met2 ( 1295280 1167350 0 ) ( 1295280 1204350 )
NEW met2 ( 1889520 1204350 ) ( 1889520 1232470 0 )
NEW met3 ( 1295280 1204350 ) ( 1889520 1204350 )
NEW met2 ( 1295280 1204350 ) via2_FR
NEW met2 ( 1889520 1204350 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[125\] ( soc la_oen[125] ) ( mgmt_buffers la_oen_mprj[125] )
+ ROUTED met2 ( 1584240 1167350 0 ) ( 1584240 1186775 )
NEW met2 ( 1713840 1187515 ) ( 1713840 1187885 )
NEW met2 ( 1713840 1187885 ) ( 1714320 1187885 )
NEW met2 ( 1714320 1186775 ) ( 1714320 1187885 )
NEW met2 ( 1692720 1186775 ) ( 1692720 1187515 )
NEW met1 ( 1584240 1186775 ) ( 1692720 1186775 )
NEW met1 ( 1692720 1187515 ) ( 1713840 1187515 )
NEW met2 ( 1804560 1186775 ) ( 1804560 1192695 )
NEW met1 ( 1804560 1192695 ) ( 1891920 1192695 )
NEW met1 ( 1714320 1186775 ) ( 1804560 1186775 )
NEW met2 ( 1891920 1192695 ) ( 1891920 1232470 0 )
NEW met1 ( 1584240 1186775 ) M1M2_PR
NEW met1 ( 1713840 1187515 ) M1M2_PR
NEW met1 ( 1714320 1186775 ) M1M2_PR
NEW met1 ( 1692720 1186775 ) M1M2_PR
NEW met1 ( 1692720 1187515 ) M1M2_PR
NEW met1 ( 1804560 1186775 ) M1M2_PR
NEW met1 ( 1804560 1192695 ) M1M2_PR
NEW met1 ( 1891920 1192695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[126\] ( soc la_oen[126] ) ( mgmt_buffers la_oen_mprj[126] )
+ ROUTED met2 ( 1293360 1167350 0 ) ( 1293360 1191770 )
NEW met2 ( 1894320 1191770 ) ( 1894320 1232470 0 )
NEW met3 ( 1293360 1191770 ) ( 1894320 1191770 )
NEW met2 ( 1293360 1191770 ) via2_FR
NEW met2 ( 1894320 1191770 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[127\] ( soc la_oen[127] ) ( mgmt_buffers la_oen_mprj[127] )
+ ROUTED met2 ( 1585920 1167350 0 ) ( 1587120 1167350 )
NEW met2 ( 1587120 1167350 ) ( 1587120 1194175 )
NEW met1 ( 1587120 1194175 ) ( 1618800 1194175 )
NEW met2 ( 1618800 1193990 ) ( 1618800 1194175 )
NEW met2 ( 1618800 1193990 ) ( 1619760 1193990 )
NEW met2 ( 1619760 1193990 ) ( 1619760 1194175 )
NEW met2 ( 1895280 1194915 ) ( 1895280 1232470 )
NEW met2 ( 1895280 1232470 ) ( 1896480 1232470 0 )
NEW met2 ( 1755600 1194175 ) ( 1755600 1194915 )
NEW met1 ( 1619760 1194175 ) ( 1755600 1194175 )
NEW met1 ( 1755600 1194915 ) ( 1895280 1194915 )
NEW met1 ( 1587120 1194175 ) M1M2_PR
NEW met1 ( 1618800 1194175 ) M1M2_PR
NEW met1 ( 1619760 1194175 ) M1M2_PR
NEW met1 ( 1895280 1194915 ) M1M2_PR
NEW met1 ( 1755600 1194175 ) M1M2_PR
NEW met1 ( 1755600 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[12\] ( soc la_oen[12] ) ( mgmt_buffers la_oen_mprj[12] )
+ ROUTED met2 ( 1397520 1191585 ) ( 1397520 1194915 )
NEW met2 ( 1291680 1167350 0 ) ( 1292880 1167350 )
NEW met2 ( 1292880 1167350 ) ( 1292880 1191585 )
NEW met1 ( 1292880 1191585 ) ( 1397520 1191585 )
NEW met2 ( 1645680 1200095 ) ( 1645680 1232470 0 )
NEW met2 ( 1461360 1194915 ) ( 1461360 1196210 )
NEW met3 ( 1461360 1196210 ) ( 1478640 1196210 )
NEW met2 ( 1478640 1196210 ) ( 1478640 1200095 )
NEW met1 ( 1397520 1194915 ) ( 1461360 1194915 )
NEW met1 ( 1478640 1200095 ) ( 1645680 1200095 )
NEW met1 ( 1397520 1191585 ) M1M2_PR
NEW met1 ( 1397520 1194915 ) M1M2_PR
NEW met1 ( 1292880 1191585 ) M1M2_PR
NEW met1 ( 1645680 1200095 ) M1M2_PR
NEW met1 ( 1461360 1194915 ) M1M2_PR
NEW met2 ( 1461360 1196210 ) via2_FR
NEW met2 ( 1478640 1196210 ) via2_FR
NEW met1 ( 1478640 1200095 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[13\] ( soc la_oen[13] ) ( mgmt_buffers la_oen_mprj[13] )
+ ROUTED met2 ( 1587840 1167350 0 ) ( 1589040 1167350 )
NEW met2 ( 1589040 1167350 ) ( 1589040 1199355 )
NEW met1 ( 1589040 1199355 ) ( 1639920 1199355 )
NEW met2 ( 1639920 1199355 ) ( 1639920 1204905 )
NEW met2 ( 1648080 1204905 ) ( 1648080 1232470 0 )
NEW met1 ( 1639920 1204905 ) ( 1648080 1204905 )
NEW met1 ( 1589040 1199355 ) M1M2_PR
NEW met1 ( 1639920 1199355 ) M1M2_PR
NEW met1 ( 1639920 1204905 ) M1M2_PR
NEW met1 ( 1648080 1204905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[14\] ( soc la_oen[14] ) ( mgmt_buffers la_oen_mprj[14] )
+ ROUTED met2 ( 1388400 1200835 ) ( 1388400 1208605 )
NEW met2 ( 1289760 1167350 0 ) ( 1290960 1167350 )
NEW met2 ( 1290960 1167350 ) ( 1290960 1182705 )
NEW met1 ( 1290960 1182705 ) ( 1320240 1182705 )
NEW met2 ( 1320240 1182705 ) ( 1320240 1200835 )
NEW met1 ( 1320240 1200835 ) ( 1388400 1200835 )
NEW met2 ( 1650000 1208605 ) ( 1650000 1232470 0 )
NEW met1 ( 1388400 1208605 ) ( 1650000 1208605 )
NEW met1 ( 1388400 1200835 ) M1M2_PR
NEW met1 ( 1388400 1208605 ) M1M2_PR
NEW met1 ( 1290960 1182705 ) M1M2_PR
NEW met1 ( 1320240 1182705 ) M1M2_PR
NEW met1 ( 1320240 1200835 ) M1M2_PR
NEW met1 ( 1650000 1208605 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[15\] ( soc la_oen[15] ) ( mgmt_buffers la_oen_mprj[15] )
+ ROUTED met2 ( 1589520 1167350 ) ( 1589760 1167350 0 )
NEW met2 ( 1589520 1167350 ) ( 1589520 1207865 )
NEW met2 ( 1650960 1207865 ) ( 1650960 1232470 )
NEW met2 ( 1650960 1232470 ) ( 1652160 1232470 0 )
NEW met1 ( 1589520 1207865 ) ( 1650960 1207865 )
NEW met1 ( 1589520 1207865 ) M1M2_PR
NEW met1 ( 1650960 1207865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[16\] ( soc la_oen[16] ) ( mgmt_buffers la_oen_mprj[16] )
+ ROUTED met2 ( 1590960 1186035 ) ( 1590960 1193805 )
NEW met2 ( 1287840 1167350 0 ) ( 1289040 1167350 )
NEW met2 ( 1289040 1167350 ) ( 1289040 1193805 )
NEW met1 ( 1289040 1193805 ) ( 1590960 1193805 )
NEW met2 ( 1654320 1186035 ) ( 1654320 1232470 )
NEW met2 ( 1654320 1232470 ) ( 1654560 1232470 0 )
NEW met1 ( 1590960 1186035 ) ( 1654320 1186035 )
NEW met1 ( 1590960 1193805 ) M1M2_PR
NEW met1 ( 1590960 1186035 ) M1M2_PR
NEW met1 ( 1289040 1193805 ) M1M2_PR
NEW met1 ( 1654320 1186035 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[17\] ( soc la_oen[17] ) ( mgmt_buffers la_oen_mprj[17] )
+ ROUTED met2 ( 1591440 1167350 0 ) ( 1592880 1167350 )
NEW met2 ( 1592880 1167350 ) ( 1592880 1194545 )
NEW met1 ( 1592880 1194545 ) ( 1618800 1194545 )
NEW met1 ( 1618800 1194545 ) ( 1618800 1194915 )
NEW met2 ( 1656720 1194915 ) ( 1656720 1232470 0 )
NEW met1 ( 1618800 1194915 ) ( 1656720 1194915 )
NEW met1 ( 1592880 1194545 ) M1M2_PR
NEW met1 ( 1656720 1194915 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[18\] ( soc la_oen[18] ) ( mgmt_buffers la_oen_mprj[18] )
+ ROUTED met2 ( 1346640 1198985 ) ( 1346640 1207865 )
NEW met2 ( 1558320 1199355 ) ( 1558320 1207865 )
NEW met1 ( 1558320 1199355 ) ( 1581360 1199355 )
NEW met1 ( 1581360 1199355 ) ( 1581360 1199725 )
NEW met2 ( 1286160 1167350 0 ) ( 1286160 1198985 )
NEW met1 ( 1286160 1198985 ) ( 1346640 1198985 )
NEW met1 ( 1346640 1207865 ) ( 1558320 1207865 )
NEW met2 ( 1658640 1199725 ) ( 1658640 1232470 0 )
NEW met1 ( 1581360 1199725 ) ( 1658640 1199725 )
NEW met1 ( 1346640 1198985 ) M1M2_PR
NEW met1 ( 1346640 1207865 ) M1M2_PR
NEW met1 ( 1558320 1207865 ) M1M2_PR
NEW met1 ( 1558320 1199355 ) M1M2_PR
NEW met1 ( 1286160 1198985 ) M1M2_PR
NEW met1 ( 1658640 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[19\] ( soc la_oen[19] ) ( mgmt_buffers la_oen_mprj[19] )
+ ROUTED met2 ( 1593360 1167350 0 ) ( 1593360 1193435 )
NEW met2 ( 1661040 1193435 ) ( 1661040 1232470 0 )
NEW met1 ( 1593360 1193435 ) ( 1661040 1193435 )
NEW met1 ( 1593360 1193435 ) M1M2_PR
NEW met1 ( 1661040 1193435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[1\] ( soc la_oen[1] ) ( mgmt_buffers la_oen_mprj[1] )
+ ROUTED met2 ( 1581360 1185665 ) ( 1581360 1194175 )
NEW met1 ( 1581360 1185665 ) ( 1620720 1185665 )
NEW met2 ( 1620720 1185665 ) ( 1620720 1232470 )
NEW met2 ( 1620720 1232470 ) ( 1621920 1232470 0 )
NEW met2 ( 1284240 1167350 0 ) ( 1284240 1194175 )
NEW met1 ( 1284240 1194175 ) ( 1581360 1194175 )
NEW met1 ( 1581360 1194175 ) M1M2_PR
NEW met1 ( 1581360 1185665 ) M1M2_PR
NEW met1 ( 1620720 1185665 ) M1M2_PR
NEW met1 ( 1284240 1194175 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[20\] ( soc la_oen[20] ) ( mgmt_buffers la_oen_mprj[20] )
+ ROUTED met2 ( 1595280 1167350 0 ) ( 1595280 1193065 )
NEW met2 ( 1662000 1193065 ) ( 1662000 1232470 )
NEW met2 ( 1662000 1232470 ) ( 1663200 1232470 0 )
NEW met1 ( 1595280 1193065 ) ( 1662000 1193065 )
NEW met1 ( 1595280 1193065 ) M1M2_PR
NEW met1 ( 1662000 1193065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[21\] ( soc la_oen[21] ) ( mgmt_buffers la_oen_mprj[21] )
+ ROUTED met2 ( 1370640 1199355 ) ( 1370640 1207125 )
NEW met2 ( 1591440 1195655 ) ( 1591440 1207125 )
NEW met2 ( 1282320 1167350 0 ) ( 1282320 1199355 )
NEW met1 ( 1282320 1199355 ) ( 1370640 1199355 )
NEW met1 ( 1370640 1207125 ) ( 1591440 1207125 )
NEW met2 ( 1663920 1195655 ) ( 1663920 1232470 )
NEW met2 ( 1663920 1232470 ) ( 1665120 1232470 0 )
NEW met1 ( 1591440 1195655 ) ( 1663920 1195655 )
NEW met1 ( 1370640 1199355 ) M1M2_PR
NEW met1 ( 1370640 1207125 ) M1M2_PR
NEW met1 ( 1591440 1207125 ) M1M2_PR
NEW met1 ( 1591440 1195655 ) M1M2_PR
NEW met1 ( 1282320 1199355 ) M1M2_PR
NEW met1 ( 1663920 1195655 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[22\] ( soc la_oen[22] ) ( mgmt_buffers la_oen_mprj[22] )
+ ROUTED met2 ( 1596960 1167350 0 ) ( 1598160 1167350 )
NEW met2 ( 1598160 1167350 ) ( 1598160 1192695 )
NEW met2 ( 1667280 1192695 ) ( 1667280 1232470 0 )
NEW met1 ( 1598160 1192695 ) ( 1667280 1192695 )
NEW met1 ( 1598160 1192695 ) M1M2_PR
NEW met1 ( 1667280 1192695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[23\] ( soc la_oen[23] ) ( mgmt_buffers la_oen_mprj[23] )
+ ROUTED met2 ( 1591440 1185295 ) ( 1591440 1193435 )
NEW met2 ( 1280640 1167350 0 ) ( 1281360 1167350 )
NEW met2 ( 1281360 1167350 ) ( 1281360 1193435 )
NEW met1 ( 1281360 1193435 ) ( 1591440 1193435 )
NEW met2 ( 1643760 1185295 ) ( 1643760 1186405 )
NEW met1 ( 1643760 1186405 ) ( 1653840 1186405 )
NEW met2 ( 1653840 1186405 ) ( 1653840 1204905 )
NEW met1 ( 1653840 1204905 ) ( 1668240 1204905 )
NEW met2 ( 1668240 1204905 ) ( 1668240 1232470 )
NEW met2 ( 1668240 1232470 ) ( 1669680 1232470 0 )
NEW met1 ( 1591440 1185295 ) ( 1643760 1185295 )
NEW met1 ( 1591440 1193435 ) M1M2_PR
NEW met1 ( 1591440 1185295 ) M1M2_PR
NEW met1 ( 1281360 1193435 ) M1M2_PR
NEW met1 ( 1643760 1185295 ) M1M2_PR
NEW met1 ( 1643760 1186405 ) M1M2_PR
NEW met1 ( 1653840 1186405 ) M1M2_PR
NEW met1 ( 1653840 1204905 ) M1M2_PR
NEW met1 ( 1668240 1204905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[24\] ( soc la_oen[24] ) ( mgmt_buffers la_oen_mprj[24] )
+ ROUTED met2 ( 1598880 1167350 0 ) ( 1600080 1167350 )
NEW met2 ( 1600080 1167350 ) ( 1600080 1187515 )
NEW met2 ( 1672080 1187515 ) ( 1672080 1232470 0 )
NEW met1 ( 1600080 1187515 ) ( 1672080 1187515 )
NEW met1 ( 1600080 1187515 ) M1M2_PR
NEW met1 ( 1672080 1187515 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[25\] ( soc la_oen[25] ) ( mgmt_buffers la_oen_mprj[25] )
+ ROUTED met1 ( 1590960 1206385 ) ( 1590960 1206755 )
NEW met2 ( 1278720 1167350 0 ) ( 1279920 1167350 )
NEW met2 ( 1279920 1167350 ) ( 1279920 1206755 )
NEW met1 ( 1279920 1206755 ) ( 1590960 1206755 )
NEW met2 ( 1672560 1206385 ) ( 1672560 1232470 )
NEW met2 ( 1672560 1232470 ) ( 1673760 1232470 0 )
NEW met1 ( 1590960 1206385 ) ( 1672560 1206385 )
NEW met1 ( 1279920 1206755 ) M1M2_PR
NEW met1 ( 1672560 1206385 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[26\] ( soc la_oen[26] ) ( mgmt_buffers la_oen_mprj[26] )
+ ROUTED met2 ( 1600800 1167350 0 ) ( 1602000 1167350 )
NEW met2 ( 1602000 1167350 ) ( 1602000 1182335 )
NEW met2 ( 1674960 1182335 ) ( 1674960 1232470 )
NEW met2 ( 1674960 1232470 ) ( 1676160 1232470 0 )
NEW met1 ( 1602000 1182335 ) ( 1674960 1182335 )
NEW met1 ( 1602000 1182335 ) M1M2_PR
NEW met1 ( 1674960 1182335 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[27\] ( soc la_oen[27] ) ( mgmt_buffers la_oen_mprj[27] )
+ ROUTED met2 ( 1590480 1182705 ) ( 1590480 1192695 )
NEW met2 ( 1276800 1167350 0 ) ( 1278000 1167350 )
NEW met2 ( 1278000 1167350 ) ( 1278000 1192695 )
NEW met1 ( 1278000 1192695 ) ( 1590480 1192695 )
NEW met2 ( 1678320 1182705 ) ( 1678320 1232470 0 )
NEW met1 ( 1590480 1182705 ) ( 1678320 1182705 )
NEW met1 ( 1590480 1192695 ) M1M2_PR
NEW met1 ( 1590480 1182705 ) M1M2_PR
NEW met1 ( 1278000 1192695 ) M1M2_PR
NEW met1 ( 1678320 1182705 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[28\] ( soc la_oen[28] ) ( mgmt_buffers la_oen_mprj[28] )
+ ROUTED met2 ( 1602480 1167350 0 ) ( 1602480 1207125 )
NEW met1 ( 1602480 1207125 ) ( 1635120 1207125 )
NEW met2 ( 1635120 1207125 ) ( 1635120 1207310 )
NEW met2 ( 1635120 1207310 ) ( 1636080 1207310 )
NEW met2 ( 1636080 1207310 ) ( 1636080 1207495 )
NEW met2 ( 1680720 1207495 ) ( 1680720 1232470 0 )
NEW met1 ( 1636080 1207495 ) ( 1680720 1207495 )
NEW met1 ( 1602480 1207125 ) M1M2_PR
NEW met1 ( 1635120 1207125 ) M1M2_PR
NEW met1 ( 1636080 1207495 ) M1M2_PR
NEW met1 ( 1680720 1207495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[29\] ( soc la_oen[29] ) ( mgmt_buffers la_oen_mprj[29] )
+ ROUTED met1 ( 1587120 1207495 ) ( 1587120 1208235 )
NEW met2 ( 1275120 1167350 0 ) ( 1275120 1207495 )
NEW met1 ( 1275120 1207495 ) ( 1587120 1207495 )
NEW met2 ( 1682640 1208235 ) ( 1682640 1232470 0 )
NEW met1 ( 1587120 1208235 ) ( 1682640 1208235 )
NEW met1 ( 1275120 1207495 ) M1M2_PR
NEW met1 ( 1682640 1208235 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[2\] ( soc la_oen[2] ) ( mgmt_buffers la_oen_mprj[2] )
+ ROUTED met2 ( 1604400 1167350 0 ) ( 1604400 1198245 )
NEW met1 ( 1604400 1198245 ) ( 1623600 1198245 )
NEW met2 ( 1623600 1198245 ) ( 1623600 1232470 0 )
NEW met1 ( 1604400 1198245 ) M1M2_PR
NEW met1 ( 1623600 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[30\] ( soc la_oen[30] ) ( mgmt_buffers la_oen_mprj[30] )
+ ROUTED met2 ( 1273200 1167350 0 ) ( 1273200 1191955 )
NEW met2 ( 1685040 1191955 ) ( 1685040 1232470 )
NEW met2 ( 1684800 1232470 0 ) ( 1685040 1232470 )
NEW met1 ( 1273200 1191955 ) ( 1685040 1191955 )
NEW met1 ( 1273200 1191955 ) M1M2_PR
NEW met1 ( 1685040 1191955 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[31\] ( soc la_oen[31] ) ( mgmt_buffers la_oen_mprj[31] )
+ ROUTED met2 ( 1606320 1167350 0 ) ( 1606320 1196025 )
NEW met2 ( 1686000 1196025 ) ( 1686000 1232470 )
NEW met2 ( 1686000 1232470 ) ( 1687200 1232470 0 )
NEW met1 ( 1606320 1196025 ) ( 1686000 1196025 )
NEW met1 ( 1606320 1196025 ) M1M2_PR
NEW met1 ( 1686000 1196025 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[32\] ( soc la_oen[32] ) ( mgmt_buffers la_oen_mprj[32] )
+ ROUTED met2 ( 1271280 1167350 0 ) ( 1271280 1205645 )
NEW met2 ( 1689360 1205645 ) ( 1689360 1232470 0 )
NEW met1 ( 1271280 1205645 ) ( 1689360 1205645 )
NEW met1 ( 1271280 1205645 ) M1M2_PR
NEW met1 ( 1689360 1205645 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[33\] ( soc la_oen[33] ) ( mgmt_buffers la_oen_mprj[33] )
+ ROUTED met2 ( 1608000 1167350 0 ) ( 1609200 1167350 )
NEW met2 ( 1609200 1167350 ) ( 1609200 1186405 )
NEW met2 ( 1642800 1186405 ) ( 1642800 1189735 )
NEW met1 ( 1642800 1189735 ) ( 1691280 1189735 )
NEW met2 ( 1691280 1189735 ) ( 1691280 1232470 0 )
NEW met1 ( 1609200 1186405 ) ( 1642800 1186405 )
NEW met1 ( 1609200 1186405 ) M1M2_PR
NEW met1 ( 1642800 1186405 ) M1M2_PR
NEW met1 ( 1642800 1189735 ) M1M2_PR
NEW met1 ( 1691280 1189735 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[34\] ( soc la_oen[34] ) ( mgmt_buffers la_oen_mprj[34] )
+ ROUTED met2 ( 1269600 1167350 0 ) ( 1270800 1167350 )
NEW met2 ( 1270800 1167350 ) ( 1270800 1192325 )
NEW met2 ( 1654800 1191215 ) ( 1654800 1192325 )
NEW met1 ( 1654800 1191215 ) ( 1693680 1191215 )
NEW met2 ( 1693680 1191215 ) ( 1693680 1232470 0 )
NEW met1 ( 1270800 1192325 ) ( 1654800 1192325 )
NEW met1 ( 1270800 1192325 ) M1M2_PR
NEW met1 ( 1654800 1192325 ) M1M2_PR
NEW met1 ( 1654800 1191215 ) M1M2_PR
NEW met1 ( 1693680 1191215 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[35\] ( soc la_oen[35] ) ( mgmt_buffers la_oen_mprj[35] )
+ ROUTED met2 ( 1609920 1167350 0 ) ( 1611120 1167350 )
NEW met2 ( 1611120 1167350 ) ( 1611120 1196765 )
NEW met2 ( 1694640 1196765 ) ( 1694640 1232470 )
NEW met2 ( 1694640 1232470 ) ( 1695840 1232470 0 )
NEW met1 ( 1611120 1196765 ) ( 1694640 1196765 )
NEW met1 ( 1611120 1196765 ) M1M2_PR
NEW met1 ( 1694640 1196765 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[36\] ( soc la_oen[36] ) ( mgmt_buffers la_oen_mprj[36] )
+ ROUTED met2 ( 1267680 1167350 0 ) ( 1268880 1167350 )
NEW met2 ( 1268880 1167350 ) ( 1268880 1206015 )
NEW met2 ( 1697040 1206015 ) ( 1697040 1232470 )
NEW met2 ( 1697040 1232470 ) ( 1698240 1232470 0 )
NEW met1 ( 1268880 1206015 ) ( 1697040 1206015 )
NEW met1 ( 1268880 1206015 ) M1M2_PR
NEW met1 ( 1697040 1206015 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[37\] ( soc la_oen[37] ) ( mgmt_buffers la_oen_mprj[37] )
+ ROUTED met2 ( 1611840 1167350 0 ) ( 1612560 1167350 )
NEW met2 ( 1612560 1167350 ) ( 1612560 1181965 )
NEW met1 ( 1612560 1181965 ) ( 1699440 1181965 )
NEW met1 ( 1699440 1213785 ) ( 1701360 1213785 )
NEW met2 ( 1701360 1213785 ) ( 1701360 1232470 )
NEW met2 ( 1700160 1232470 0 ) ( 1701360 1232470 )
NEW met2 ( 1699440 1181965 ) ( 1699440 1213785 )
NEW met1 ( 1612560 1181965 ) M1M2_PR
NEW met1 ( 1699440 1181965 ) M1M2_PR
NEW met1 ( 1699440 1213785 ) M1M2_PR
NEW met1 ( 1701360 1213785 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[38\] ( soc la_oen[38] ) ( mgmt_buffers la_oen_mprj[38] )
+ ROUTED met2 ( 1265760 1167350 0 ) ( 1266960 1167350 )
NEW met2 ( 1266960 1167350 ) ( 1266960 1191215 )
NEW met1 ( 1654320 1190845 ) ( 1654320 1191215 )
NEW met1 ( 1654320 1190845 ) ( 1702320 1190845 )
NEW met2 ( 1702320 1190845 ) ( 1702320 1232470 0 )
NEW met1 ( 1266960 1191215 ) ( 1654320 1191215 )
NEW met1 ( 1266960 1191215 ) M1M2_PR
NEW met1 ( 1702320 1190845 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[39\] ( soc la_oen[39] ) ( mgmt_buffers la_oen_mprj[39] )
+ ROUTED met2 ( 1613520 1167350 0 ) ( 1613520 1198615 )
NEW met1 ( 1613520 1198615 ) ( 1624080 1198615 )
NEW met1 ( 1624080 1198245 ) ( 1624080 1198615 )
NEW met2 ( 1704720 1198245 ) ( 1704720 1232470 0 )
NEW met1 ( 1624080 1198245 ) ( 1704720 1198245 )
NEW met1 ( 1613520 1198615 ) M1M2_PR
NEW met1 ( 1704720 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[3\] ( soc la_oen[3] ) ( mgmt_buffers la_oen_mprj[3] )
+ ROUTED met2 ( 1558800 1208235 ) ( 1558800 1209345 )
NEW met1 ( 1558800 1209345 ) ( 1626000 1209345 )
NEW met2 ( 1626000 1209345 ) ( 1626000 1232470 0 )
NEW met2 ( 1264080 1167350 0 ) ( 1264080 1208235 )
NEW met1 ( 1264080 1208235 ) ( 1558800 1208235 )
NEW met1 ( 1558800 1208235 ) M1M2_PR
NEW met1 ( 1558800 1209345 ) M1M2_PR
NEW met1 ( 1626000 1209345 ) M1M2_PR
NEW met1 ( 1264080 1208235 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[40\] ( soc la_oen[40] ) ( mgmt_buffers la_oen_mprj[40] )
+ ROUTED met2 ( 1615440 1167350 0 ) ( 1615440 1197875 )
NEW met2 ( 1706640 1197875 ) ( 1706640 1232470 0 )
NEW met1 ( 1615440 1197875 ) ( 1706640 1197875 )
NEW met1 ( 1615440 1197875 ) M1M2_PR
NEW met1 ( 1706640 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[41\] ( soc la_oen[41] ) ( mgmt_buffers la_oen_mprj[41] )
+ ROUTED met2 ( 1262160 1167350 0 ) ( 1262160 1190845 )
NEW met1 ( 1653840 1190475 ) ( 1653840 1190845 )
NEW met1 ( 1653840 1190475 ) ( 1707600 1190475 )
NEW met2 ( 1707600 1190475 ) ( 1707600 1232470 )
NEW met2 ( 1707600 1232470 ) ( 1708800 1232470 0 )
NEW met1 ( 1262160 1190845 ) ( 1653840 1190845 )
NEW met1 ( 1262160 1190845 ) M1M2_PR
NEW met1 ( 1707600 1190475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[42\] ( soc la_oen[42] ) ( mgmt_buffers la_oen_mprj[42] )
+ ROUTED met2 ( 1617360 1167350 0 ) ( 1617360 1197135 )
NEW met2 ( 1710000 1197135 ) ( 1710000 1232470 )
NEW met2 ( 1710000 1232470 ) ( 1711200 1232470 0 )
NEW met1 ( 1617360 1197135 ) ( 1710000 1197135 )
NEW met1 ( 1617360 1197135 ) M1M2_PR
NEW met1 ( 1710000 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[43\] ( soc la_oen[43] ) ( mgmt_buffers la_oen_mprj[43] )
+ ROUTED met2 ( 1260240 1167350 0 ) ( 1261680 1167350 )
NEW met2 ( 1261680 1167350 ) ( 1261680 1204535 )
NEW met2 ( 1713360 1204535 ) ( 1713360 1232470 0 )
NEW met1 ( 1261680 1204535 ) ( 1713360 1204535 )
NEW met1 ( 1261680 1204535 ) M1M2_PR
NEW met1 ( 1713360 1204535 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[44\] ( soc la_oen[44] ) ( mgmt_buffers la_oen_mprj[44] )
+ ROUTED met2 ( 1619040 1167350 0 ) ( 1620240 1167350 )
NEW met2 ( 1620240 1167350 ) ( 1620240 1183445 )
NEW met1 ( 1642320 1183075 ) ( 1642320 1183445 )
NEW met1 ( 1642320 1183075 ) ( 1715280 1183075 )
NEW met2 ( 1715280 1183075 ) ( 1715280 1232470 0 )
NEW met1 ( 1620240 1183445 ) ( 1642320 1183445 )
NEW met1 ( 1620240 1183445 ) M1M2_PR
NEW met1 ( 1715280 1183075 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[45\] ( soc la_oen[45] ) ( mgmt_buffers la_oen_mprj[45] )
+ ROUTED met2 ( 1258560 1167350 0 ) ( 1259760 1167350 )
NEW met2 ( 1259760 1167350 ) ( 1259760 1190475 )
NEW met1 ( 1653360 1190105 ) ( 1653360 1190475 )
NEW met1 ( 1653360 1190105 ) ( 1717680 1190105 )
NEW met2 ( 1717680 1190105 ) ( 1717680 1232470 0 )
NEW met1 ( 1259760 1190475 ) ( 1653360 1190475 )
NEW met1 ( 1259760 1190475 ) M1M2_PR
NEW met1 ( 1717680 1190105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[46\] ( soc la_oen[46] ) ( mgmt_buffers la_oen_mprj[46] )
+ ROUTED met2 ( 1620960 1167350 0 ) ( 1622160 1167350 )
NEW met2 ( 1622160 1167350 ) ( 1622160 1195285 )
NEW met2 ( 1718640 1195285 ) ( 1718640 1232470 )
NEW met2 ( 1718640 1232470 ) ( 1719840 1232470 0 )
NEW met1 ( 1622160 1195285 ) ( 1718640 1195285 )
NEW met1 ( 1622160 1195285 ) M1M2_PR
NEW met1 ( 1718640 1195285 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[47\] ( soc la_oen[47] ) ( mgmt_buffers la_oen_mprj[47] )
+ ROUTED met2 ( 1256640 1167350 0 ) ( 1257840 1167350 )
NEW met2 ( 1257840 1167350 ) ( 1257840 1203425 )
NEW met1 ( 1257840 1203425 ) ( 1265040 1203425 )
NEW met1 ( 1265040 1203425 ) ( 1265040 1204165 )
NEW met2 ( 1721040 1204165 ) ( 1721040 1232470 )
NEW met2 ( 1721040 1232470 ) ( 1722240 1232470 0 )
NEW met1 ( 1265040 1204165 ) ( 1721040 1204165 )
NEW met1 ( 1257840 1203425 ) M1M2_PR
NEW met1 ( 1721040 1204165 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[48\] ( soc la_oen[48] ) ( mgmt_buffers la_oen_mprj[48] )
+ ROUTED met2 ( 1622880 1167350 0 ) ( 1624080 1167350 )
NEW met2 ( 1624080 1167350 ) ( 1624080 1184185 )
NEW met2 ( 1659120 1184185 ) ( 1659120 1186405 )
NEW met1 ( 1659120 1186405 ) ( 1723920 1186405 )
NEW met2 ( 1723920 1186405 ) ( 1723920 1232470 0 )
NEW met1 ( 1624080 1184185 ) ( 1659120 1184185 )
NEW met1 ( 1624080 1184185 ) M1M2_PR
NEW met1 ( 1659120 1184185 ) M1M2_PR
NEW met1 ( 1659120 1186405 ) M1M2_PR
NEW met1 ( 1723920 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[49\] ( soc la_oen[49] ) ( mgmt_buffers la_oen_mprj[49] )
+ ROUTED met2 ( 1254720 1167350 0 ) ( 1255920 1167350 )
NEW met2 ( 1255920 1167350 ) ( 1255920 1190105 )
NEW met2 ( 1652880 1183815 ) ( 1652880 1190105 )
NEW met1 ( 1652880 1183815 ) ( 1726320 1183815 )
NEW met2 ( 1726320 1183815 ) ( 1726320 1232470 0 )
NEW met1 ( 1255920 1190105 ) ( 1652880 1190105 )
NEW met1 ( 1255920 1190105 ) M1M2_PR
NEW met1 ( 1652880 1190105 ) M1M2_PR
NEW met1 ( 1652880 1183815 ) M1M2_PR
NEW met1 ( 1726320 1183815 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[4\] ( soc la_oen[4] ) ( mgmt_buffers la_oen_mprj[4] )
+ ROUTED met2 ( 1624560 1167350 0 ) ( 1626000 1167350 )
NEW met2 ( 1626000 1167350 ) ( 1626000 1195470 )
NEW met2 ( 1626000 1195470 ) ( 1627440 1195470 )
NEW met2 ( 1627440 1195470 ) ( 1627440 1232470 )
NEW met2 ( 1627440 1232470 ) ( 1628400 1232470 0 )
+ USE SIGNAL ;
- la_oen_mprj\[50\] ( soc la_oen[50] ) ( mgmt_buffers la_oen_mprj[50] )
+ ROUTED met2 ( 1253040 1167350 0 ) ( 1253040 1203055 )
NEW met1 ( 1253040 1203055 ) ( 1265520 1203055 )
NEW met1 ( 1265520 1203055 ) ( 1265520 1203425 )
NEW met2 ( 1728720 1203425 ) ( 1728720 1232470 0 )
NEW met1 ( 1265520 1203425 ) ( 1728720 1203425 )
NEW met1 ( 1253040 1203055 ) M1M2_PR
NEW met1 ( 1728720 1203425 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[51\] ( soc la_oen[51] ) ( mgmt_buffers la_oen_mprj[51] )
+ ROUTED met2 ( 1626480 1167350 0 ) ( 1626480 1183075 )
NEW met2 ( 1641840 1181225 ) ( 1641840 1183075 )
NEW met1 ( 1641840 1181225 ) ( 1729680 1181225 )
NEW met2 ( 1729680 1181225 ) ( 1729680 1232470 )
NEW met2 ( 1729680 1232470 ) ( 1730880 1232470 0 )
NEW met1 ( 1626480 1183075 ) ( 1641840 1183075 )
NEW met1 ( 1626480 1183075 ) M1M2_PR
NEW met1 ( 1641840 1183075 ) M1M2_PR
NEW met1 ( 1641840 1181225 ) M1M2_PR
NEW met1 ( 1729680 1181225 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[52\] ( soc la_oen[52] ) ( mgmt_buffers la_oen_mprj[52] )
+ ROUTED met2 ( 1251120 1167350 0 ) ( 1251120 1202685 )
NEW met2 ( 1731600 1202685 ) ( 1731600 1232470 )
NEW met2 ( 1731600 1232470 ) ( 1732800 1232470 0 )
NEW met1 ( 1251120 1202685 ) ( 1731600 1202685 )
NEW met1 ( 1251120 1202685 ) M1M2_PR
NEW met1 ( 1731600 1202685 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[53\] ( soc la_oen[53] ) ( mgmt_buffers la_oen_mprj[53] )
+ ROUTED met2 ( 1628400 1167350 0 ) ( 1628400 1183815 )
NEW met2 ( 1652400 1181595 ) ( 1652400 1183815 )
NEW met1 ( 1652400 1181595 ) ( 1734960 1181595 )
NEW met2 ( 1734960 1181595 ) ( 1734960 1232470 0 )
NEW met1 ( 1628400 1183815 ) ( 1652400 1183815 )
NEW met1 ( 1628400 1183815 ) M1M2_PR
NEW met1 ( 1652400 1183815 ) M1M2_PR
NEW met1 ( 1652400 1181595 ) M1M2_PR
NEW met1 ( 1734960 1181595 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[54\] ( soc la_oen[54] ) ( mgmt_buffers la_oen_mprj[54] )
+ ROUTED met2 ( 1249200 1167350 0 ) ( 1249200 1189735 )
NEW met2 ( 1642320 1185850 ) ( 1642320 1189735 )
NEW met2 ( 1642320 1185850 ) ( 1642800 1185850 )
NEW met2 ( 1642800 1183445 ) ( 1642800 1185850 )
NEW met1 ( 1642800 1183445 ) ( 1737360 1183445 )
NEW met2 ( 1737360 1183445 ) ( 1737360 1232470 0 )
NEW met1 ( 1249200 1189735 ) ( 1642320 1189735 )
NEW met1 ( 1249200 1189735 ) M1M2_PR
NEW met1 ( 1642320 1189735 ) M1M2_PR
NEW met1 ( 1642800 1183445 ) M1M2_PR
NEW met1 ( 1737360 1183445 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[55\] ( soc la_oen[55] ) ( mgmt_buffers la_oen_mprj[55] )
+ ROUTED met2 ( 1630080 1167350 0 ) ( 1631280 1167350 )
NEW met2 ( 1631280 1167350 ) ( 1631280 1198985 )
NEW met2 ( 1739760 1198985 ) ( 1739760 1232470 0 )
NEW met1 ( 1631280 1198985 ) ( 1739760 1198985 )
NEW met1 ( 1631280 1198985 ) M1M2_PR
NEW met1 ( 1739760 1198985 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[56\] ( soc la_oen[56] ) ( mgmt_buffers la_oen_mprj[56] )
+ ROUTED met2 ( 1247520 1167350 0 ) ( 1248720 1167350 )
NEW met2 ( 1248720 1167350 ) ( 1248720 1199725 )
NEW met1 ( 1248720 1199725 ) ( 1289520 1199725 )
NEW met2 ( 1289520 1199725 ) ( 1289520 1203055 )
NEW met2 ( 1741680 1203055 ) ( 1741680 1232470 )
NEW met2 ( 1741440 1232470 0 ) ( 1741680 1232470 )
NEW met1 ( 1289520 1203055 ) ( 1741680 1203055 )
NEW met1 ( 1248720 1199725 ) M1M2_PR
NEW met1 ( 1289520 1199725 ) M1M2_PR
NEW met1 ( 1289520 1203055 ) M1M2_PR
NEW met1 ( 1741680 1203055 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[57\] ( soc la_oen[57] ) ( mgmt_buffers la_oen_mprj[57] )
+ ROUTED met2 ( 1632000 1167350 0 ) ( 1633200 1167350 )
NEW met2 ( 1633200 1167350 ) ( 1633200 1196395 )
NEW met2 ( 1745040 1196395 ) ( 1745040 1232470 )
NEW met2 ( 1743840 1232470 0 ) ( 1745040 1232470 )
NEW met1 ( 1633200 1196395 ) ( 1745040 1196395 )
NEW met1 ( 1633200 1196395 ) M1M2_PR
NEW met1 ( 1745040 1196395 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[58\] ( soc la_oen[58] ) ( mgmt_buffers la_oen_mprj[58] )
+ ROUTED met2 ( 1746000 1189365 ) ( 1746000 1232470 0 )
NEW met2 ( 1245600 1167350 0 ) ( 1246800 1167350 )
NEW met2 ( 1246800 1167350 ) ( 1246800 1189365 )
NEW met1 ( 1246800 1189365 ) ( 1746000 1189365 )
NEW met1 ( 1746000 1189365 ) M1M2_PR
NEW met1 ( 1246800 1189365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[59\] ( soc la_oen[59] ) ( mgmt_buffers la_oen_mprj[59] )
+ ROUTED met2 ( 1633920 1167350 0 ) ( 1635120 1167350 )
NEW met2 ( 1635120 1167350 ) ( 1635120 1198615 )
NEW met2 ( 1747920 1198615 ) ( 1747920 1232470 0 )
NEW met1 ( 1635120 1198615 ) ( 1747920 1198615 )
NEW met1 ( 1635120 1198615 ) M1M2_PR
NEW met1 ( 1747920 1198615 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[5\] ( soc la_oen[5] ) ( mgmt_buffers la_oen_mprj[5] )
+ ROUTED met2 ( 1581840 1184925 ) ( 1581840 1193065 )
NEW met1 ( 1581840 1184925 ) ( 1629360 1184925 )
NEW met2 ( 1629360 1184925 ) ( 1629360 1232470 )
NEW met2 ( 1629360 1232470 ) ( 1630560 1232470 0 )
NEW met2 ( 1243680 1167350 0 ) ( 1244880 1167350 )
NEW met2 ( 1244880 1167350 ) ( 1244880 1193065 )
NEW met1 ( 1244880 1193065 ) ( 1581840 1193065 )
NEW met1 ( 1581840 1193065 ) M1M2_PR
NEW met1 ( 1581840 1184925 ) M1M2_PR
NEW met1 ( 1629360 1184925 ) M1M2_PR
NEW met1 ( 1244880 1193065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[60\] ( soc la_oen[60] ) ( mgmt_buffers la_oen_mprj[60] )
+ ROUTED met2 ( 1635600 1167350 0 ) ( 1635600 1184555 )
NEW met2 ( 1748880 1184555 ) ( 1748880 1232470 )
NEW met2 ( 1748880 1232470 ) ( 1750320 1232470 0 )
NEW met1 ( 1635600 1184555 ) ( 1748880 1184555 )
NEW met1 ( 1635600 1184555 ) M1M2_PR
NEW met1 ( 1748880 1184555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[61\] ( soc la_oen[61] ) ( mgmt_buffers la_oen_mprj[61] )
+ ROUTED met2 ( 1751280 1202315 ) ( 1751280 1232470 )
NEW met2 ( 1751280 1232470 ) ( 1752480 1232470 0 )
NEW met2 ( 1242000 1167350 0 ) ( 1242000 1202315 )
NEW met1 ( 1242000 1202315 ) ( 1751280 1202315 )
NEW met1 ( 1751280 1202315 ) M1M2_PR
NEW met1 ( 1242000 1202315 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[62\] ( soc la_oen[62] ) ( mgmt_buffers la_oen_mprj[62] )
+ ROUTED met2 ( 1637520 1167350 0 ) ( 1637520 1184925 )
NEW met2 ( 1753680 1184925 ) ( 1753680 1232470 )
NEW met2 ( 1753680 1232470 ) ( 1754880 1232470 0 )
NEW met1 ( 1637520 1184925 ) ( 1753680 1184925 )
NEW met1 ( 1637520 1184925 ) M1M2_PR
NEW met1 ( 1753680 1184925 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[63\] ( soc la_oen[63] ) ( mgmt_buffers la_oen_mprj[63] )
+ ROUTED met2 ( 1756560 1199910 ) ( 1756560 1232470 0 )
NEW met2 ( 1240080 1167350 0 ) ( 1240080 1199910 )
NEW met3 ( 1240080 1199910 ) ( 1756560 1199910 )
NEW met2 ( 1756560 1199910 ) via2_FR
NEW met2 ( 1240080 1199910 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[64\] ( soc la_oen[64] ) ( mgmt_buffers la_oen_mprj[64] )
+ ROUTED met2 ( 1639440 1167350 0 ) ( 1639440 1185665 )
NEW met2 ( 1757520 1185665 ) ( 1757520 1232470 )
NEW met2 ( 1757520 1232470 ) ( 1758960 1232470 0 )
NEW met1 ( 1639440 1185665 ) ( 1757520 1185665 )
NEW met1 ( 1639440 1185665 ) M1M2_PR
NEW met1 ( 1757520 1185665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[65\] ( soc la_oen[65] ) ( mgmt_buffers la_oen_mprj[65] )
+ ROUTED met2 ( 1238160 1167350 0 ) ( 1238160 1188625 )
NEW met2 ( 1759920 1188625 ) ( 1759920 1232470 )
NEW met2 ( 1759920 1232470 ) ( 1761360 1232470 0 )
NEW met1 ( 1238160 1188625 ) ( 1759920 1188625 )
NEW met1 ( 1238160 1188625 ) M1M2_PR
NEW met1 ( 1759920 1188625 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[66\] ( soc la_oen[66] ) ( mgmt_buffers la_oen_mprj[66] )
+ ROUTED met2 ( 1641120 1167350 0 ) ( 1641360 1167350 )
NEW met2 ( 1641360 1167350 ) ( 1641360 1199355 )
NEW met2 ( 1762320 1199355 ) ( 1762320 1232470 )
NEW met2 ( 1762320 1232470 ) ( 1763520 1232470 0 )
NEW met1 ( 1641360 1199355 ) ( 1762320 1199355 )
NEW met1 ( 1641360 1199355 ) M1M2_PR
NEW met1 ( 1762320 1199355 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[67\] ( soc la_oen[67] ) ( mgmt_buffers la_oen_mprj[67] )
+ ROUTED met2 ( 1236480 1167350 0 ) ( 1237680 1167350 )
NEW met2 ( 1237680 1167350 ) ( 1237680 1191030 )
NEW met2 ( 1764240 1200650 ) ( 1764240 1232470 )
NEW met2 ( 1764240 1232470 ) ( 1765440 1232470 0 )
NEW met2 ( 1238640 1191030 ) ( 1238640 1200650 )
NEW met2 ( 1237680 1191030 ) ( 1238640 1191030 )
NEW met3 ( 1238640 1200650 ) ( 1764240 1200650 )
NEW met2 ( 1764240 1200650 ) via2_FR
NEW met2 ( 1238640 1200650 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[68\] ( soc la_oen[68] ) ( mgmt_buffers la_oen_mprj[68] )
+ ROUTED met2 ( 1767600 1185295 ) ( 1767600 1232470 0 )
NEW met2 ( 1643040 1167350 0 ) ( 1644240 1167350 )
NEW met2 ( 1644240 1167350 ) ( 1644240 1185295 )
NEW met1 ( 1644240 1185295 ) ( 1767600 1185295 )
NEW met1 ( 1767600 1185295 ) M1M2_PR
NEW met1 ( 1644240 1185295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[69\] ( soc la_oen[69] ) ( mgmt_buffers la_oen_mprj[69] )
+ ROUTED met2 ( 1234560 1167350 0 ) ( 1235760 1167350 )
NEW met2 ( 1235760 1167350 ) ( 1235760 1188995 )
NEW met2 ( 1770000 1188995 ) ( 1770000 1232470 0 )
NEW met1 ( 1235760 1188995 ) ( 1770000 1188995 )
NEW met1 ( 1235760 1188995 ) M1M2_PR
NEW met1 ( 1770000 1188995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[6\] ( soc la_oen[6] ) ( mgmt_buffers la_oen_mprj[6] )
+ ROUTED met2 ( 1633680 1206755 ) ( 1633680 1232470 )
NEW met2 ( 1632480 1232470 0 ) ( 1633680 1232470 )
NEW met2 ( 1644720 1167350 ) ( 1644960 1167350 0 )
NEW met2 ( 1644720 1167350 ) ( 1644720 1206755 )
NEW met1 ( 1633680 1206755 ) ( 1644720 1206755 )
NEW met1 ( 1633680 1206755 ) M1M2_PR
NEW met1 ( 1644720 1206755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[70\] ( soc la_oen[70] ) ( mgmt_buffers la_oen_mprj[70] )
+ ROUTED met2 ( 1232640 1167350 0 ) ( 1233840 1167350 )
NEW met2 ( 1233840 1167350 ) ( 1233840 1208050 )
NEW met2 ( 1772400 1208050 ) ( 1772400 1232470 0 )
NEW met3 ( 1233840 1208050 ) ( 1772400 1208050 )
NEW met2 ( 1233840 1208050 ) via2_FR
NEW met2 ( 1772400 1208050 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[71\] ( soc la_oen[71] ) ( mgmt_buffers la_oen_mprj[71] )
+ ROUTED met2 ( 1772880 1208975 ) ( 1772880 1232470 )
NEW met2 ( 1772880 1232470 ) ( 1774080 1232470 0 )
NEW met2 ( 1646640 1167350 0 ) ( 1646640 1208975 )
NEW met1 ( 1646640 1208975 ) ( 1772880 1208975 )
NEW met1 ( 1772880 1208975 ) M1M2_PR
NEW met1 ( 1646640 1208975 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[72\] ( soc la_oen[72] ) ( mgmt_buffers la_oen_mprj[72] )
+ ROUTED met2 ( 1230960 1167350 0 ) ( 1230960 1188255 )
NEW met2 ( 1775280 1188255 ) ( 1775280 1232470 )
NEW met2 ( 1775280 1232470 ) ( 1776480 1232470 0 )
NEW met1 ( 1230960 1188255 ) ( 1775280 1188255 )
NEW met1 ( 1230960 1188255 ) M1M2_PR
NEW met1 ( 1775280 1188255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[73\] ( soc la_oen[73] ) ( mgmt_buffers la_oen_mprj[73] )
+ ROUTED met2 ( 1778640 1207865 ) ( 1778640 1232470 0 )
NEW met2 ( 1648560 1167350 0 ) ( 1650000 1167350 )
NEW met2 ( 1650000 1167350 ) ( 1650000 1200650 )
NEW met2 ( 1650000 1200650 ) ( 1651440 1200650 )
NEW met2 ( 1651440 1200650 ) ( 1651440 1207865 )
NEW met1 ( 1651440 1207865 ) ( 1778640 1207865 )
NEW met1 ( 1778640 1207865 ) M1M2_PR
NEW met1 ( 1651440 1207865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[74\] ( soc la_oen[74] ) ( mgmt_buffers la_oen_mprj[74] )
+ ROUTED met2 ( 1229040 1167350 0 ) ( 1229040 1187885 )
NEW met2 ( 1781040 1187885 ) ( 1781040 1232470 0 )
NEW met1 ( 1229040 1187885 ) ( 1781040 1187885 )
NEW met1 ( 1229040 1187885 ) M1M2_PR
NEW met1 ( 1781040 1187885 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[75\] ( soc la_oen[75] ) ( mgmt_buffers la_oen_mprj[75] )
+ ROUTED met2 ( 1782960 1200095 ) ( 1782960 1232470 0 )
NEW met2 ( 1650480 1167350 0 ) ( 1650480 1200095 )
NEW met1 ( 1650480 1200095 ) ( 1782960 1200095 )
NEW met1 ( 1782960 1200095 ) M1M2_PR
NEW met1 ( 1650480 1200095 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[76\] ( soc la_oen[76] ) ( mgmt_buffers la_oen_mprj[76] )
+ ROUTED met2 ( 1227120 1167350 0 ) ( 1227120 1208790 )
NEW met2 ( 1339920 1198430 ) ( 1339920 1208790 )
NEW met3 ( 1339920 1198430 ) ( 1390800 1198430 )
NEW met2 ( 1390800 1198430 ) ( 1390800 1205830 )
NEW met2 ( 1783920 1208790 ) ( 1783920 1232470 )
NEW met2 ( 1783920 1232470 ) ( 1785120 1232470 0 )
NEW met3 ( 1227120 1208790 ) ( 1339920 1208790 )
NEW met2 ( 1448400 1205830 ) ( 1448400 1208790 )
NEW met3 ( 1390800 1205830 ) ( 1448400 1205830 )
NEW met3 ( 1448400 1208790 ) ( 1783920 1208790 )
NEW met2 ( 1227120 1208790 ) via2_FR
NEW met2 ( 1339920 1208790 ) via2_FR
NEW met2 ( 1339920 1198430 ) via2_FR
NEW met2 ( 1390800 1198430 ) via2_FR
NEW met2 ( 1390800 1205830 ) via2_FR
NEW met2 ( 1783920 1208790 ) via2_FR
NEW met2 ( 1448400 1205830 ) via2_FR
NEW met2 ( 1448400 1208790 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[77\] ( soc la_oen[77] ) ( mgmt_buffers la_oen_mprj[77] )
+ ROUTED met2 ( 1786320 1193805 ) ( 1786320 1232470 )
NEW met2 ( 1786320 1232470 ) ( 1787520 1232470 0 )
NEW met2 ( 1652160 1167350 0 ) ( 1653360 1167350 )
NEW met2 ( 1653360 1167350 ) ( 1653360 1193805 )
NEW met1 ( 1653360 1193805 ) ( 1786320 1193805 )
NEW met1 ( 1786320 1193805 ) M1M2_PR
NEW met1 ( 1653360 1193805 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[78\] ( soc la_oen[78] ) ( mgmt_buffers la_oen_mprj[78] )
+ ROUTED met2 ( 1225440 1167350 0 ) ( 1226640 1167350 )
NEW met2 ( 1226640 1167350 ) ( 1226640 1185850 )
NEW met2 ( 1789200 1185850 ) ( 1789200 1232470 0 )
NEW met3 ( 1226640 1185850 ) ( 1789200 1185850 )
NEW met2 ( 1226640 1185850 ) via2_FR
NEW met2 ( 1789200 1185850 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[79\] ( soc la_oen[79] ) ( mgmt_buffers la_oen_mprj[79] )
+ ROUTED met2 ( 1791600 1208605 ) ( 1791600 1232470 0 )
NEW met2 ( 1654080 1167350 0 ) ( 1655280 1167350 )
NEW met2 ( 1655280 1167350 ) ( 1655280 1208605 )
NEW met1 ( 1655280 1208605 ) ( 1791600 1208605 )
NEW met1 ( 1791600 1208605 ) M1M2_PR
NEW met1 ( 1655280 1208605 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[7\] ( soc la_oen[7] ) ( mgmt_buffers la_oen_mprj[7] )
+ ROUTED met2 ( 1587600 1206385 ) ( 1587600 1207495 )
NEW met1 ( 1587600 1207495 ) ( 1634640 1207495 )
NEW met2 ( 1634640 1207495 ) ( 1634640 1232470 0 )
NEW met1 ( 1223760 1206385 ) ( 1587600 1206385 )
NEW met2 ( 1223520 1167350 0 ) ( 1223520 1168830 )
NEW met2 ( 1223520 1168830 ) ( 1223760 1168830 )
NEW met2 ( 1223760 1168830 ) ( 1223760 1206385 )
NEW met1 ( 1223760 1206385 ) M1M2_PR
NEW met1 ( 1587600 1206385 ) M1M2_PR
NEW met1 ( 1587600 1207495 ) M1M2_PR
NEW met1 ( 1634640 1207495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[80\] ( soc la_oen[80] ) ( mgmt_buffers la_oen_mprj[80] )
+ ROUTED met2 ( 1756080 1192325 ) ( 1756080 1194175 )
NEW met1 ( 1756080 1194175 ) ( 1794000 1194175 )
NEW met2 ( 1794000 1194175 ) ( 1794000 1232470 0 )
NEW met2 ( 1655760 1167350 ) ( 1656000 1167350 0 )
NEW met2 ( 1655760 1167350 ) ( 1655760 1192325 )
NEW met1 ( 1655760 1192325 ) ( 1756080 1192325 )
NEW met1 ( 1756080 1192325 ) M1M2_PR
NEW met1 ( 1756080 1194175 ) M1M2_PR
NEW met1 ( 1794000 1194175 ) M1M2_PR
NEW met1 ( 1655760 1192325 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[81\] ( soc la_oen[81] ) ( mgmt_buffers la_oen_mprj[81] )
+ ROUTED met2 ( 1221600 1167350 0 ) ( 1222800 1167350 )
NEW met2 ( 1222800 1167350 ) ( 1222800 1205830 )
NEW met3 ( 1389600 1205090 ) ( 1389600 1205830 )
NEW met2 ( 1794960 1205830 ) ( 1794960 1232470 )
NEW met2 ( 1794960 1232470 ) ( 1796160 1232470 0 )
NEW met3 ( 1222800 1205830 ) ( 1389600 1205830 )
NEW met3 ( 1478880 1205090 ) ( 1478880 1205830 )
NEW met3 ( 1389600 1205090 ) ( 1478880 1205090 )
NEW met3 ( 1478880 1205830 ) ( 1794960 1205830 )
NEW met2 ( 1222800 1205830 ) via2_FR
NEW met2 ( 1794960 1205830 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[82\] ( soc la_oen[82] ) ( mgmt_buffers la_oen_mprj[82] )
+ ROUTED met2 ( 1796880 1207125 ) ( 1796880 1232470 )
NEW met2 ( 1796880 1232470 ) ( 1798080 1232470 0 )
NEW met2 ( 1657680 1167350 0 ) ( 1657680 1207125 )
NEW met1 ( 1657680 1207125 ) ( 1796880 1207125 )
NEW met1 ( 1796880 1207125 ) M1M2_PR
NEW met1 ( 1657680 1207125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[83\] ( soc la_oen[83] ) ( mgmt_buffers la_oen_mprj[83] )
+ ROUTED met2 ( 1219920 1167350 0 ) ( 1219920 1187330 )
NEW met2 ( 1800240 1187330 ) ( 1800240 1232470 0 )
NEW met3 ( 1219920 1187330 ) ( 1800240 1187330 )
NEW met2 ( 1219920 1187330 ) via2_FR
NEW met2 ( 1800240 1187330 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[84\] ( soc la_oen[84] ) ( mgmt_buffers la_oen_mprj[84] )
+ ROUTED met2 ( 1802640 1205645 ) ( 1802640 1232470 0 )
NEW met2 ( 1659600 1167350 0 ) ( 1659600 1184185 )
NEW met1 ( 1659600 1184185 ) ( 1698480 1184185 )
NEW met2 ( 1698480 1184185 ) ( 1698480 1205645 )
NEW met1 ( 1698480 1205645 ) ( 1802640 1205645 )
NEW met1 ( 1802640 1205645 ) M1M2_PR
NEW met1 ( 1659600 1184185 ) M1M2_PR
NEW met1 ( 1698480 1184185 ) M1M2_PR
NEW met1 ( 1698480 1205645 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[85\] ( soc la_oen[85] ) ( mgmt_buffers la_oen_mprj[85] )
+ ROUTED met2 ( 1218000 1167350 0 ) ( 1218000 1193250 )
NEW met2 ( 1803600 1193250 ) ( 1803600 1232470 )
NEW met2 ( 1803600 1232470 ) ( 1805040 1232470 0 )
NEW met3 ( 1218000 1193250 ) ( 1803600 1193250 )
NEW met2 ( 1218000 1193250 ) via2_FR
NEW met2 ( 1803600 1193250 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[86\] ( soc la_oen[86] ) ( mgmt_buffers la_oen_mprj[86] )
+ ROUTED met2 ( 1805520 1232470 ) ( 1806720 1232470 0 )
NEW met2 ( 1661520 1167350 0 ) ( 1661520 1206755 )
NEW met1 ( 1661520 1206755 ) ( 1805520 1206755 )
NEW met2 ( 1805520 1206755 ) ( 1805520 1232470 )
NEW met1 ( 1661520 1206755 ) M1M2_PR
NEW met1 ( 1805520 1206755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[87\] ( soc la_oen[87] ) ( mgmt_buffers la_oen_mprj[87] )
+ ROUTED met2 ( 1216080 1167350 0 ) ( 1216080 1205090 )
NEW met2 ( 1388880 1203610 ) ( 1388880 1205090 )
NEW met2 ( 1388880 1203610 ) ( 1390320 1203610 )
NEW met2 ( 1808400 1205090 ) ( 1808400 1232470 )
NEW met2 ( 1808400 1232470 ) ( 1809120 1232470 0 )
NEW met3 ( 1216080 1205090 ) ( 1388880 1205090 )
NEW met2 ( 1449360 1203610 ) ( 1449360 1205830 )
NEW met3 ( 1449360 1205830 ) ( 1478160 1205830 )
NEW met2 ( 1478160 1205090 ) ( 1478160 1205830 )
NEW met2 ( 1478160 1205090 ) ( 1479600 1205090 )
NEW met3 ( 1390320 1203610 ) ( 1449360 1203610 )
NEW met3 ( 1479600 1205090 ) ( 1808400 1205090 )
NEW met2 ( 1216080 1205090 ) via2_FR
NEW met2 ( 1388880 1205090 ) via2_FR
NEW met2 ( 1390320 1203610 ) via2_FR
NEW met2 ( 1808400 1205090 ) via2_FR
NEW met2 ( 1449360 1203610 ) via2_FR
NEW met2 ( 1449360 1205830 ) via2_FR
NEW met2 ( 1478160 1205830 ) via2_FR
NEW met2 ( 1479600 1205090 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[88\] ( soc la_oen[88] ) ( mgmt_buffers la_oen_mprj[88] )
+ ROUTED met2 ( 1811280 1186035 ) ( 1811280 1232470 0 )
NEW met2 ( 1663200 1167350 0 ) ( 1664400 1167350 )
NEW met2 ( 1664400 1167350 ) ( 1664400 1186035 )
NEW met1 ( 1664400 1186035 ) ( 1811280 1186035 )
NEW met1 ( 1811280 1186035 ) M1M2_PR
NEW met1 ( 1664400 1186035 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[89\] ( soc la_oen[89] ) ( mgmt_buffers la_oen_mprj[89] )
+ ROUTED met2 ( 1214400 1167350 0 ) ( 1215600 1167350 )
NEW met2 ( 1215600 1167350 ) ( 1215600 1186590 )
NEW met2 ( 1813680 1186590 ) ( 1813680 1232470 0 )
NEW met3 ( 1215600 1186590 ) ( 1813680 1186590 )
NEW met2 ( 1215600 1186590 ) via2_FR
NEW met2 ( 1813680 1186590 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[8\] ( soc la_oen[8] ) ( mgmt_buffers la_oen_mprj[8] )
+ ROUTED met2 ( 1637040 1197505 ) ( 1637040 1232470 0 )
NEW met1 ( 1637040 1197505 ) ( 1664880 1197505 )
NEW met2 ( 1665120 1167350 0 ) ( 1665120 1168830 )
NEW met2 ( 1664880 1168830 ) ( 1665120 1168830 )
NEW met2 ( 1664880 1168830 ) ( 1664880 1197505 )
NEW met1 ( 1637040 1197505 ) M1M2_PR
NEW met1 ( 1664880 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[90\] ( soc la_oen[90] ) ( mgmt_buffers la_oen_mprj[90] )
+ ROUTED met2 ( 1212480 1167350 0 ) ( 1213680 1167350 )
NEW met2 ( 1213680 1167350 ) ( 1213680 1203610 )
NEW met3 ( 1389600 1202870 ) ( 1389600 1203610 )
NEW met2 ( 1815600 1203610 ) ( 1815600 1232470 0 )
NEW met3 ( 1213680 1203610 ) ( 1389600 1203610 )
NEW met3 ( 1450080 1202870 ) ( 1450080 1203610 )
NEW met3 ( 1389600 1202870 ) ( 1450080 1202870 )
NEW met3 ( 1450080 1203610 ) ( 1815600 1203610 )
NEW met2 ( 1213680 1203610 ) via2_FR
NEW met2 ( 1815600 1203610 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[91\] ( soc la_oen[91] ) ( mgmt_buffers la_oen_mprj[91] )
+ ROUTED met2 ( 1816560 1193065 ) ( 1816560 1232470 )
NEW met2 ( 1816560 1232470 ) ( 1817760 1232470 0 )
NEW met2 ( 1667040 1167350 0 ) ( 1668240 1167350 )
NEW met2 ( 1668240 1167350 ) ( 1668240 1193065 )
NEW met1 ( 1668240 1193065 ) ( 1816560 1193065 )
NEW met1 ( 1816560 1193065 ) M1M2_PR
NEW met1 ( 1668240 1193065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[92\] ( soc la_oen[92] ) ( mgmt_buffers la_oen_mprj[92] )
+ ROUTED met2 ( 1210560 1167350 0 ) ( 1211760 1167350 )
NEW met2 ( 1211760 1167350 ) ( 1211760 1192510 )
NEW met2 ( 1819440 1192510 ) ( 1819440 1232470 )
NEW met2 ( 1819440 1232470 ) ( 1820160 1232470 0 )
NEW met3 ( 1211760 1192510 ) ( 1819440 1192510 )
NEW met2 ( 1211760 1192510 ) via2_FR
NEW met2 ( 1819440 1192510 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[93\] ( soc la_oen[93] ) ( mgmt_buffers la_oen_mprj[93] )
+ ROUTED met2 ( 1821840 1204905 ) ( 1821840 1232470 0 )
NEW met2 ( 1668720 1167350 0 ) ( 1668720 1204905 )
NEW met1 ( 1668720 1204905 ) ( 1821840 1204905 )
NEW met1 ( 1821840 1204905 ) M1M2_PR
NEW met1 ( 1668720 1204905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[94\] ( soc la_oen[94] ) ( mgmt_buffers la_oen_mprj[94] )
+ ROUTED met2 ( 1208880 1167350 0 ) ( 1208880 1202870 )
NEW met3 ( 1388640 1202130 ) ( 1388640 1202870 )
NEW met2 ( 1824240 1202870 ) ( 1824240 1232470 0 )
NEW met3 ( 1208880 1202870 ) ( 1388640 1202870 )
NEW met3 ( 1467360 1202130 ) ( 1467360 1202870 )
NEW met3 ( 1388640 1202130 ) ( 1467360 1202130 )
NEW met3 ( 1467360 1202870 ) ( 1824240 1202870 )
NEW met2 ( 1208880 1202870 ) via2_FR
NEW met2 ( 1824240 1202870 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[95\] ( soc la_oen[95] ) ( mgmt_buffers la_oen_mprj[95] )
+ ROUTED met2 ( 1826640 1193435 ) ( 1826640 1232470 0 )
NEW met2 ( 1670640 1167350 0 ) ( 1670640 1193435 )
NEW met1 ( 1670640 1193435 ) ( 1826640 1193435 )
NEW met1 ( 1826640 1193435 ) M1M2_PR
NEW met1 ( 1670640 1193435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[96\] ( soc la_oen[96] ) ( mgmt_buffers la_oen_mprj[96] )
+ ROUTED met2 ( 1829040 1191030 ) ( 1829040 1232470 )
NEW met2 ( 1828800 1232470 0 ) ( 1829040 1232470 )
NEW met2 ( 1206960 1167350 0 ) ( 1206960 1191030 )
NEW met3 ( 1206960 1191030 ) ( 1829040 1191030 )
NEW met2 ( 1829040 1191030 ) via2_FR
NEW met2 ( 1206960 1191030 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[97\] ( soc la_oen[97] ) ( mgmt_buffers la_oen_mprj[97] )
+ ROUTED met2 ( 1672560 1167350 0 ) ( 1672560 1199725 )
NEW met2 ( 1829520 1199725 ) ( 1829520 1232470 )
NEW met2 ( 1829520 1232470 ) ( 1830720 1232470 0 )
NEW met1 ( 1672560 1199725 ) ( 1829520 1199725 )
NEW met1 ( 1672560 1199725 ) M1M2_PR
NEW met1 ( 1829520 1199725 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[98\] ( soc la_oen[98] ) ( mgmt_buffers la_oen_mprj[98] )
+ ROUTED met2 ( 1205040 1167350 0 ) ( 1205040 1202130 )
NEW met2 ( 1387920 1199170 ) ( 1387920 1202130 )
NEW met2 ( 1832880 1202130 ) ( 1832880 1232470 0 )
NEW met3 ( 1205040 1202130 ) ( 1387920 1202130 )
NEW met2 ( 1490160 1199170 ) ( 1490160 1202130 )
NEW met3 ( 1387920 1199170 ) ( 1490160 1199170 )
NEW met3 ( 1490160 1202130 ) ( 1832880 1202130 )
NEW met2 ( 1205040 1202130 ) via2_FR
NEW met2 ( 1387920 1202130 ) via2_FR
NEW met2 ( 1387920 1199170 ) via2_FR
NEW met2 ( 1832880 1202130 ) via2_FR
NEW met2 ( 1490160 1199170 ) via2_FR
NEW met2 ( 1490160 1202130 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[99\] ( soc la_oen[99] ) ( mgmt_buffers la_oen_mprj[99] )
+ ROUTED met1 ( 1757040 1192325 ) ( 1757040 1192695 )
NEW met1 ( 1757040 1192325 ) ( 1835280 1192325 )
NEW met2 ( 1835280 1192325 ) ( 1835280 1232470 0 )
NEW met2 ( 1674240 1167350 0 ) ( 1674480 1167350 )
NEW met2 ( 1674480 1167350 ) ( 1674480 1192695 )
NEW met1 ( 1674480 1192695 ) ( 1757040 1192695 )
NEW met1 ( 1835280 1192325 ) M1M2_PR
NEW met1 ( 1674480 1192695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[9\] ( soc la_oen[9] ) ( mgmt_buffers la_oen_mprj[9] )
+ ROUTED met2 ( 1203360 1167350 0 ) ( 1204560 1167350 )
NEW met2 ( 1204560 1167350 ) ( 1204560 1201945 )
NEW met2 ( 1639440 1204905 ) ( 1639440 1232470 0 )
NEW met2 ( 1288560 1201945 ) ( 1288560 1204905 )
NEW met1 ( 1204560 1201945 ) ( 1288560 1201945 )
NEW met1 ( 1288560 1204905 ) ( 1639440 1204905 )
NEW met1 ( 1204560 1201945 ) M1M2_PR
NEW met1 ( 1639440 1204905 ) M1M2_PR
NEW met1 ( 1288560 1201945 ) M1M2_PR
NEW met1 ( 1288560 1204905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[0\] ( mprj la_oen[0] ) ( mgmt_buffers la_oen_core[0] )
+ ROUTED met2 ( 971760 1349945 ) ( 971760 1389350 0 )
NEW met2 ( 1570320 1344765 ) ( 1570320 1349945 )
NEW met1 ( 971760 1349945 ) ( 1570320 1349945 )
NEW met2 ( 1626480 1325710 ) ( 1628400 1325710 0 )
NEW met2 ( 1626480 1325710 ) ( 1626480 1342730 )
NEW met2 ( 1626000 1342730 ) ( 1626480 1342730 )
NEW met2 ( 1626000 1342730 ) ( 1626000 1344765 )
NEW met1 ( 1570320 1344765 ) ( 1626000 1344765 )
NEW met1 ( 971760 1349945 ) M1M2_PR
NEW met1 ( 1570320 1349945 ) M1M2_PR
NEW met1 ( 1570320 1344765 ) M1M2_PR
NEW met1 ( 1626000 1344765 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[100\] ( mprj la_oen[100] ) ( mgmt_buffers la_oen_core[100] )
+ ROUTED met2 ( 2755440 1374365 ) ( 2755440 1389350 0 )
NEW met2 ( 1924560 1374365 ) ( 1924560 1379545 )
NEW met1 ( 1924560 1374365 ) ( 2755440 1374365 )
NEW met2 ( 1846320 1324970 0 ) ( 1847760 1324970 )
NEW met2 ( 1847760 1324970 ) ( 1847760 1379545 )
NEW met1 ( 1847760 1379545 ) ( 1924560 1379545 )
NEW met1 ( 2755440 1374365 ) M1M2_PR
NEW met1 ( 1924560 1379545 ) M1M2_PR
NEW met1 ( 1924560 1374365 ) M1M2_PR
NEW met1 ( 1847760 1379545 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[101\] ( mprj la_oen[101] ) ( mgmt_buffers la_oen_core[101] )
+ ROUTED met2 ( 2773680 1383245 ) ( 2773680 1389350 0 )
NEW met2 ( 1901040 1390645 ) ( 1901040 1390830 )
NEW met2 ( 1901040 1390830 ) ( 1903440 1390830 )
NEW met2 ( 1903440 1390645 ) ( 1903440 1390830 )
NEW met1 ( 1903440 1390645 ) ( 1950960 1390645 )
NEW met2 ( 1950960 1383245 ) ( 1950960 1390645 )
NEW met1 ( 1848240 1390645 ) ( 1901040 1390645 )
NEW met1 ( 1950960 1383245 ) ( 2773680 1383245 )
NEW met2 ( 1848240 1325710 0 ) ( 1848240 1390645 )
NEW met1 ( 2773680 1383245 ) M1M2_PR
NEW met1 ( 1848240 1390645 ) M1M2_PR
NEW met1 ( 1901040 1390645 ) M1M2_PR
NEW met1 ( 1903440 1390645 ) M1M2_PR
NEW met1 ( 1950960 1390645 ) M1M2_PR
NEW met1 ( 1950960 1383245 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[102\] ( mprj la_oen[102] ) ( mgmt_buffers la_oen_core[102] )
+ ROUTED met2 ( 2791440 1369555 ) ( 2791440 1389350 0 )
NEW met1 ( 1851600 1369555 ) ( 1872240 1369555 )
NEW met1 ( 1872240 1369555 ) ( 1872240 1369925 )
NEW met1 ( 1872240 1369925 ) ( 1892880 1369925 )
NEW met2 ( 1892880 1369370 ) ( 1892880 1369925 )
NEW met2 ( 1892880 1369370 ) ( 1893840 1369370 )
NEW met2 ( 1893840 1369370 ) ( 1893840 1371405 )
NEW met1 ( 1893840 1371405 ) ( 1917840 1371405 )
NEW met2 ( 1917840 1369555 ) ( 1917840 1371405 )
NEW met1 ( 1917840 1369555 ) ( 2791440 1369555 )
NEW met2 ( 1850400 1325710 0 ) ( 1851600 1325710 )
NEW met2 ( 1851600 1325710 ) ( 1851600 1369555 )
NEW met1 ( 2791440 1369555 ) M1M2_PR
NEW met1 ( 1851600 1369555 ) M1M2_PR
NEW met1 ( 1892880 1369925 ) M1M2_PR
NEW met1 ( 1893840 1371405 ) M1M2_PR
NEW met1 ( 1917840 1371405 ) M1M2_PR
NEW met1 ( 1917840 1369555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[103\] ( mprj la_oen[103] ) ( mgmt_buffers la_oen_core[103] )
+ ROUTED met2 ( 2809200 1352905 ) ( 2809200 1389350 0 )
NEW met1 ( 1854000 1352905 ) ( 1867440 1352905 )
NEW met2 ( 1867440 1352905 ) ( 1867440 1354755 )
NEW met2 ( 1852800 1325710 0 ) ( 1854000 1325710 )
NEW met2 ( 1854000 1325710 ) ( 1854000 1352905 )
NEW met2 ( 1943280 1354385 ) ( 1943280 1354755 )
NEW met2 ( 1943280 1354385 ) ( 1944240 1354385 )
NEW met2 ( 1944240 1352905 ) ( 1944240 1354385 )
NEW met1 ( 1867440 1354755 ) ( 1943280 1354755 )
NEW met1 ( 1944240 1352905 ) ( 2809200 1352905 )
NEW met1 ( 2809200 1352905 ) M1M2_PR
NEW met1 ( 1854000 1352905 ) M1M2_PR
NEW met1 ( 1867440 1352905 ) M1M2_PR
NEW met1 ( 1867440 1354755 ) M1M2_PR
NEW met1 ( 1943280 1354755 ) M1M2_PR
NEW met1 ( 1944240 1352905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[104\] ( mprj la_oen[104] ) ( mgmt_buffers la_oen_core[104] )
+ ROUTED met2 ( 2827440 1381395 ) ( 2827440 1389350 0 )
NEW met2 ( 1926000 1381395 ) ( 1926000 1387315 )
NEW met1 ( 1926000 1381395 ) ( 2827440 1381395 )
NEW met2 ( 1854960 1325710 0 ) ( 1854960 1373255 )
NEW met1 ( 1854960 1373255 ) ( 1876080 1373255 )
NEW met2 ( 1876080 1373255 ) ( 1876080 1387315 )
NEW met1 ( 1876080 1387315 ) ( 1926000 1387315 )
NEW met1 ( 2827440 1381395 ) M1M2_PR
NEW met1 ( 1926000 1387315 ) M1M2_PR
NEW met1 ( 1926000 1381395 ) M1M2_PR
NEW met1 ( 1854960 1373255 ) M1M2_PR
NEW met1 ( 1876080 1373255 ) M1M2_PR
NEW met1 ( 1876080 1387315 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[105\] ( mprj la_oen[105] ) ( mgmt_buffers la_oen_core[105] )
+ ROUTED met2 ( 2844720 1351795 ) ( 2844720 1389350 0 )
NEW met2 ( 1856880 1325710 0 ) ( 1856880 1342545 )
NEW met1 ( 1856880 1342545 ) ( 1865520 1342545 )
NEW met1 ( 1865520 1342545 ) ( 1865520 1342915 )
NEW met1 ( 1865520 1342915 ) ( 1892880 1342915 )
NEW met2 ( 1892880 1342915 ) ( 1892880 1351610 )
NEW met2 ( 1892880 1351610 ) ( 1894320 1351610 )
NEW met2 ( 1894320 1351610 ) ( 1894320 1352350 )
NEW met3 ( 1894320 1352350 ) ( 1896240 1352350 )
NEW met2 ( 1896240 1351795 ) ( 1896240 1352350 )
NEW met1 ( 1896240 1351795 ) ( 2844720 1351795 )
NEW met1 ( 2844720 1351795 ) M1M2_PR
NEW met1 ( 1856880 1342545 ) M1M2_PR
NEW met1 ( 1892880 1342915 ) M1M2_PR
NEW met2 ( 1894320 1352350 ) via2_FR
NEW met2 ( 1896240 1352350 ) via2_FR
NEW met1 ( 1896240 1351795 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[106\] ( mprj la_oen[106] ) ( mgmt_buffers la_oen_core[106] )
+ ROUTED met1 ( 1860720 1382135 ) ( 1892400 1382135 )
NEW met2 ( 1892400 1382135 ) ( 1892400 1384910 )
NEW met3 ( 1892400 1384910 ) ( 1915440 1384910 )
NEW met2 ( 1915440 1381395 ) ( 1915440 1384910 )
NEW met1 ( 1915440 1381395 ) ( 1925520 1381395 )
NEW met1 ( 1925520 1381025 ) ( 1925520 1381395 )
NEW met2 ( 2862480 1381025 ) ( 2862480 1389350 0 )
NEW met1 ( 1925520 1381025 ) ( 2862480 1381025 )
NEW met2 ( 1859280 1325710 0 ) ( 1860720 1325710 )
NEW met2 ( 1860720 1325710 ) ( 1860720 1382135 )
NEW met1 ( 1860720 1382135 ) M1M2_PR
NEW met1 ( 1892400 1382135 ) M1M2_PR
NEW met2 ( 1892400 1384910 ) via2_FR
NEW met2 ( 1915440 1384910 ) via2_FR
NEW met1 ( 1915440 1381395 ) M1M2_PR
NEW met1 ( 2862480 1381025 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[107\] ( mprj la_oen[107] ) ( mgmt_buffers la_oen_core[107] )
+ ROUTED met2 ( 2880720 1351055 ) ( 2880720 1389350 0 )
NEW met2 ( 1861440 1325710 0 ) ( 1862640 1325710 )
NEW met2 ( 1862640 1325710 ) ( 1862640 1340695 )
NEW met1 ( 1862640 1340695 ) ( 1892880 1340695 )
NEW met2 ( 1892880 1340695 ) ( 1892880 1342175 )
NEW met2 ( 1892880 1342175 ) ( 1894320 1342175 )
NEW met2 ( 1894320 1341250 ) ( 1894320 1342175 )
NEW met2 ( 1894320 1341250 ) ( 1896240 1341250 )
NEW met2 ( 1896240 1341250 ) ( 1896240 1351055 )
NEW met2 ( 1896240 1351055 ) ( 1896720 1351055 )
NEW met1 ( 1896720 1351055 ) ( 2880720 1351055 )
NEW met1 ( 2880720 1351055 ) M1M2_PR
NEW met1 ( 1862640 1340695 ) M1M2_PR
NEW met1 ( 1892880 1340695 ) M1M2_PR
NEW met1 ( 1896720 1351055 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[108\] ( mprj la_oen[108] ) ( mgmt_buffers la_oen_core[108] )
+ ROUTED met1 ( 1864560 1372145 ) ( 1893360 1372145 )
NEW met2 ( 1893360 1372145 ) ( 1893360 1372330 )
NEW met2 ( 1893360 1372330 ) ( 1894320 1372330 )
NEW met2 ( 1894320 1372330 ) ( 1894320 1375290 )
NEW met2 ( 1894320 1375290 ) ( 1894800 1375290 )
NEW met2 ( 1894800 1375290 ) ( 1894800 1376955 )
NEW met1 ( 1894800 1376955 ) ( 1900080 1376955 )
NEW met2 ( 1900080 1376955 ) ( 1900080 1381025 )
NEW met1 ( 1900080 1381025 ) ( 1925040 1381025 )
NEW met2 ( 1925040 1379545 ) ( 1925040 1381025 )
NEW met2 ( 2898480 1379545 ) ( 2898480 1389350 0 )
NEW met1 ( 1925040 1379545 ) ( 2898480 1379545 )
NEW met2 ( 1863360 1325710 0 ) ( 1864560 1325710 )
NEW met2 ( 1864560 1325710 ) ( 1864560 1372145 )
NEW met1 ( 1864560 1372145 ) M1M2_PR
NEW met1 ( 1893360 1372145 ) M1M2_PR
NEW met1 ( 1894800 1376955 ) M1M2_PR
NEW met1 ( 1900080 1376955 ) M1M2_PR
NEW met1 ( 1900080 1381025 ) M1M2_PR
NEW met1 ( 1925040 1381025 ) M1M2_PR
NEW met1 ( 1925040 1379545 ) M1M2_PR
NEW met1 ( 2898480 1379545 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[109\] ( mprj la_oen[109] ) ( mgmt_buffers la_oen_core[109] )
+ ROUTED met2 ( 1938000 1344765 ) ( 1938000 1350315 )
NEW met2 ( 2916240 1350315 ) ( 2916240 1389350 0 )
NEW met1 ( 1938000 1350315 ) ( 2916240 1350315 )
NEW met2 ( 1865760 1325710 0 ) ( 1866960 1325710 )
NEW met2 ( 1866960 1325710 ) ( 1866960 1344025 )
NEW met1 ( 1866960 1344025 ) ( 1893840 1344025 )
NEW met2 ( 1893840 1344025 ) ( 1893840 1344765 )
NEW met1 ( 1893840 1344765 ) ( 1938000 1344765 )
NEW met1 ( 1938000 1344765 ) M1M2_PR
NEW met1 ( 1938000 1350315 ) M1M2_PR
NEW met1 ( 2916240 1350315 ) M1M2_PR
NEW met1 ( 1866960 1344025 ) M1M2_PR
NEW met1 ( 1893840 1344025 ) M1M2_PR
NEW met1 ( 1893840 1344765 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[10\] ( mprj la_oen[10] ) ( mgmt_buffers la_oen_core[10] )
+ ROUTED met2 ( 1150320 1331075 ) ( 1150320 1389350 0 )
NEW met2 ( 1650000 1325710 0 ) ( 1650000 1331075 )
NEW met1 ( 1150320 1331075 ) ( 1650000 1331075 )
NEW met1 ( 1150320 1331075 ) M1M2_PR
NEW met1 ( 1650000 1331075 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[110\] ( mprj la_oen[110] ) ( mgmt_buffers la_oen_core[110] )
+ ROUTED met1 ( 1867920 1352905 ) ( 1895760 1352905 )
NEW met2 ( 1895760 1350315 ) ( 1895760 1352905 )
NEW met1 ( 1895760 1350315 ) ( 1937520 1350315 )
NEW met1 ( 1937520 1349945 ) ( 1937520 1350315 )
NEW met2 ( 2934000 1349945 ) ( 2934000 1389350 0 )
NEW met1 ( 1937520 1349945 ) ( 2934000 1349945 )
NEW met2 ( 1867920 1325710 0 ) ( 1867920 1352905 )
NEW met1 ( 1867920 1352905 ) M1M2_PR
NEW met1 ( 1895760 1352905 ) M1M2_PR
NEW met1 ( 1895760 1350315 ) M1M2_PR
NEW met1 ( 2934000 1349945 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[111\] ( mprj la_oen[111] ) ( mgmt_buffers la_oen_core[111] )
+ ROUTED met2 ( 2951760 1356975 ) ( 2951760 1389350 0 )
NEW met2 ( 1870320 1325710 0 ) ( 1870320 1356975 )
NEW met1 ( 1870320 1356975 ) ( 2951760 1356975 )
NEW met1 ( 1870320 1356975 ) M1M2_PR
NEW met1 ( 2951760 1356975 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[112\] ( mprj la_oen[112] ) ( mgmt_buffers la_oen_core[112] )
+ ROUTED met2 ( 2970000 1357345 ) ( 2970000 1389350 0 )
NEW met2 ( 1872240 1325710 0 ) ( 1873680 1325710 )
NEW met2 ( 1873680 1325710 ) ( 1873680 1357345 )
NEW met2 ( 2016240 1357345 ) ( 2016240 1357530 )
NEW met2 ( 2016240 1357530 ) ( 2016720 1357530 )
NEW met2 ( 2016720 1357530 ) ( 2016720 1357715 )
NEW met1 ( 2016720 1357715 ) ( 2017680 1357715 )
NEW met1 ( 2017680 1357345 ) ( 2017680 1357715 )
NEW met1 ( 1873680 1357345 ) ( 2016240 1357345 )
NEW met1 ( 2017680 1357345 ) ( 2970000 1357345 )
NEW met1 ( 2970000 1357345 ) M1M2_PR
NEW met1 ( 1873680 1357345 ) M1M2_PR
NEW met1 ( 2016240 1357345 ) M1M2_PR
NEW met1 ( 2016720 1357715 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[113\] ( mprj la_oen[113] ) ( mgmt_buffers la_oen_core[113] )
+ ROUTED met2 ( 2987760 1348835 ) ( 2987760 1389350 0 )
NEW met1 ( 1875600 1351795 ) ( 1895280 1351795 )
NEW met2 ( 1895280 1349390 ) ( 1895280 1351795 )
NEW met2 ( 1895280 1349390 ) ( 1895760 1349390 )
NEW met2 ( 1895760 1348835 ) ( 1895760 1349390 )
NEW met1 ( 1895760 1348835 ) ( 2987760 1348835 )
NEW met2 ( 1874400 1325710 0 ) ( 1875600 1325710 )
NEW met2 ( 1875600 1325710 ) ( 1875600 1351795 )
NEW met1 ( 2987760 1348835 ) M1M2_PR
NEW met1 ( 1875600 1351795 ) M1M2_PR
NEW met1 ( 1895280 1351795 ) M1M2_PR
NEW met1 ( 1895760 1348835 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[114\] ( mprj la_oen[114] ) ( mgmt_buffers la_oen_core[114] )
+ ROUTED met2 ( 3005520 1378065 ) ( 3005520 1389350 0 )
NEW met1 ( 1878000 1376955 ) ( 1894320 1376955 )
NEW met2 ( 1894320 1376955 ) ( 1894320 1378065 )
NEW met1 ( 1894320 1378065 ) ( 3005520 1378065 )
NEW met2 ( 1876800 1325710 0 ) ( 1878000 1325710 )
NEW met2 ( 1878000 1325710 ) ( 1878000 1376955 )
NEW met1 ( 3005520 1378065 ) M1M2_PR
NEW met1 ( 1878000 1376955 ) M1M2_PR
NEW met1 ( 1894320 1376955 ) M1M2_PR
NEW met1 ( 1894320 1378065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[115\] ( mprj la_oen[115] ) ( mgmt_buffers la_oen_core[115] )
+ ROUTED met2 ( 3023280 1347725 ) ( 3023280 1389350 0 )
NEW met1 ( 1878960 1349945 ) ( 1937040 1349945 )
NEW met2 ( 1937040 1347725 ) ( 1937040 1349945 )
NEW met1 ( 1937040 1347725 ) ( 3023280 1347725 )
NEW met2 ( 1878960 1325710 0 ) ( 1878960 1349945 )
NEW met1 ( 3023280 1347725 ) M1M2_PR
NEW met1 ( 1878960 1349945 ) M1M2_PR
NEW met1 ( 1937040 1349945 ) M1M2_PR
NEW met1 ( 1937040 1347725 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[116\] ( mprj la_oen[116] ) ( mgmt_buffers la_oen_core[116] )
+ ROUTED met2 ( 3041040 1325895 ) ( 3041040 1389350 0 )
NEW met2 ( 1882320 1324970 ) ( 1882320 1325155 )
NEW met2 ( 1880880 1324970 0 ) ( 1882320 1324970 )
NEW met1 ( 1951920 1325155 ) ( 1951920 1325525 )
NEW met1 ( 1951920 1325525 ) ( 1995600 1325525 )
NEW met1 ( 1995600 1325525 ) ( 1995600 1325895 )
NEW met1 ( 1882320 1325155 ) ( 1951920 1325155 )
NEW met1 ( 1995600 1325895 ) ( 3041040 1325895 )
NEW met1 ( 3041040 1325895 ) M1M2_PR
NEW met1 ( 1882320 1325155 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[117\] ( mprj la_oen[117] ) ( mgmt_buffers la_oen_core[117] )
+ ROUTED met1 ( 1883280 1384355 ) ( 1892880 1384355 )
NEW met2 ( 1892880 1382690 ) ( 1892880 1384355 )
NEW met2 ( 1892880 1382690 ) ( 1894800 1382690 )
NEW met2 ( 1894800 1382690 ) ( 1894800 1383245 )
NEW met1 ( 1894800 1383245 ) ( 1935600 1383245 )
NEW met1 ( 1935600 1382875 ) ( 1935600 1383245 )
NEW met2 ( 3059280 1382875 ) ( 3059280 1389350 0 )
NEW met1 ( 1935600 1382875 ) ( 3059280 1382875 )
NEW met2 ( 1883280 1325710 0 ) ( 1883280 1384355 )
NEW met1 ( 1883280 1384355 ) M1M2_PR
NEW met1 ( 1892880 1384355 ) M1M2_PR
NEW met1 ( 1894800 1383245 ) M1M2_PR
NEW met1 ( 3059280 1382875 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[118\] ( mprj la_oen[118] ) ( mgmt_buffers la_oen_core[118] )
+ ROUTED met2 ( 1994160 1328485 ) ( 1994160 1329595 )
NEW met2 ( 3077040 1325525 ) ( 3077040 1389350 0 )
NEW met2 ( 1885440 1325710 0 ) ( 1886160 1325710 )
NEW met2 ( 1886160 1325710 ) ( 1886160 1328115 )
NEW met1 ( 1886160 1328115 ) ( 1894800 1328115 )
NEW met2 ( 1894800 1328115 ) ( 1894800 1329595 )
NEW met1 ( 1894800 1329595 ) ( 1994160 1329595 )
NEW met2 ( 2096400 1325525 ) ( 2096400 1328485 )
NEW met1 ( 1994160 1328485 ) ( 2096400 1328485 )
NEW met1 ( 2096400 1325525 ) ( 3077040 1325525 )
NEW met1 ( 3077040 1325525 ) M1M2_PR
NEW met1 ( 1994160 1329595 ) M1M2_PR
NEW met1 ( 1994160 1328485 ) M1M2_PR
NEW met1 ( 1886160 1328115 ) M1M2_PR
NEW met1 ( 1894800 1328115 ) M1M2_PR
NEW met1 ( 1894800 1329595 ) M1M2_PR
NEW met1 ( 2096400 1328485 ) M1M2_PR
NEW met1 ( 2096400 1325525 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[119\] ( mprj la_oen[119] ) ( mgmt_buffers la_oen_core[119] )
+ ROUTED met2 ( 3094320 1359935 ) ( 3094320 1389350 0 )
NEW met1 ( 1938960 1363635 ) ( 1938960 1364005 )
NEW met1 ( 1938960 1363635 ) ( 1939920 1363635 )
NEW met1 ( 1939920 1363265 ) ( 1939920 1363635 )
NEW met1 ( 1939920 1363265 ) ( 1994160 1363265 )
NEW met2 ( 1994160 1359935 ) ( 1994160 1363265 )
NEW met1 ( 1994160 1359935 ) ( 3094320 1359935 )
NEW met2 ( 1887600 1324970 ) ( 1887840 1324970 0 )
NEW met2 ( 1887600 1324970 ) ( 1887600 1326450 )
NEW met2 ( 1887600 1326450 ) ( 1888080 1326450 )
NEW met2 ( 1888080 1326450 ) ( 1888080 1354015 )
NEW met1 ( 1888080 1354015 ) ( 1894320 1354015 )
NEW met2 ( 1894320 1354015 ) ( 1894320 1364005 )
NEW met1 ( 1894320 1364005 ) ( 1938960 1364005 )
NEW met1 ( 3094320 1359935 ) M1M2_PR
NEW met1 ( 1994160 1363265 ) M1M2_PR
NEW met1 ( 1994160 1359935 ) M1M2_PR
NEW met1 ( 1888080 1354015 ) M1M2_PR
NEW met1 ( 1894320 1354015 ) M1M2_PR
NEW met1 ( 1894320 1364005 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[11\] ( mprj la_oen[11] ) ( mgmt_buffers la_oen_core[11] )
+ ROUTED met2 ( 1650960 1325710 ) ( 1652160 1325710 0 )
NEW met2 ( 1650960 1325710 ) ( 1650960 1392865 )
NEW met2 ( 1169040 1392125 ) ( 1169040 1392310 )
NEW met2 ( 1167600 1392310 0 ) ( 1169040 1392310 )
NEW met2 ( 1338960 1392125 ) ( 1338960 1392310 )
NEW met2 ( 1338960 1392310 ) ( 1339440 1392310 )
NEW met2 ( 1339440 1392125 ) ( 1339440 1392310 )
NEW met1 ( 1339440 1392125 ) ( 1340880 1392125 )
NEW met2 ( 1340880 1392125 ) ( 1340880 1392865 )
NEW met1 ( 1169040 1392125 ) ( 1338960 1392125 )
NEW met2 ( 1469040 1392865 ) ( 1469040 1393050 )
NEW met2 ( 1469040 1393050 ) ( 1470000 1393050 )
NEW met2 ( 1470000 1392310 ) ( 1470000 1393050 )
NEW met3 ( 1470000 1392310 ) ( 1497840 1392310 )
NEW met2 ( 1497840 1392310 ) ( 1497840 1392865 )
NEW met1 ( 1340880 1392865 ) ( 1469040 1392865 )
NEW met1 ( 1497840 1392865 ) ( 1650960 1392865 )
NEW met1 ( 1650960 1392865 ) M1M2_PR
NEW met1 ( 1169040 1392125 ) M1M2_PR
NEW met1 ( 1338960 1392125 ) M1M2_PR
NEW met1 ( 1339440 1392125 ) M1M2_PR
NEW met1 ( 1340880 1392125 ) M1M2_PR
NEW met1 ( 1340880 1392865 ) M1M2_PR
NEW met1 ( 1469040 1392865 ) M1M2_PR
NEW met2 ( 1470000 1392310 ) via2_FR
NEW met2 ( 1497840 1392310 ) via2_FR
NEW met1 ( 1497840 1392865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[120\] ( mprj la_oen[120] ) ( mgmt_buffers la_oen_core[120] )
+ ROUTED met2 ( 1924080 1374365 ) ( 1924080 1376955 )
NEW met2 ( 3112560 1376955 ) ( 3112560 1389350 0 )
NEW met1 ( 1924080 1376955 ) ( 3112560 1376955 )
NEW met2 ( 1889520 1325710 0 ) ( 1889520 1368075 )
NEW met2 ( 1889520 1368075 ) ( 1890960 1368075 )
NEW met2 ( 1890960 1368075 ) ( 1890960 1369370 )
NEW met2 ( 1890960 1369370 ) ( 1892400 1369370 )
NEW met2 ( 1892400 1369370 ) ( 1892400 1375290 )
NEW met2 ( 1892400 1375290 ) ( 1893840 1375290 )
NEW met2 ( 1893840 1374365 ) ( 1893840 1375290 )
NEW met1 ( 1893840 1374365 ) ( 1924080 1374365 )
NEW met1 ( 1924080 1374365 ) M1M2_PR
NEW met1 ( 1924080 1376955 ) M1M2_PR
NEW met1 ( 3112560 1376955 ) M1M2_PR
NEW met1 ( 1893840 1374365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[121\] ( mprj la_oen[121] ) ( mgmt_buffers la_oen_core[121] )
+ ROUTED met2 ( 2030640 1341250 ) ( 2030640 1342175 )
NEW met1 ( 2018640 1342175 ) ( 2030640 1342175 )
NEW met2 ( 2018640 1341250 ) ( 2018640 1342175 )
NEW met3 ( 1944720 1341250 ) ( 2018640 1341250 )
NEW met2 ( 1944720 1341250 ) ( 1944720 1344765 )
NEW met2 ( 1943280 1344765 ) ( 1943280 1352350 )
NEW met1 ( 1943280 1344765 ) ( 1944720 1344765 )
NEW met2 ( 3130320 1341250 ) ( 3130320 1389350 0 )
NEW met3 ( 2030640 1341250 ) ( 3130320 1341250 )
NEW met2 ( 1891920 1325710 0 ) ( 1892880 1325710 )
NEW met2 ( 1892880 1325710 ) ( 1892880 1339030 )
NEW met2 ( 1892880 1339030 ) ( 1893840 1339030 )
NEW met2 ( 1893840 1339030 ) ( 1893840 1340325 )
NEW met2 ( 1893840 1340325 ) ( 1897200 1340325 )
NEW met2 ( 1897200 1340325 ) ( 1897200 1352350 )
NEW met3 ( 1897200 1352350 ) ( 1943280 1352350 )
NEW met2 ( 2030640 1341250 ) via2_FR
NEW met1 ( 2030640 1342175 ) M1M2_PR
NEW met1 ( 2018640 1342175 ) M1M2_PR
NEW met2 ( 2018640 1341250 ) via2_FR
NEW met2 ( 1944720 1341250 ) via2_FR
NEW met1 ( 1944720 1344765 ) M1M2_PR
NEW met2 ( 1943280 1352350 ) via2_FR
NEW met1 ( 1943280 1344765 ) M1M2_PR
NEW met2 ( 3130320 1341250 ) via2_FR
NEW met2 ( 1897200 1352350 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[122\] ( mprj la_oen[122] ) ( mgmt_buffers la_oen_core[122] )
+ ROUTED met2 ( 3148560 1336625 ) ( 3148560 1389350 0 )
NEW met2 ( 1894320 1325710 0 ) ( 1895760 1325710 )
NEW met2 ( 1895760 1325710 ) ( 1895760 1335885 )
NEW met2 ( 1939440 1335885 ) ( 1939440 1336625 )
NEW met1 ( 1895760 1335885 ) ( 1939440 1335885 )
NEW met1 ( 1939440 1336625 ) ( 3148560 1336625 )
NEW met1 ( 3148560 1336625 ) M1M2_PR
NEW met1 ( 1895760 1335885 ) M1M2_PR
NEW met1 ( 1939440 1335885 ) M1M2_PR
NEW met1 ( 1939440 1336625 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[123\] ( mprj la_oen[123] ) ( mgmt_buffers la_oen_core[123] )
+ ROUTED met2 ( 1994640 1376585 ) ( 1994640 1382135 )
NEW met2 ( 3165840 1376585 ) ( 3165840 1389350 0 )
NEW met1 ( 1994640 1376585 ) ( 3165840 1376585 )
NEW met2 ( 1896480 1325710 0 ) ( 1896480 1327190 )
NEW met2 ( 1896480 1327190 ) ( 1896720 1327190 )
NEW met2 ( 1896720 1327190 ) ( 1896720 1336070 )
NEW met2 ( 1896720 1336070 ) ( 1898160 1336070 )
NEW met2 ( 1898160 1336070 ) ( 1898160 1382135 )
NEW met1 ( 1898160 1382135 ) ( 1994640 1382135 )
NEW met1 ( 1994640 1382135 ) M1M2_PR
NEW met1 ( 1994640 1376585 ) M1M2_PR
NEW met1 ( 3165840 1376585 ) M1M2_PR
NEW met1 ( 1898160 1382135 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[124\] ( mprj la_oen[124] ) ( mgmt_buffers la_oen_core[124] )
+ ROUTED met2 ( 3182640 1390645 ) ( 3182640 1390830 )
NEW met2 ( 3182640 1390830 ) ( 3183600 1390830 0 )
NEW met2 ( 1951440 1390645 ) ( 1951440 1392865 )
NEW met1 ( 1951440 1390645 ) ( 3182640 1390645 )
NEW met2 ( 1898400 1325710 0 ) ( 1899120 1325710 )
NEW met2 ( 1899120 1325710 ) ( 1899120 1326265 )
NEW met2 ( 1899120 1326265 ) ( 1900080 1326265 )
NEW met1 ( 1900080 1325895 ) ( 1900080 1326265 )
NEW met1 ( 1900080 1325895 ) ( 1905840 1325895 )
NEW met2 ( 1905840 1325895 ) ( 1905840 1362525 )
NEW met2 ( 1905840 1362525 ) ( 1906320 1362525 )
NEW met2 ( 1906320 1362525 ) ( 1906320 1392865 )
NEW met1 ( 1906320 1392865 ) ( 1951440 1392865 )
NEW met1 ( 3182640 1390645 ) M1M2_PR
NEW met1 ( 1951440 1392865 ) M1M2_PR
NEW met1 ( 1951440 1390645 ) M1M2_PR
NEW met1 ( 1900080 1326265 ) M1M2_PR
NEW met1 ( 1905840 1325895 ) M1M2_PR
NEW met1 ( 1906320 1392865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[125\] ( mprj la_oen[125] ) ( mgmt_buffers la_oen_core[125] )
+ ROUTED met2 ( 3201840 1344950 ) ( 3201840 1389350 0 )
NEW met2 ( 1900560 1325710 0 ) ( 1901520 1325710 )
NEW met2 ( 1901520 1325710 ) ( 1901520 1344950 )
NEW met3 ( 1901520 1344950 ) ( 3201840 1344950 )
NEW met2 ( 3201840 1344950 ) via2_FR
NEW met2 ( 1901520 1344950 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[126\] ( mprj la_oen[126] ) ( mgmt_buffers la_oen_core[126] )
+ ROUTED met2 ( 3219600 1364375 ) ( 3219600 1389350 0 )
NEW met2 ( 1902960 1325710 0 ) ( 1904400 1325710 )
NEW met2 ( 1904400 1325710 ) ( 1904400 1364375 )
NEW met1 ( 1904400 1364375 ) ( 3219600 1364375 )
NEW met1 ( 3219600 1364375 ) M1M2_PR
NEW met1 ( 1904400 1364375 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[127\] ( mprj la_oen[127] ) ( mgmt_buffers la_oen_core[127] )
+ ROUTED met2 ( 1994160 1375475 ) ( 1994160 1376585 )
NEW met2 ( 3237840 1375475 ) ( 3237840 1389350 0 )
NEW met1 ( 1923600 1376585 ) ( 1923600 1376955 )
NEW met1 ( 1923600 1376585 ) ( 1994160 1376585 )
NEW met1 ( 1994160 1375475 ) ( 3237840 1375475 )
NEW met2 ( 1904880 1325710 0 ) ( 1904880 1376955 )
NEW met1 ( 1904880 1376955 ) ( 1923600 1376955 )
NEW met1 ( 1994160 1376585 ) M1M2_PR
NEW met1 ( 1994160 1375475 ) M1M2_PR
NEW met1 ( 3237840 1375475 ) M1M2_PR
NEW met1 ( 1904880 1376955 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[12\] ( mprj la_oen[12] ) ( mgmt_buffers la_oen_core[12] )
+ ROUTED met2 ( 1185840 1389350 0 ) ( 1187280 1389350 )
NEW met2 ( 1187280 1332555 ) ( 1187280 1389350 )
NEW met2 ( 1653360 1325710 ) ( 1654560 1325710 0 )
NEW met2 ( 1653360 1325710 ) ( 1653360 1332555 )
NEW met1 ( 1187280 1332555 ) ( 1653360 1332555 )
NEW met1 ( 1187280 1332555 ) M1M2_PR
NEW met1 ( 1653360 1332555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[13\] ( mprj la_oen[13] ) ( mgmt_buffers la_oen_core[13] )
+ ROUTED met2 ( 1205040 1390645 ) ( 1205040 1390830 )
NEW met2 ( 1203600 1390830 0 ) ( 1205040 1390830 )
NEW met2 ( 1656720 1325710 0 ) ( 1656720 1390645 )
NEW met1 ( 1498800 1390275 ) ( 1498800 1390645 )
NEW met1 ( 1498800 1390275 ) ( 1499280 1390275 )
NEW met2 ( 1499280 1390090 ) ( 1499280 1390275 )
NEW met2 ( 1499280 1390090 ) ( 1499760 1390090 )
NEW met2 ( 1499760 1390090 ) ( 1499760 1390645 )
NEW met1 ( 1205040 1390645 ) ( 1498800 1390645 )
NEW met1 ( 1499760 1390645 ) ( 1656720 1390645 )
NEW met1 ( 1205040 1390645 ) M1M2_PR
NEW met1 ( 1656720 1390645 ) M1M2_PR
NEW met1 ( 1499280 1390275 ) M1M2_PR
NEW met1 ( 1499760 1390645 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[14\] ( mprj la_oen[14] ) ( mgmt_buffers la_oen_core[14] )
+ ROUTED met2 ( 1221360 1332925 ) ( 1221360 1389350 0 )
NEW met2 ( 1658640 1325710 0 ) ( 1658640 1332925 )
NEW met1 ( 1221360 1332925 ) ( 1658640 1332925 )
NEW met1 ( 1221360 1332925 ) M1M2_PR
NEW met1 ( 1658640 1332925 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[15\] ( mprj la_oen[15] ) ( mgmt_buffers la_oen_core[15] )
+ ROUTED met2 ( 1239600 1386945 ) ( 1239600 1389350 0 )
NEW met1 ( 1239600 1386945 ) ( 1661040 1386945 )
NEW met2 ( 1661040 1325710 0 ) ( 1661040 1386945 )
NEW met1 ( 1239600 1386945 ) M1M2_PR
NEW met1 ( 1661040 1386945 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[16\] ( mprj la_oen[16] ) ( mgmt_buffers la_oen_core[16] )
+ ROUTED met2 ( 1255920 1389350 ) ( 1256880 1389350 0 )
NEW met2 ( 1255920 1334405 ) ( 1255920 1389350 )
NEW met2 ( 1662000 1325710 ) ( 1663200 1325710 0 )
NEW met2 ( 1662000 1325710 ) ( 1662000 1334405 )
NEW met1 ( 1255920 1334405 ) ( 1662000 1334405 )
NEW met1 ( 1255920 1334405 ) M1M2_PR
NEW met1 ( 1662000 1334405 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[17\] ( mprj la_oen[17] ) ( mgmt_buffers la_oen_core[17] )
+ ROUTED met2 ( 1274640 1392310 0 ) ( 1276080 1392310 )
NEW met2 ( 1276080 1392310 ) ( 1276080 1392495 )
NEW met1 ( 1276080 1392495 ) ( 1276080 1393235 )
NEW met1 ( 1663920 1392865 ) ( 1663920 1393235 )
NEW met2 ( 1663920 1325710 ) ( 1665120 1325710 0 )
NEW met2 ( 1663920 1325710 ) ( 1663920 1392865 )
NEW met1 ( 1276080 1393235 ) ( 1663920 1393235 )
NEW met1 ( 1276080 1392495 ) M1M2_PR
NEW met1 ( 1663920 1392865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[18\] ( mprj la_oen[18] ) ( mgmt_buffers la_oen_core[18] )
+ ROUTED met2 ( 1292880 1374365 ) ( 1292880 1389350 0 )
NEW met1 ( 1292880 1374365 ) ( 1665840 1374365 )
NEW met2 ( 1665840 1325710 ) ( 1667280 1325710 0 )
NEW met2 ( 1665840 1325710 ) ( 1665840 1374365 )
NEW met1 ( 1292880 1374365 ) M1M2_PR
NEW met1 ( 1665840 1374365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[19\] ( mprj la_oen[19] ) ( mgmt_buffers la_oen_core[19] )
+ ROUTED met2 ( 1310640 1389350 0 ) ( 1312080 1389350 )
NEW met2 ( 1312080 1335515 ) ( 1312080 1389350 )
NEW met2 ( 1669680 1325710 0 ) ( 1669680 1335515 )
NEW met1 ( 1312080 1335515 ) ( 1669680 1335515 )
NEW met1 ( 1312080 1335515 ) M1M2_PR
NEW met1 ( 1669680 1335515 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[1\] ( mprj la_oen[1] ) ( mgmt_buffers la_oen_core[1] )
+ ROUTED met2 ( 989520 1382875 ) ( 989520 1389350 0 )
NEW met2 ( 1630560 1324970 0 ) ( 1630800 1324970 )
NEW met2 ( 1630800 1324970 ) ( 1630800 1353830 )
NEW met2 ( 1629360 1353830 ) ( 1630800 1353830 )
NEW met2 ( 1629360 1353830 ) ( 1629360 1382875 )
NEW met1 ( 989520 1382875 ) ( 1629360 1382875 )
NEW met1 ( 989520 1382875 ) M1M2_PR
NEW met1 ( 1629360 1382875 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[20\] ( mprj la_oen[20] ) ( mgmt_buffers la_oen_core[20] )
+ ROUTED met2 ( 1330320 1390090 ) ( 1330320 1390275 )
NEW met2 ( 1328880 1390090 0 ) ( 1330320 1390090 )
NEW met2 ( 1672080 1325710 0 ) ( 1672080 1390275 )
NEW met1 ( 1498320 1389905 ) ( 1498320 1390275 )
NEW met2 ( 1498320 1389350 ) ( 1498320 1389905 )
NEW met3 ( 1498320 1389350 ) ( 1502160 1389350 )
NEW met2 ( 1502160 1389350 ) ( 1502160 1390275 )
NEW met1 ( 1330320 1390275 ) ( 1498320 1390275 )
NEW met1 ( 1502160 1390275 ) ( 1672080 1390275 )
NEW met1 ( 1330320 1390275 ) M1M2_PR
NEW met1 ( 1672080 1390275 ) M1M2_PR
NEW met1 ( 1498320 1389905 ) M1M2_PR
NEW met2 ( 1498320 1389350 ) via2_FR
NEW met2 ( 1502160 1389350 ) via2_FR
NEW met1 ( 1502160 1390275 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[21\] ( mprj la_oen[21] ) ( mgmt_buffers la_oen_core[21] )
+ ROUTED met2 ( 1346160 1373255 ) ( 1346160 1389350 0 )
NEW met1 ( 1346160 1373255 ) ( 1672560 1373255 )
NEW met2 ( 1672560 1325710 ) ( 1673760 1325710 0 )
NEW met2 ( 1672560 1325710 ) ( 1672560 1373255 )
NEW met1 ( 1346160 1373255 ) M1M2_PR
NEW met1 ( 1672560 1373255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[22\] ( mprj la_oen[22] ) ( mgmt_buffers la_oen_core[22] )
+ ROUTED met2 ( 1363920 1343285 ) ( 1363920 1389350 0 )
NEW met1 ( 1363920 1343285 ) ( 1674960 1343285 )
NEW met2 ( 1674960 1325710 ) ( 1676160 1325710 0 )
NEW met2 ( 1674960 1325710 ) ( 1674960 1343285 )
NEW met1 ( 1363920 1343285 ) M1M2_PR
NEW met1 ( 1674960 1343285 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[23\] ( mprj la_oen[23] ) ( mgmt_buffers la_oen_core[23] )
+ ROUTED met2 ( 1382160 1371035 ) ( 1382160 1389350 0 )
NEW met1 ( 1382160 1371035 ) ( 1678320 1371035 )
NEW met2 ( 1678320 1325710 0 ) ( 1678320 1371035 )
NEW met1 ( 1382160 1371035 ) M1M2_PR
NEW met1 ( 1678320 1371035 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[24\] ( mprj la_oen[24] ) ( mgmt_buffers la_oen_core[24] )
+ ROUTED met2 ( 1399920 1337735 ) ( 1399920 1389350 0 )
NEW met2 ( 1680720 1325710 0 ) ( 1680720 1339955 )
NEW met1 ( 1644720 1339955 ) ( 1680720 1339955 )
NEW met1 ( 1644720 1339955 ) ( 1644720 1340325 )
NEW met1 ( 1635120 1340325 ) ( 1644720 1340325 )
NEW met2 ( 1635120 1340325 ) ( 1635120 1340695 )
NEW met2 ( 1633680 1340695 ) ( 1635120 1340695 )
NEW met2 ( 1633680 1338475 ) ( 1633680 1340695 )
NEW met1 ( 1490640 1337735 ) ( 1490640 1338475 )
NEW met1 ( 1399920 1337735 ) ( 1490640 1337735 )
NEW met1 ( 1490640 1338475 ) ( 1633680 1338475 )
NEW met1 ( 1399920 1337735 ) M1M2_PR
NEW met1 ( 1680720 1339955 ) M1M2_PR
NEW met1 ( 1635120 1340325 ) M1M2_PR
NEW met1 ( 1633680 1338475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[25\] ( mprj la_oen[25] ) ( mgmt_buffers la_oen_core[25] )
+ ROUTED met2 ( 1417680 1341805 ) ( 1417680 1389350 0 )
NEW met1 ( 1417680 1341805 ) ( 1682640 1341805 )
NEW met2 ( 1682640 1325710 0 ) ( 1682640 1341805 )
NEW met1 ( 1417680 1341805 ) M1M2_PR
NEW met1 ( 1682640 1341805 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[26\] ( mprj la_oen[26] ) ( mgmt_buffers la_oen_core[26] )
+ ROUTED met2 ( 1435440 1370665 ) ( 1435440 1389350 0 )
NEW met1 ( 1435440 1370665 ) ( 1685520 1370665 )
NEW met2 ( 1684800 1325710 0 ) ( 1685520 1325710 )
NEW met2 ( 1685520 1325710 ) ( 1685520 1370665 )
NEW met1 ( 1435440 1370665 ) M1M2_PR
NEW met1 ( 1685520 1370665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[27\] ( mprj la_oen[27] ) ( mgmt_buffers la_oen_core[27] )
+ ROUTED met2 ( 1453200 1341435 ) ( 1453200 1389350 0 )
NEW met1 ( 1453200 1341435 ) ( 1687440 1341435 )
NEW met2 ( 1687200 1325710 0 ) ( 1687200 1327190 )
NEW met2 ( 1687200 1327190 ) ( 1687440 1327190 )
NEW met2 ( 1687440 1327190 ) ( 1687440 1341435 )
NEW met1 ( 1453200 1341435 ) M1M2_PR
NEW met1 ( 1687440 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[28\] ( mprj la_oen[28] ) ( mgmt_buffers la_oen_core[28] )
+ ROUTED met2 ( 1472880 1340325 ) ( 1472880 1389350 )
NEW met2 ( 1471440 1389350 0 ) ( 1472880 1389350 )
NEW met2 ( 1689360 1325710 0 ) ( 1689360 1340695 )
NEW met2 ( 1579920 1340325 ) ( 1579920 1341250 )
NEW met2 ( 1579920 1341250 ) ( 1582800 1341250 )
NEW met2 ( 1582800 1340695 ) ( 1582800 1341250 )
NEW met1 ( 1472880 1340325 ) ( 1579920 1340325 )
NEW met1 ( 1582800 1340695 ) ( 1689360 1340695 )
NEW met1 ( 1472880 1340325 ) M1M2_PR
NEW met1 ( 1689360 1340695 ) M1M2_PR
NEW met1 ( 1579920 1340325 ) M1M2_PR
NEW met1 ( 1582800 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[29\] ( mprj la_oen[29] ) ( mgmt_buffers la_oen_core[29] )
+ ROUTED met2 ( 1489200 1371405 ) ( 1489200 1389350 0 )
NEW met2 ( 1689840 1348650 ) ( 1689840 1371405 )
NEW met2 ( 1689840 1348650 ) ( 1690320 1348650 )
NEW met1 ( 1489200 1371405 ) ( 1689840 1371405 )
NEW met2 ( 1690320 1325710 ) ( 1691280 1325710 0 )
NEW met2 ( 1690320 1325710 ) ( 1690320 1348650 )
NEW met1 ( 1489200 1371405 ) M1M2_PR
NEW met1 ( 1689840 1371405 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[2\] ( mprj la_oen[2] ) ( mgmt_buffers la_oen_core[2] )
+ ROUTED met2 ( 1007280 1325155 ) ( 1007280 1389350 0 )
NEW met2 ( 1631280 1324970 ) ( 1631280 1325155 )
NEW met2 ( 1631280 1324970 ) ( 1632480 1324970 0 )
NEW met2 ( 1559760 1325155 ) ( 1559760 1337735 )
NEW met1 ( 1559760 1337735 ) ( 1602960 1337735 )
NEW met2 ( 1602960 1325155 ) ( 1602960 1337735 )
NEW met1 ( 1007280 1325155 ) ( 1559760 1325155 )
NEW met1 ( 1602960 1325155 ) ( 1631280 1325155 )
NEW met1 ( 1007280 1325155 ) M1M2_PR
NEW met1 ( 1631280 1325155 ) M1M2_PR
NEW met1 ( 1559760 1325155 ) M1M2_PR
NEW met1 ( 1559760 1337735 ) M1M2_PR
NEW met1 ( 1602960 1337735 ) M1M2_PR
NEW met1 ( 1602960 1325155 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[30\] ( mprj la_oen[30] ) ( mgmt_buffers la_oen_core[30] )
+ ROUTED met2 ( 1506480 1385095 ) ( 1506480 1389350 0 )
NEW met1 ( 1506480 1385095 ) ( 1694160 1385095 )
NEW met2 ( 1693680 1325710 0 ) ( 1694160 1325710 )
NEW met2 ( 1694160 1325710 ) ( 1694160 1385095 )
NEW met1 ( 1506480 1385095 ) M1M2_PR
NEW met1 ( 1694160 1385095 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[31\] ( mprj la_oen[31] ) ( mgmt_buffers la_oen_core[31] )
+ ROUTED met2 ( 1524720 1369925 ) ( 1524720 1389350 0 )
NEW met1 ( 1524720 1369925 ) ( 1694640 1369925 )
NEW met2 ( 1694640 1325710 ) ( 1695840 1325710 0 )
NEW met2 ( 1694640 1325710 ) ( 1694640 1369925 )
NEW met1 ( 1524720 1369925 ) M1M2_PR
NEW met1 ( 1694640 1369925 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[32\] ( mprj la_oen[32] ) ( mgmt_buffers la_oen_core[32] )
+ ROUTED met2 ( 1542480 1356235 ) ( 1542480 1389350 0 )
NEW met2 ( 1697040 1325710 ) ( 1698240 1325710 0 )
NEW met2 ( 1697040 1325710 ) ( 1697040 1356605 )
NEW met1 ( 1641120 1356605 ) ( 1697040 1356605 )
NEW met1 ( 1641120 1356235 ) ( 1641120 1356605 )
NEW met1 ( 1542480 1356235 ) ( 1641120 1356235 )
NEW met1 ( 1542480 1356235 ) M1M2_PR
NEW met1 ( 1697040 1356605 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[33\] ( mprj la_oen[33] ) ( mgmt_buffers la_oen_core[33] )
+ ROUTED met1 ( 1608720 1356975 ) ( 1608720 1357345 )
NEW met1 ( 1560720 1356975 ) ( 1608720 1356975 )
NEW met2 ( 1560720 1356975 ) ( 1560720 1389350 0 )
NEW met2 ( 1666800 1355495 ) ( 1666800 1357345 )
NEW met1 ( 1666800 1355495 ) ( 1683600 1355495 )
NEW met2 ( 1683600 1352905 ) ( 1683600 1355495 )
NEW met1 ( 1683600 1352905 ) ( 1699440 1352905 )
NEW met1 ( 1608720 1357345 ) ( 1666800 1357345 )
NEW met2 ( 1699440 1325710 ) ( 1700160 1325710 0 )
NEW met2 ( 1699440 1325710 ) ( 1699440 1352905 )
NEW met1 ( 1560720 1356975 ) M1M2_PR
NEW met1 ( 1666800 1357345 ) M1M2_PR
NEW met1 ( 1666800 1355495 ) M1M2_PR
NEW met1 ( 1683600 1355495 ) M1M2_PR
NEW met1 ( 1683600 1352905 ) M1M2_PR
NEW met1 ( 1699440 1352905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[34\] ( mprj la_oen[34] ) ( mgmt_buffers la_oen_core[34] )
+ ROUTED met2 ( 1610160 1354015 ) ( 1610160 1355495 )
NEW met1 ( 1579920 1355495 ) ( 1610160 1355495 )
NEW met2 ( 1579920 1355495 ) ( 1579920 1389350 )
NEW met2 ( 1578480 1389350 0 ) ( 1579920 1389350 )
NEW met1 ( 1610160 1354015 ) ( 1702320 1354015 )
NEW met2 ( 1702320 1325710 0 ) ( 1702320 1354015 )
NEW met1 ( 1610160 1354015 ) M1M2_PR
NEW met1 ( 1610160 1355495 ) M1M2_PR
NEW met1 ( 1579920 1355495 ) M1M2_PR
NEW met1 ( 1702320 1354015 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[35\] ( mprj la_oen[35] ) ( mgmt_buffers la_oen_core[35] )
+ ROUTED met2 ( 1595760 1355865 ) ( 1595760 1389350 0 )
NEW met2 ( 1704720 1325710 0 ) ( 1704720 1356235 )
NEW met1 ( 1649040 1356235 ) ( 1704720 1356235 )
NEW met1 ( 1649040 1355865 ) ( 1649040 1356235 )
NEW met1 ( 1595760 1355865 ) ( 1649040 1355865 )
NEW met1 ( 1595760 1355865 ) M1M2_PR
NEW met1 ( 1704720 1356235 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[36\] ( mprj la_oen[36] ) ( mgmt_buffers la_oen_core[36] )
+ ROUTED met2 ( 1705200 1324970 ) ( 1706640 1324970 0 )
NEW met2 ( 1705200 1324785 ) ( 1705200 1324970 )
NEW met1 ( 1647600 1324785 ) ( 1705200 1324785 )
NEW met2 ( 1647600 1324785 ) ( 1647600 1355495 )
NEW met1 ( 1614000 1355495 ) ( 1647600 1355495 )
NEW met2 ( 1614000 1355495 ) ( 1614000 1389350 0 )
NEW met1 ( 1705200 1324785 ) M1M2_PR
NEW met1 ( 1647600 1324785 ) M1M2_PR
NEW met1 ( 1647600 1355495 ) M1M2_PR
NEW met1 ( 1614000 1355495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[37\] ( mprj la_oen[37] ) ( mgmt_buffers la_oen_core[37] )
+ ROUTED met2 ( 1631760 1354755 ) ( 1631760 1389350 0 )
NEW met1 ( 1631760 1354755 ) ( 1707600 1354755 )
NEW met2 ( 1707600 1325710 ) ( 1708800 1325710 0 )
NEW met2 ( 1707600 1325710 ) ( 1707600 1354755 )
NEW met1 ( 1631760 1354755 ) M1M2_PR
NEW met1 ( 1707600 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[38\] ( mprj la_oen[38] ) ( mgmt_buffers la_oen_core[38] )
+ ROUTED met1 ( 1650000 1356975 ) ( 1710000 1356975 )
NEW met2 ( 1650000 1356975 ) ( 1650000 1389350 0 )
NEW met2 ( 1710000 1325710 ) ( 1711200 1325710 0 )
NEW met2 ( 1710000 1325710 ) ( 1710000 1356975 )
NEW met1 ( 1710000 1356975 ) M1M2_PR
NEW met1 ( 1650000 1356975 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[39\] ( mprj la_oen[39] ) ( mgmt_buffers la_oen_core[39] )
+ ROUTED met1 ( 1667280 1357345 ) ( 1713360 1357345 )
NEW met2 ( 1667280 1357345 ) ( 1667280 1389350 0 )
NEW met2 ( 1713360 1325710 0 ) ( 1713360 1357345 )
NEW met1 ( 1713360 1357345 ) M1M2_PR
NEW met1 ( 1667280 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[3\] ( mprj la_oen[3] ) ( mgmt_buffers la_oen_core[3] )
+ ROUTED met2 ( 1025040 1338845 ) ( 1025040 1389350 0 )
NEW met1 ( 1626000 1324415 ) ( 1626000 1324785 )
NEW met1 ( 1626000 1324785 ) ( 1627440 1324785 )
NEW met1 ( 1627440 1324415 ) ( 1627440 1324785 )
NEW met1 ( 1627440 1324415 ) ( 1633680 1324415 )
NEW met1 ( 1633680 1324415 ) ( 1633680 1324785 )
NEW met2 ( 1633680 1324785 ) ( 1633680 1324970 )
NEW met2 ( 1633680 1324970 ) ( 1634640 1324970 0 )
NEW met2 ( 1563600 1324785 ) ( 1563600 1338845 )
NEW met1 ( 1563600 1324415 ) ( 1563600 1324785 )
NEW met1 ( 1025040 1338845 ) ( 1563600 1338845 )
NEW met1 ( 1563600 1324415 ) ( 1626000 1324415 )
NEW met1 ( 1025040 1338845 ) M1M2_PR
NEW met1 ( 1633680 1324785 ) M1M2_PR
NEW met1 ( 1563600 1338845 ) M1M2_PR
NEW met1 ( 1563600 1324785 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[40\] ( mprj la_oen[40] ) ( mgmt_buffers la_oen_core[40] )
+ ROUTED met1 ( 1685040 1359935 ) ( 1715280 1359935 )
NEW met2 ( 1685040 1359935 ) ( 1685040 1389350 0 )
NEW met2 ( 1715280 1325710 0 ) ( 1715280 1359935 )
NEW met1 ( 1715280 1359935 ) M1M2_PR
NEW met1 ( 1685040 1359935 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[41\] ( mprj la_oen[41] ) ( mgmt_buffers la_oen_core[41] )
+ ROUTED met1 ( 1703280 1367705 ) ( 1717680 1367705 )
NEW met2 ( 1703280 1367705 ) ( 1703280 1389350 0 )
NEW met2 ( 1717680 1325710 0 ) ( 1717680 1367705 )
NEW met1 ( 1717680 1367705 ) M1M2_PR
NEW met1 ( 1703280 1367705 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[42\] ( mprj la_oen[42] ) ( mgmt_buffers la_oen_core[42] )
+ ROUTED met2 ( 1719840 1325710 0 ) ( 1721040 1325710 )
NEW met2 ( 1721040 1325710 ) ( 1721040 1389350 0 )
+ USE SIGNAL ;
- la_oen_user\[43\] ( mprj la_oen[43] ) ( mgmt_buffers la_oen_core[43] )
+ ROUTED met1 ( 1723440 1367705 ) ( 1738800 1367705 )
NEW met2 ( 1738800 1367705 ) ( 1738800 1389350 0 )
NEW met2 ( 1722240 1325710 0 ) ( 1723440 1325710 )
NEW met2 ( 1723440 1325710 ) ( 1723440 1367705 )
NEW met1 ( 1723440 1367705 ) M1M2_PR
NEW met1 ( 1738800 1367705 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[44\] ( mprj la_oen[44] ) ( mgmt_buffers la_oen_core[44] )
+ ROUTED met2 ( 1756560 1354755 ) ( 1756560 1389350 0 )
NEW met1 ( 1723920 1354755 ) ( 1756560 1354755 )
NEW met2 ( 1723920 1325710 0 ) ( 1723920 1354755 )
NEW met1 ( 1756560 1354755 ) M1M2_PR
NEW met1 ( 1723920 1354755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[45\] ( mprj la_oen[45] ) ( mgmt_buffers la_oen_core[45] )
+ ROUTED met2 ( 1774320 1355865 ) ( 1774320 1389350 0 )
NEW met1 ( 1726320 1355865 ) ( 1774320 1355865 )
NEW met2 ( 1726320 1325710 0 ) ( 1726320 1355865 )
NEW met1 ( 1774320 1355865 ) M1M2_PR
NEW met1 ( 1726320 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[46\] ( mprj la_oen[46] ) ( mgmt_buffers la_oen_core[46] )
+ ROUTED met2 ( 1792080 1357345 ) ( 1792080 1389350 0 )
NEW met1 ( 1728720 1357345 ) ( 1792080 1357345 )
NEW met2 ( 1728720 1325710 0 ) ( 1728720 1357345 )
NEW met1 ( 1792080 1357345 ) M1M2_PR
NEW met1 ( 1728720 1357345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[47\] ( mprj la_oen[47] ) ( mgmt_buffers la_oen_core[47] )
+ ROUTED met2 ( 1810320 1356235 ) ( 1810320 1389350 0 )
NEW met1 ( 1732080 1356235 ) ( 1810320 1356235 )
NEW met2 ( 1730880 1325710 0 ) ( 1732080 1325710 )
NEW met2 ( 1732080 1325710 ) ( 1732080 1356235 )
NEW met1 ( 1810320 1356235 ) M1M2_PR
NEW met1 ( 1732080 1356235 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[48\] ( mprj la_oen[48] ) ( mgmt_buffers la_oen_core[48] )
+ ROUTED met2 ( 1828080 1381395 ) ( 1828080 1389350 0 )
NEW met1 ( 1732560 1381395 ) ( 1828080 1381395 )
NEW met2 ( 1732560 1325710 ) ( 1732800 1325710 0 )
NEW met2 ( 1732560 1325710 ) ( 1732560 1381395 )
NEW met1 ( 1828080 1381395 ) M1M2_PR
NEW met1 ( 1732560 1381395 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[49\] ( mprj la_oen[49] ) ( mgmt_buffers la_oen_core[49] )
+ ROUTED met1 ( 1810800 1355865 ) ( 1810800 1356605 )
NEW met1 ( 1736400 1356605 ) ( 1810800 1356605 )
NEW met2 ( 1845840 1355865 ) ( 1845840 1389350 0 )
NEW met1 ( 1810800 1355865 ) ( 1845840 1355865 )
NEW met2 ( 1734960 1325710 0 ) ( 1736400 1325710 )
NEW met2 ( 1736400 1325710 ) ( 1736400 1356605 )
NEW met1 ( 1736400 1356605 ) M1M2_PR
NEW met1 ( 1845840 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[4\] ( mprj la_oen[4] ) ( mgmt_buffers la_oen_core[4] )
+ ROUTED met2 ( 1042800 1383245 ) ( 1042800 1389350 0 )
NEW met1 ( 1589040 1383245 ) ( 1589040 1383615 )
NEW met1 ( 1042800 1383245 ) ( 1589040 1383245 )
NEW met2 ( 1637040 1325710 0 ) ( 1637040 1383615 )
NEW met1 ( 1589040 1383615 ) ( 1637040 1383615 )
NEW met1 ( 1042800 1383245 ) M1M2_PR
NEW met1 ( 1637040 1383615 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[50\] ( mprj la_oen[50] ) ( mgmt_buffers la_oen_core[50] )
+ ROUTED met2 ( 1863600 1356975 ) ( 1863600 1389350 0 )
NEW met1 ( 1737360 1356975 ) ( 1863600 1356975 )
NEW met2 ( 1737360 1325710 0 ) ( 1737360 1356975 )
NEW met1 ( 1737360 1356975 ) M1M2_PR
NEW met1 ( 1863600 1356975 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[51\] ( mprj la_oen[51] ) ( mgmt_buffers la_oen_core[51] )
+ ROUTED met2 ( 1792560 1357345 ) ( 1792560 1359935 )
NEW met1 ( 1739760 1359935 ) ( 1792560 1359935 )
NEW met2 ( 1872240 1355865 ) ( 1872240 1357345 )
NEW met1 ( 1872240 1355865 ) ( 1881360 1355865 )
NEW met2 ( 1881360 1355865 ) ( 1881360 1389350 0 )
NEW met1 ( 1792560 1357345 ) ( 1872240 1357345 )
NEW met2 ( 1739760 1325710 0 ) ( 1739760 1359935 )
NEW met1 ( 1792560 1359935 ) M1M2_PR
NEW met1 ( 1792560 1357345 ) M1M2_PR
NEW met1 ( 1739760 1359935 ) M1M2_PR
NEW met1 ( 1872240 1357345 ) M1M2_PR
NEW met1 ( 1872240 1355865 ) M1M2_PR
NEW met1 ( 1881360 1355865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[52\] ( mprj la_oen[52] ) ( mgmt_buffers la_oen_core[52] )
+ ROUTED met2 ( 1741440 1325710 0 ) ( 1742160 1325710 )
NEW met2 ( 1742160 1325710 ) ( 1742160 1381025 )
NEW met1 ( 1742160 1381025 ) ( 1899600 1381025 )
NEW met2 ( 1899600 1382690 ) ( 1900080 1382690 )
NEW met2 ( 1900080 1382690 ) ( 1900080 1386945 )
NEW met2 ( 1899600 1386945 ) ( 1900080 1386945 )
NEW met2 ( 1899600 1386945 ) ( 1899600 1389350 0 )
NEW met2 ( 1899600 1381025 ) ( 1899600 1382690 )
NEW met1 ( 1742160 1381025 ) M1M2_PR
NEW met1 ( 1899600 1381025 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[53\] ( mprj la_oen[53] ) ( mgmt_buffers la_oen_core[53] )
+ ROUTED met1 ( 1744080 1392865 ) ( 1744080 1393235 )
NEW met2 ( 1743840 1325710 0 ) ( 1743840 1327190 )
NEW met2 ( 1743840 1327190 ) ( 1744080 1327190 )
NEW met2 ( 1744080 1327190 ) ( 1744080 1392865 )
NEW met2 ( 1915440 1392310 ) ( 1916880 1392310 0 )
NEW met2 ( 1915440 1392310 ) ( 1915440 1392495 )
NEW met1 ( 1903920 1392495 ) ( 1915440 1392495 )
NEW met1 ( 1903920 1392495 ) ( 1903920 1393235 )
NEW met1 ( 1744080 1393235 ) ( 1903920 1393235 )
NEW met1 ( 1744080 1392865 ) M1M2_PR
NEW met1 ( 1915440 1392495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[54\] ( mprj la_oen[54] ) ( mgmt_buffers la_oen_core[54] )
+ ROUTED met2 ( 1746000 1325710 0 ) ( 1746000 1383615 )
NEW met2 ( 1935120 1382875 ) ( 1935120 1389350 0 )
NEW met2 ( 1834800 1382505 ) ( 1834800 1383615 )
NEW met1 ( 1834800 1382505 ) ( 1892880 1382505 )
NEW met1 ( 1892880 1382135 ) ( 1892880 1382505 )
NEW met1 ( 1892880 1382135 ) ( 1895280 1382135 )
NEW met2 ( 1895280 1382135 ) ( 1895280 1382875 )
NEW met1 ( 1746000 1383615 ) ( 1834800 1383615 )
NEW met1 ( 1895280 1382875 ) ( 1935120 1382875 )
NEW met1 ( 1746000 1383615 ) M1M2_PR
NEW met1 ( 1935120 1382875 ) M1M2_PR
NEW met1 ( 1834800 1383615 ) M1M2_PR
NEW met1 ( 1834800 1382505 ) M1M2_PR
NEW met1 ( 1895280 1382135 ) M1M2_PR
NEW met1 ( 1895280 1382875 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[55\] ( mprj la_oen[55] ) ( mgmt_buffers la_oen_core[55] )
+ ROUTED met2 ( 1749360 1324230 ) ( 1749360 1324415 )
NEW met2 ( 1747920 1324230 0 ) ( 1749360 1324230 )
NEW met1 ( 1925520 1371405 ) ( 1952880 1371405 )
NEW met2 ( 1952880 1371405 ) ( 1952880 1389350 0 )
NEW met1 ( 1835760 1325155 ) ( 1845360 1325155 )
NEW met1 ( 1845360 1325155 ) ( 1845360 1325525 )
NEW met1 ( 1845360 1325525 ) ( 1846320 1325525 )
NEW met1 ( 1846320 1325155 ) ( 1846320 1325525 )
NEW met1 ( 1846320 1325155 ) ( 1846800 1325155 )
NEW met1 ( 1846800 1324785 ) ( 1895280 1324785 )
NEW met2 ( 1895280 1324230 ) ( 1895280 1324785 )
NEW met2 ( 1895280 1324230 ) ( 1895760 1324230 )
NEW met3 ( 1895760 1324230 ) ( 1925520 1324230 )
NEW met3 ( 1925520 1324230 ) ( 1925520 1324415 )
NEW met1 ( 1749360 1324415 ) ( 1835760 1324415 )
NEW met1 ( 1835760 1324415 ) ( 1835760 1325155 )
NEW met1 ( 1846800 1324785 ) ( 1846800 1325155 )
NEW met2 ( 1925520 1324415 ) ( 1925520 1371405 )
NEW met1 ( 1749360 1324415 ) M1M2_PR
NEW met1 ( 1925520 1371405 ) M1M2_PR
NEW met1 ( 1952880 1371405 ) M1M2_PR
NEW met1 ( 1895280 1324785 ) M1M2_PR
NEW met2 ( 1895760 1324230 ) via2_FR
NEW met2 ( 1925520 1324415 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[56\] ( mprj la_oen[56] ) ( mgmt_buffers la_oen_core[56] )
+ ROUTED met2 ( 1750320 1325710 0 ) ( 1751760 1325710 )
NEW met2 ( 1751760 1325710 ) ( 1751760 1392865 )
NEW met2 ( 1903440 1392865 ) ( 1904400 1392865 )
NEW met1 ( 1904400 1392865 ) ( 1904400 1393235 )
NEW met1 ( 1904400 1393235 ) ( 1969200 1393235 )
NEW met1 ( 1969200 1392865 ) ( 1969200 1393235 )
NEW met2 ( 1969200 1392865 ) ( 1969200 1393050 )
NEW met2 ( 1969200 1393050 ) ( 1970640 1393050 0 )
NEW met1 ( 1751760 1392865 ) ( 1903440 1392865 )
NEW met1 ( 1751760 1392865 ) M1M2_PR
NEW met1 ( 1903440 1392865 ) M1M2_PR
NEW met1 ( 1904400 1392865 ) M1M2_PR
NEW met1 ( 1969200 1392865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[57\] ( mprj la_oen[57] ) ( mgmt_buffers la_oen_core[57] )
+ ROUTED met2 ( 1987440 1375475 ) ( 1987440 1389350 )
NEW met2 ( 1987440 1389350 ) ( 1988880 1389350 0 )
NEW met2 ( 1752480 1325710 0 ) ( 1753680 1325710 )
NEW met2 ( 1753680 1325710 ) ( 1753680 1328485 )
NEW met1 ( 1753680 1328485 ) ( 1842000 1328485 )
NEW met2 ( 1842000 1328485 ) ( 1842000 1337365 )
NEW met1 ( 1888560 1375475 ) ( 1987440 1375475 )
NEW met1 ( 1842000 1337365 ) ( 1888560 1337365 )
NEW met2 ( 1888560 1337365 ) ( 1888560 1375475 )
NEW met1 ( 1987440 1375475 ) M1M2_PR
NEW met1 ( 1753680 1328485 ) M1M2_PR
NEW met1 ( 1842000 1328485 ) M1M2_PR
NEW met1 ( 1842000 1337365 ) M1M2_PR
NEW met1 ( 1888560 1375475 ) M1M2_PR
NEW met1 ( 1888560 1337365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[58\] ( mprj la_oen[58] ) ( mgmt_buffers la_oen_core[58] )
+ ROUTED met2 ( 2006160 1382505 ) ( 2006160 1389350 0 )
NEW met2 ( 1754880 1325710 0 ) ( 1755600 1325710 )
NEW met2 ( 1755600 1325710 ) ( 1755600 1382505 )
NEW met1 ( 1834320 1382505 ) ( 1834320 1382875 )
NEW met1 ( 1834320 1382875 ) ( 1893360 1382875 )
NEW met1 ( 1893360 1382505 ) ( 1893360 1382875 )
NEW met1 ( 1755600 1382505 ) ( 1834320 1382505 )
NEW met1 ( 1893360 1382505 ) ( 2006160 1382505 )
NEW met1 ( 1755600 1382505 ) M1M2_PR
NEW met1 ( 2006160 1382505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[59\] ( mprj la_oen[59] ) ( mgmt_buffers la_oen_core[59] )
+ ROUTED met2 ( 1756560 1325710 0 ) ( 1756560 1335515 )
NEW met1 ( 1756560 1335515 ) ( 1786800 1335515 )
NEW met2 ( 1786800 1335515 ) ( 1786800 1337365 )
NEW met2 ( 1945680 1334775 ) ( 1945680 1338475 )
NEW met1 ( 1945680 1334775 ) ( 2023920 1334775 )
NEW met2 ( 2023920 1334775 ) ( 2023920 1389350 0 )
NEW met2 ( 1841520 1336625 ) ( 1841520 1337365 )
NEW met1 ( 1841520 1336625 ) ( 1893360 1336625 )
NEW met2 ( 1893360 1336625 ) ( 1893360 1338475 )
NEW met2 ( 1893360 1338475 ) ( 1896240 1338475 )
NEW met2 ( 1896240 1338105 ) ( 1896240 1338475 )
NEW met2 ( 1896240 1338105 ) ( 1897200 1338105 )
NEW met2 ( 1897200 1338105 ) ( 1897200 1338475 )
NEW met1 ( 1786800 1337365 ) ( 1841520 1337365 )
NEW met1 ( 1897200 1338475 ) ( 1945680 1338475 )
NEW met1 ( 1756560 1335515 ) M1M2_PR
NEW met1 ( 1786800 1335515 ) M1M2_PR
NEW met1 ( 1786800 1337365 ) M1M2_PR
NEW met1 ( 1945680 1338475 ) M1M2_PR
NEW met1 ( 1945680 1334775 ) M1M2_PR
NEW met1 ( 2023920 1334775 ) M1M2_PR
NEW met1 ( 1841520 1337365 ) M1M2_PR
NEW met1 ( 1841520 1336625 ) M1M2_PR
NEW met1 ( 1893360 1336625 ) M1M2_PR
NEW met1 ( 1897200 1338475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[5\] ( mprj la_oen[5] ) ( mgmt_buffers la_oen_core[5] )
+ ROUTED met2 ( 1061040 1389350 0 ) ( 1062480 1389350 )
NEW met2 ( 1062480 1328485 ) ( 1062480 1389350 )
NEW met2 ( 1639440 1325710 0 ) ( 1639440 1328485 )
NEW met1 ( 1062480 1328485 ) ( 1639440 1328485 )
NEW met1 ( 1062480 1328485 ) M1M2_PR
NEW met1 ( 1639440 1328485 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[60\] ( mprj la_oen[60] ) ( mgmt_buffers la_oen_core[60] )
+ ROUTED met2 ( 2040720 1342545 ) ( 2040720 1389350 )
NEW met2 ( 2040720 1389350 ) ( 2042160 1389350 0 )
NEW met2 ( 1758960 1325710 0 ) ( 1758960 1344765 )
NEW met2 ( 1891920 1339585 ) ( 1891920 1344765 )
NEW met1 ( 1891920 1339585 ) ( 1892880 1339585 )
NEW met1 ( 1892880 1339215 ) ( 1892880 1339585 )
NEW met1 ( 1758960 1344765 ) ( 1891920 1344765 )
NEW met2 ( 1950960 1339215 ) ( 1950960 1344765 )
NEW met1 ( 1950960 1344765 ) ( 1977360 1344765 )
NEW met2 ( 1977360 1342545 ) ( 1977360 1344765 )
NEW met1 ( 1892880 1339215 ) ( 1950960 1339215 )
NEW met1 ( 1977360 1342545 ) ( 2040720 1342545 )
NEW met1 ( 1758960 1344765 ) M1M2_PR
NEW met1 ( 2040720 1342545 ) M1M2_PR
NEW met1 ( 1891920 1344765 ) M1M2_PR
NEW met1 ( 1891920 1339585 ) M1M2_PR
NEW met1 ( 1950960 1339215 ) M1M2_PR
NEW met1 ( 1950960 1344765 ) M1M2_PR
NEW met1 ( 1977360 1344765 ) M1M2_PR
NEW met1 ( 1977360 1342545 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[61\] ( mprj la_oen[61] ) ( mgmt_buffers la_oen_core[61] )
+ ROUTED met1 ( 1761360 1369555 ) ( 1829520 1369555 )
NEW met2 ( 1829520 1368075 ) ( 1829520 1369555 )
NEW met2 ( 1761360 1325710 0 ) ( 1761360 1369555 )
NEW met2 ( 2059920 1368075 ) ( 2059920 1389350 0 )
NEW met1 ( 1829520 1368075 ) ( 2059920 1368075 )
NEW met1 ( 1761360 1369555 ) M1M2_PR
NEW met1 ( 1829520 1369555 ) M1M2_PR
NEW met1 ( 1829520 1368075 ) M1M2_PR
NEW met1 ( 2059920 1368075 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[62\] ( mprj la_oen[62] ) ( mgmt_buffers la_oen_core[62] )
+ ROUTED met1 ( 1764720 1390645 ) ( 1786800 1390645 )
NEW met1 ( 1786800 1390275 ) ( 1786800 1390645 )
NEW met2 ( 1763520 1325710 0 ) ( 1764720 1325710 )
NEW met2 ( 1764720 1325710 ) ( 1764720 1390645 )
NEW met2 ( 2076720 1390090 ) ( 2076720 1390275 )
NEW met2 ( 2076720 1390090 ) ( 2078160 1390090 0 )
NEW met1 ( 1786800 1390275 ) ( 2076720 1390275 )
NEW met1 ( 1764720 1390645 ) M1M2_PR
NEW met1 ( 2076720 1390275 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[63\] ( mprj la_oen[63] ) ( mgmt_buffers la_oen_core[63] )
+ ROUTED met2 ( 1765440 1325710 0 ) ( 1766640 1325710 )
NEW met2 ( 1766640 1325710 ) ( 1766640 1337735 )
NEW met2 ( 2095440 1337735 ) ( 2095440 1389350 0 )
NEW met1 ( 1766640 1337735 ) ( 2095440 1337735 )
NEW met1 ( 1766640 1337735 ) M1M2_PR
NEW met1 ( 2095440 1337735 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[64\] ( mprj la_oen[64] ) ( mgmt_buffers la_oen_core[64] )
+ ROUTED met1 ( 1767600 1390275 ) ( 1785840 1390275 )
NEW met1 ( 1785840 1389905 ) ( 1785840 1390275 )
NEW met2 ( 1767600 1325710 0 ) ( 1767600 1390275 )
NEW met2 ( 2111760 1389905 ) ( 2111760 1390090 )
NEW met2 ( 2111760 1390090 ) ( 2113200 1390090 0 )
NEW met1 ( 1785840 1389905 ) ( 2111760 1389905 )
NEW met1 ( 1767600 1390275 ) M1M2_PR
NEW met1 ( 2111760 1389905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[65\] ( mprj la_oen[65] ) ( mgmt_buffers la_oen_core[65] )
+ ROUTED met1 ( 1770000 1341435 ) ( 1795440 1341435 )
NEW met1 ( 1795440 1341065 ) ( 1795440 1341435 )
NEW met2 ( 1770000 1325710 0 ) ( 1770000 1341435 )
NEW met2 ( 2131440 1341065 ) ( 2131440 1389350 0 )
NEW met1 ( 1795440 1341065 ) ( 2131440 1341065 )
NEW met1 ( 1770000 1341435 ) M1M2_PR
NEW met1 ( 2131440 1341065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[66\] ( mprj la_oen[66] ) ( mgmt_buffers la_oen_core[66] )
+ ROUTED met2 ( 2149200 1368445 ) ( 2149200 1389350 0 )
NEW met2 ( 1772400 1325710 0 ) ( 1772400 1368445 )
NEW met1 ( 1772400 1368445 ) ( 2149200 1368445 )
NEW met1 ( 1772400 1368445 ) M1M2_PR
NEW met1 ( 2149200 1368445 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[67\] ( mprj la_oen[67] ) ( mgmt_buffers la_oen_core[67] )
+ ROUTED met2 ( 2166960 1336995 ) ( 2166960 1389350 0 )
NEW met2 ( 1774080 1325710 0 ) ( 1775280 1325710 )
NEW met2 ( 1775280 1325710 ) ( 1775280 1336995 )
NEW met1 ( 1775280 1336995 ) ( 2166960 1336995 )
NEW met1 ( 2166960 1336995 ) M1M2_PR
NEW met1 ( 1775280 1336995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[68\] ( mprj la_oen[68] ) ( mgmt_buffers la_oen_core[68] )
+ ROUTED met1 ( 1777680 1389905 ) ( 1785360 1389905 )
NEW met1 ( 1785360 1389535 ) ( 1785360 1389905 )
NEW met2 ( 2183280 1389350 ) ( 2183280 1389535 )
NEW met2 ( 2183280 1389350 ) ( 2184720 1389350 0 )
NEW met2 ( 1776480 1325710 0 ) ( 1777680 1325710 )
NEW met2 ( 1777680 1325710 ) ( 1777680 1389905 )
NEW met1 ( 1785360 1389535 ) ( 2183280 1389535 )
NEW met1 ( 1777680 1389905 ) M1M2_PR
NEW met1 ( 2183280 1389535 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[69\] ( mprj la_oen[69] ) ( mgmt_buffers la_oen_core[69] )
+ ROUTED met2 ( 2202480 1339955 ) ( 2202480 1389350 0 )
NEW met2 ( 1778640 1325710 0 ) ( 1778640 1339955 )
NEW met1 ( 1778640 1339955 ) ( 2202480 1339955 )
NEW met1 ( 1778640 1339955 ) M1M2_PR
NEW met1 ( 2202480 1339955 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[6\] ( mprj la_oen[6] ) ( mgmt_buffers la_oen_core[6] )
+ ROUTED met2 ( 1078800 1383615 ) ( 1078800 1389350 0 )
NEW met2 ( 1573680 1383615 ) ( 1573680 1385835 )
NEW met1 ( 1573680 1385835 ) ( 1640400 1385835 )
NEW met2 ( 1640400 1325710 ) ( 1641120 1325710 0 )
NEW met2 ( 1640400 1325710 ) ( 1640400 1385835 )
NEW met1 ( 1078800 1383615 ) ( 1573680 1383615 )
NEW met1 ( 1078800 1383615 ) M1M2_PR
NEW met1 ( 1573680 1383615 ) M1M2_PR
NEW met1 ( 1573680 1385835 ) M1M2_PR
NEW met1 ( 1640400 1385835 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[70\] ( mprj la_oen[70] ) ( mgmt_buffers la_oen_core[70] )
+ ROUTED met2 ( 2220720 1369185 ) ( 2220720 1389350 0 )
NEW met2 ( 1781040 1325710 0 ) ( 1781040 1369185 )
NEW met1 ( 1781040 1369185 ) ( 2220720 1369185 )
NEW met1 ( 1781040 1369185 ) M1M2_PR
NEW met1 ( 2220720 1369185 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[71\] ( mprj la_oen[71] ) ( mgmt_buffers la_oen_core[71] )
+ ROUTED met2 ( 2238480 1336255 ) ( 2238480 1389350 0 )
NEW met2 ( 1782960 1325710 0 ) ( 1782960 1336255 )
NEW met1 ( 1782960 1336255 ) ( 2238480 1336255 )
NEW met1 ( 2238480 1336255 ) M1M2_PR
NEW met1 ( 1782960 1336255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[72\] ( mprj la_oen[72] ) ( mgmt_buffers la_oen_core[72] )
+ ROUTED met2 ( 1784400 1364190 ) ( 1784880 1364190 )
NEW met2 ( 1784400 1364190 ) ( 1784400 1389165 )
NEW met2 ( 1785120 1325710 0 ) ( 1785120 1327190 )
NEW met2 ( 1784880 1327190 ) ( 1785120 1327190 )
NEW met2 ( 1784880 1327190 ) ( 1784880 1364190 )
NEW met2 ( 2254320 1389165 ) ( 2254320 1389350 )
NEW met2 ( 2254320 1389350 ) ( 2255760 1389350 0 )
NEW met1 ( 1784400 1389165 ) ( 2254320 1389165 )
NEW met1 ( 1784400 1389165 ) M1M2_PR
NEW met1 ( 2254320 1389165 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[73\] ( mprj la_oen[73] ) ( mgmt_buffers la_oen_core[73] )
+ ROUTED met1 ( 1788720 1342175 ) ( 1793040 1342175 )
NEW met2 ( 1793040 1342175 ) ( 1793040 1347725 )
NEW met2 ( 1787520 1325710 0 ) ( 1788720 1325710 )
NEW met2 ( 1788720 1325710 ) ( 1788720 1342175 )
NEW met2 ( 1936560 1340325 ) ( 1936560 1347725 )
NEW met1 ( 1793040 1347725 ) ( 1936560 1347725 )
NEW met2 ( 2274000 1340325 ) ( 2274000 1389350 0 )
NEW met1 ( 1936560 1340325 ) ( 2274000 1340325 )
NEW met1 ( 1788720 1342175 ) M1M2_PR
NEW met1 ( 1793040 1342175 ) M1M2_PR
NEW met1 ( 1793040 1347725 ) M1M2_PR
NEW met1 ( 1936560 1347725 ) M1M2_PR
NEW met1 ( 1936560 1340325 ) M1M2_PR
NEW met1 ( 2274000 1340325 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[74\] ( mprj la_oen[74] ) ( mgmt_buffers la_oen_core[74] )
+ ROUTED met2 ( 1789200 1325710 0 ) ( 1789200 1335515 )
NEW met2 ( 2291760 1335515 ) ( 2291760 1389350 0 )
NEW met1 ( 1789200 1335515 ) ( 2291760 1335515 )
NEW met1 ( 2291760 1335515 ) M1M2_PR
NEW met1 ( 1789200 1335515 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[75\] ( mprj la_oen[75] ) ( mgmt_buffers la_oen_core[75] )
+ ROUTED met2 ( 1791600 1325710 0 ) ( 1791600 1371405 )
NEW met2 ( 1893360 1369925 ) ( 1893360 1371405 )
NEW met1 ( 1791600 1371405 ) ( 1893360 1371405 )
NEW met2 ( 2310000 1369925 ) ( 2310000 1389350 0 )
NEW met1 ( 1893360 1369925 ) ( 2310000 1369925 )
NEW met1 ( 1791600 1371405 ) M1M2_PR
NEW met1 ( 1893360 1371405 ) M1M2_PR
NEW met1 ( 1893360 1369925 ) M1M2_PR
NEW met1 ( 2310000 1369925 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[76\] ( mprj la_oen[76] ) ( mgmt_buffers la_oen_core[76] )
+ ROUTED met2 ( 1794000 1325710 0 ) ( 1794000 1388795 )
NEW met2 ( 2326320 1388795 ) ( 2326320 1389350 )
NEW met2 ( 2326320 1389350 ) ( 2327760 1389350 0 )
NEW met1 ( 1794000 1388795 ) ( 2326320 1388795 )
NEW met1 ( 1794000 1388795 ) M1M2_PR
NEW met1 ( 2326320 1388795 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[77\] ( mprj la_oen[77] ) ( mgmt_buffers la_oen_core[77] )
+ ROUTED met2 ( 1796160 1325710 0 ) ( 1797360 1325710 )
NEW met2 ( 1797360 1325710 ) ( 1797360 1341805 )
NEW met2 ( 1893360 1340695 ) ( 1893360 1341805 )
NEW met1 ( 1797360 1341805 ) ( 1893360 1341805 )
NEW met2 ( 2345040 1340695 ) ( 2345040 1389350 0 )
NEW met1 ( 1893360 1340695 ) ( 2345040 1340695 )
NEW met1 ( 1797360 1341805 ) M1M2_PR
NEW met1 ( 1893360 1341805 ) M1M2_PR
NEW met1 ( 1893360 1340695 ) M1M2_PR
NEW met1 ( 2345040 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[78\] ( mprj la_oen[78] ) ( mgmt_buffers la_oen_core[78] )
+ ROUTED met2 ( 2363280 1334405 ) ( 2363280 1389350 0 )
NEW met2 ( 1798080 1325710 0 ) ( 1799280 1325710 )
NEW met2 ( 1799280 1325710 ) ( 1799280 1334405 )
NEW met1 ( 1799280 1334405 ) ( 2363280 1334405 )
NEW met1 ( 2363280 1334405 ) M1M2_PR
NEW met1 ( 1799280 1334405 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[79\] ( mprj la_oen[79] ) ( mgmt_buffers la_oen_core[79] )
+ ROUTED met2 ( 2381040 1370295 ) ( 2381040 1389350 0 )
NEW met2 ( 1800240 1325710 0 ) ( 1800240 1370295 )
NEW met1 ( 1800240 1370295 ) ( 2381040 1370295 )
NEW met1 ( 1800240 1370295 ) M1M2_PR
NEW met1 ( 2381040 1370295 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[7\] ( mprj la_oen[7] ) ( mgmt_buffers la_oen_core[7] )
+ ROUTED met2 ( 1096560 1389350 0 ) ( 1098000 1389350 )
NEW met2 ( 1098000 1325525 ) ( 1098000 1389350 )
NEW met2 ( 1642320 1325525 ) ( 1642320 1325710 )
NEW met2 ( 1642320 1325710 ) ( 1643520 1325710 0 )
NEW met1 ( 1564560 1325155 ) ( 1564560 1325525 )
NEW met1 ( 1564560 1325155 ) ( 1598160 1325155 )
NEW met1 ( 1598160 1325155 ) ( 1598160 1325525 )
NEW met1 ( 1098000 1325525 ) ( 1564560 1325525 )
NEW met1 ( 1598160 1325525 ) ( 1642320 1325525 )
NEW met1 ( 1098000 1325525 ) M1M2_PR
NEW met1 ( 1642320 1325525 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[80\] ( mprj la_oen[80] ) ( mgmt_buffers la_oen_core[80] )
+ ROUTED met2 ( 2398800 1370665 ) ( 2398800 1389350 0 )
NEW met2 ( 1802640 1325710 0 ) ( 1802640 1370665 )
NEW met1 ( 1802640 1370665 ) ( 2398800 1370665 )
NEW met1 ( 1802640 1370665 ) M1M2_PR
NEW met1 ( 2398800 1370665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[81\] ( mprj la_oen[81] ) ( mgmt_buffers la_oen_core[81] )
+ ROUTED met2 ( 2416560 1341435 ) ( 2416560 1389350 0 )
NEW met2 ( 1805040 1325710 0 ) ( 1805040 1341435 )
NEW met1 ( 1805040 1341435 ) ( 2416560 1341435 )
NEW met1 ( 1805040 1341435 ) M1M2_PR
NEW met1 ( 2416560 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[82\] ( mprj la_oen[82] ) ( mgmt_buffers la_oen_core[82] )
+ ROUTED met1 ( 1807920 1382875 ) ( 1829520 1382875 )
NEW met1 ( 1829520 1382875 ) ( 1829520 1383245 )
NEW met2 ( 2434320 1386945 ) ( 2434320 1389350 0 )
NEW met2 ( 1806720 1325710 0 ) ( 1807920 1325710 )
NEW met2 ( 1807920 1325710 ) ( 1807920 1382875 )
NEW met2 ( 1894320 1383245 ) ( 1894320 1386945 )
NEW met1 ( 1829520 1383245 ) ( 1894320 1383245 )
NEW met1 ( 1894320 1386945 ) ( 2434320 1386945 )
NEW met1 ( 1807920 1382875 ) M1M2_PR
NEW met1 ( 2434320 1386945 ) M1M2_PR
NEW met1 ( 1894320 1383245 ) M1M2_PR
NEW met1 ( 1894320 1386945 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[83\] ( mprj la_oen[83] ) ( mgmt_buffers la_oen_core[83] )
+ ROUTED met2 ( 1809120 1325710 0 ) ( 1810320 1325710 )
NEW met2 ( 1810320 1325710 ) ( 1810320 1354015 )
NEW met2 ( 2451120 1391385 ) ( 2451120 1391570 )
NEW met2 ( 2451120 1391570 ) ( 2452560 1391570 0 )
NEW met2 ( 1826160 1354015 ) ( 1826160 1368815 )
NEW met1 ( 1810320 1354015 ) ( 1826160 1354015 )
NEW met2 ( 2203440 1391385 ) ( 2203440 1391570 )
NEW met2 ( 2203440 1391570 ) ( 2203920 1391570 )
NEW met2 ( 2203920 1391385 ) ( 2203920 1391570 )
NEW met1 ( 2203920 1391385 ) ( 2451120 1391385 )
NEW met2 ( 1912080 1368815 ) ( 1912080 1385650 )
NEW met2 ( 1912080 1385650 ) ( 1915920 1385650 )
NEW met2 ( 1915920 1384910 ) ( 1915920 1385650 )
NEW met2 ( 1915920 1384910 ) ( 1916400 1384910 )
NEW met3 ( 1916400 1384910 ) ( 1983600 1384910 )
NEW met2 ( 1983600 1384910 ) ( 1983600 1391385 )
NEW met1 ( 1826160 1368815 ) ( 1912080 1368815 )
NEW met1 ( 1983600 1391385 ) ( 2203440 1391385 )
NEW met1 ( 1810320 1354015 ) M1M2_PR
NEW met1 ( 2451120 1391385 ) M1M2_PR
NEW met1 ( 1826160 1354015 ) M1M2_PR
NEW met1 ( 1826160 1368815 ) M1M2_PR
NEW met1 ( 2203440 1391385 ) M1M2_PR
NEW met1 ( 2203920 1391385 ) M1M2_PR
NEW met1 ( 1912080 1368815 ) M1M2_PR
NEW met2 ( 1916400 1384910 ) via2_FR
NEW met2 ( 1983600 1384910 ) via2_FR
NEW met1 ( 1983600 1391385 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[84\] ( mprj la_oen[84] ) ( mgmt_buffers la_oen_core[84] )
+ ROUTED met2 ( 1811280 1325710 0 ) ( 1811280 1332925 )
NEW met2 ( 2470320 1332925 ) ( 2470320 1389350 0 )
NEW met1 ( 1811280 1332925 ) ( 2470320 1332925 )
NEW met1 ( 2470320 1332925 ) M1M2_PR
NEW met1 ( 1811280 1332925 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[85\] ( mprj la_oen[85] ) ( mgmt_buffers la_oen_core[85] )
+ ROUTED met2 ( 1813680 1325710 0 ) ( 1813680 1332555 )
NEW met2 ( 2488080 1332555 ) ( 2488080 1389350 0 )
NEW met1 ( 1813680 1332555 ) ( 2488080 1332555 )
NEW met1 ( 2488080 1332555 ) M1M2_PR
NEW met1 ( 1813680 1332555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[86\] ( mprj la_oen[86] ) ( mgmt_buffers la_oen_core[86] )
+ ROUTED met2 ( 1815600 1325710 0 ) ( 1815600 1350315 )
NEW met2 ( 1894800 1341805 ) ( 1894800 1350315 )
NEW met1 ( 1815600 1350315 ) ( 1894800 1350315 )
NEW met2 ( 2505840 1341805 ) ( 2505840 1389350 0 )
NEW met1 ( 1894800 1341805 ) ( 2505840 1341805 )
NEW met1 ( 1815600 1350315 ) M1M2_PR
NEW met1 ( 1894800 1350315 ) M1M2_PR
NEW met1 ( 1894800 1341805 ) M1M2_PR
NEW met1 ( 2505840 1341805 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[87\] ( mprj la_oen[87] ) ( mgmt_buffers la_oen_core[87] )
+ ROUTED met2 ( 1817760 1325710 0 ) ( 1818960 1325710 )
NEW met2 ( 1818960 1325710 ) ( 1818960 1386945 )
NEW met2 ( 1893840 1385095 ) ( 1893840 1386945 )
NEW met1 ( 1818960 1386945 ) ( 1893840 1386945 )
NEW met2 ( 2523600 1385095 ) ( 2523600 1389350 0 )
NEW met1 ( 1893840 1385095 ) ( 2523600 1385095 )
NEW met1 ( 1818960 1386945 ) M1M2_PR
NEW met1 ( 1893840 1386945 ) M1M2_PR
NEW met1 ( 1893840 1385095 ) M1M2_PR
NEW met1 ( 2523600 1385095 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[88\] ( mprj la_oen[88] ) ( mgmt_buffers la_oen_core[88] )
+ ROUTED met2 ( 2347440 1369925 ) ( 2347440 1371035 )
NEW met2 ( 1820160 1325710 0 ) ( 1821360 1325710 )
NEW met2 ( 1821360 1325710 ) ( 1821360 1378065 )
NEW met2 ( 1893840 1378065 ) ( 1893840 1378990 )
NEW met2 ( 1893840 1378990 ) ( 1895280 1378990 )
NEW met2 ( 1895280 1372145 ) ( 1895280 1378990 )
NEW met1 ( 1821360 1378065 ) ( 1893840 1378065 )
NEW met2 ( 2045040 1371035 ) ( 2045040 1371775 )
NEW met2 ( 2246640 1371035 ) ( 2246640 1371775 )
NEW met1 ( 2246640 1371035 ) ( 2347440 1371035 )
NEW met2 ( 2541840 1369925 ) ( 2541840 1389350 0 )
NEW met1 ( 2347440 1369925 ) ( 2541840 1369925 )
NEW met1 ( 2045040 1371775 ) ( 2246640 1371775 )
NEW met2 ( 1994640 1371035 ) ( 1994640 1372145 )
NEW met1 ( 1895280 1372145 ) ( 1994640 1372145 )
NEW met1 ( 1994640 1371035 ) ( 2045040 1371035 )
NEW met1 ( 1821360 1378065 ) M1M2_PR
NEW met1 ( 2347440 1371035 ) M1M2_PR
NEW met1 ( 2347440 1369925 ) M1M2_PR
NEW met1 ( 1893840 1378065 ) M1M2_PR
NEW met1 ( 1895280 1372145 ) M1M2_PR
NEW met1 ( 2045040 1371035 ) M1M2_PR
NEW met1 ( 2045040 1371775 ) M1M2_PR
NEW met1 ( 2246640 1371775 ) M1M2_PR
NEW met1 ( 2246640 1371035 ) M1M2_PR
NEW met1 ( 2541840 1369925 ) M1M2_PR
NEW met1 ( 1994640 1372145 ) M1M2_PR
NEW met1 ( 1994640 1371035 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[89\] ( mprj la_oen[89] ) ( mgmt_buffers la_oen_core[89] )
+ ROUTED met2 ( 2559600 1371405 ) ( 2559600 1389350 0 )
NEW met2 ( 1821840 1325710 0 ) ( 1821840 1371035 )
NEW met1 ( 1959120 1371035 ) ( 1959120 1371405 )
NEW met1 ( 1821840 1371035 ) ( 1959120 1371035 )
NEW met1 ( 1959120 1371405 ) ( 2559600 1371405 )
NEW met1 ( 1821840 1371035 ) M1M2_PR
NEW met1 ( 2559600 1371405 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[8\] ( mprj la_oen[8] ) ( mgmt_buffers la_oen_core[8] )
+ ROUTED met2 ( 1115760 1389905 ) ( 1115760 1390090 )
NEW met2 ( 1114320 1390090 0 ) ( 1115760 1390090 )
NEW met2 ( 1645680 1325710 0 ) ( 1645680 1389905 )
NEW met2 ( 1497600 1389350 ) ( 1497600 1389905 )
NEW met2 ( 1497600 1389350 ) ( 1497840 1389350 )
NEW met2 ( 1497840 1388610 ) ( 1497840 1389350 )
NEW met3 ( 1497840 1388610 ) ( 1501680 1388610 )
NEW met2 ( 1501680 1388610 ) ( 1501680 1389905 )
NEW met1 ( 1115760 1389905 ) ( 1497600 1389905 )
NEW met1 ( 1501680 1389905 ) ( 1645680 1389905 )
NEW met1 ( 1115760 1389905 ) M1M2_PR
NEW met1 ( 1645680 1389905 ) M1M2_PR
NEW met1 ( 1497600 1389905 ) M1M2_PR
NEW met2 ( 1497840 1388610 ) via2_FR
NEW met2 ( 1501680 1388610 ) via2_FR
NEW met1 ( 1501680 1389905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[90\] ( mprj la_oen[90] ) ( mgmt_buffers la_oen_core[90] )
+ ROUTED met2 ( 2577360 1384355 ) ( 2577360 1389350 0 )
NEW met2 ( 1824240 1325710 0 ) ( 1824240 1385095 )
NEW met2 ( 1893360 1384355 ) ( 1893360 1385095 )
NEW met1 ( 1824240 1385095 ) ( 1893360 1385095 )
NEW met1 ( 1893360 1384355 ) ( 2577360 1384355 )
NEW met1 ( 1824240 1385095 ) M1M2_PR
NEW met1 ( 2577360 1384355 ) M1M2_PR
NEW met1 ( 1893360 1385095 ) M1M2_PR
NEW met1 ( 1893360 1384355 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[91\] ( mprj la_oen[91] ) ( mgmt_buffers la_oen_core[91] )
+ ROUTED met2 ( 1826640 1325710 0 ) ( 1826640 1354015 )
NEW met1 ( 1826640 1354015 ) ( 1835280 1354015 )
NEW met2 ( 1835280 1354015 ) ( 1835280 1383615 )
NEW met2 ( 2595120 1383615 ) ( 2595120 1389350 0 )
NEW met1 ( 1835280 1383615 ) ( 2595120 1383615 )
NEW met1 ( 1826640 1354015 ) M1M2_PR
NEW met1 ( 1835280 1354015 ) M1M2_PR
NEW met1 ( 1835280 1383615 ) M1M2_PR
NEW met1 ( 2595120 1383615 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[92\] ( mprj la_oen[92] ) ( mgmt_buffers la_oen_core[92] )
+ ROUTED met2 ( 2612880 1331075 ) ( 2612880 1389350 0 )
NEW met2 ( 1828800 1325710 0 ) ( 1830000 1325710 )
NEW met2 ( 1830000 1325710 ) ( 1830000 1331075 )
NEW met1 ( 1830000 1331075 ) ( 2612880 1331075 )
NEW met1 ( 2612880 1331075 ) M1M2_PR
NEW met1 ( 1830000 1331075 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[93\] ( mprj la_oen[93] ) ( mgmt_buffers la_oen_core[93] )
+ ROUTED met2 ( 2630640 1343285 ) ( 2630640 1389350 0 )
NEW met2 ( 1830720 1325710 0 ) ( 1831920 1325710 )
NEW met2 ( 1831920 1325710 ) ( 1831920 1342175 )
NEW met1 ( 1831920 1342175 ) ( 1885680 1342175 )
NEW met2 ( 1885680 1342175 ) ( 1885680 1343285 )
NEW met1 ( 1885680 1343285 ) ( 2630640 1343285 )
NEW met1 ( 2630640 1343285 ) M1M2_PR
NEW met1 ( 1831920 1342175 ) M1M2_PR
NEW met1 ( 1885680 1342175 ) M1M2_PR
NEW met1 ( 1885680 1343285 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[94\] ( mprj la_oen[94] ) ( mgmt_buffers la_oen_core[94] )
+ ROUTED met2 ( 2648880 1344025 ) ( 2648880 1389350 0 )
NEW met2 ( 1832880 1325710 0 ) ( 1832880 1348835 )
NEW met2 ( 1895280 1344025 ) ( 1895280 1348835 )
NEW met1 ( 1832880 1348835 ) ( 1895280 1348835 )
NEW met1 ( 1895280 1344025 ) ( 2648880 1344025 )
NEW met1 ( 1832880 1348835 ) M1M2_PR
NEW met1 ( 2648880 1344025 ) M1M2_PR
NEW met1 ( 1895280 1348835 ) M1M2_PR
NEW met1 ( 1895280 1344025 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[95\] ( mprj la_oen[95] ) ( mgmt_buffers la_oen_core[95] )
+ ROUTED met2 ( 1835280 1325710 0 ) ( 1835280 1329595 )
NEW met2 ( 1994640 1329595 ) ( 1994640 1335145 )
NEW met2 ( 2666160 1329595 ) ( 2666160 1389350 0 )
NEW met2 ( 1894320 1329595 ) ( 1894320 1335145 )
NEW met1 ( 1835280 1329595 ) ( 1894320 1329595 )
NEW met1 ( 1894320 1335145 ) ( 1994640 1335145 )
NEW met1 ( 1994640 1329595 ) ( 2666160 1329595 )
NEW met1 ( 2666160 1329595 ) M1M2_PR
NEW met1 ( 1835280 1329595 ) M1M2_PR
NEW met1 ( 1994640 1335145 ) M1M2_PR
NEW met1 ( 1994640 1329595 ) M1M2_PR
NEW met1 ( 1894320 1329595 ) M1M2_PR
NEW met1 ( 1894320 1335145 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[96\] ( mprj la_oen[96] ) ( mgmt_buffers la_oen_core[96] )
+ ROUTED met2 ( 1994160 1343655 ) ( 1994160 1344765 )
NEW met2 ( 1837680 1325710 0 ) ( 1837680 1351055 )
NEW met2 ( 1894320 1343655 ) ( 1894320 1351055 )
NEW met1 ( 1837680 1351055 ) ( 1894320 1351055 )
NEW met1 ( 1894320 1343655 ) ( 1994160 1343655 )
NEW met2 ( 2684400 1344765 ) ( 2684400 1389350 0 )
NEW met1 ( 1994160 1344765 ) ( 2684400 1344765 )
NEW met1 ( 1837680 1351055 ) M1M2_PR
NEW met1 ( 1994160 1343655 ) M1M2_PR
NEW met1 ( 1994160 1344765 ) M1M2_PR
NEW met1 ( 1894320 1351055 ) M1M2_PR
NEW met1 ( 1894320 1343655 ) M1M2_PR
NEW met1 ( 2684400 1344765 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[97\] ( mprj la_oen[97] ) ( mgmt_buffers la_oen_core[97] )
+ ROUTED met2 ( 1839360 1325710 0 ) ( 1840560 1325710 )
NEW met2 ( 1840560 1325710 ) ( 1840560 1374365 )
NEW met2 ( 1893360 1373255 ) ( 1893360 1374365 )
NEW met1 ( 1840560 1374365 ) ( 1893360 1374365 )
NEW met2 ( 2702160 1373255 ) ( 2702160 1389350 0 )
NEW met1 ( 1893360 1373255 ) ( 2702160 1373255 )
NEW met1 ( 1840560 1374365 ) M1M2_PR
NEW met1 ( 1893360 1374365 ) M1M2_PR
NEW met1 ( 1893360 1373255 ) M1M2_PR
NEW met1 ( 2702160 1373255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[98\] ( mprj la_oen[98] ) ( mgmt_buffers la_oen_core[98] )
+ ROUTED met2 ( 2397360 1356235 ) ( 2397360 1357715 )
NEW met2 ( 2591760 1356235 ) ( 2591760 1357715 )
NEW met2 ( 2296560 1356235 ) ( 2296560 1357715 )
NEW met1 ( 2296560 1357715 ) ( 2397360 1357715 )
NEW met2 ( 2498160 1356235 ) ( 2498160 1357715 )
NEW met1 ( 2397360 1356235 ) ( 2498160 1356235 )
NEW met1 ( 2498160 1357715 ) ( 2591760 1357715 )
NEW met2 ( 2719920 1356235 ) ( 2719920 1389350 0 )
NEW met1 ( 2591760 1356235 ) ( 2719920 1356235 )
NEW met2 ( 1841760 1325710 0 ) ( 1842960 1325710 )
NEW met2 ( 1842960 1325710 ) ( 1842960 1328485 )
NEW met1 ( 1842960 1328485 ) ( 1853520 1328485 )
NEW met2 ( 1853520 1328485 ) ( 1853520 1352350 )
NEW met3 ( 1853280 1352350 ) ( 1853520 1352350 )
NEW met4 ( 1853280 1350315 ) ( 1853280 1352350 )
NEW met5 ( 1853280 1350315 ) ( 1908960 1350315 )
NEW met4 ( 1908960 1350315 ) ( 1908960 1356050 )
NEW met3 ( 1908960 1356050 ) ( 1909680 1356050 )
NEW met2 ( 1909680 1356050 ) ( 1909680 1356235 )
NEW met2 ( 2048400 1356235 ) ( 2048400 1359565 )
NEW met1 ( 1909680 1356235 ) ( 2048400 1356235 )
NEW met2 ( 2167440 1356235 ) ( 2167440 1359565 )
NEW met1 ( 2048400 1359565 ) ( 2167440 1359565 )
NEW met1 ( 2167440 1356235 ) ( 2296560 1356235 )
NEW met1 ( 2397360 1357715 ) M1M2_PR
NEW met1 ( 2397360 1356235 ) M1M2_PR
NEW met1 ( 2591760 1357715 ) M1M2_PR
NEW met1 ( 2591760 1356235 ) M1M2_PR
NEW met1 ( 2296560 1356235 ) M1M2_PR
NEW met1 ( 2296560 1357715 ) M1M2_PR
NEW met1 ( 2498160 1356235 ) M1M2_PR
NEW met1 ( 2498160 1357715 ) M1M2_PR
NEW met1 ( 2719920 1356235 ) M1M2_PR
NEW met1 ( 1842960 1328485 ) M1M2_PR
NEW met1 ( 1853520 1328485 ) M1M2_PR
NEW met2 ( 1853520 1352350 ) via2_FR
NEW met3 ( 1853280 1352350 ) M3M4_PR_M
NEW met4 ( 1853280 1350315 ) via4_FR
NEW met4 ( 1908960 1350315 ) via4_FR
NEW met3 ( 1908960 1356050 ) M3M4_PR_M
NEW met2 ( 1909680 1356050 ) via2_FR
NEW met1 ( 1909680 1356235 ) M1M2_PR
NEW met1 ( 2048400 1356235 ) M1M2_PR
NEW met1 ( 2048400 1359565 ) M1M2_PR
NEW met1 ( 2167440 1359565 ) M1M2_PR
NEW met1 ( 2167440 1356235 ) M1M2_PR
NEW met3 ( 1853520 1352350 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_oen_user\[99\] ( mprj la_oen[99] ) ( mgmt_buffers la_oen_core[99] )
+ ROUTED met2 ( 2738160 1328485 ) ( 2738160 1389350 0 )
NEW met2 ( 1942800 1325895 ) ( 1942800 1335885 )
NEW met2 ( 2096880 1328485 ) ( 2096880 1335885 )
NEW met1 ( 1942800 1335885 ) ( 2096880 1335885 )
NEW met1 ( 2096880 1328485 ) ( 2738160 1328485 )
NEW met2 ( 1843920 1324230 0 ) ( 1845360 1324230 )
NEW met2 ( 1845360 1324230 ) ( 1845360 1324415 )
NEW met1 ( 1845360 1324415 ) ( 1848720 1324415 )
NEW met2 ( 1848720 1324415 ) ( 1848720 1326635 )
NEW met1 ( 1848720 1326635 ) ( 1890960 1326635 )
NEW met2 ( 1890960 1326635 ) ( 1890960 1327005 )
NEW met2 ( 1890960 1327005 ) ( 1891920 1327005 )
NEW met1 ( 1891920 1327005 ) ( 1893840 1327005 )
NEW met2 ( 1893840 1327005 ) ( 1893840 1327375 )
NEW met2 ( 1893840 1327375 ) ( 1894800 1327375 )
NEW met1 ( 1894800 1327375 ) ( 1899120 1327375 )
NEW met1 ( 1899120 1326635 ) ( 1899120 1327375 )
NEW met1 ( 1899120 1326635 ) ( 1900560 1326635 )
NEW met1 ( 1900560 1326265 ) ( 1900560 1326635 )
NEW met1 ( 1900560 1326265 ) ( 1906320 1326265 )
NEW met1 ( 1906320 1325895 ) ( 1906320 1326265 )
NEW met1 ( 1906320 1325895 ) ( 1942800 1325895 )
NEW met1 ( 2738160 1328485 ) M1M2_PR
NEW met1 ( 1942800 1325895 ) M1M2_PR
NEW met1 ( 1942800 1335885 ) M1M2_PR
NEW met1 ( 2096880 1335885 ) M1M2_PR
NEW met1 ( 2096880 1328485 ) M1M2_PR
NEW met1 ( 1845360 1324415 ) M1M2_PR
NEW met1 ( 1848720 1324415 ) M1M2_PR
NEW met1 ( 1848720 1326635 ) M1M2_PR
NEW met1 ( 1890960 1326635 ) M1M2_PR
NEW met1 ( 1891920 1327005 ) M1M2_PR
NEW met1 ( 1893840 1327005 ) M1M2_PR
NEW met1 ( 1894800 1327375 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[9\] ( mprj la_oen[9] ) ( mgmt_buffers la_oen_core[9] )
+ ROUTED met2 ( 1132080 1329595 ) ( 1132080 1389350 0 )
NEW met2 ( 1648080 1325710 0 ) ( 1648080 1329595 )
NEW met1 ( 1132080 1329595 ) ( 1648080 1329595 )
NEW met1 ( 1132080 1329595 ) M1M2_PR
NEW met1 ( 1648080 1329595 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[0\] ( user_id_value mask_rev[0] ) ( soc mask_rev[0] )
+ ROUTED met3 ( 3202080 404410 0 ) ( 3235920 404410 )
NEW met2 ( 3235920 404410 ) ( 3235920 462685 )
NEW met2 ( 3303600 439930 0 ) ( 3303600 462685 )
NEW met1 ( 3235920 462685 ) ( 3303600 462685 )
NEW met2 ( 3235920 404410 ) via2_FR
NEW met1 ( 3235920 462685 ) M1M2_PR
NEW met1 ( 3303600 462685 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[10\] ( user_id_value mask_rev[10] ) ( soc mask_rev[10] )
+ ROUTED met3 ( 3202080 401450 0 ) ( 3202080 402190 )
NEW met3 ( 3202080 402190 ) ( 3239280 402190 )
NEW met2 ( 3239280 393495 ) ( 3239280 402190 )
NEW met2 ( 3303600 393495 ) ( 3303600 405150 0 )
NEW met1 ( 3239280 393495 ) ( 3303600 393495 )
NEW met2 ( 3239280 402190 ) via2_FR
NEW met1 ( 3239280 393495 ) M1M2_PR
NEW met1 ( 3303600 393495 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[11\] ( user_id_value mask_rev[11] ) ( soc mask_rev[11] )
+ ROUTED met3 ( 3202080 406630 ) ( 3202080 407370 0 )
NEW met3 ( 3202080 406630 ) ( 3239760 406630 )
NEW met2 ( 3239760 406445 ) ( 3239760 406630 )
NEW met1 ( 3239760 406445 ) ( 3287760 406445 )
NEW met2 ( 3287760 406445 ) ( 3287760 406630 )
NEW met2 ( 3287760 406630 ) ( 3288960 406630 0 )
NEW met2 ( 3239760 406630 ) via2_FR
NEW met1 ( 3239760 406445 ) M1M2_PR
NEW met1 ( 3287760 406445 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[12\] ( user_id_value mask_rev[12] ) ( soc mask_rev[12] )
+ ROUTED met3 ( 3202080 398860 0 ) ( 3202080 400710 )
NEW met3 ( 3202080 400710 ) ( 3239760 400710 )
NEW met2 ( 3239760 400710 ) ( 3239760 400895 )
NEW met1 ( 3239760 400895 ) ( 3284880 400895 )
NEW met2 ( 3284880 400895 ) ( 3284880 405150 )
NEW met2 ( 3284880 405150 ) ( 3286080 405150 0 )
NEW met2 ( 3239760 400710 ) via2_FR
NEW met1 ( 3239760 400895 ) M1M2_PR
NEW met1 ( 3284880 400895 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[13\] ( user_id_value mask_rev[13] ) ( soc mask_rev[13] )
+ ROUTED met3 ( 3202080 409590 0 ) ( 3202080 411070 )
NEW met3 ( 3202080 411070 ) ( 3237840 411070 )
NEW met2 ( 3237840 411070 ) ( 3237840 463055 )
NEW met2 ( 3308880 439930 ) ( 3310080 439930 0 )
NEW met2 ( 3308880 439930 ) ( 3308880 463055 )
NEW met1 ( 3237840 463055 ) ( 3308880 463055 )
NEW met2 ( 3237840 411070 ) via2_FR
NEW met1 ( 3237840 463055 ) M1M2_PR
NEW met1 ( 3308880 463055 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[14\] ( user_id_value mask_rev[14] ) ( soc mask_rev[14] )
+ ROUTED met3 ( 3202080 396270 0 ) ( 3239760 396270 )
NEW met2 ( 3239760 391645 ) ( 3239760 396270 )
NEW met2 ( 3312720 391645 ) ( 3312720 405150 0 )
NEW met1 ( 3239760 391645 ) ( 3312720 391645 )
NEW met2 ( 3239760 396270 ) via2_FR
NEW met1 ( 3239760 391645 ) M1M2_PR
NEW met1 ( 3312720 391645 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[15\] ( user_id_value mask_rev[15] ) ( soc mask_rev[15] )
+ ROUTED met3 ( 3202080 412550 0 ) ( 3239760 412550 )
NEW met2 ( 3239760 409405 ) ( 3239760 412550 )
NEW met2 ( 3316560 409405 ) ( 3316560 410330 )
NEW met3 ( 3316320 410330 ) ( 3316560 410330 )
NEW met3 ( 3316320 410330 ) ( 3316320 413290 0 )
NEW met1 ( 3239760 409405 ) ( 3316560 409405 )
NEW met2 ( 3239760 412550 ) via2_FR
NEW met1 ( 3239760 409405 ) M1M2_PR
NEW met1 ( 3316560 409405 ) M1M2_PR
NEW met2 ( 3316560 410330 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[16\] ( user_id_value mask_rev[16] ) ( soc mask_rev[16] )
+ ROUTED met3 ( 3202080 393310 0 ) ( 3230640 393310 )
NEW met2 ( 3230640 393310 ) ( 3230640 395715 )
NEW met1 ( 3230640 395715 ) ( 3294480 395715 )
NEW met2 ( 3294480 395715 ) ( 3294480 405150 0 )
NEW met2 ( 3230640 393310 ) via2_FR
NEW met1 ( 3230640 395715 ) M1M2_PR
NEW met1 ( 3294480 395715 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[17\] ( user_id_value mask_rev[17] ) ( soc mask_rev[17] )
+ ROUTED met3 ( 3201120 415510 0 ) ( 3201120 416990 )
NEW met3 ( 3201120 416990 ) ( 3239760 416990 )
NEW met2 ( 3239760 416990 ) ( 3239760 417175 )
NEW met1 ( 3239760 417175 ) ( 3285360 417175 )
NEW met1 ( 3285360 435675 ) ( 3285360 436415 )
NEW met1 ( 3285360 436415 ) ( 3290160 436415 )
NEW met2 ( 3290160 436230 ) ( 3290160 436415 )
NEW met2 ( 3290160 436230 ) ( 3291600 436230 0 )
NEW met2 ( 3285360 417175 ) ( 3285360 435675 )
NEW met2 ( 3239760 416990 ) via2_FR
NEW met1 ( 3239760 417175 ) M1M2_PR
NEW met1 ( 3285360 417175 ) M1M2_PR
NEW met1 ( 3285360 435675 ) M1M2_PR
NEW met1 ( 3290160 436415 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[18\] ( user_id_value mask_rev[18] ) ( soc mask_rev[18] )
+ ROUTED met3 ( 3202080 390720 0 ) ( 3202080 392570 )
NEW met3 ( 3202080 392570 ) ( 3234480 392570 )
NEW met2 ( 3234480 392570 ) ( 3234480 393125 )
NEW met1 ( 3234480 393125 ) ( 3256080 393125 )
NEW met2 ( 3256080 393125 ) ( 3256080 431050 )
NEW met3 ( 3256080 431050 ) ( 3283680 431050 0 )
NEW met2 ( 3234480 392570 ) via2_FR
NEW met1 ( 3234480 393125 ) M1M2_PR
NEW met1 ( 3256080 393125 ) M1M2_PR
NEW met2 ( 3256080 431050 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[19\] ( user_id_value mask_rev[19] ) ( soc mask_rev[19] )
+ ROUTED met3 ( 3202080 417730 0 ) ( 3202080 419210 )
NEW met2 ( 3257040 419210 ) ( 3257040 436785 )
NEW met1 ( 3257040 436785 ) ( 3296880 436785 )
NEW met2 ( 3296880 436785 ) ( 3296880 436970 )
NEW met2 ( 3296880 436970 ) ( 3298080 436970 0 )
NEW met3 ( 3202080 419210 ) ( 3257040 419210 )
NEW met2 ( 3257040 419210 ) via2_FR
NEW met1 ( 3257040 436785 ) M1M2_PR
NEW met1 ( 3296880 436785 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[1\] ( user_id_value mask_rev[1] ) ( soc mask_rev[1] )
+ ROUTED met3 ( 3202080 388130 0 ) ( 3239760 388130 )
NEW met2 ( 3239760 388130 ) ( 3239760 388315 )
NEW met1 ( 3239760 388315 ) ( 3255120 388315 )
NEW met2 ( 3255120 388315 ) ( 3255120 421430 )
NEW met3 ( 3255120 421430 ) ( 3283680 421430 0 )
NEW met2 ( 3239760 388130 ) via2_FR
NEW met1 ( 3239760 388315 ) M1M2_PR
NEW met1 ( 3255120 388315 ) M1M2_PR
NEW met2 ( 3255120 421430 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[20\] ( user_id_value mask_rev[20] ) ( soc mask_rev[20] )
+ ROUTED met3 ( 3202080 420690 0 ) ( 3229680 420690 )
NEW met2 ( 3229680 409035 ) ( 3229680 420690 )
NEW met2 ( 3317040 409035 ) ( 3317040 414030 )
NEW met3 ( 3317040 414030 ) ( 3317280 414030 )
NEW met3 ( 3317280 414030 ) ( 3317280 416990 0 )
NEW met1 ( 3229680 409035 ) ( 3317040 409035 )
NEW met2 ( 3229680 420690 ) via2_FR
NEW met1 ( 3229680 409035 ) M1M2_PR
NEW met1 ( 3317040 409035 ) M1M2_PR
NEW met2 ( 3317040 414030 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[21\] ( user_id_value mask_rev[21] ) ( soc mask_rev[21] )
+ ROUTED met3 ( 3202080 385170 0 ) ( 3239760 385170 )
NEW met2 ( 3239760 385170 ) ( 3239760 386465 )
NEW met2 ( 3314640 386465 ) ( 3314640 405890 )
NEW met3 ( 3314640 405890 ) ( 3315360 405890 )
NEW met3 ( 3315360 405890 ) ( 3315360 408850 0 )
NEW met1 ( 3239760 386465 ) ( 3314640 386465 )
NEW met2 ( 3239760 385170 ) via2_FR
NEW met1 ( 3239760 386465 ) M1M2_PR
NEW met1 ( 3314640 386465 ) M1M2_PR
NEW met2 ( 3314640 405890 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[22\] ( user_id_value mask_rev[22] ) ( soc mask_rev[22] )
+ ROUTED met3 ( 3201120 423650 0 ) ( 3201120 425130 )
NEW met3 ( 3201120 425130 ) ( 3231600 425130 )
NEW met2 ( 3231600 425130 ) ( 3231600 426055 )
NEW met1 ( 3231600 426055 ) ( 3254640 426055 )
NEW met3 ( 3254640 434750 ) ( 3283680 434750 0 )
NEW met2 ( 3254640 426055 ) ( 3254640 434750 )
NEW met2 ( 3231600 425130 ) via2_FR
NEW met1 ( 3231600 426055 ) M1M2_PR
NEW met1 ( 3254640 426055 ) M1M2_PR
NEW met2 ( 3254640 434750 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[23\] ( user_id_value mask_rev[23] ) ( soc mask_rev[23] )
+ ROUTED met3 ( 3202080 382580 0 ) ( 3202080 384430 )
NEW met3 ( 3202080 384430 ) ( 3232560 384430 )
NEW met2 ( 3232560 384430 ) ( 3232560 384615 )
NEW met1 ( 3232560 384615 ) ( 3291600 384615 )
NEW met2 ( 3291600 384615 ) ( 3291600 405150 0 )
NEW met2 ( 3232560 384430 ) via2_FR
NEW met1 ( 3232560 384615 ) M1M2_PR
NEW met1 ( 3291600 384615 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[24\] ( user_id_value mask_rev[24] ) ( soc mask_rev[24] )
+ ROUTED met3 ( 3202080 425870 0 ) ( 3202080 427350 )
NEW met3 ( 3202080 427350 ) ( 3238800 427350 )
NEW met2 ( 3238800 427350 ) ( 3238800 461575 )
NEW met2 ( 3312720 439930 0 ) ( 3312720 461575 )
NEW met1 ( 3238800 461575 ) ( 3312720 461575 )
NEW met2 ( 3238800 427350 ) via2_FR
NEW met1 ( 3238800 461575 ) M1M2_PR
NEW met1 ( 3312720 461575 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[25\] ( user_id_value mask_rev[25] ) ( soc mask_rev[25] )
+ ROUTED met3 ( 3202080 379990 0 ) ( 3232560 379990 )
NEW met2 ( 3232560 379990 ) ( 3232560 383875 )
NEW met1 ( 3232560 383875 ) ( 3254640 383875 )
NEW met2 ( 3254640 383875 ) ( 3254640 413290 )
NEW met3 ( 3254640 413290 ) ( 3283680 413290 0 )
NEW met2 ( 3232560 379990 ) via2_FR
NEW met1 ( 3232560 383875 ) M1M2_PR
NEW met1 ( 3254640 383875 ) M1M2_PR
NEW met2 ( 3254640 413290 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[26\] ( user_id_value mask_rev[26] ) ( soc mask_rev[26] )
+ ROUTED met3 ( 3202080 428830 0 ) ( 3230640 428830 )
NEW met2 ( 3230640 428830 ) ( 3230640 461205 )
NEW met2 ( 3306000 439930 ) ( 3307200 439930 0 )
NEW met2 ( 3306000 439930 ) ( 3306000 461205 )
NEW met1 ( 3230640 461205 ) ( 3306000 461205 )
NEW met2 ( 3230640 428830 ) via2_FR
NEW met1 ( 3230640 461205 ) M1M2_PR
NEW met1 ( 3306000 461205 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[27\] ( user_id_value mask_rev[27] ) ( soc mask_rev[27] )
+ ROUTED met3 ( 3202080 377030 0 ) ( 3234480 377030 )
NEW met2 ( 3234480 377030 ) ( 3234480 377955 )
NEW met1 ( 3234480 377955 ) ( 3284400 377955 )
NEW met2 ( 3284400 436230 ) ( 3288960 436230 0 )
NEW met2 ( 3284400 377955 ) ( 3284400 436230 )
NEW met2 ( 3234480 377030 ) via2_FR
NEW met1 ( 3234480 377955 ) M1M2_PR
NEW met1 ( 3284400 377955 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[28\] ( user_id_value mask_rev[28] ) ( soc mask_rev[28] )
+ ROUTED met3 ( 3202080 431050 ) ( 3202080 431790 0 )
NEW met3 ( 3202080 431050 ) ( 3239280 431050 )
NEW met2 ( 3239280 431050 ) ( 3239280 462315 )
NEW met2 ( 3315600 439930 0 ) ( 3315600 462315 )
NEW met1 ( 3239280 462315 ) ( 3315600 462315 )
NEW met2 ( 3239280 431050 ) via2_FR
NEW met1 ( 3239280 462315 ) M1M2_PR
NEW met1 ( 3315600 462315 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[29\] ( user_id_value mask_rev[29] ) ( soc mask_rev[29] )
+ ROUTED met3 ( 3202080 374440 0 ) ( 3202080 375550 )
NEW met3 ( 3202080 375550 ) ( 3239760 375550 )
NEW met2 ( 3239760 375550 ) ( 3239760 376475 )
NEW met2 ( 3308880 376475 ) ( 3308880 405150 )
NEW met2 ( 3308880 405150 ) ( 3310080 405150 0 )
NEW met1 ( 3239760 376475 ) ( 3308880 376475 )
NEW met2 ( 3239760 375550 ) via2_FR
NEW met1 ( 3239760 376475 ) M1M2_PR
NEW met1 ( 3308880 376475 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[2\] ( user_id_value mask_rev[2] ) ( soc mask_rev[2] )
+ ROUTED met3 ( 3255600 416990 ) ( 3283680 416990 0 )
NEW met3 ( 3201120 433270 ) ( 3201120 434010 0 )
NEW met3 ( 3201120 433270 ) ( 3227280 433270 )
NEW met2 ( 3227280 432345 ) ( 3227280 433270 )
NEW met1 ( 3227280 432345 ) ( 3255600 432345 )
NEW met2 ( 3255600 416990 ) ( 3255600 432345 )
NEW met2 ( 3255600 416990 ) via2_FR
NEW met2 ( 3227280 433270 ) via2_FR
NEW met1 ( 3227280 432345 ) M1M2_PR
NEW met1 ( 3255600 432345 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[30\] ( user_id_value mask_rev[30] ) ( soc mask_rev[30] )
+ ROUTED met3 ( 3202080 371850 0 ) ( 3234960 371850 )
NEW met2 ( 3234960 363155 ) ( 3234960 371850 )
NEW met2 ( 3317520 399970 ) ( 3318000 399970 )
NEW met2 ( 3318000 399970 ) ( 3318000 428090 )
NEW met3 ( 3318000 428090 ) ( 3318240 428090 )
NEW met3 ( 3318240 428090 ) ( 3318240 431050 0 )
NEW met1 ( 3234960 363155 ) ( 3317520 363155 )
NEW met2 ( 3317520 363155 ) ( 3317520 399970 )
NEW met2 ( 3234960 371850 ) via2_FR
NEW met1 ( 3234960 363155 ) M1M2_PR
NEW met2 ( 3318000 428090 ) via2_FR
NEW met1 ( 3317520 363155 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[31\] ( user_id_value mask_rev[31] ) ( soc mask_rev[31] )
+ ROUTED met1 ( 3236880 388685 ) ( 3297360 388685 )
NEW met2 ( 3297360 388685 ) ( 3297360 403485 )
NEW met2 ( 3297840 403485 ) ( 3297840 403670 )
NEW met2 ( 3297840 403670 ) ( 3298080 403670 )
NEW met2 ( 3298080 403670 ) ( 3298080 405150 0 )
NEW met1 ( 3297360 403485 ) ( 3297840 403485 )
NEW met3 ( 3202080 436970 0 ) ( 3236880 436970 )
NEW met2 ( 3236880 388685 ) ( 3236880 436970 )
NEW met1 ( 3236880 388685 ) M1M2_PR
NEW met1 ( 3297360 388685 ) M1M2_PR
NEW met1 ( 3297360 403485 ) M1M2_PR
NEW met1 ( 3297840 403485 ) M1M2_PR
NEW met2 ( 3236880 436970 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[3\] ( user_id_value mask_rev[3] ) ( soc mask_rev[3] )
+ ROUTED met3 ( 3202080 368890 0 ) ( 3239280 368890 )
NEW met2 ( 3239280 361305 ) ( 3239280 368890 )
NEW met1 ( 3239280 361305 ) ( 3300720 361305 )
NEW met2 ( 3300720 361305 ) ( 3300720 405150 0 )
NEW met2 ( 3239280 368890 ) via2_FR
NEW met1 ( 3239280 361305 ) M1M2_PR
NEW met1 ( 3300720 361305 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[4\] ( user_id_value mask_rev[4] ) ( soc mask_rev[4] )
+ ROUTED met3 ( 3316320 425870 ) ( 3316560 425870 )
NEW met3 ( 3316320 422910 0 ) ( 3316320 425870 )
NEW met3 ( 3202080 438450 ) ( 3202080 439930 0 )
NEW met3 ( 3202080 438450 ) ( 3227280 438450 )
NEW met2 ( 3227280 435305 ) ( 3227280 438450 )
NEW met1 ( 3227280 435305 ) ( 3316560 435305 )
NEW met2 ( 3316560 425870 ) ( 3316560 435305 )
NEW met2 ( 3316560 425870 ) via2_FR
NEW met2 ( 3227280 438450 ) via2_FR
NEW met1 ( 3227280 435305 ) M1M2_PR
NEW met1 ( 3316560 435305 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[5\] ( user_id_value mask_rev[5] ) ( soc mask_rev[5] )
+ ROUTED met3 ( 3202080 365190 ) ( 3202080 366300 0 )
NEW met3 ( 3202080 365190 ) ( 3239760 365190 )
NEW met2 ( 3239760 363525 ) ( 3239760 365190 )
NEW met2 ( 3316560 400710 ) ( 3317520 400710 )
NEW met2 ( 3317520 400710 ) ( 3317520 423650 )
NEW met3 ( 3317280 423650 ) ( 3317520 423650 )
NEW met3 ( 3317280 423650 ) ( 3317280 426610 0 )
NEW met1 ( 3239760 363525 ) ( 3316560 363525 )
NEW met2 ( 3316560 363525 ) ( 3316560 400710 )
NEW met2 ( 3239760 365190 ) via2_FR
NEW met1 ( 3239760 363525 ) M1M2_PR
NEW met2 ( 3317520 423650 ) via2_FR
NEW met1 ( 3316560 363525 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[6\] ( user_id_value mask_rev[6] ) ( soc mask_rev[6] )
+ ROUTED met3 ( 3255120 426610 ) ( 3283680 426610 0 )
NEW met3 ( 3201120 440670 ) ( 3201120 442150 0 )
NEW met3 ( 3201120 440670 ) ( 3228720 440670 )
NEW met2 ( 3228720 434935 ) ( 3228720 440670 )
NEW met1 ( 3228720 434935 ) ( 3255120 434935 )
NEW met2 ( 3255120 426610 ) ( 3255120 434935 )
NEW met2 ( 3255120 426610 ) via2_FR
NEW met2 ( 3228720 440670 ) via2_FR
NEW met1 ( 3228720 434935 ) M1M2_PR
NEW met1 ( 3255120 434935 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[7\] ( user_id_value mask_rev[7] ) ( soc mask_rev[7] )
+ ROUTED met2 ( 3232080 374440 ) ( 3232560 374440 )
NEW met3 ( 3202080 363710 0 ) ( 3232560 363710 )
NEW met2 ( 3232560 363710 ) ( 3232560 374440 )
NEW met2 ( 3232080 374440 ) ( 3232080 463425 )
NEW met2 ( 3299280 439930 ) ( 3300720 439930 0 )
NEW met2 ( 3299280 439930 ) ( 3299280 463425 )
NEW met1 ( 3232080 463425 ) ( 3299280 463425 )
NEW met2 ( 3232560 363710 ) via2_FR
NEW met1 ( 3232080 463425 ) M1M2_PR
NEW met1 ( 3299280 463425 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[8\] ( user_id_value mask_rev[8] ) ( soc mask_rev[8] )
+ ROUTED met2 ( 3305520 408110 ) ( 3307200 408110 0 )
NEW met2 ( 3305520 408110 ) ( 3305520 408295 )
NEW met1 ( 3238320 408295 ) ( 3305520 408295 )
NEW met3 ( 3202080 445110 0 ) ( 3238320 445110 )
NEW met2 ( 3238320 408295 ) ( 3238320 445110 )
NEW met1 ( 3238320 408295 ) M1M2_PR
NEW met1 ( 3305520 408295 ) M1M2_PR
NEW met2 ( 3238320 445110 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[9\] ( user_id_value mask_rev[9] ) ( soc mask_rev[9] )
+ ROUTED met3 ( 3202080 360750 0 ) ( 3233520 360750 )
NEW met2 ( 3233520 360750 ) ( 3233520 460835 )
NEW met2 ( 3294480 439930 0 ) ( 3294480 460835 )
NEW met1 ( 3233520 460835 ) ( 3294480 460835 )
NEW met2 ( 3233520 360750 ) via2_FR
NEW met1 ( 3233520 460835 ) M1M2_PR
NEW met1 ( 3294480 460835 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[0\] ( storage mgmt_addr[0] ) ( soc mgmt_addr[0] )
+ ROUTED met2 ( 1024080 302475 ) ( 1024080 306730 )
NEW met2 ( 741840 270655 ) ( 741840 302475 )
NEW met1 ( 741840 302475 ) ( 1024080 302475 )
NEW met3 ( 704160 267880 0 ) ( 704160 268990 )
NEW met3 ( 704160 268990 ) ( 720240 268990 )
NEW met2 ( 720240 268990 ) ( 720240 270655 )
NEW met1 ( 720240 270655 ) ( 741840 270655 )
NEW met3 ( 1024080 306730 ) ( 1052640 306730 0 )
NEW met1 ( 1024080 302475 ) M1M2_PR
NEW met2 ( 1024080 306730 ) via2_FR
NEW met1 ( 741840 270655 ) M1M2_PR
NEW met1 ( 741840 302475 ) M1M2_PR
NEW met2 ( 720240 268990 ) via2_FR
NEW met1 ( 720240 270655 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[1\] ( storage mgmt_addr[1] ) ( soc mgmt_addr[1] )
+ ROUTED met2 ( 1024560 302845 ) ( 1024560 308950 )
NEW met2 ( 755760 273245 ) ( 755760 302845 )
NEW met1 ( 755760 302845 ) ( 1024560 302845 )
NEW met2 ( 720240 273245 ) ( 720240 273430 )
NEW met3 ( 704160 273430 0 ) ( 720240 273430 )
NEW met1 ( 720240 273245 ) ( 755760 273245 )
NEW met3 ( 1024560 308950 ) ( 1052640 308950 0 )
NEW met1 ( 1024560 302845 ) M1M2_PR
NEW met2 ( 1024560 308950 ) via2_FR
NEW met1 ( 755760 273245 ) M1M2_PR
NEW met1 ( 755760 302845 ) M1M2_PR
NEW met1 ( 720240 273245 ) M1M2_PR
NEW met2 ( 720240 273430 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[2\] ( storage mgmt_addr[2] ) ( soc mgmt_addr[2] )
+ ROUTED met2 ( 1022640 303215 ) ( 1022640 303770 )
NEW met2 ( 763440 282495 ) ( 763440 303215 )
NEW met1 ( 763440 303215 ) ( 1022640 303215 )
NEW met3 ( 704160 279370 0 ) ( 704160 280830 )
NEW met3 ( 704160 280830 ) ( 720240 280830 )
NEW met2 ( 720240 280830 ) ( 720240 282495 )
NEW met1 ( 720240 282495 ) ( 763440 282495 )
NEW met3 ( 1022640 303770 ) ( 1052640 303770 0 )
NEW met1 ( 1022640 303215 ) M1M2_PR
NEW met2 ( 1022640 303770 ) via2_FR
NEW met1 ( 763440 282495 ) M1M2_PR
NEW met1 ( 763440 303215 ) M1M2_PR
NEW met2 ( 720240 280830 ) via2_FR
NEW met1 ( 720240 282495 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[3\] ( storage mgmt_addr[3] ) ( soc mgmt_addr[3] )
+ ROUTED met2 ( 781680 285455 ) ( 781680 303585 )
NEW met2 ( 1023600 303585 ) ( 1023600 311910 )
NEW met1 ( 781680 303585 ) ( 1023600 303585 )
NEW met2 ( 720240 285270 ) ( 720240 285455 )
NEW met3 ( 704160 285270 0 ) ( 720240 285270 )
NEW met1 ( 720240 285455 ) ( 781680 285455 )
NEW met3 ( 1023600 311910 ) ( 1052640 311910 0 )
NEW met1 ( 781680 285455 ) M1M2_PR
NEW met1 ( 781680 303585 ) M1M2_PR
NEW met1 ( 1023600 303585 ) M1M2_PR
NEW met2 ( 1023600 311910 ) via2_FR
NEW met1 ( 720240 285455 ) M1M2_PR
NEW met2 ( 720240 285270 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[4\] ( storage mgmt_addr[4] ) ( soc mgmt_addr[4] )
+ ROUTED met2 ( 1023120 295075 ) ( 1023120 300810 )
NEW met3 ( 704160 291610 0 ) ( 704160 293410 )
NEW met3 ( 704160 293410 ) ( 720240 293410 )
NEW met2 ( 720240 293410 ) ( 720240 295075 )
NEW met1 ( 720240 295075 ) ( 1023120 295075 )
NEW met3 ( 1023120 300810 ) ( 1052640 300810 0 )
NEW met1 ( 1023120 295075 ) M1M2_PR
NEW met2 ( 1023120 300810 ) via2_FR
NEW met2 ( 720240 293410 ) via2_FR
NEW met1 ( 720240 295075 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[5\] ( storage mgmt_addr[5] ) ( soc mgmt_addr[5] )
+ ROUTED met2 ( 802800 298035 ) ( 802800 303955 )
NEW met2 ( 1023120 303955 ) ( 1023120 314870 )
NEW met1 ( 802800 303955 ) ( 1023120 303955 )
NEW met2 ( 720240 297850 ) ( 720240 298035 )
NEW met3 ( 704160 297850 0 ) ( 720240 297850 )
NEW met1 ( 720240 298035 ) ( 802800 298035 )
NEW met3 ( 1023120 314870 ) ( 1052640 314870 0 )
NEW met1 ( 802800 298035 ) M1M2_PR
NEW met1 ( 802800 303955 ) M1M2_PR
NEW met1 ( 1023120 303955 ) M1M2_PR
NEW met2 ( 1023120 314870 ) via2_FR
NEW met1 ( 720240 298035 ) M1M2_PR
NEW met2 ( 720240 297850 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[6\] ( storage mgmt_addr[6] ) ( soc mgmt_addr[6] )
+ ROUTED met2 ( 1022640 300070 ) ( 1022640 302105 )
NEW met2 ( 734640 302105 ) ( 734640 303770 )
NEW met1 ( 734640 302105 ) ( 1022640 302105 )
NEW met3 ( 704160 303770 0 ) ( 734640 303770 )
NEW met3 ( 1052640 298220 0 ) ( 1052640 300070 )
NEW met3 ( 1022640 300070 ) ( 1052640 300070 )
NEW met1 ( 1022640 302105 ) M1M2_PR
NEW met2 ( 1022640 300070 ) via2_FR
NEW met1 ( 734640 302105 ) M1M2_PR
NEW met2 ( 734640 303770 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[7\] ( storage mgmt_addr[7] ) ( soc mgmt_addr[7] )
+ ROUTED met2 ( 1022640 316905 ) ( 1022640 317090 )
NEW met2 ( 734640 311910 ) ( 734640 316905 )
NEW met1 ( 734640 316905 ) ( 1022640 316905 )
NEW met3 ( 704160 309320 0 ) ( 704160 311910 )
NEW met3 ( 704160 311910 ) ( 734640 311910 )
NEW met3 ( 1022640 317090 ) ( 1052640 317090 0 )
NEW met1 ( 1022640 316905 ) M1M2_PR
NEW met2 ( 1022640 317090 ) via2_FR
NEW met1 ( 734640 316905 ) M1M2_PR
NEW met2 ( 734640 311910 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[0\] ( storage mgmt_addr_ro[0] ) ( soc mgmt_addr_ro[0] )
+ ROUTED met2 ( 1023600 295630 ) ( 1023600 301735 )
NEW met2 ( 749040 301735 ) ( 749040 310985 )
NEW met1 ( 749040 301735 ) ( 1023600 301735 )
NEW met3 ( 704160 313390 ) ( 704160 315240 0 )
NEW met3 ( 704160 313390 ) ( 720240 313390 )
NEW met2 ( 720240 310985 ) ( 720240 313390 )
NEW met1 ( 720240 310985 ) ( 749040 310985 )
NEW met3 ( 1023600 295630 ) ( 1052640 295630 0 )
NEW met1 ( 1023600 301735 ) M1M2_PR
NEW met2 ( 1023600 295630 ) via2_FR
NEW met1 ( 749040 310985 ) M1M2_PR
NEW met1 ( 749040 301735 ) M1M2_PR
NEW met2 ( 720240 313390 ) via2_FR
NEW met1 ( 720240 310985 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[1\] ( storage mgmt_addr_ro[1] ) ( soc mgmt_addr_ro[1] )
+ ROUTED met2 ( 1023120 320050 ) ( 1023120 323935 )
NEW met2 ( 724560 323750 ) ( 724560 323935 )
NEW met3 ( 704160 323750 ) ( 724560 323750 )
NEW met3 ( 704160 321670 0 ) ( 704160 323750 )
NEW met1 ( 724560 323935 ) ( 1023120 323935 )
NEW met3 ( 1023120 320050 ) ( 1052640 320050 0 )
NEW met1 ( 1023120 323935 ) M1M2_PR
NEW met2 ( 1023120 320050 ) via2_FR
NEW met1 ( 724560 323935 ) M1M2_PR
NEW met2 ( 724560 323750 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[2\] ( storage mgmt_addr_ro[2] ) ( soc mgmt_addr_ro[2] )
+ ROUTED met2 ( 1022640 323010 ) ( 1022640 324305 )
NEW met2 ( 720240 324305 ) ( 720240 327450 )
NEW met3 ( 704160 327450 0 ) ( 720240 327450 )
NEW met1 ( 720240 324305 ) ( 1022640 324305 )
NEW met3 ( 1022640 323010 ) ( 1052640 323010 0 )
NEW met1 ( 1022640 324305 ) M1M2_PR
NEW met2 ( 1022640 323010 ) via2_FR
NEW met1 ( 720240 324305 ) M1M2_PR
NEW met2 ( 720240 327450 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[3\] ( storage mgmt_addr_ro[3] ) ( soc mgmt_addr_ro[3] )
+ ROUTED met2 ( 1023600 325230 ) ( 1023600 330965 )
NEW met1 ( 749040 330965 ) ( 749040 331335 )
NEW met1 ( 749040 330965 ) ( 1023600 330965 )
NEW met2 ( 721200 331335 ) ( 721200 331890 )
NEW met3 ( 704160 331890 ) ( 721200 331890 )
NEW met3 ( 704160 331890 ) ( 704160 333740 0 )
NEW met1 ( 721200 331335 ) ( 749040 331335 )
NEW met3 ( 1023600 325230 ) ( 1052640 325230 0 )
NEW met1 ( 1023600 330965 ) M1M2_PR
NEW met2 ( 1023600 325230 ) via2_FR
NEW met1 ( 721200 331335 ) M1M2_PR
NEW met2 ( 721200 331890 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[4\] ( storage mgmt_addr_ro[4] ) ( soc mgmt_addr_ro[4] )
+ ROUTED met2 ( 1022640 328190 ) ( 1022640 330595 )
NEW met2 ( 763440 330595 ) ( 763440 336885 )
NEW met1 ( 763440 330595 ) ( 1022640 330595 )
NEW met3 ( 704160 338550 ) ( 704160 339660 0 )
NEW met3 ( 704160 338550 ) ( 720240 338550 )
NEW met2 ( 720240 336885 ) ( 720240 338550 )
NEW met1 ( 720240 336885 ) ( 763440 336885 )
NEW met3 ( 1022640 328190 ) ( 1052640 328190 0 )
NEW met1 ( 1022640 330595 ) M1M2_PR
NEW met2 ( 1022640 328190 ) via2_FR
NEW met1 ( 763440 336885 ) M1M2_PR
NEW met1 ( 763440 330595 ) M1M2_PR
NEW met2 ( 720240 338550 ) via2_FR
NEW met1 ( 720240 336885 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[5\] ( storage mgmt_addr_ro[5] ) ( soc mgmt_addr_ro[5] )
+ ROUTED met2 ( 788400 330225 ) ( 788400 331705 )
NEW met2 ( 1023120 330225 ) ( 1023120 331150 )
NEW met1 ( 788400 330225 ) ( 1023120 330225 )
NEW met2 ( 732720 331705 ) ( 732720 345210 )
NEW met3 ( 704160 345210 0 ) ( 732720 345210 )
NEW met1 ( 732720 331705 ) ( 788400 331705 )
NEW met3 ( 1023120 331150 ) ( 1052640 331150 0 )
NEW met1 ( 788400 331705 ) M1M2_PR
NEW met1 ( 788400 330225 ) M1M2_PR
NEW met1 ( 1023120 330225 ) M1M2_PR
NEW met2 ( 1023120 331150 ) via2_FR
NEW met1 ( 732720 331705 ) M1M2_PR
NEW met2 ( 732720 345210 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[6\] ( storage mgmt_addr_ro[6] ) ( soc mgmt_addr_ro[6] )
+ ROUTED met2 ( 1024560 333370 ) ( 1024560 345395 )
NEW met1 ( 740400 345395 ) ( 740400 345765 )
NEW met1 ( 740400 345395 ) ( 1024560 345395 )
NEW met3 ( 704160 348910 ) ( 704160 351450 0 )
NEW met3 ( 704160 348910 ) ( 720240 348910 )
NEW met2 ( 720240 345765 ) ( 720240 348910 )
NEW met1 ( 720240 345765 ) ( 740400 345765 )
NEW met3 ( 1024560 333370 ) ( 1052640 333370 0 )
NEW met1 ( 1024560 345395 ) M1M2_PR
NEW met2 ( 1024560 333370 ) via2_FR
NEW met2 ( 720240 348910 ) via2_FR
NEW met1 ( 720240 345765 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[7\] ( storage mgmt_addr_ro[7] ) ( soc mgmt_addr_ro[7] )
+ ROUTED met2 ( 1024080 336330 ) ( 1024080 345025 )
NEW met2 ( 749040 345025 ) ( 749040 354275 )
NEW met1 ( 749040 345025 ) ( 1024080 345025 )
NEW met3 ( 704160 356310 ) ( 704160 357420 0 )
NEW met3 ( 704160 356310 ) ( 720240 356310 )
NEW met2 ( 720240 354275 ) ( 720240 356310 )
NEW met1 ( 720240 354275 ) ( 749040 354275 )
NEW met3 ( 1024080 336330 ) ( 1052640 336330 0 )
NEW met1 ( 1024080 345025 ) M1M2_PR
NEW met2 ( 1024080 336330 ) via2_FR
NEW met1 ( 749040 354275 ) M1M2_PR
NEW met1 ( 749040 345025 ) M1M2_PR
NEW met2 ( 720240 356310 ) via2_FR
NEW met1 ( 720240 354275 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_ena\[0\] ( storage mgmt_ena[0] ) ( soc mgmt_ena[0] )
+ ROUTED met2 ( 1023600 339290 ) ( 1023600 344655 )
NEW met2 ( 773040 344655 ) ( 773040 360195 )
NEW met1 ( 773040 344655 ) ( 1023600 344655 )
NEW met2 ( 720240 360195 ) ( 720240 369630 )
NEW met3 ( 704160 369630 0 ) ( 720240 369630 )
NEW met1 ( 720240 360195 ) ( 773040 360195 )
NEW met3 ( 1023600 339290 ) ( 1052640 339290 0 )
NEW met1 ( 1023600 344655 ) M1M2_PR
NEW met2 ( 1023600 339290 ) via2_FR
NEW met1 ( 773040 344655 ) M1M2_PR
NEW met1 ( 773040 360195 ) M1M2_PR
NEW met1 ( 720240 360195 ) M1M2_PR
NEW met2 ( 720240 369630 ) via2_FR
+ USE SIGNAL ;
- mgmt_ena\[1\] ( storage mgmt_ena[1] ) ( soc mgmt_ena[1] )
+ ROUTED met2 ( 784560 344285 ) ( 784560 374995 )
NEW met2 ( 1023120 341510 ) ( 1023120 344285 )
NEW met2 ( 734640 374995 ) ( 734640 375550 )
NEW met1 ( 734640 374995 ) ( 784560 374995 )
NEW met1 ( 784560 344285 ) ( 1023120 344285 )
NEW met3 ( 704160 375550 ) ( 704160 375920 0 )
NEW met3 ( 704160 375550 ) ( 734640 375550 )
NEW met3 ( 1023120 341510 ) ( 1052640 341510 0 )
NEW met1 ( 784560 374995 ) M1M2_PR
NEW met1 ( 784560 344285 ) M1M2_PR
NEW met1 ( 1023120 344285 ) M1M2_PR
NEW met2 ( 1023120 341510 ) via2_FR
NEW met1 ( 734640 374995 ) M1M2_PR
NEW met2 ( 734640 375550 ) via2_FR
+ USE SIGNAL ;
- mgmt_ena_ro ( storage mgmt_ena_ro ) ( soc mgmt_ena_ro )
+ ROUTED met2 ( 802800 343915 ) ( 802800 375365 )
NEW met2 ( 1022640 343915 ) ( 1022640 344470 )
NEW met1 ( 802800 343915 ) ( 1022640 343915 )
NEW met3 ( 704160 378510 ) ( 704160 381100 0 )
NEW met3 ( 704160 378510 ) ( 720240 378510 )
NEW met2 ( 720240 375365 ) ( 720240 378510 )
NEW met1 ( 720240 375365 ) ( 802800 375365 )
NEW met3 ( 1022640 344470 ) ( 1052640 344470 0 )
NEW met1 ( 802800 375365 ) M1M2_PR
NEW met1 ( 802800 343915 ) M1M2_PR
NEW met1 ( 1022640 343915 ) M1M2_PR
NEW met2 ( 1022640 344470 ) via2_FR
NEW met2 ( 720240 378510 ) via2_FR
NEW met1 ( 720240 375365 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[0\] ( soc mgmt_in_data[0] ) ( gpio_control_bidir\[0\] mgmt_gpio_in )
+ ROUTED met2 ( 3373680 606245 ) ( 3373680 609390 )
NEW met3 ( 3373680 609390 ) ( 3373920 609390 )
NEW met3 ( 3373920 609390 ) ( 3373920 610870 0 )
NEW met3 ( 3202080 607170 ) ( 3202080 608650 0 )
NEW met3 ( 3202080 607170 ) ( 3228720 607170 )
NEW met2 ( 3228720 606245 ) ( 3228720 607170 )
NEW met1 ( 3228720 606245 ) ( 3373680 606245 )
NEW met1 ( 3373680 606245 ) M1M2_PR
NEW met2 ( 3373680 609390 ) via2_FR
NEW met2 ( 3228720 607170 ) via2_FR
NEW met1 ( 3228720 606245 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[10\] ( soc mgmt_out_data[10] ) ( soc mgmt_in_data[10] ) ( gpio_control_in\[10\] mgmt_gpio_out ) ( gpio_control_in\[10\] mgmt_gpio_in )
+ ROUTED met2 ( 3197760 1167350 0 ) ( 3198960 1167350 )
NEW met2 ( 3198960 1167350 ) ( 3198960 1180855 )
NEW met1 ( 3198960 1180855 ) ( 3249840 1180855 )
NEW met2 ( 3249840 1180855 ) ( 3249840 3067485 )
NEW met3 ( 3385440 3075810 0 ) ( 3385440 3076550 )
NEW met3 ( 3385440 3076550 ) ( 3385680 3076550 )
NEW met2 ( 3385680 3076550 ) ( 3385680 3079510 )
NEW met3 ( 3385440 3079510 ) ( 3385680 3079510 )
NEW met3 ( 3385440 3079510 ) ( 3385440 3080990 0 )
NEW met2 ( 3385680 3067485 ) ( 3385680 3076550 )
NEW met1 ( 3249840 3067485 ) ( 3385680 3067485 )
NEW met2 ( 1056240 258815 ) ( 1056240 268250 )
NEW met2 ( 1056000 268250 0 ) ( 1056240 268250 )
NEW met2 ( 1259760 257335 ) ( 1259760 258445 )
NEW met1 ( 3052080 257705 ) ( 3052080 258445 )
NEW met1 ( 3052080 257705 ) ( 3059760 257705 )
NEW met1 ( 3059760 257705 ) ( 3059760 258075 )
NEW met1 ( 1137360 258445 ) ( 1137360 258815 )
NEW met1 ( 1056240 258815 ) ( 1137360 258815 )
NEW met1 ( 1137360 258445 ) ( 1259760 258445 )
NEW met2 ( 2923440 257890 ) ( 2923440 258075 )
NEW met3 ( 2923440 257890 ) ( 3023760 257890 )
NEW met2 ( 3023760 257890 ) ( 3023760 258445 )
NEW met1 ( 3023760 258445 ) ( 3052080 258445 )
NEW met2 ( 3129840 258075 ) ( 3129840 259925 )
NEW met1 ( 3129840 259925 ) ( 3198480 259925 )
NEW met1 ( 3059760 258075 ) ( 3129840 258075 )
NEW met2 ( 3198480 259925 ) ( 3198480 1167350 )
NEW met1 ( 2102640 257705 ) ( 2102640 258075 )
NEW met1 ( 2102640 258075 ) ( 2116560 258075 )
NEW met2 ( 2116560 257890 ) ( 2116560 258075 )
NEW met2 ( 2116560 257890 ) ( 2117040 257890 )
NEW met2 ( 2117040 257890 ) ( 2117040 258075 )
NEW met2 ( 2404560 257335 ) ( 2404560 258075 )
NEW met2 ( 2087760 256965 ) ( 2087760 257705 )
NEW met1 ( 2087760 257705 ) ( 2102640 257705 )
NEW met1 ( 2640720 258075 ) ( 2640720 258445 )
NEW met1 ( 2807280 257705 ) ( 2807280 258075 )
NEW met1 ( 2807280 258075 ) ( 2923440 258075 )
NEW met2 ( 2289840 257890 ) ( 2289840 258075 )
NEW met3 ( 2289840 257890 ) ( 2390160 257890 )
NEW met2 ( 2390160 257335 ) ( 2390160 257890 )
NEW met1 ( 2117040 258075 ) ( 2289840 258075 )
NEW met1 ( 2390160 257335 ) ( 2404560 257335 )
NEW met2 ( 2491440 257890 ) ( 2491440 258075 )
NEW met3 ( 2491440 257890 ) ( 2591760 257890 )
NEW met2 ( 2591760 257890 ) ( 2591760 258445 )
NEW met1 ( 2404560 258075 ) ( 2491440 258075 )
NEW met1 ( 2591760 258445 ) ( 2640720 258445 )
NEW met2 ( 2693040 257890 ) ( 2693040 258075 )
NEW met3 ( 2693040 257890 ) ( 2707440 257890 )
NEW met2 ( 2707440 257890 ) ( 2707440 258075 )
NEW met1 ( 2707440 258075 ) ( 2793360 258075 )
NEW met1 ( 2793360 257705 ) ( 2793360 258075 )
NEW met1 ( 2640720 258075 ) ( 2693040 258075 )
NEW met1 ( 2793360 257705 ) ( 2807280 257705 )
NEW met1 ( 1410480 258075 ) ( 1410480 258445 )
NEW met2 ( 1612080 257705 ) ( 1612080 258445 )
NEW met1 ( 1612080 257705 ) ( 1613520 257705 )
NEW met1 ( 1613520 257705 ) ( 1613520 258075 )
NEW met2 ( 1814160 257705 ) ( 1814160 257890 )
NEW met2 ( 1814160 257890 ) ( 1815120 257890 )
NEW met2 ( 1815120 257890 ) ( 1815120 258075 )
NEW met1 ( 1986480 258075 ) ( 1986480 258445 )
NEW met1 ( 1986480 258445 ) ( 2073360 258445 )
NEW met2 ( 2073360 256965 ) ( 2073360 258445 )
NEW met1 ( 2073360 256965 ) ( 2087760 256965 )
NEW met2 ( 1267440 257150 ) ( 1267440 257335 )
NEW met2 ( 1267440 257150 ) ( 1267920 257150 )
NEW met2 ( 1267920 257150 ) ( 1267920 258075 )
NEW met1 ( 1267920 258075 ) ( 1367760 258075 )
NEW met1 ( 1367760 258075 ) ( 1367760 258445 )
NEW met1 ( 1259760 257335 ) ( 1267440 257335 )
NEW met1 ( 1367760 258445 ) ( 1410480 258445 )
NEW met2 ( 1540560 258075 ) ( 1541040 258075 )
NEW met1 ( 1541040 258075 ) ( 1541520 258075 )
NEW met1 ( 1541520 258075 ) ( 1541520 258445 )
NEW met1 ( 1410480 258075 ) ( 1540560 258075 )
NEW met1 ( 1541520 258445 ) ( 1612080 258445 )
NEW met1 ( 1670640 257705 ) ( 1670640 258075 )
NEW met1 ( 1670640 257705 ) ( 1713360 257705 )
NEW met2 ( 1713360 257705 ) ( 1713360 257890 )
NEW met2 ( 1713360 257890 ) ( 1713840 257890 )
NEW met2 ( 1713840 257890 ) ( 1713840 258075 )
NEW met1 ( 1713840 258075 ) ( 1770960 258075 )
NEW met1 ( 1770960 257705 ) ( 1770960 258075 )
NEW met1 ( 1613520 258075 ) ( 1670640 258075 )
NEW met1 ( 1770960 257705 ) ( 1814160 257705 )
NEW met2 ( 1872240 257890 ) ( 1872240 258075 )
NEW met3 ( 1872240 257890 ) ( 1971600 257890 )
NEW met2 ( 1971600 257890 ) ( 1971600 258630 )
NEW met2 ( 1971600 258630 ) ( 1972560 258630 )
NEW met2 ( 1972560 258075 ) ( 1972560 258630 )
NEW met1 ( 1815120 258075 ) ( 1872240 258075 )
NEW met1 ( 1972560 258075 ) ( 1986480 258075 )
NEW met1 ( 3249840 3067485 ) M1M2_PR
NEW met1 ( 3198960 1180855 ) M1M2_PR
NEW met1 ( 3249840 1180855 ) M1M2_PR
NEW met2 ( 3385680 3076550 ) via2_FR
NEW met2 ( 3385680 3079510 ) via2_FR
NEW met1 ( 3385680 3067485 ) M1M2_PR
NEW met1 ( 1056240 258815 ) M1M2_PR
NEW met1 ( 1259760 258445 ) M1M2_PR
NEW met1 ( 1259760 257335 ) M1M2_PR
NEW met1 ( 2923440 258075 ) M1M2_PR
NEW met2 ( 2923440 257890 ) via2_FR
NEW met2 ( 3023760 257890 ) via2_FR
NEW met1 ( 3023760 258445 ) M1M2_PR
NEW met1 ( 3129840 258075 ) M1M2_PR
NEW met1 ( 3129840 259925 ) M1M2_PR
NEW met1 ( 3198480 259925 ) M1M2_PR
NEW met1 ( 2116560 258075 ) M1M2_PR
NEW met1 ( 2117040 258075 ) M1M2_PR
NEW met1 ( 2404560 257335 ) M1M2_PR
NEW met1 ( 2404560 258075 ) M1M2_PR
NEW met1 ( 2087760 256965 ) M1M2_PR
NEW met1 ( 2087760 257705 ) M1M2_PR
NEW met1 ( 2289840 258075 ) M1M2_PR
NEW met2 ( 2289840 257890 ) via2_FR
NEW met2 ( 2390160 257890 ) via2_FR
NEW met1 ( 2390160 257335 ) M1M2_PR
NEW met1 ( 2491440 258075 ) M1M2_PR
NEW met2 ( 2491440 257890 ) via2_FR
NEW met2 ( 2591760 257890 ) via2_FR
NEW met1 ( 2591760 258445 ) M1M2_PR
NEW met1 ( 2693040 258075 ) M1M2_PR
NEW met2 ( 2693040 257890 ) via2_FR
NEW met2 ( 2707440 257890 ) via2_FR
NEW met1 ( 2707440 258075 ) M1M2_PR
NEW met1 ( 1612080 258445 ) M1M2_PR
NEW met1 ( 1612080 257705 ) M1M2_PR
NEW met1 ( 1814160 257705 ) M1M2_PR
NEW met1 ( 1815120 258075 ) M1M2_PR
NEW met1 ( 2073360 258445 ) M1M2_PR
NEW met1 ( 2073360 256965 ) M1M2_PR
NEW met1 ( 1267440 257335 ) M1M2_PR
NEW met1 ( 1267920 258075 ) M1M2_PR
NEW met1 ( 1540560 258075 ) M1M2_PR
NEW met1 ( 1541040 258075 ) M1M2_PR
NEW met1 ( 1713360 257705 ) M1M2_PR
NEW met1 ( 1713840 258075 ) M1M2_PR
NEW met1 ( 1872240 258075 ) M1M2_PR
NEW met2 ( 1872240 257890 ) via2_FR
NEW met2 ( 1971600 257890 ) via2_FR
NEW met1 ( 1972560 258075 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[11\] ( soc mgmt_out_data[11] ) ( soc mgmt_in_data[11] ) ( gpio_control_in\[11\] mgmt_gpio_out ) ( gpio_control_in\[11\] mgmt_gpio_in )
+ ROUTED met3 ( 3202080 1162910 ) ( 3202080 1163280 0 )
NEW met2 ( 3229200 633810 ) ( 3229680 633810 )
NEW met1 ( 1127280 248455 ) ( 1127280 248825 )
NEW met1 ( 1127280 248825 ) ( 3229200 248825 )
NEW met1 ( 3229200 403485 ) ( 3229200 403855 )
NEW met1 ( 3228720 403855 ) ( 3229200 403855 )
NEW met2 ( 3228720 403855 ) ( 3228720 434195 )
NEW met1 ( 3228720 434195 ) ( 3229680 434195 )
NEW met2 ( 3229680 434195 ) ( 3229680 503570 )
NEW met2 ( 3229200 503570 ) ( 3229680 503570 )
NEW met2 ( 3229200 248825 ) ( 3229200 403485 )
NEW met1 ( 3228720 806415 ) ( 3229680 806415 )
NEW met1 ( 3229680 1008065 ) ( 3230640 1008065 )
NEW met1 ( 3229200 504125 ) ( 3230640 504125 )
NEW met2 ( 3230640 504125 ) ( 3230640 604765 )
NEW met1 ( 3229680 604765 ) ( 3230640 604765 )
NEW met2 ( 3229200 503570 ) ( 3229200 504125 )
NEW met2 ( 3229680 604765 ) ( 3229680 633810 )
NEW met1 ( 3229200 734265 ) ( 3229200 734635 )
NEW met1 ( 3228720 734635 ) ( 3229200 734635 )
NEW met2 ( 3228720 734635 ) ( 3228720 806230 )
NEW met3 ( 3228720 806230 ) ( 3229680 806230 )
NEW met2 ( 3229200 633810 ) ( 3229200 734265 )
NEW met2 ( 3229680 806230 ) ( 3229680 806415 )
NEW met3 ( 3230640 1108890 ) ( 3231600 1108890 )
NEW met2 ( 3231600 1108890 ) ( 3231600 1162910 )
NEW met3 ( 3202080 1162910 ) ( 3250320 1162910 )
NEW met2 ( 3230640 1008065 ) ( 3230640 1108890 )
NEW met2 ( 3250320 1162910 ) ( 3250320 3297625 )
NEW met3 ( 3373920 3300770 0 ) ( 3373920 3302250 )
NEW met3 ( 3373680 3302250 ) ( 3373920 3302250 )
NEW met2 ( 3373680 3302250 ) ( 3373680 3304470 )
NEW met3 ( 3373680 3304470 ) ( 3373920 3304470 )
NEW met3 ( 3373920 3304470 ) ( 3373920 3305950 0 )
NEW met2 ( 3373680 3297625 ) ( 3373680 3302250 )
NEW met1 ( 3250320 3297625 ) ( 3373680 3297625 )
NEW met1 ( 3228720 935545 ) ( 3230640 935545 )
NEW met2 ( 3230640 935545 ) ( 3230640 993450 )
NEW met3 ( 3229680 993450 ) ( 3230640 993450 )
NEW met2 ( 3228720 806415 ) ( 3228720 935545 )
NEW met2 ( 3229680 993450 ) ( 3229680 1008065 )
NEW met2 ( 1058160 248455 ) ( 1058160 266770 )
NEW met2 ( 1057920 266770 ) ( 1058160 266770 )
NEW met2 ( 1057920 266770 ) ( 1057920 268250 0 )
NEW met1 ( 1058160 248455 ) ( 1127280 248455 )
NEW met1 ( 3250320 3297625 ) M1M2_PR
NEW met1 ( 3229200 248825 ) M1M2_PR
NEW met1 ( 3229200 403485 ) M1M2_PR
NEW met1 ( 3228720 403855 ) M1M2_PR
NEW met1 ( 3228720 434195 ) M1M2_PR
NEW met1 ( 3229680 434195 ) M1M2_PR
NEW met1 ( 3229680 806415 ) M1M2_PR
NEW met1 ( 3228720 806415 ) M1M2_PR
NEW met1 ( 3229680 1008065 ) M1M2_PR
NEW met1 ( 3230640 1008065 ) M1M2_PR
NEW met1 ( 3229200 504125 ) M1M2_PR
NEW met1 ( 3230640 504125 ) M1M2_PR
NEW met1 ( 3230640 604765 ) M1M2_PR
NEW met1 ( 3229680 604765 ) M1M2_PR
NEW met1 ( 3229200 734265 ) M1M2_PR
NEW met1 ( 3228720 734635 ) M1M2_PR
NEW met2 ( 3228720 806230 ) via2_FR
NEW met2 ( 3229680 806230 ) via2_FR
NEW met2 ( 3250320 1162910 ) via2_FR
NEW met2 ( 3230640 1108890 ) via2_FR
NEW met2 ( 3231600 1108890 ) via2_FR
NEW met2 ( 3231600 1162910 ) via2_FR
NEW met2 ( 3373680 3302250 ) via2_FR
NEW met2 ( 3373680 3304470 ) via2_FR
NEW met1 ( 3373680 3297625 ) M1M2_PR
NEW met1 ( 3228720 935545 ) M1M2_PR
NEW met1 ( 3230640 935545 ) M1M2_PR
NEW met2 ( 3230640 993450 ) via2_FR
NEW met2 ( 3229680 993450 ) via2_FR
NEW met1 ( 1058160 248455 ) M1M2_PR
NEW met3 ( 3231600 1162910 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[12\] ( soc mgmt_out_data[12] ) ( soc mgmt_in_data[12] ) ( gpio_control_in\[12\] mgmt_gpio_out ) ( gpio_control_in\[12\] mgmt_gpio_in )
+ ROUTED met2 ( 3196080 1167350 0 ) ( 3196080 1181595 )
NEW met1 ( 3196080 1181595 ) ( 3249360 1181595 )
NEW met3 ( 3186720 1168090 ) ( 3194640 1168090 )
NEW met2 ( 3194640 1167350 ) ( 3194640 1168090 )
NEW met2 ( 3194640 1167350 ) ( 3196080 1167350 0 )
NEW met2 ( 3249360 1181595 ) ( 3249360 3513705 )
NEW met4 ( 3186720 259370 ) ( 3186720 1168090 )
NEW met3 ( 3385440 3526840 0 ) ( 3385440 3527950 )
NEW met3 ( 3385200 3527950 ) ( 3385440 3527950 )
NEW met2 ( 3385200 3527950 ) ( 3385200 3530910 )
NEW met3 ( 3385200 3530910 ) ( 3385440 3530910 )
NEW met3 ( 3385440 3530910 ) ( 3385440 3532390 0 )
NEW met2 ( 3385200 3513705 ) ( 3385200 3527950 )
NEW met1 ( 3249360 3513705 ) ( 3385200 3513705 )
NEW met2 ( 1060080 259370 ) ( 1060080 266770 )
NEW met2 ( 1059840 266770 ) ( 1060080 266770 )
NEW met2 ( 1059840 266770 ) ( 1059840 268250 0 )
NEW met3 ( 1060080 259370 ) ( 3186720 259370 )
NEW met1 ( 3249360 3513705 ) M1M2_PR
NEW met1 ( 3196080 1181595 ) M1M2_PR
NEW met1 ( 3249360 1181595 ) M1M2_PR
NEW met3 ( 3186720 1168090 ) M3M4_PR_M
NEW met2 ( 3194640 1168090 ) via2_FR
NEW met3 ( 3186720 259370 ) M3M4_PR_M
NEW met2 ( 3385200 3527950 ) via2_FR
NEW met2 ( 3385200 3530910 ) via2_FR
NEW met1 ( 3385200 3513705 ) M1M2_PR
NEW met2 ( 1060080 259370 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[13\] ( soc mgmt_out_data[13] ) ( soc mgmt_in_data[13] ) ( gpio_control_in\[13\] mgmt_gpio_out ) ( gpio_control_in\[13\] mgmt_gpio_in )
+ ROUTED met1 ( 3227760 1166055 ) ( 3248880 1166055 )
NEW met3 ( 3202080 1159950 ) ( 3202080 1160690 0 )
NEW met3 ( 3202080 1159950 ) ( 3227760 1159950 )
NEW met2 ( 3248880 1166055 ) ( 3248880 3744215 )
NEW met2 ( 1187280 257705 ) ( 1187280 259185 )
NEW met2 ( 3227760 258815 ) ( 3227760 1166055 )
NEW met3 ( 3385440 3751800 0 ) ( 3385440 3752910 )
NEW met3 ( 3385200 3752910 ) ( 3385440 3752910 )
NEW met2 ( 3385200 3752910 ) ( 3385200 3755870 )
NEW met3 ( 3385200 3755870 ) ( 3385440 3755870 )
NEW met3 ( 3385440 3755870 ) ( 3385440 3757350 0 )
NEW met2 ( 3385200 3744215 ) ( 3385200 3752910 )
NEW met1 ( 3248880 3744215 ) ( 3385200 3744215 )
NEW met2 ( 1067280 259185 ) ( 1067280 260295 )
NEW met1 ( 1062000 260295 ) ( 1067280 260295 )
NEW met2 ( 1062000 260295 ) ( 1062000 268250 )
NEW met2 ( 1061520 268250 0 ) ( 1062000 268250 )
NEW met1 ( 1067280 259185 ) ( 1187280 259185 )
NEW met1 ( 1256400 256965 ) ( 1256400 257705 )
NEW met1 ( 1187280 257705 ) ( 1256400 257705 )
NEW met1 ( 1411440 259185 ) ( 1411440 259555 )
NEW met1 ( 1411440 259555 ) ( 1511760 259555 )
NEW met2 ( 1842480 259185 ) ( 1842480 259370 )
NEW met2 ( 1842480 259370 ) ( 1842960 259370 )
NEW met2 ( 1842960 259185 ) ( 1842960 259370 )
NEW met2 ( 2044560 259185 ) ( 2044560 259370 )
NEW met2 ( 2044560 259370 ) ( 2045040 259370 )
NEW met2 ( 2045040 259185 ) ( 2045040 259370 )
NEW met2 ( 2246160 259185 ) ( 2246160 259370 )
NEW met2 ( 2246160 259370 ) ( 2246640 259370 )
NEW met2 ( 2246640 259185 ) ( 2246640 259370 )
NEW met1 ( 2922960 258815 ) ( 2922960 259185 )
NEW met1 ( 3052560 258815 ) ( 3052560 259185 )
NEW met1 ( 3052560 258815 ) ( 3124560 258815 )
NEW met1 ( 3124560 258815 ) ( 3124560 259185 )
NEW met2 ( 1713840 258630 ) ( 1713840 259185 )
NEW met2 ( 1712880 258630 ) ( 1713840 258630 )
NEW met1 ( 1713840 259185 ) ( 1842480 259185 )
NEW met1 ( 1943760 259185 ) ( 1943760 259555 )
NEW met1 ( 1943760 259555 ) ( 1944240 259555 )
NEW met1 ( 1944240 259185 ) ( 1944240 259555 )
NEW met1 ( 1842960 259185 ) ( 1943760 259185 )
NEW met1 ( 1944240 259185 ) ( 2044560 259185 )
NEW met1 ( 2145360 259185 ) ( 2145360 259555 )
NEW met1 ( 2145360 259555 ) ( 2145840 259555 )
NEW met1 ( 2145840 259185 ) ( 2145840 259555 )
NEW met1 ( 2045040 259185 ) ( 2145360 259185 )
NEW met1 ( 2145840 259185 ) ( 2246160 259185 )
NEW met2 ( 2951760 259185 ) ( 2951760 259370 )
NEW met2 ( 2951760 259370 ) ( 2952240 259370 )
NEW met2 ( 2952240 259185 ) ( 2952240 259370 )
NEW met1 ( 2922960 259185 ) ( 2951760 259185 )
NEW met1 ( 2952240 259185 ) ( 3052560 259185 )
NEW met1 ( 3125520 259185 ) ( 3125520 259555 )
NEW met1 ( 3125520 259555 ) ( 3174960 259555 )
NEW met1 ( 3174960 258815 ) ( 3174960 259555 )
NEW met1 ( 3124560 259185 ) ( 3125520 259185 )
NEW met1 ( 3174960 258815 ) ( 3227760 258815 )
NEW met2 ( 2304240 259185 ) ( 2304240 259925 )
NEW met1 ( 2304240 259925 ) ( 2354160 259925 )
NEW met2 ( 2354160 258445 ) ( 2354160 259925 )
NEW met1 ( 2246640 259185 ) ( 2304240 259185 )
NEW met1 ( 2636880 258815 ) ( 2636880 259185 )
NEW met2 ( 2793840 259555 ) ( 2794320 259555 )
NEW met2 ( 2794320 258815 ) ( 2794320 259555 )
NEW met1 ( 2794320 258815 ) ( 2922960 258815 )
NEW met2 ( 2548560 258445 ) ( 2549040 258445 )
NEW met2 ( 2549040 258445 ) ( 2549040 259185 )
NEW met1 ( 2549040 259185 ) ( 2591760 259185 )
NEW met1 ( 2591760 258815 ) ( 2591760 259185 )
NEW met1 ( 2354160 258445 ) ( 2548560 258445 )
NEW met1 ( 2591760 258815 ) ( 2636880 258815 )
NEW met1 ( 2693040 259185 ) ( 2693040 259555 )
NEW met1 ( 2693040 259555 ) ( 2706960 259555 )
NEW met2 ( 2706960 259555 ) ( 2707440 259555 )
NEW met2 ( 2707440 259185 ) ( 2707440 259555 )
NEW met1 ( 2707440 259185 ) ( 2793360 259185 )
NEW met1 ( 2793360 259185 ) ( 2793360 259555 )
NEW met1 ( 2636880 259185 ) ( 2693040 259185 )
NEW met1 ( 2793360 259555 ) ( 2793840 259555 )
NEW met2 ( 1272240 256965 ) ( 1272240 259185 )
NEW met1 ( 1256400 256965 ) ( 1272240 256965 )
NEW met1 ( 1272240 259185 ) ( 1411440 259185 )
NEW met1 ( 1511760 258815 ) ( 1540560 258815 )
NEW met2 ( 1540560 258630 ) ( 1540560 258815 )
NEW met2 ( 1540560 258630 ) ( 1541040 258630 )
NEW met2 ( 1541040 258630 ) ( 1541040 258815 )
NEW met1 ( 1511760 258815 ) ( 1511760 259555 )
NEW met2 ( 1712880 258630 ) ( 1712880 259925 )
NEW met2 ( 1612560 258815 ) ( 1612560 259185 )
NEW met2 ( 1612560 259185 ) ( 1613040 259185 )
NEW met1 ( 1613040 259185 ) ( 1655760 259185 )
NEW met2 ( 1655760 259185 ) ( 1655760 259925 )
NEW met1 ( 1541040 258815 ) ( 1612560 258815 )
NEW met1 ( 1655760 259925 ) ( 1712880 259925 )
NEW met1 ( 3248880 3744215 ) M1M2_PR
NEW met1 ( 3227760 1166055 ) M1M2_PR
NEW met1 ( 3248880 1166055 ) M1M2_PR
NEW met2 ( 3227760 1159950 ) via2_FR
NEW met1 ( 1187280 259185 ) M1M2_PR
NEW met1 ( 1187280 257705 ) M1M2_PR
NEW met1 ( 3227760 258815 ) M1M2_PR
NEW met2 ( 3385200 3752910 ) via2_FR
NEW met2 ( 3385200 3755870 ) via2_FR
NEW met1 ( 3385200 3744215 ) M1M2_PR
NEW met1 ( 1067280 259185 ) M1M2_PR
NEW met1 ( 1067280 260295 ) M1M2_PR
NEW met1 ( 1062000 260295 ) M1M2_PR
NEW met1 ( 1842480 259185 ) M1M2_PR
NEW met1 ( 1842960 259185 ) M1M2_PR
NEW met1 ( 2044560 259185 ) M1M2_PR
NEW met1 ( 2045040 259185 ) M1M2_PR
NEW met1 ( 2246160 259185 ) M1M2_PR
NEW met1 ( 2246640 259185 ) M1M2_PR
NEW met1 ( 1713840 259185 ) M1M2_PR
NEW met1 ( 2951760 259185 ) M1M2_PR
NEW met1 ( 2952240 259185 ) M1M2_PR
NEW met1 ( 2304240 259185 ) M1M2_PR
NEW met1 ( 2304240 259925 ) M1M2_PR
NEW met1 ( 2354160 259925 ) M1M2_PR
NEW met1 ( 2354160 258445 ) M1M2_PR
NEW met1 ( 2793840 259555 ) M1M2_PR
NEW met1 ( 2794320 258815 ) M1M2_PR
NEW met1 ( 2548560 258445 ) M1M2_PR
NEW met1 ( 2549040 259185 ) M1M2_PR
NEW met1 ( 2706960 259555 ) M1M2_PR
NEW met1 ( 2707440 259185 ) M1M2_PR
NEW met1 ( 1272240 256965 ) M1M2_PR
NEW met1 ( 1272240 259185 ) M1M2_PR
NEW met1 ( 1540560 258815 ) M1M2_PR
NEW met1 ( 1541040 258815 ) M1M2_PR
NEW met1 ( 1712880 259925 ) M1M2_PR
NEW met1 ( 1612560 258815 ) M1M2_PR
NEW met1 ( 1613040 259185 ) M1M2_PR
NEW met1 ( 1655760 259185 ) M1M2_PR
NEW met1 ( 1655760 259925 ) M1M2_PR
NEW met2 ( 3227760 1159950 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[14\] ( soc mgmt_out_data[14] ) ( soc mgmt_in_data[14] ) ( gpio_control_in\[14\] mgmt_gpio_out ) ( gpio_control_in\[14\] mgmt_gpio_in )
+ ROUTED met3 ( 3385440 4642390 ) ( 3385440 4643870 0 )
NEW met3 ( 3385200 4642390 ) ( 3385440 4642390 )
NEW met2 ( 3385200 4637025 ) ( 3385200 4642390 )
NEW met3 ( 3385440 4647570 ) ( 3385440 4649050 0 )
NEW met3 ( 3385200 4647570 ) ( 3385440 4647570 )
NEW met2 ( 3385200 4642390 ) ( 3385200 4647570 )
NEW met1 ( 3248400 4637025 ) ( 3385200 4637025 )
NEW met2 ( 3194160 1167350 0 ) ( 3194160 1181225 )
NEW met1 ( 3194160 1181225 ) ( 3248400 1181225 )
NEW met3 ( 3187680 1168830 ) ( 3194160 1168830 )
NEW met2 ( 3248400 1181225 ) ( 3248400 4637025 )
NEW met4 ( 3187680 260110 ) ( 3187680 1168830 )
NEW met2 ( 1063440 260110 ) ( 1063440 268250 0 )
NEW met3 ( 1063440 260110 ) ( 3187680 260110 )
NEW met1 ( 3248400 4637025 ) M1M2_PR
NEW met2 ( 3385200 4642390 ) via2_FR
NEW met1 ( 3385200 4637025 ) M1M2_PR
NEW met2 ( 3385200 4647570 ) via2_FR
NEW met1 ( 3194160 1181225 ) M1M2_PR
NEW met1 ( 3248400 1181225 ) M1M2_PR
NEW met3 ( 3187680 1168830 ) M3M4_PR_M
NEW met2 ( 3194160 1168830 ) via2_FR
NEW met3 ( 3187680 260110 ) M3M4_PR_M
NEW met2 ( 1063440 260110 ) via2_FR
NEW met2 ( 3194160 1168830 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[15\] ( soc mgmt_out_data[15] ) ( soc mgmt_in_data[15] ) ( gpio_control_in\[15\] mgmt_gpio_out ) ( gpio_control_in\[15\] mgmt_gpio_in )
+ ROUTED met1 ( 2735760 1180855 ) ( 2746320 1180855 )
NEW met2 ( 2745120 1167350 0 ) ( 2746320 1167350 )
NEW met2 ( 2735760 1173270 ) ( 2735760 1180855 )
NEW met2 ( 2746320 1167350 ) ( 2746320 1188625 )
NEW met1 ( 2746320 1188625 ) ( 3246960 1188625 )
NEW met2 ( 3246960 1188625 ) ( 3246960 4975945 )
NEW met3 ( 1057440 1173270 ) ( 2735760 1173270 )
NEW met4 ( 1059360 880785 ) ( 1060320 880785 )
NEW met4 ( 1057440 1090575 ) ( 1058400 1090575 )
NEW met4 ( 1057440 1090575 ) ( 1057440 1173270 )
NEW met4 ( 1056480 704295 ) ( 1057440 704295 )
NEW met4 ( 1057440 734265 ) ( 1058400 734265 )
NEW met4 ( 1058400 734265 ) ( 1058400 740925 )
NEW met4 ( 1058400 740925 ) ( 1059360 740925 )
NEW met4 ( 1057440 704295 ) ( 1057440 734265 )
NEW met4 ( 1059360 740925 ) ( 1059360 880785 )
NEW met4 ( 1057440 937395 ) ( 1058400 937395 )
NEW met4 ( 1057440 930735 ) ( 1057440 937395 )
NEW met4 ( 1057440 930735 ) ( 1060320 930735 )
NEW met4 ( 1058400 937395 ) ( 1058400 1090575 )
NEW met4 ( 1060320 880785 ) ( 1060320 930735 )
NEW met3 ( 2757120 4978350 ) ( 2757120 4979090 0 )
NEW met3 ( 2751840 4978350 ) ( 2757120 4978350 )
NEW met3 ( 2751840 4978350 ) ( 2751840 4979090 0 )
NEW met2 ( 2794800 4975945 ) ( 2794800 4978350 )
NEW met3 ( 2757120 4978350 ) ( 2794800 4978350 )
NEW met1 ( 2794800 4975945 ) ( 3246960 4975945 )
NEW met2 ( 1065360 267510 ) ( 1065360 268250 0 )
NEW met3 ( 1059360 267510 ) ( 1065360 267510 )
NEW met4 ( 1055520 647685 ) ( 1056480 647685 )
NEW met4 ( 1055520 640470 ) ( 1055520 647685 )
NEW met4 ( 1055520 640470 ) ( 1056480 640470 )
NEW met4 ( 1056480 647685 ) ( 1056480 704295 )
NEW met4 ( 1059360 388870 ) ( 1060320 388870 )
NEW met4 ( 1059360 267510 ) ( 1059360 388870 )
NEW met3 ( 1050960 605690 ) ( 1055520 605690 )
NEW met4 ( 1055520 605690 ) ( 1055520 611055 )
NEW met4 ( 1055520 611055 ) ( 1056480 611055 )
NEW met4 ( 1056480 611055 ) ( 1056480 640470 )
NEW met3 ( 1050960 568690 ) ( 1055520 568690 )
NEW met4 ( 1055520 568690 ) ( 1060320 568690 )
NEW met2 ( 1050960 568690 ) ( 1050960 605690 )
NEW met4 ( 1060320 388870 ) ( 1060320 568690 )
NEW met1 ( 3246960 4975945 ) M1M2_PR
NEW met1 ( 2746320 1188625 ) M1M2_PR
NEW met1 ( 2735760 1180855 ) M1M2_PR
NEW met1 ( 2746320 1180855 ) M1M2_PR
NEW met2 ( 2735760 1173270 ) via2_FR
NEW met1 ( 3246960 1188625 ) M1M2_PR
NEW met3 ( 1057440 1173270 ) M3M4_PR_M
NEW met1 ( 2794800 4975945 ) M1M2_PR
NEW met2 ( 2794800 4978350 ) via2_FR
NEW met2 ( 1065360 267510 ) via2_FR
NEW met3 ( 1059360 267510 ) M3M4_PR_M
NEW met2 ( 1050960 605690 ) via2_FR
NEW met3 ( 1055520 605690 ) M3M4_PR_M
NEW met2 ( 1050960 568690 ) via2_FR
NEW met3 ( 1055520 568690 ) M3M4_PR_M
NEW met2 ( 2746320 1180855 ) RECT ( -70 0 70 485 )
+ USE SIGNAL ;
- mgmt_io_in\[16\] ( soc mgmt_out_data[16] ) ( soc mgmt_in_data[16] ) ( gpio_control_in\[16\] mgmt_gpio_out ) ( gpio_control_in\[16\] mgmt_gpio_in )
+ ROUTED met2 ( 3014640 1188255 ) ( 3014640 1209345 )
NEW met1 ( 3014640 1209345 ) ( 3024240 1209345 )
NEW met1 ( 3024240 1209345 ) ( 3024240 1209715 )
NEW met1 ( 3024240 1209715 ) ( 3038640 1209715 )
NEW met2 ( 3038640 1209715 ) ( 3038640 1253005 )
NEW met1 ( 3038640 1253005 ) ( 3081840 1253005 )
NEW met2 ( 3081840 1253005 ) ( 3081840 1288895 )
NEW met2 ( 3129360 1288895 ) ( 3129360 1310355 )
NEW met1 ( 3129360 1310355 ) ( 3139440 1310355 )
NEW met1 ( 3139440 1310355 ) ( 3139440 1310725 )
NEW met1 ( 3139440 1310725 ) ( 3168240 1310725 )
NEW met1 ( 3081840 1288895 ) ( 3129360 1288895 )
NEW met1 ( 2641200 4917855 ) ( 3247920 4917855 )
NEW met2 ( 2641200 4917855 ) ( 2641200 4975945 )
NEW met1 ( 3168240 1359565 ) ( 3211440 1359565 )
NEW met2 ( 3211440 1359565 ) ( 3211440 1382505 )
NEW met1 ( 3211440 1382505 ) ( 3247920 1382505 )
NEW met2 ( 3168240 1310725 ) ( 3168240 1359565 )
NEW met2 ( 3247920 1382505 ) ( 3247920 4917855 )
NEW met3 ( 2500320 4977610 ) ( 2500320 4979090 0 )
NEW met3 ( 2496720 4977610 ) ( 2500320 4977610 )
NEW met2 ( 2496720 4977610 ) ( 2496720 4979090 )
NEW met3 ( 2494560 4979090 0 ) ( 2496720 4979090 )
NEW met2 ( 2509200 4975945 ) ( 2509200 4977610 )
NEW met3 ( 2500320 4977610 ) ( 2509200 4977610 )
NEW met1 ( 2509200 4975945 ) ( 2641200 4975945 )
NEW met2 ( 1137360 1185110 ) ( 1138320 1185110 )
NEW met2 ( 1138320 1183630 ) ( 1138320 1185110 )
NEW met3 ( 1049040 1185110 ) ( 1137360 1185110 )
NEW met2 ( 1195440 1183630 ) ( 1195440 1183815 )
NEW met1 ( 1195440 1183815 ) ( 1295760 1183815 )
NEW met2 ( 1295760 1183630 ) ( 1295760 1183815 )
NEW met3 ( 1138320 1183630 ) ( 1195440 1183630 )
NEW met3 ( 1397280 1183630 ) ( 1397280 1184185 )
NEW met3 ( 1397280 1184185 ) ( 1399200 1184185 )
NEW met3 ( 1399200 1183630 ) ( 1399200 1184185 )
NEW met3 ( 1399200 1183630 ) ( 1496160 1183630 )
NEW met3 ( 1496160 1183630 ) ( 1496160 1183815 )
NEW met3 ( 1295760 1183630 ) ( 1397280 1183630 )
NEW met2 ( 1598640 1180485 ) ( 1598640 1183630 )
NEW met1 ( 1598640 1180485 ) ( 1698960 1180485 )
NEW met2 ( 1698960 1180485 ) ( 1698960 1183630 )
NEW met3 ( 1800240 1183630 ) ( 1800240 1184185 )
NEW met3 ( 1800240 1184185 ) ( 1800480 1184185 )
NEW met3 ( 1800480 1184185 ) ( 1800480 1184370 )
NEW met3 ( 1800480 1184370 ) ( 1900320 1184370 )
NEW met3 ( 1900320 1184185 ) ( 1900320 1184370 )
NEW met3 ( 2002080 1183630 ) ( 2002080 1184370 )
NEW met3 ( 2002080 1184370 ) ( 2016480 1184370 )
NEW met3 ( 2016480 1183630 ) ( 2016480 1184370 )
NEW met2 ( 2487600 1167350 0 ) ( 2487600 1183630 )
NEW met2 ( 2487600 1183630 ) ( 2487600 1188255 )
NEW met1 ( 2487600 1188255 ) ( 3014640 1188255 )
NEW met3 ( 1498080 1183630 ) ( 1498080 1183815 )
NEW met3 ( 1496160 1183815 ) ( 1498080 1183815 )
NEW met3 ( 1498080 1183630 ) ( 1598640 1183630 )
NEW met3 ( 1698960 1183630 ) ( 1800240 1183630 )
NEW met2 ( 1950960 1183445 ) ( 1950960 1183630 )
NEW met1 ( 1901040 1183445 ) ( 1950960 1183445 )
NEW met2 ( 1901040 1183445 ) ( 1901040 1184185 )
NEW met3 ( 1900320 1184185 ) ( 1901040 1184185 )
NEW met3 ( 1950960 1183630 ) ( 2002080 1183630 )
NEW met2 ( 2102640 1183445 ) ( 2102640 1183630 )
NEW met1 ( 2102640 1183445 ) ( 2202960 1183445 )
NEW met2 ( 2202960 1183445 ) ( 2202960 1183630 )
NEW met3 ( 2016480 1183630 ) ( 2102640 1183630 )
NEW met2 ( 2304240 1183445 ) ( 2304240 1183630 )
NEW met1 ( 2304240 1183445 ) ( 2404560 1183445 )
NEW met2 ( 2404560 1183445 ) ( 2404560 1183630 )
NEW met3 ( 2202960 1183630 ) ( 2304240 1183630 )
NEW met3 ( 2404560 1183630 ) ( 2487600 1183630 )
NEW met2 ( 1067040 268990 0 ) ( 1067280 268990 )
NEW met2 ( 1067280 266585 ) ( 1067280 268990 )
NEW met1 ( 1049040 266585 ) ( 1067280 266585 )
NEW met2 ( 1049040 266585 ) ( 1049040 1185110 )
NEW met1 ( 3014640 1188255 ) M1M2_PR
NEW met1 ( 3014640 1209345 ) M1M2_PR
NEW met1 ( 3038640 1209715 ) M1M2_PR
NEW met1 ( 3038640 1253005 ) M1M2_PR
NEW met1 ( 3081840 1253005 ) M1M2_PR
NEW met1 ( 2641200 4917855 ) M1M2_PR
NEW met1 ( 3081840 1288895 ) M1M2_PR
NEW met1 ( 3247920 4917855 ) M1M2_PR
NEW met1 ( 3129360 1288895 ) M1M2_PR
NEW met1 ( 3129360 1310355 ) M1M2_PR
NEW met1 ( 3168240 1310725 ) M1M2_PR
NEW met1 ( 2641200 4975945 ) M1M2_PR
NEW met1 ( 3168240 1359565 ) M1M2_PR
NEW met1 ( 3211440 1359565 ) M1M2_PR
NEW met1 ( 3211440 1382505 ) M1M2_PR
NEW met1 ( 3247920 1382505 ) M1M2_PR
NEW met2 ( 1049040 1185110 ) via2_FR
NEW met2 ( 2496720 4977610 ) via2_FR
NEW met2 ( 2496720 4979090 ) via2_FR
NEW met1 ( 2509200 4975945 ) M1M2_PR
NEW met2 ( 2509200 4977610 ) via2_FR
NEW met2 ( 1137360 1185110 ) via2_FR
NEW met2 ( 1138320 1183630 ) via2_FR
NEW met2 ( 1195440 1183630 ) via2_FR
NEW met1 ( 1195440 1183815 ) M1M2_PR
NEW met1 ( 1295760 1183815 ) M1M2_PR
NEW met2 ( 1295760 1183630 ) via2_FR
NEW met2 ( 1598640 1183630 ) via2_FR
NEW met1 ( 1598640 1180485 ) M1M2_PR
NEW met1 ( 1698960 1180485 ) M1M2_PR
NEW met2 ( 1698960 1183630 ) via2_FR
NEW met2 ( 2487600 1183630 ) via2_FR
NEW met1 ( 2487600 1188255 ) M1M2_PR
NEW met2 ( 1950960 1183630 ) via2_FR
NEW met1 ( 1950960 1183445 ) M1M2_PR
NEW met1 ( 1901040 1183445 ) M1M2_PR
NEW met2 ( 1901040 1184185 ) via2_FR
NEW met2 ( 2102640 1183630 ) via2_FR
NEW met1 ( 2102640 1183445 ) M1M2_PR
NEW met1 ( 2202960 1183445 ) M1M2_PR
NEW met2 ( 2202960 1183630 ) via2_FR
NEW met2 ( 2304240 1183630 ) via2_FR
NEW met1 ( 2304240 1183445 ) M1M2_PR
NEW met1 ( 2404560 1183445 ) M1M2_PR
NEW met2 ( 2404560 1183630 ) via2_FR
NEW met1 ( 1067280 266585 ) M1M2_PR
NEW met1 ( 1049040 266585 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[17\] ( soc mgmt_out_data[17] ) ( soc mgmt_in_data[17] ) ( gpio_control_in\[17\] mgmt_gpio_out ) ( gpio_control_in\[17\] mgmt_gpio_in )
+ ROUTED met2 ( 3038160 1187885 ) ( 3038160 1202315 )
NEW met1 ( 3211440 1302585 ) ( 3228720 1302585 )
NEW met2 ( 3211440 1253005 ) ( 3211440 1302585 )
NEW met3 ( 2109600 5078990 0 ) ( 2111520 5078990 )
NEW met3 ( 2111520 5078990 ) ( 2111520 5079730 )
NEW met3 ( 2111520 5079730 ) ( 2115360 5079730 )
NEW met3 ( 2115360 5078990 0 ) ( 2115360 5079730 )
NEW met1 ( 2103120 1187885 ) ( 3038160 1187885 )
NEW met2 ( 3138960 1202315 ) ( 3138960 1210270 )
NEW met2 ( 3138960 1210270 ) ( 3139440 1210270 )
NEW met2 ( 3139440 1210270 ) ( 3139440 1238575 )
NEW met1 ( 3139440 1238575 ) ( 3182640 1238575 )
NEW met2 ( 3182640 1238575 ) ( 3182640 1253005 )
NEW met1 ( 3038160 1202315 ) ( 3138960 1202315 )
NEW met1 ( 3182640 1253005 ) ( 3211440 1253005 )
NEW met2 ( 2103120 1167350 0 ) ( 2103120 1187885 )
NEW met1 ( 2087760 4917485 ) ( 3247440 4917485 )
NEW met1 ( 3228720 1371405 ) ( 3247440 1371405 )
NEW met2 ( 3228720 1302585 ) ( 3228720 1371405 )
NEW met2 ( 3247440 1371405 ) ( 3247440 4917485 )
NEW met3 ( 1048560 1184370 ) ( 1064160 1184370 )
NEW met3 ( 1064160 1182150 ) ( 1064160 1184370 )
NEW met3 ( 2109600 5068630 0 ) ( 2109600 5078990 0 )
NEW met3 ( 2107920 4979830 ) ( 2109600 4979830 0 )
NEW met2 ( 2107920 4979275 ) ( 2107920 4979830 )
NEW met1 ( 2087760 4979275 ) ( 2107920 4979275 )
NEW met2 ( 2087760 4917485 ) ( 2087760 4979275 )
NEW met3 ( 2109600 4979830 0 ) ( 2109600 5068630 0 )
NEW met3 ( 1064160 1182150 ) ( 2103120 1182150 )
NEW met2 ( 1068960 268065 ) ( 1068960 268250 0 )
NEW met2 ( 1068720 268065 ) ( 1068960 268065 )
NEW met2 ( 1068720 266215 ) ( 1068720 268065 )
NEW met1 ( 1048560 266215 ) ( 1068720 266215 )
NEW met2 ( 1048560 266215 ) ( 1048560 1184370 )
NEW met1 ( 3038160 1187885 ) M1M2_PR
NEW met1 ( 3038160 1202315 ) M1M2_PR
NEW met1 ( 3211440 1253005 ) M1M2_PR
NEW met1 ( 2087760 4917485 ) M1M2_PR
NEW met1 ( 3211440 1302585 ) M1M2_PR
NEW met1 ( 3228720 1302585 ) M1M2_PR
NEW met1 ( 3247440 4917485 ) M1M2_PR
NEW met1 ( 2103120 1187885 ) M1M2_PR
NEW met2 ( 2103120 1182150 ) via2_FR
NEW met1 ( 3138960 1202315 ) M1M2_PR
NEW met1 ( 3139440 1238575 ) M1M2_PR
NEW met1 ( 3182640 1238575 ) M1M2_PR
NEW met1 ( 3182640 1253005 ) M1M2_PR
NEW met1 ( 3228720 1371405 ) M1M2_PR
NEW met1 ( 3247440 1371405 ) M1M2_PR
NEW met2 ( 1048560 1184370 ) via2_FR
NEW met2 ( 2107920 4979830 ) via2_FR
NEW met1 ( 2107920 4979275 ) M1M2_PR
NEW met1 ( 2087760 4979275 ) M1M2_PR
NEW met1 ( 1068720 266215 ) M1M2_PR
NEW met1 ( 1048560 266215 ) M1M2_PR
NEW met2 ( 2103120 1182150 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[18\] ( soc mgmt_out_data[18] ) ( soc mgmt_in_data[18] ) ( gpio_control_in\[18\] mgmt_gpio_out ) ( gpio_control_in\[18\] mgmt_gpio_in )
+ ROUTED met1 ( 1000560 1238575 ) ( 1022160 1238575 )
NEW met2 ( 1000560 1238575 ) ( 1000560 1371035 )
NEW met3 ( 1772640 5078990 0 ) ( 1772640 5079730 )
NEW met3 ( 1772640 5079730 ) ( 1776480 5079730 )
NEW met3 ( 1776480 5078990 ) ( 1776480 5079730 )
NEW met3 ( 1776480 5078990 ) ( 1778400 5078990 0 )
NEW met3 ( 1767120 5079730 ) ( 1772640 5079730 )
NEW met2 ( 324720 1389535 ) ( 324720 4930805 )
NEW met1 ( 1022160 1238205 ) ( 1026480 1238205 )
NEW met2 ( 1026480 1214895 ) ( 1026480 1238205 )
NEW met1 ( 1022160 1238205 ) ( 1022160 1238575 )
NEW met2 ( 1757040 1191955 ) ( 1757040 1195470 )
NEW met1 ( 1757040 1191955 ) ( 1794480 1191955 )
NEW met2 ( 1794480 1167350 ) ( 1794480 1191955 )
NEW met2 ( 1794480 1167350 ) ( 1795680 1167350 0 )
NEW met2 ( 1744560 4989635 ) ( 1744560 5039030 )
NEW met3 ( 1744560 5039030 ) ( 1766640 5039030 )
NEW met2 ( 1766640 5039030 ) ( 1767120 5039030 )
NEW met2 ( 1767120 5039030 ) ( 1767120 5079730 )
NEW met2 ( 345360 4930805 ) ( 345360 4939870 )
NEW met2 ( 345360 4939870 ) ( 345840 4939870 )
NEW met1 ( 324720 4930805 ) ( 345360 4930805 )
NEW met2 ( 345840 4939870 ) ( 345840 4975575 )
NEW met2 ( 921840 1371035 ) ( 921840 1389535 )
NEW met1 ( 324720 1389535 ) ( 921840 1389535 )
NEW met1 ( 921840 1371035 ) ( 1000560 1371035 )
NEW met2 ( 1042800 1195470 ) ( 1042800 1214895 )
NEW met1 ( 1026480 1214895 ) ( 1042800 1214895 )
NEW met2 ( 1492080 4975575 ) ( 1492080 4989635 )
NEW met1 ( 345840 4975575 ) ( 1492080 4975575 )
NEW met1 ( 1492080 4989635 ) ( 1744560 4989635 )
NEW met3 ( 1042800 1195470 ) ( 1757040 1195470 )
NEW met2 ( 1070640 268250 ) ( 1070880 268250 0 )
NEW met2 ( 1070640 258445 ) ( 1070640 268250 )
NEW met1 ( 1049520 258445 ) ( 1070640 258445 )
NEW met2 ( 1049520 258445 ) ( 1049520 1195470 )
NEW met1 ( 1000560 1238575 ) M1M2_PR
NEW met1 ( 1000560 1371035 ) M1M2_PR
NEW met1 ( 324720 1389535 ) M1M2_PR
NEW met2 ( 1767120 5079730 ) via2_FR
NEW met1 ( 324720 4930805 ) M1M2_PR
NEW met1 ( 345840 4975575 ) M1M2_PR
NEW met1 ( 1026480 1238205 ) M1M2_PR
NEW met1 ( 1026480 1214895 ) M1M2_PR
NEW met2 ( 1757040 1195470 ) via2_FR
NEW met1 ( 1757040 1191955 ) M1M2_PR
NEW met1 ( 1794480 1191955 ) M1M2_PR
NEW met1 ( 1744560 4989635 ) M1M2_PR
NEW met2 ( 1744560 5039030 ) via2_FR
NEW met2 ( 1766640 5039030 ) via2_FR
NEW met1 ( 345360 4930805 ) M1M2_PR
NEW met1 ( 921840 1389535 ) M1M2_PR
NEW met1 ( 921840 1371035 ) M1M2_PR
NEW met1 ( 1042800 1214895 ) M1M2_PR
NEW met2 ( 1042800 1195470 ) via2_FR
NEW met2 ( 1049520 1195470 ) via2_FR
NEW met1 ( 1492080 4975575 ) M1M2_PR
NEW met1 ( 1492080 4989635 ) M1M2_PR
NEW met1 ( 1070640 258445 ) M1M2_PR
NEW met1 ( 1049520 258445 ) M1M2_PR
NEW met3 ( 1049520 1195470 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[19\] ( soc mgmt_out_data[19] ) ( soc mgmt_in_data[19] ) ( gpio_control_in\[19\] mgmt_gpio_out ) ( gpio_control_in\[19\] mgmt_gpio_in )
+ ROUTED met2 ( 374640 1246715 ) ( 374640 1267065 )
NEW met1 ( 374640 1246715 ) ( 453360 1246715 )
NEW met2 ( 1454160 4917485 ) ( 1454160 4925070 )
NEW met2 ( 1454160 4925070 ) ( 1454640 4925070 )
NEW met2 ( 1454640 4925070 ) ( 1454640 4958925 )
NEW met1 ( 338160 1267065 ) ( 374640 1267065 )
NEW met1 ( 325200 1339215 ) ( 338160 1339215 )
NEW met2 ( 338160 1267065 ) ( 338160 1339215 )
NEW met2 ( 325200 1339215 ) ( 325200 4917485 )
NEW met1 ( 1454640 4958925 ) ( 1494960 4958925 )
NEW met2 ( 1796400 1167350 ) ( 1796400 1182890 )
NEW met2 ( 1796400 1167350 ) ( 1797600 1167350 0 )
NEW met2 ( 453360 1223405 ) ( 453360 1246715 )
NEW met2 ( 712560 1188070 ) ( 712560 1223405 )
NEW met1 ( 453360 1223405 ) ( 712560 1223405 )
NEW met2 ( 1074000 1182890 ) ( 1074000 1188070 )
NEW met3 ( 712560 1188070 ) ( 1074000 1188070 )
NEW met2 ( 1494960 4958925 ) ( 1494960 4978350 )
NEW met1 ( 325200 4917485 ) ( 1454160 4917485 )
NEW met2 ( 1072560 258075 ) ( 1072560 268250 0 )
NEW met1 ( 1048080 258075 ) ( 1072560 258075 )
NEW met2 ( 1048080 258075 ) ( 1048080 1188070 )
NEW met4 ( 1512480 1182890 ) ( 1512480 1183815 )
NEW met4 ( 1512480 1183815 ) ( 1514400 1183815 )
NEW met4 ( 1514400 1182890 ) ( 1514400 1183815 )
NEW met3 ( 1520640 4978350 ) ( 1520640 4979090 0 )
NEW met3 ( 1520640 4978350 ) ( 1526880 4978350 )
NEW met3 ( 1526880 4978350 ) ( 1526880 4979090 )
NEW met3 ( 1525920 4979090 0 ) ( 1526880 4979090 )
NEW met3 ( 1494960 4978350 ) ( 1520640 4978350 )
NEW met3 ( 1074000 1182890 ) ( 1512480 1182890 )
NEW met3 ( 1514400 1182890 ) ( 1796400 1182890 )
NEW met1 ( 453360 1246715 ) M1M2_PR
NEW met1 ( 374640 1267065 ) M1M2_PR
NEW met1 ( 374640 1246715 ) M1M2_PR
NEW met1 ( 1454160 4917485 ) M1M2_PR
NEW met1 ( 1454640 4958925 ) M1M2_PR
NEW met1 ( 338160 1267065 ) M1M2_PR
NEW met1 ( 325200 1339215 ) M1M2_PR
NEW met1 ( 338160 1339215 ) M1M2_PR
NEW met1 ( 325200 4917485 ) M1M2_PR
NEW met1 ( 1494960 4958925 ) M1M2_PR
NEW met2 ( 1796400 1182890 ) via2_FR
NEW met1 ( 453360 1223405 ) M1M2_PR
NEW met2 ( 712560 1188070 ) via2_FR
NEW met1 ( 712560 1223405 ) M1M2_PR
NEW met2 ( 1074000 1188070 ) via2_FR
NEW met2 ( 1074000 1182890 ) via2_FR
NEW met2 ( 1048080 1188070 ) via2_FR
NEW met2 ( 1494960 4978350 ) via2_FR
NEW met1 ( 1072560 258075 ) M1M2_PR
NEW met1 ( 1048080 258075 ) M1M2_PR
NEW met3 ( 1512480 1182890 ) M3M4_PR_M
NEW met3 ( 1514400 1182890 ) M3M4_PR_M
NEW met3 ( 1048080 1188070 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[1\] ( soc mgmt_in_data[1] ) ( gpio_control_bidir\[1\] mgmt_gpio_in )
+ ROUTED met3 ( 3202080 831390 0 ) ( 3235440 831390 )
NEW met2 ( 3235440 831390 ) ( 3235440 836385 )
NEW met2 ( 3372240 836385 ) ( 3372240 836570 )
NEW met3 ( 3372240 836570 ) ( 3373920 836570 0 )
NEW met1 ( 3235440 836385 ) ( 3372240 836385 )
NEW met2 ( 3235440 831390 ) via2_FR
NEW met1 ( 3235440 836385 ) M1M2_PR
NEW met1 ( 3372240 836385 ) M1M2_PR
NEW met2 ( 3372240 836570 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[20\] ( soc mgmt_out_data[20] ) ( soc mgmt_in_data[20] ) ( gpio_control_in\[20\] mgmt_gpio_out ) ( gpio_control_in\[20\] mgmt_gpio_in )
+ ROUTED met3 ( 1262880 5078990 0 ) ( 1262880 5079730 )
NEW met3 ( 1262880 5079730 ) ( 1268160 5079730 )
NEW met3 ( 1268160 5078990 0 ) ( 1268160 5079730 )
NEW met3 ( 1256880 5079730 ) ( 1262880 5079730 )
NEW met2 ( 395280 1349575 ) ( 395280 1367705 )
NEW met1 ( 395280 1349575 ) ( 417360 1349575 )
NEW met2 ( 417360 1324230 ) ( 417360 1349575 )
NEW met2 ( 417360 1324230 ) ( 417840 1324230 )
NEW met2 ( 417840 1296665 ) ( 417840 1324230 )
NEW met1 ( 417840 1296665 ) ( 461040 1296665 )
NEW met1 ( 461040 1295925 ) ( 461040 1296665 )
NEW met2 ( 1187280 4917855 ) ( 1187280 4967805 )
NEW met1 ( 324240 1392865 ) ( 336240 1392865 )
NEW met2 ( 336240 1367705 ) ( 336240 1392865 )
NEW met1 ( 336240 1367705 ) ( 395280 1367705 )
NEW met2 ( 324240 1392865 ) ( 324240 4917855 )
NEW met1 ( 461040 1295925 ) ( 475440 1295925 )
NEW met2 ( 762480 1188810 ) ( 762480 1196025 )
NEW met2 ( 475440 1223775 ) ( 475440 1295925 )
NEW met2 ( 700080 1196025 ) ( 700080 1223775 )
NEW met1 ( 475440 1223775 ) ( 700080 1223775 )
NEW met1 ( 700080 1196025 ) ( 762480 1196025 )
NEW met2 ( 1083600 1167350 0 ) ( 1083600 1188810 )
NEW met3 ( 762480 1188810 ) ( 1083600 1188810 )
NEW met1 ( 324240 4917855 ) ( 1187280 4917855 )
NEW met4 ( 1054560 804195 ) ( 1057440 804195 )
NEW met4 ( 1055520 927405 ) ( 1057440 927405 )
NEW met4 ( 1055520 927405 ) ( 1055520 957930 )
NEW met3 ( 1052640 957930 ) ( 1055520 957930 )
NEW met4 ( 1057440 804195 ) ( 1057440 927405 )
NEW met1 ( 1187280 4967805 ) ( 1256880 4967805 )
NEW met2 ( 1256880 4967805 ) ( 1256880 5079730 )
NEW met3 ( 1052640 1085210 ) ( 1055520 1085210 )
NEW met4 ( 1052640 957930 ) ( 1052640 1085210 )
NEW met4 ( 1055520 1085210 ) ( 1055520 1188810 )
NEW met3 ( 1053600 691530 ) ( 1053600 692270 )
NEW met3 ( 1050960 692270 ) ( 1053600 692270 )
NEW met2 ( 1050960 692270 ) ( 1050960 707810 )
NEW met3 ( 1050960 707810 ) ( 1054560 707810 )
NEW met4 ( 1054560 707810 ) ( 1054560 804195 )
NEW met2 ( 1074000 271210 ) ( 1074480 271210 0 )
NEW met3 ( 1056480 271210 ) ( 1062240 271210 )
NEW met3 ( 1062240 271210 ) ( 1062240 271395 )
NEW met3 ( 1062240 271395 ) ( 1064880 271395 )
NEW met3 ( 1064880 271210 ) ( 1064880 271395 )
NEW met3 ( 1064880 271210 ) ( 1074000 271210 )
NEW met4 ( 1056480 397935 ) ( 1059360 397935 )
NEW met4 ( 1056480 271210 ) ( 1056480 397935 )
NEW met3 ( 1053600 561290 ) ( 1055520 561290 )
NEW met4 ( 1055520 561105 ) ( 1055520 561290 )
NEW met4 ( 1055520 561105 ) ( 1056480 561105 )
NEW met4 ( 1056480 504495 ) ( 1056480 561105 )
NEW met4 ( 1056480 504495 ) ( 1059360 504495 )
NEW met4 ( 1053600 561290 ) ( 1053600 691530 )
NEW met4 ( 1059360 397935 ) ( 1059360 504495 )
NEW met2 ( 1256880 5079730 ) via2_FR
NEW met1 ( 395280 1367705 ) M1M2_PR
NEW met1 ( 395280 1349575 ) M1M2_PR
NEW met1 ( 417360 1349575 ) M1M2_PR
NEW met1 ( 417840 1296665 ) M1M2_PR
NEW met1 ( 1187280 4917855 ) M1M2_PR
NEW met1 ( 1187280 4967805 ) M1M2_PR
NEW met1 ( 324240 1392865 ) M1M2_PR
NEW met1 ( 336240 1392865 ) M1M2_PR
NEW met1 ( 336240 1367705 ) M1M2_PR
NEW met1 ( 324240 4917855 ) M1M2_PR
NEW met1 ( 475440 1295925 ) M1M2_PR
NEW met2 ( 762480 1188810 ) via2_FR
NEW met1 ( 762480 1196025 ) M1M2_PR
NEW met1 ( 475440 1223775 ) M1M2_PR
NEW met1 ( 700080 1223775 ) M1M2_PR
NEW met1 ( 700080 1196025 ) M1M2_PR
NEW met2 ( 1083600 1188810 ) via2_FR
NEW met3 ( 1055520 1188810 ) M3M4_PR_M
NEW met3 ( 1055520 957930 ) M3M4_PR_M
NEW met3 ( 1052640 957930 ) M3M4_PR_M
NEW met1 ( 1256880 4967805 ) M1M2_PR
NEW met3 ( 1052640 1085210 ) M3M4_PR_M
NEW met3 ( 1055520 1085210 ) M3M4_PR_M
NEW met3 ( 1053600 691530 ) M3M4_PR_M
NEW met2 ( 1050960 692270 ) via2_FR
NEW met2 ( 1050960 707810 ) via2_FR
NEW met3 ( 1054560 707810 ) M3M4_PR_M
NEW met2 ( 1074000 271210 ) via2_FR
NEW met3 ( 1056480 271210 ) M3M4_PR_M
NEW met3 ( 1053600 561290 ) M3M4_PR_M
NEW met3 ( 1055520 561290 ) M3M4_PR_M
NEW met3 ( 1055520 1188810 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[21\] ( soc mgmt_out_data[21] ) ( soc mgmt_in_data[21] ) ( gpio_control_in\[21\] mgmt_gpio_out ) ( gpio_control_in\[21\] mgmt_gpio_in )
+ ROUTED met3 ( 1005600 4979090 0 ) ( 1007520 4979090 )
NEW met3 ( 1007520 4978350 ) ( 1007520 4979090 )
NEW met3 ( 1007520 4978350 ) ( 1011360 4978350 )
NEW met3 ( 1011360 4978350 ) ( 1011360 4979090 0 )
NEW met3 ( 986160 4978350 ) ( 1007520 4978350 )
NEW met2 ( 986160 4931915 ) ( 986160 4978350 )
NEW met2 ( 1054800 1167350 ) ( 1056000 1167350 0 )
NEW met2 ( 1054800 1167350 ) ( 1054800 1233395 )
NEW met2 ( 1051920 1167350 ) ( 1054800 1167350 )
NEW met1 ( 326160 4931915 ) ( 986160 4931915 )
NEW met2 ( 1076400 267695 ) ( 1076400 268250 0 )
NEW met1 ( 1051920 267695 ) ( 1076400 267695 )
NEW met2 ( 1051920 267695 ) ( 1051920 330410 )
NEW met2 ( 1050960 330410 ) ( 1051920 330410 )
NEW met2 ( 856560 1233395 ) ( 856560 1234135 )
NEW met1 ( 856560 1234135 ) ( 906960 1234135 )
NEW met2 ( 906960 1233395 ) ( 906960 1234135 )
NEW met1 ( 906960 1233395 ) ( 1054800 1233395 )
NEW met2 ( 1051440 530950 ) ( 1051920 530950 )
NEW met2 ( 1051920 530950 ) ( 1051920 1167350 )
NEW met2 ( 326160 1233395 ) ( 326160 4931915 )
NEW met1 ( 326160 1233395 ) ( 856560 1233395 )
NEW met3 ( 1050720 388870 ) ( 1050960 388870 )
NEW met4 ( 1050720 388870 ) ( 1050720 434750 )
NEW met3 ( 1050720 434750 ) ( 1051440 434750 )
NEW met2 ( 1050960 330410 ) ( 1050960 388870 )
NEW met2 ( 1051440 434750 ) ( 1051440 530950 )
NEW met1 ( 986160 4931915 ) M1M2_PR
NEW met1 ( 326160 4931915 ) M1M2_PR
NEW met2 ( 986160 4978350 ) via2_FR
NEW met1 ( 1054800 1233395 ) M1M2_PR
NEW met1 ( 1076400 267695 ) M1M2_PR
NEW met1 ( 1051920 267695 ) M1M2_PR
NEW met1 ( 856560 1233395 ) M1M2_PR
NEW met1 ( 856560 1234135 ) M1M2_PR
NEW met1 ( 906960 1234135 ) M1M2_PR
NEW met1 ( 906960 1233395 ) M1M2_PR
NEW met1 ( 326160 1233395 ) M1M2_PR
NEW met2 ( 1050960 388870 ) via2_FR
NEW met3 ( 1050720 388870 ) M3M4_PR_M
NEW met3 ( 1050720 434750 ) M3M4_PR_M
NEW met2 ( 1051440 434750 ) via2_FR
NEW met3 ( 1050960 388870 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mgmt_io_in\[22\] ( soc mgmt_out_data[22] ) ( soc mgmt_in_data[22] ) ( gpio_control_in\[22\] mgmt_gpio_out ) ( gpio_control_in\[22\] mgmt_gpio_in )
+ ROUTED met3 ( 748800 5078990 0 ) ( 748800 5079730 )
NEW met3 ( 748800 5079730 ) ( 754080 5079730 )
NEW met3 ( 754080 5078990 0 ) ( 754080 5079730 )
NEW met3 ( 742800 5079730 ) ( 748800 5079730 )
NEW met2 ( 742800 5039770 ) ( 742800 5079730 )
NEW met2 ( 1023120 1162910 ) ( 1023120 1233765 )
NEW met1 ( 1026480 1139415 ) ( 1028400 1139415 )
NEW met2 ( 1026480 1139415 ) ( 1026480 1162910 )
NEW met2 ( 726960 4932285 ) ( 726960 5039770 )
NEW met3 ( 726960 5039770 ) ( 742800 5039770 )
NEW met3 ( 1052640 1162910 ) ( 1052640 1163280 0 )
NEW met3 ( 1023120 1162910 ) ( 1052640 1162910 )
NEW met1 ( 316560 4932285 ) ( 726960 4932285 )
NEW met2 ( 1078080 267695 ) ( 1078080 268250 0 )
NEW met1 ( 1078080 267695 ) ( 1078080 268065 )
NEW met1 ( 1028400 268065 ) ( 1078080 268065 )
NEW met2 ( 1028400 268065 ) ( 1028400 1139415 )
NEW met2 ( 316560 1233765 ) ( 316560 4932285 )
NEW met1 ( 316560 1233765 ) ( 1023120 1233765 )
NEW met2 ( 742800 5079730 ) via2_FR
NEW met1 ( 316560 4932285 ) M1M2_PR
NEW met1 ( 726960 4932285 ) M1M2_PR
NEW met2 ( 742800 5039770 ) via2_FR
NEW met2 ( 1023120 1162910 ) via2_FR
NEW met1 ( 1023120 1233765 ) M1M2_PR
NEW met1 ( 1028400 1139415 ) M1M2_PR
NEW met1 ( 1026480 1139415 ) M1M2_PR
NEW met2 ( 1026480 1162910 ) via2_FR
NEW met2 ( 726960 5039770 ) via2_FR
NEW met1 ( 1078080 267695 ) M1M2_PR
NEW met1 ( 1028400 268065 ) M1M2_PR
NEW met1 ( 316560 1233765 ) M1M2_PR
NEW met3 ( 1026480 1162910 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[23\] ( soc mgmt_out_data[23] ) ( soc mgmt_in_data[23] ) ( gpio_control_in\[23\] mgmt_gpio_out ) ( gpio_control_in\[23\] mgmt_gpio_in )
+ ROUTED met3 ( 492000 5078250 0 ) ( 492960 5078250 )
NEW met3 ( 492960 5078250 ) ( 492960 5079730 )
NEW met3 ( 492960 5079730 ) ( 497280 5079730 )
NEW met3 ( 497280 5078990 0 ) ( 497280 5079730 )
NEW met2 ( 325680 1230250 ) ( 325680 4933765 )
NEW met3 ( 492000 5068630 0 ) ( 492000 5078250 0 )
NEW met2 ( 1056720 1167350 ) ( 1057920 1167350 0 )
NEW met2 ( 1056720 1167350 ) ( 1056720 1182150 )
NEW met3 ( 1052640 1182150 ) ( 1056720 1182150 )
NEW met4 ( 1052640 1182150 ) ( 1052640 1218410 )
NEW met3 ( 1052400 1218410 ) ( 1052640 1218410 )
NEW met2 ( 1052400 1218410 ) ( 1052400 1230250 )
NEW met2 ( 1051920 1230250 ) ( 1052400 1230250 )
NEW met3 ( 1059120 1167350 ) ( 1061280 1167350 )
NEW met2 ( 1057920 1167350 0 ) ( 1059120 1167350 )
NEW met3 ( 325680 1230250 ) ( 1051920 1230250 )
NEW met1 ( 325680 4933765 ) ( 467760 4933765 )
NEW met2 ( 1080000 267510 ) ( 1080000 268250 0 )
NEW met3 ( 490320 4979090 ) ( 492000 4979090 0 )
NEW met2 ( 490320 4977795 ) ( 490320 4979090 )
NEW met1 ( 467760 4977795 ) ( 490320 4977795 )
NEW met2 ( 467760 4933765 ) ( 467760 4977795 )
NEW met3 ( 492000 4979090 0 ) ( 492000 5068630 0 )
NEW met3 ( 1066080 267510 ) ( 1066080 268250 )
NEW met3 ( 1066080 267510 ) ( 1080000 267510 )
NEW met4 ( 1060320 318015 ) ( 1061280 318015 )
NEW met4 ( 1060320 268250 ) ( 1060320 318015 )
NEW met3 ( 1060320 268250 ) ( 1066080 268250 )
NEW met4 ( 1061280 318015 ) ( 1061280 1167350 )
NEW met1 ( 325680 4933765 ) M1M2_PR
NEW met2 ( 325680 1230250 ) via2_FR
NEW met2 ( 1056720 1182150 ) via2_FR
NEW met3 ( 1052640 1182150 ) M3M4_PR_M
NEW met3 ( 1052640 1218410 ) M3M4_PR_M
NEW met2 ( 1052400 1218410 ) via2_FR
NEW met2 ( 1051920 1230250 ) via2_FR
NEW met3 ( 1061280 1167350 ) M3M4_PR_M
NEW met2 ( 1059120 1167350 ) via2_FR
NEW met1 ( 467760 4933765 ) M1M2_PR
NEW met2 ( 1080000 267510 ) via2_FR
NEW met2 ( 490320 4979090 ) via2_FR
NEW met1 ( 490320 4977795 ) M1M2_PR
NEW met1 ( 467760 4977795 ) M1M2_PR
NEW met3 ( 1060320 268250 ) M3M4_PR_M
NEW met3 ( 1052640 1218410 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mgmt_io_in\[24\] ( soc mgmt_out_data[24] ) ( soc mgmt_in_data[24] ) ( gpio_control_in\[24\] mgmt_gpio_out ) ( gpio_control_in\[24\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 4660890 ) ( 212640 4661630 0 )
NEW met3 ( 212640 4660890 ) ( 212880 4660890 )
NEW met2 ( 212880 4660705 ) ( 212880 4660890 )
NEW met1 ( 212880 4660705 ) ( 266160 4660705 )
NEW met3 ( 212640 4666070 ) ( 212640 4667550 0 )
NEW met3 ( 212640 4666070 ) ( 212880 4666070 )
NEW met2 ( 212880 4660890 ) ( 212880 4666070 )
NEW met2 ( 1023600 1160690 ) ( 1023600 1232285 )
NEW met3 ( 1023600 1160690 ) ( 1052640 1160690 0 )
NEW met2 ( 1081920 267325 ) ( 1081920 268250 0 )
NEW met1 ( 1046160 267325 ) ( 1081920 267325 )
NEW met2 ( 1046160 267325 ) ( 1046160 1160690 )
NEW met2 ( 266160 1232285 ) ( 266160 4660705 )
NEW met1 ( 266160 1232285 ) ( 1023600 1232285 )
NEW met2 ( 212880 4660890 ) via2_FR
NEW met1 ( 212880 4660705 ) M1M2_PR
NEW met1 ( 266160 4660705 ) M1M2_PR
NEW met2 ( 212880 4666070 ) via2_FR
NEW met2 ( 1023600 1160690 ) via2_FR
NEW met1 ( 1023600 1232285 ) M1M2_PR
NEW met2 ( 1046160 1160690 ) via2_FR
NEW met1 ( 1081920 267325 ) M1M2_PR
NEW met1 ( 1046160 267325 ) M1M2_PR
NEW met1 ( 266160 1232285 ) M1M2_PR
NEW met3 ( 1046160 1160690 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[25\] ( soc mgmt_out_data[25] ) ( soc mgmt_in_data[25] ) ( gpio_control_in\[25\] mgmt_gpio_out ) ( gpio_control_in\[25\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 4032630 0 ) ( 223440 4032630 )
NEW met3 ( 212640 4036330 ) ( 212640 4038180 0 )
NEW met3 ( 212640 4036330 ) ( 213600 4036330 )
NEW met3 ( 213600 4034110 ) ( 213600 4036330 )
NEW met3 ( 212640 4034110 ) ( 213600 4034110 )
NEW met3 ( 212640 4032630 0 ) ( 212640 4034110 )
NEW met2 ( 986160 1228030 ) ( 986160 1230990 )
NEW met2 ( 223440 1230990 ) ( 223440 4032630 )
NEW met3 ( 223440 1230990 ) ( 986160 1230990 )
NEW met2 ( 1059600 1167350 ) ( 1059840 1167350 0 )
NEW met2 ( 1059600 1167350 ) ( 1059600 1182890 )
NEW met3 ( 1053600 1182890 ) ( 1059600 1182890 )
NEW met4 ( 1053600 1182890 ) ( 1053600 1216190 )
NEW met3 ( 1051920 1216190 ) ( 1053600 1216190 )
NEW met2 ( 1051920 1216190 ) ( 1051920 1228030 )
NEW met3 ( 1061040 1168090 ) ( 1062240 1168090 )
NEW met2 ( 1061040 1167350 ) ( 1061040 1168090 )
NEW met2 ( 1059840 1167350 0 ) ( 1061040 1167350 )
NEW met3 ( 986160 1228030 ) ( 1051920 1228030 )
NEW met2 ( 1083600 266770 ) ( 1083600 268250 0 )
NEW met3 ( 1062240 266770 ) ( 1083600 266770 )
NEW met4 ( 1062240 266770 ) ( 1062240 1168090 )
NEW met2 ( 223440 1230990 ) via2_FR
NEW met2 ( 223440 4032630 ) via2_FR
NEW met2 ( 986160 1230990 ) via2_FR
NEW met2 ( 986160 1228030 ) via2_FR
NEW met2 ( 1059600 1182890 ) via2_FR
NEW met3 ( 1053600 1182890 ) M3M4_PR_M
NEW met3 ( 1053600 1216190 ) M3M4_PR_M
NEW met2 ( 1051920 1216190 ) via2_FR
NEW met2 ( 1051920 1228030 ) via2_FR
NEW met3 ( 1062240 1168090 ) M3M4_PR_M
NEW met2 ( 1061040 1168090 ) via2_FR
NEW met2 ( 1083600 266770 ) via2_FR
NEW met3 ( 1062240 266770 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_io_in\[26\] ( soc mgmt_out_data[26] ) ( soc mgmt_in_data[26] ) ( gpio_control_in\[26\] mgmt_gpio_out ) ( gpio_control_in\[26\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 3815810 ) ( 212640 3816550 0 )
NEW met3 ( 212640 3815810 ) ( 213600 3815810 )
NEW met3 ( 213600 3815810 ) ( 213600 3816550 )
NEW met3 ( 212640 3820250 ) ( 212640 3822470 0 )
NEW met3 ( 212640 3820250 ) ( 213600 3820250 )
NEW met3 ( 213600 3818030 ) ( 213600 3820250 )
NEW met3 ( 211680 3818030 ) ( 213600 3818030 )
NEW met3 ( 211680 3816550 0 ) ( 211680 3818030 )
NEW met3 ( 211680 3816550 0 ) ( 212640 3816550 0 )
NEW met3 ( 213600 3816550 ) ( 266640 3816550 )
NEW met2 ( 983760 1224330 ) ( 983760 1231730 )
NEW met2 ( 266640 1231730 ) ( 266640 3816550 )
NEW met3 ( 266640 1231730 ) ( 983760 1231730 )
NEW met2 ( 1061520 1167350 0 ) ( 1061520 1181410 )
NEW met3 ( 1051680 1181410 ) ( 1061520 1181410 )
NEW met4 ( 1051680 1181410 ) ( 1051680 1218410 )
NEW met3 ( 1050000 1218410 ) ( 1051680 1218410 )
NEW met2 ( 1050000 1218410 ) ( 1050000 1224330 )
NEW met3 ( 1062960 1167350 ) ( 1063200 1167350 )
NEW met2 ( 1061520 1167350 0 ) ( 1062960 1167350 )
NEW met3 ( 983760 1224330 ) ( 1050000 1224330 )
NEW met2 ( 1085040 270470 ) ( 1085520 270470 0 )
NEW met3 ( 1063200 270470 ) ( 1085040 270470 )
NEW met4 ( 1063200 270470 ) ( 1063200 1167350 )
NEW met2 ( 266640 3816550 ) via2_FR
NEW met2 ( 983760 1231730 ) via2_FR
NEW met2 ( 983760 1224330 ) via2_FR
NEW met2 ( 266640 1231730 ) via2_FR
NEW met2 ( 1061520 1181410 ) via2_FR
NEW met3 ( 1051680 1181410 ) M3M4_PR_M
NEW met3 ( 1051680 1218410 ) M3M4_PR_M
NEW met2 ( 1050000 1218410 ) via2_FR
NEW met2 ( 1050000 1224330 ) via2_FR
NEW met3 ( 1063200 1167350 ) M3M4_PR_M
NEW met2 ( 1062960 1167350 ) via2_FR
NEW met2 ( 1085040 270470 ) via2_FR
NEW met3 ( 1063200 270470 ) M3M4_PR_M
NEW met3 ( 1063200 1167350 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mgmt_io_in\[27\] ( soc mgmt_out_data[27] ) ( soc mgmt_in_data[27] ) ( gpio_control_in\[27\] mgmt_gpio_out ) ( gpio_control_in\[27\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 3600470 ) ( 212640 3600840 0 )
NEW met3 ( 212640 3604910 ) ( 212640 3606390 0 )
NEW met3 ( 212640 3604910 ) ( 213600 3604910 )
NEW met3 ( 213600 3602690 ) ( 213600 3604910 )
NEW met3 ( 212640 3602690 ) ( 213600 3602690 )
NEW met3 ( 212640 3600840 0 ) ( 212640 3602690 )
NEW met3 ( 212640 3600470 ) ( 267600 3600470 )
NEW met2 ( 1022640 1157730 ) ( 1022640 1232655 )
NEW met3 ( 1022640 1157730 ) ( 1052640 1157730 0 )
NEW met2 ( 267600 1232655 ) ( 267600 3600470 )
NEW met2 ( 1047600 271395 ) ( 1047600 1157730 )
NEW met1 ( 267600 1232655 ) ( 1022640 1232655 )
NEW met2 ( 1086000 267695 ) ( 1086000 271395 )
NEW met1 ( 1086000 267695 ) ( 1087440 267695 )
NEW met2 ( 1087440 267695 ) ( 1087440 268250 0 )
NEW met1 ( 1047600 271395 ) ( 1086000 271395 )
NEW met2 ( 267600 3600470 ) via2_FR
NEW met2 ( 1022640 1157730 ) via2_FR
NEW met1 ( 1022640 1232655 ) M1M2_PR
NEW met2 ( 1047600 1157730 ) via2_FR
NEW met1 ( 267600 1232655 ) M1M2_PR
NEW met1 ( 1047600 271395 ) M1M2_PR
NEW met1 ( 1086000 271395 ) M1M2_PR
NEW met1 ( 1086000 267695 ) M1M2_PR
NEW met1 ( 1087440 267695 ) M1M2_PR
NEW met3 ( 1047600 1157730 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[28\] ( soc mgmt_out_data[28] ) ( soc mgmt_in_data[28] ) ( gpio_control_in\[28\] mgmt_gpio_out ) ( gpio_control_in\[28\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 3384390 ) ( 212640 3384760 0 )
NEW met3 ( 212640 3388830 ) ( 212640 3390310 0 )
NEW met3 ( 212640 3388830 ) ( 213600 3388830 )
NEW met3 ( 213600 3386610 ) ( 213600 3388830 )
NEW met3 ( 212640 3386610 ) ( 213600 3386610 )
NEW met3 ( 212640 3384760 0 ) ( 212640 3386610 )
NEW met3 ( 212640 3384390 ) ( 268560 3384390 )
NEW met2 ( 986640 1226550 ) ( 986640 1232470 )
NEW met2 ( 268560 1232470 ) ( 268560 3384390 )
NEW met3 ( 268560 1232470 ) ( 986640 1232470 )
NEW met2 ( 1063440 1167350 0 ) ( 1063440 1183630 )
NEW met3 ( 1054560 1183630 ) ( 1063440 1183630 )
NEW met4 ( 1054560 1183630 ) ( 1054560 1215450 )
NEW met3 ( 1051440 1215450 ) ( 1054560 1215450 )
NEW met2 ( 1051440 1215450 ) ( 1051440 1226550 )
NEW met3 ( 1064160 1167350 ) ( 1064400 1167350 )
NEW met2 ( 1063440 1167350 0 ) ( 1064400 1167350 )
NEW met3 ( 986640 1226550 ) ( 1051440 1226550 )
NEW met2 ( 1089120 268250 0 ) ( 1089360 268250 )
NEW met2 ( 1089360 266030 ) ( 1089360 268250 )
NEW met3 ( 1064160 266030 ) ( 1089360 266030 )
NEW met4 ( 1064160 266030 ) ( 1064160 1167350 )
NEW met2 ( 268560 3384390 ) via2_FR
NEW met2 ( 986640 1232470 ) via2_FR
NEW met2 ( 986640 1226550 ) via2_FR
NEW met2 ( 268560 1232470 ) via2_FR
NEW met2 ( 1063440 1183630 ) via2_FR
NEW met3 ( 1054560 1183630 ) M3M4_PR_M
NEW met3 ( 1054560 1215450 ) M3M4_PR_M
NEW met2 ( 1051440 1215450 ) via2_FR
NEW met2 ( 1051440 1226550 ) via2_FR
NEW met3 ( 1064160 1167350 ) M3M4_PR_M
NEW met2 ( 1064400 1167350 ) via2_FR
NEW met2 ( 1089360 266030 ) via2_FR
NEW met3 ( 1064160 266030 ) M3M4_PR_M
NEW met3 ( 1064400 1167350 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mgmt_io_in\[29\] ( soc mgmt_out_data[29] ) ( soc mgmt_in_data[29] ) ( gpio_control_in\[29\] mgmt_gpio_out ) ( gpio_control_in\[29\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 3169050 0 ) ( 212640 3169790 )
NEW met3 ( 212640 3172750 ) ( 212640 3174230 0 )
NEW met3 ( 212640 3172750 ) ( 213600 3172750 )
NEW met3 ( 213600 3170530 ) ( 213600 3172750 )
NEW met3 ( 212640 3170530 ) ( 213600 3170530 )
NEW met3 ( 212640 3169790 ) ( 212640 3170530 )
NEW met3 ( 212640 3169790 ) ( 280560 3169790 )
NEW met2 ( 1024080 1154770 ) ( 1024080 1233025 )
NEW met3 ( 1024080 1154770 ) ( 1052640 1154770 0 )
NEW met2 ( 1040400 268805 ) ( 1040400 1154770 )
NEW met2 ( 280560 1233025 ) ( 280560 3169790 )
NEW met2 ( 905040 1232470 ) ( 905040 1233025 )
NEW met2 ( 905040 1232470 ) ( 906480 1232470 )
NEW met2 ( 906480 1232470 ) ( 906480 1233025 )
NEW met1 ( 906480 1233025 ) ( 1024080 1233025 )
NEW met1 ( 280560 1233025 ) ( 905040 1233025 )
NEW met2 ( 1091040 268805 ) ( 1091040 268990 0 )
NEW met1 ( 1040400 268805 ) ( 1091040 268805 )
NEW met2 ( 280560 3169790 ) via2_FR
NEW met2 ( 1024080 1154770 ) via2_FR
NEW met1 ( 1024080 1233025 ) M1M2_PR
NEW met2 ( 1040400 1154770 ) via2_FR
NEW met1 ( 1040400 268805 ) M1M2_PR
NEW met1 ( 280560 1233025 ) M1M2_PR
NEW met1 ( 905040 1233025 ) M1M2_PR
NEW met1 ( 906480 1233025 ) M1M2_PR
NEW met1 ( 1091040 268805 ) M1M2_PR
NEW met3 ( 1040400 1154770 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[2\] ( soc mgmt_out_data[2] ) ( soc mgmt_in_data[2] ) ( gpio_control_in\[2\] mgmt_gpio_out ) ( gpio_control_in\[2\] mgmt_gpio_in )
+ ROUTED met3 ( 3201120 1051910 ) ( 3228240 1051910 )
NEW met3 ( 3201120 1051910 ) ( 3201120 1054130 0 )
NEW met2 ( 3228240 238095 ) ( 3228240 1051910 )
NEW met3 ( 3385440 1061530 0 ) ( 3385440 1063010 )
NEW met3 ( 3385200 1063010 ) ( 3385440 1063010 )
NEW met2 ( 3385200 1063010 ) ( 3385200 1065970 )
NEW met3 ( 3385200 1065970 ) ( 3385440 1065970 )
NEW met3 ( 3385440 1065970 ) ( 3385440 1067450 0 )
NEW met2 ( 3385200 1051355 ) ( 3385200 1063010 )
NEW met1 ( 3228240 1051355 ) ( 3385200 1051355 )
NEW met2 ( 1092720 238095 ) ( 1092720 268250 )
NEW met2 ( 1092720 268250 ) ( 1092960 268250 0 )
NEW met1 ( 1092720 238095 ) ( 3228240 238095 )
NEW met1 ( 3228240 238095 ) M1M2_PR
NEW met2 ( 3228240 1051910 ) via2_FR
NEW met1 ( 3228240 1051355 ) M1M2_PR
NEW met2 ( 3385200 1063010 ) via2_FR
NEW met2 ( 3385200 1065970 ) via2_FR
NEW met1 ( 3385200 1051355 ) M1M2_PR
NEW met1 ( 1092720 238095 ) M1M2_PR
NEW met2 ( 3228240 1051355 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[30\] ( soc mgmt_out_data[30] ) ( soc mgmt_in_data[30] ) ( gpio_control_in\[30\] mgmt_gpio_out ) ( gpio_control_in\[30\] mgmt_gpio_in )
+ ROUTED met2 ( 269040 1245975 ) ( 269040 2952970 )
NEW met1 ( 269040 1245975 ) ( 1053840 1245975 )
NEW met3 ( 212640 2956670 ) ( 212640 2958150 0 )
NEW met3 ( 212640 2956670 ) ( 213600 2956670 )
NEW met3 ( 213600 2954450 ) ( 213600 2956670 )
NEW met3 ( 212640 2954450 ) ( 213600 2954450 )
NEW met3 ( 212640 2952970 0 ) ( 212640 2954450 )
NEW met3 ( 212640 2952970 0 ) ( 269040 2952970 )
NEW met2 ( 1065360 1167350 0 ) ( 1065360 1180855 )
NEW met1 ( 1053840 1180855 ) ( 1065360 1180855 )
NEW met1 ( 1051440 1137935 ) ( 1051440 1139045 )
NEW met2 ( 1051440 1139045 ) ( 1051440 1180855 )
NEW met1 ( 1051440 1180855 ) ( 1053840 1180855 )
NEW met2 ( 1053840 1180855 ) ( 1053840 1245975 )
NEW met1 ( 1051440 329855 ) ( 1051440 330965 )
NEW met1 ( 1050960 432345 ) ( 1051440 432345 )
NEW met1 ( 1050960 432345 ) ( 1050960 433825 )
NEW met2 ( 1051440 330965 ) ( 1051440 432345 )
NEW met2 ( 1094640 264365 ) ( 1094640 268250 0 )
NEW met1 ( 1050960 264365 ) ( 1094640 264365 )
NEW met2 ( 1050960 264365 ) ( 1050960 275650 )
NEW met2 ( 1050960 275650 ) ( 1051440 275650 )
NEW met2 ( 1051440 275650 ) ( 1051440 329855 )
NEW met1 ( 1046640 531505 ) ( 1050960 531505 )
NEW met2 ( 1046640 531505 ) ( 1046640 604765 )
NEW met1 ( 1046640 604765 ) ( 1051440 604765 )
NEW met2 ( 1050960 433825 ) ( 1050960 531505 )
NEW met1 ( 1051440 732785 ) ( 1051440 735005 )
NEW met2 ( 1051440 604765 ) ( 1051440 732785 )
NEW met1 ( 1051440 935545 ) ( 1051440 937025 )
NEW met2 ( 1051440 735005 ) ( 1051440 935545 )
NEW met2 ( 1051440 937025 ) ( 1051440 1137935 )
NEW met1 ( 269040 1245975 ) M1M2_PR
NEW met1 ( 1053840 1245975 ) M1M2_PR
NEW met2 ( 269040 2952970 ) via2_FR
NEW met1 ( 1065360 1180855 ) M1M2_PR
NEW met1 ( 1053840 1180855 ) M1M2_PR
NEW met1 ( 1051440 1137935 ) M1M2_PR
NEW met1 ( 1051440 1139045 ) M1M2_PR
NEW met1 ( 1051440 1180855 ) M1M2_PR
NEW met1 ( 1051440 329855 ) M1M2_PR
NEW met1 ( 1051440 330965 ) M1M2_PR
NEW met1 ( 1051440 432345 ) M1M2_PR
NEW met1 ( 1050960 433825 ) M1M2_PR
NEW met1 ( 1094640 264365 ) M1M2_PR
NEW met1 ( 1050960 264365 ) M1M2_PR
NEW met1 ( 1050960 531505 ) M1M2_PR
NEW met1 ( 1046640 531505 ) M1M2_PR
NEW met1 ( 1046640 604765 ) M1M2_PR
NEW met1 ( 1051440 604765 ) M1M2_PR
NEW met1 ( 1051440 732785 ) M1M2_PR
NEW met1 ( 1051440 735005 ) M1M2_PR
NEW met1 ( 1051440 935545 ) M1M2_PR
NEW met1 ( 1051440 937025 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[31\] ( soc mgmt_out_data[31] ) ( soc mgmt_in_data[31] ) ( gpio_control_in\[31\] mgmt_gpio_out ) ( gpio_control_in\[31\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 2736890 0 ) ( 222960 2736890 )
NEW met3 ( 212640 2740590 ) ( 212640 2742070 0 )
NEW met3 ( 212640 2740590 ) ( 213600 2740590 )
NEW met3 ( 213600 2738370 ) ( 213600 2740590 )
NEW met3 ( 212640 2738370 ) ( 213600 2738370 )
NEW met3 ( 212640 2736890 0 ) ( 212640 2738370 )
NEW met2 ( 222960 1231175 ) ( 222960 2736890 )
NEW met2 ( 1065840 1167350 ) ( 1067040 1167350 0 )
NEW met1 ( 1050000 1167535 ) ( 1065840 1167535 )
NEW met2 ( 1096080 271210 ) ( 1096560 271210 0 )
NEW met2 ( 1096080 271025 ) ( 1096080 271210 )
NEW met1 ( 1050000 271025 ) ( 1096080 271025 )
NEW met2 ( 1050000 271025 ) ( 1050000 1167535 )
NEW met2 ( 993840 1230990 ) ( 993840 1231175 )
NEW met2 ( 993840 1230990 ) ( 994320 1230990 )
NEW met2 ( 994320 1227105 ) ( 994320 1230990 )
NEW met1 ( 994320 1227105 ) ( 1065840 1227105 )
NEW met2 ( 1065840 1167350 ) ( 1065840 1227105 )
NEW met2 ( 805200 1229695 ) ( 805200 1231175 )
NEW met1 ( 805200 1229695 ) ( 818640 1229695 )
NEW met2 ( 818640 1229695 ) ( 818640 1231175 )
NEW met1 ( 222960 1231175 ) ( 805200 1231175 )
NEW met1 ( 818640 1231175 ) ( 993840 1231175 )
NEW met1 ( 222960 1231175 ) M1M2_PR
NEW met2 ( 222960 2736890 ) via2_FR
NEW met1 ( 1050000 1167535 ) M1M2_PR
NEW met1 ( 1065840 1167535 ) M1M2_PR
NEW met1 ( 1096080 271025 ) M1M2_PR
NEW met1 ( 1050000 271025 ) M1M2_PR
NEW met1 ( 993840 1231175 ) M1M2_PR
NEW met1 ( 994320 1227105 ) M1M2_PR
NEW met1 ( 1065840 1227105 ) M1M2_PR
NEW met1 ( 805200 1231175 ) M1M2_PR
NEW met1 ( 805200 1229695 ) M1M2_PR
NEW met1 ( 818640 1229695 ) M1M2_PR
NEW met1 ( 818640 1231175 ) M1M2_PR
NEW met2 ( 1065840 1167535 ) RECT ( -70 0 70 485 )
+ USE SIGNAL ;
- mgmt_io_in\[32\] ( soc mgmt_out_data[32] ) ( soc mgmt_in_data[32] ) ( gpio_control_in\[32\] mgmt_gpio_out ) ( gpio_control_in\[32\] mgmt_gpio_in )
+ ROUTED met1 ( 281040 1246345 ) ( 1027920 1246345 )
NEW met2 ( 281040 1246345 ) ( 281040 2088095 )
NEW met2 ( 1027440 1222850 ) ( 1027920 1222850 )
NEW met2 ( 1027440 1152550 ) ( 1027440 1222850 )
NEW met2 ( 1027920 1222850 ) ( 1027920 1246345 )
NEW met3 ( 212640 2097530 ) ( 212640 2099010 0 )
NEW met3 ( 212400 2097530 ) ( 212640 2097530 )
NEW met2 ( 212400 2088095 ) ( 212400 2097530 )
NEW met3 ( 212640 2102710 ) ( 212640 2104190 0 )
NEW met3 ( 212640 2102710 ) ( 213600 2102710 )
NEW met3 ( 213600 2100490 ) ( 213600 2102710 )
NEW met3 ( 212640 2100490 ) ( 213600 2100490 )
NEW met3 ( 212640 2099010 0 ) ( 212640 2100490 )
NEW met1 ( 212400 2088095 ) ( 281040 2088095 )
NEW met3 ( 1027440 1152550 ) ( 1052640 1152550 0 )
NEW met2 ( 1098480 267325 ) ( 1098480 268250 0 )
NEW met1 ( 1086480 267325 ) ( 1098480 267325 )
NEW met1 ( 1086480 266955 ) ( 1086480 267325 )
NEW met1 ( 1047120 266955 ) ( 1086480 266955 )
NEW met2 ( 1047120 266955 ) ( 1047120 1152550 )
NEW met1 ( 1027920 1246345 ) M1M2_PR
NEW met1 ( 281040 1246345 ) M1M2_PR
NEW met1 ( 281040 2088095 ) M1M2_PR
NEW met2 ( 1027440 1152550 ) via2_FR
NEW met2 ( 212400 2097530 ) via2_FR
NEW met1 ( 212400 2088095 ) M1M2_PR
NEW met2 ( 1047120 1152550 ) via2_FR
NEW met1 ( 1098480 267325 ) M1M2_PR
NEW met1 ( 1047120 266955 ) M1M2_PR
NEW met3 ( 1047120 1152550 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[33\] ( soc mgmt_out_data[33] ) ( soc mgmt_in_data[33] ) ( gpio_control_in\[33\] mgmt_gpio_out ) ( gpio_control_in\[33\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 1882930 0 ) ( 213360 1882930 )
NEW met2 ( 213360 1882745 ) ( 213360 1882930 )
NEW met1 ( 213360 1882745 ) ( 223920 1882745 )
NEW met3 ( 212640 1888110 0 ) ( 213360 1888110 )
NEW met2 ( 213360 1882930 ) ( 213360 1888110 )
NEW met2 ( 223920 1231545 ) ( 223920 1882745 )
NEW met2 ( 1067760 1167350 ) ( 1068960 1167350 0 )
NEW met1 ( 1040880 1167905 ) ( 1067760 1167905 )
NEW met2 ( 1040880 264735 ) ( 1040880 1167905 )
NEW met2 ( 998160 1216375 ) ( 998160 1231545 )
NEW met1 ( 998160 1216375 ) ( 1067760 1216375 )
NEW met2 ( 1067760 1167350 ) ( 1067760 1216375 )
NEW met2 ( 1100400 264735 ) ( 1100400 268250 )
NEW met2 ( 1100160 268250 0 ) ( 1100400 268250 )
NEW met1 ( 1040880 264735 ) ( 1100400 264735 )
NEW met2 ( 804720 1229325 ) ( 804720 1231545 )
NEW met1 ( 804720 1229325 ) ( 827760 1229325 )
NEW met2 ( 827760 1229325 ) ( 827760 1231545 )
NEW met1 ( 223920 1231545 ) ( 804720 1231545 )
NEW met1 ( 827760 1231545 ) ( 998160 1231545 )
NEW met1 ( 223920 1231545 ) M1M2_PR
NEW met2 ( 213360 1882930 ) via2_FR
NEW met1 ( 213360 1882745 ) M1M2_PR
NEW met1 ( 223920 1882745 ) M1M2_PR
NEW met2 ( 213360 1888110 ) via2_FR
NEW met1 ( 1040880 1167905 ) M1M2_PR
NEW met1 ( 1067760 1167905 ) M1M2_PR
NEW met1 ( 1040880 264735 ) M1M2_PR
NEW met1 ( 998160 1231545 ) M1M2_PR
NEW met1 ( 998160 1216375 ) M1M2_PR
NEW met1 ( 1067760 1216375 ) M1M2_PR
NEW met1 ( 1100400 264735 ) M1M2_PR
NEW met1 ( 804720 1231545 ) M1M2_PR
NEW met1 ( 804720 1229325 ) M1M2_PR
NEW met1 ( 827760 1229325 ) M1M2_PR
NEW met1 ( 827760 1231545 ) M1M2_PR
NEW met2 ( 1067760 1167905 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[34\] ( soc mgmt_out_data[34] ) ( soc mgmt_in_data[34] ) ( gpio_control_in\[34\] mgmt_gpio_out ) ( gpio_control_in\[34\] mgmt_gpio_in )
+ ROUTED met2 ( 1035600 323750 ) ( 1036080 323750 )
NEW met2 ( 1036560 1149590 ) ( 1036560 1228585 )
NEW met1 ( 1034640 1228585 ) ( 1036560 1228585 )
NEW met2 ( 1036080 1149590 ) ( 1036560 1149590 )
NEW met2 ( 1034640 1228585 ) ( 1034640 1245605 )
NEW met2 ( 1036080 323750 ) ( 1036080 1149590 )
NEW met1 ( 225360 1245605 ) ( 1034640 1245605 )
NEW met3 ( 212640 1666850 0 ) ( 216240 1666850 )
NEW met2 ( 216240 1661115 ) ( 216240 1666850 )
NEW met1 ( 216240 1661115 ) ( 225360 1661115 )
NEW met3 ( 212640 1670550 ) ( 212640 1672030 0 )
NEW met3 ( 212640 1670550 ) ( 213600 1670550 )
NEW met3 ( 213600 1668330 ) ( 213600 1670550 )
NEW met3 ( 212640 1668330 ) ( 213600 1668330 )
NEW met3 ( 212640 1666850 0 ) ( 212640 1668330 )
NEW met2 ( 225360 1245605 ) ( 225360 1661115 )
NEW met3 ( 1036560 1149590 ) ( 1052640 1149590 0 )
NEW met2 ( 1035600 265105 ) ( 1035600 323750 )
NEW met2 ( 1101840 265105 ) ( 1101840 266770 )
NEW met2 ( 1101840 266770 ) ( 1102080 266770 )
NEW met2 ( 1102080 266770 ) ( 1102080 268250 0 )
NEW met1 ( 1035600 265105 ) ( 1101840 265105 )
NEW met1 ( 1034640 1245605 ) M1M2_PR
NEW met2 ( 1036560 1149590 ) via2_FR
NEW met1 ( 1036560 1228585 ) M1M2_PR
NEW met1 ( 1034640 1228585 ) M1M2_PR
NEW met1 ( 225360 1245605 ) M1M2_PR
NEW met2 ( 216240 1666850 ) via2_FR
NEW met1 ( 216240 1661115 ) M1M2_PR
NEW met1 ( 225360 1661115 ) M1M2_PR
NEW met1 ( 1035600 265105 ) M1M2_PR
NEW met1 ( 1101840 265105 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[35\] ( soc mgmt_out_data[35] ) ( soc mgmt_in_data[35] ) ( gpio_control_in\[35\] mgmt_gpio_out ) ( gpio_control_in\[35\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 1450770 0 ) ( 214320 1450770 )
NEW met2 ( 214320 1450585 ) ( 214320 1450770 )
NEW met3 ( 212640 1454470 ) ( 212640 1455950 0 )
NEW met3 ( 212640 1454470 ) ( 213600 1454470 )
NEW met3 ( 213600 1452250 ) ( 213600 1454470 )
NEW met3 ( 212640 1452250 ) ( 213600 1452250 )
NEW met3 ( 212640 1450770 0 ) ( 212640 1452250 )
NEW met1 ( 214320 1450585 ) ( 238320 1450585 )
NEW met2 ( 1103760 268250 ) ( 1104000 268250 0 )
NEW met2 ( 1103760 244755 ) ( 1103760 268250 )
NEW met1 ( 1050480 244755 ) ( 1103760 244755 )
NEW met2 ( 238320 1233395 ) ( 238320 1450585 )
NEW met2 ( 325200 1230805 ) ( 325200 1233395 )
NEW met1 ( 238320 1233395 ) ( 325200 1233395 )
NEW met2 ( 402480 1230805 ) ( 402480 1231915 )
NEW met1 ( 325200 1230805 ) ( 402480 1230805 )
NEW met1 ( 1014000 1231545 ) ( 1014000 1231915 )
NEW met1 ( 1014000 1231545 ) ( 1071120 1231545 )
NEW met2 ( 1070640 1166610 ) ( 1070880 1166610 0 )
NEW met2 ( 1070640 1166610 ) ( 1070640 1167350 )
NEW met2 ( 1069680 1167350 ) ( 1070640 1167350 )
NEW met2 ( 1069680 1167350 ) ( 1069680 1167535 )
NEW met1 ( 1069680 1167165 ) ( 1069680 1167535 )
NEW met1 ( 1050480 1167165 ) ( 1069680 1167165 )
NEW met2 ( 1070640 1169570 ) ( 1071120 1169570 )
NEW met2 ( 1070640 1167350 ) ( 1070640 1169570 )
NEW met2 ( 1050480 244755 ) ( 1050480 1167165 )
NEW met2 ( 1071120 1169570 ) ( 1071120 1231545 )
NEW met2 ( 729360 1230805 ) ( 729360 1231915 )
NEW met1 ( 402480 1231915 ) ( 729360 1231915 )
NEW met1 ( 777840 1230435 ) ( 777840 1230805 )
NEW met1 ( 777840 1230435 ) ( 806640 1230435 )
NEW met2 ( 806640 1230435 ) ( 806640 1231915 )
NEW met1 ( 729360 1230805 ) ( 777840 1230805 )
NEW met1 ( 806640 1231915 ) ( 1014000 1231915 )
NEW met1 ( 238320 1450585 ) M1M2_PR
NEW met2 ( 214320 1450770 ) via2_FR
NEW met1 ( 214320 1450585 ) M1M2_PR
NEW met1 ( 1103760 244755 ) M1M2_PR
NEW met1 ( 1050480 244755 ) M1M2_PR
NEW met1 ( 238320 1233395 ) M1M2_PR
NEW met1 ( 325200 1233395 ) M1M2_PR
NEW met1 ( 325200 1230805 ) M1M2_PR
NEW met1 ( 402480 1230805 ) M1M2_PR
NEW met1 ( 402480 1231915 ) M1M2_PR
NEW met1 ( 1071120 1231545 ) M1M2_PR
NEW met1 ( 1069680 1167535 ) M1M2_PR
NEW met1 ( 1050480 1167165 ) M1M2_PR
NEW met1 ( 729360 1231915 ) M1M2_PR
NEW met1 ( 729360 1230805 ) M1M2_PR
NEW met1 ( 806640 1230435 ) M1M2_PR
NEW met1 ( 806640 1231915 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[36\] ( soc mgmt_out_data[36] ) ( soc mgmt_in_data[36] ) ( gpio_control_in\[36\] mgmt_gpio_out ) ( gpio_control_in\[36\] mgmt_gpio_in )
+ ROUTED met3 ( 212640 1236170 ) ( 212880 1236170 )
NEW met3 ( 212640 1234690 0 ) ( 212640 1236170 )
NEW met2 ( 212880 1224515 ) ( 212880 1236170 )
NEW met3 ( 212640 1239130 ) ( 212880 1239130 )
NEW met3 ( 212640 1239130 ) ( 212640 1240240 0 )
NEW met2 ( 212880 1236170 ) ( 212880 1239130 )
NEW met2 ( 1071600 1167350 ) ( 1072560 1167350 0 )
NEW met2 ( 1071600 1167350 ) ( 1071600 1224515 )
NEW met3 ( 1054560 1166610 ) ( 1066080 1166610 )
NEW met3 ( 1066080 1166610 ) ( 1066080 1167350 )
NEW met3 ( 1066080 1167350 ) ( 1071600 1167350 )
NEW met1 ( 212880 1224515 ) ( 1071600 1224515 )
NEW met2 ( 1105680 267510 ) ( 1105680 268250 0 )
NEW met3 ( 1105440 267510 ) ( 1105680 267510 )
NEW met3 ( 1105440 267510 ) ( 1105440 268250 )
NEW met3 ( 1080480 268250 ) ( 1105440 268250 )
NEW met3 ( 1080480 268250 ) ( 1080480 268990 )
NEW met3 ( 1054560 706330 ) ( 1054560 707070 )
NEW met3 ( 1052640 707070 ) ( 1054560 707070 )
NEW met4 ( 1054560 907425 ) ( 1056480 907425 )
NEW met2 ( 1050960 630850 ) ( 1050960 645650 )
NEW met3 ( 1050960 792170 ) ( 1052640 792170 )
NEW met2 ( 1050960 792170 ) ( 1050960 807710 )
NEW met3 ( 1050960 807710 ) ( 1055520 807710 )
NEW met4 ( 1055520 807710 ) ( 1055520 810855 )
NEW met4 ( 1055520 810855 ) ( 1056480 810855 )
NEW met4 ( 1052640 707070 ) ( 1052640 792170 )
NEW met4 ( 1056480 810855 ) ( 1056480 907425 )
NEW met4 ( 1054560 907425 ) ( 1054560 1166610 )
NEW met3 ( 1055520 268990 ) ( 1080480 268990 )
NEW met4 ( 1052640 590150 ) ( 1052640 630850 )
NEW met3 ( 1052640 590150 ) ( 1054560 590150 )
NEW met3 ( 1050960 630850 ) ( 1052640 630850 )
NEW met3 ( 1050960 645650 ) ( 1054560 645650 )
NEW met4 ( 1054560 645650 ) ( 1054560 706330 )
NEW met4 ( 1053600 331335 ) ( 1055520 331335 )
NEW met4 ( 1055520 268990 ) ( 1055520 331335 )
NEW met3 ( 1053600 432530 ) ( 1054560 432530 )
NEW met4 ( 1053600 331335 ) ( 1053600 432530 )
NEW met4 ( 1054560 432530 ) ( 1054560 590150 )
NEW met2 ( 212880 1236170 ) via2_FR
NEW met1 ( 212880 1224515 ) M1M2_PR
NEW met2 ( 212880 1239130 ) via2_FR
NEW met1 ( 1071600 1224515 ) M1M2_PR
NEW met3 ( 1054560 1166610 ) M3M4_PR_M
NEW met2 ( 1071600 1167350 ) via2_FR
NEW met2 ( 1105680 267510 ) via2_FR
NEW met3 ( 1054560 706330 ) M3M4_PR_M
NEW met3 ( 1052640 707070 ) M3M4_PR_M
NEW met2 ( 1050960 630850 ) via2_FR
NEW met2 ( 1050960 645650 ) via2_FR
NEW met3 ( 1052640 792170 ) M3M4_PR_M
NEW met2 ( 1050960 792170 ) via2_FR
NEW met2 ( 1050960 807710 ) via2_FR
NEW met3 ( 1055520 807710 ) M3M4_PR_M
NEW met3 ( 1055520 268990 ) M3M4_PR_M
NEW met3 ( 1052640 630850 ) M3M4_PR_M
NEW met3 ( 1052640 590150 ) M3M4_PR_M
NEW met3 ( 1054560 590150 ) M3M4_PR_M
NEW met3 ( 1054560 645650 ) M3M4_PR_M
NEW met3 ( 1053600 432530 ) M3M4_PR_M
NEW met3 ( 1054560 432530 ) M3M4_PR_M
NEW met2 ( 1071600 1167350 ) RECT ( -70 0 70 485 )
+ USE SIGNAL ;
- mgmt_io_in\[37\] ( soc mgmt_out_data[37] ) ( soc mgmt_in_data[37] ) ( gpio_control_in\[37\] mgmt_gpio_out ) ( gpio_control_in\[37\] mgmt_gpio_in )
+ ROUTED met2 ( 1035120 324490 ) ( 1035600 324490 )
NEW met2 ( 1035120 270285 ) ( 1035120 324490 )
NEW met2 ( 1035600 324490 ) ( 1035600 1013430 )
NEW met1 ( 1029360 1130905 ) ( 1035600 1130905 )
NEW met2 ( 1035600 1013430 ) ( 1035600 1130905 )
NEW met3 ( 212640 1024160 0 ) ( 213840 1024160 )
NEW met2 ( 213840 1022125 ) ( 213840 1024160 )
NEW met3 ( 212640 1018610 0 ) ( 213840 1018610 )
NEW met2 ( 213840 1018610 ) ( 213840 1022125 )
NEW met1 ( 213840 1022125 ) ( 251760 1022125 )
NEW met2 ( 1029360 1130905 ) ( 1029360 1209715 )
NEW met2 ( 251760 1022125 ) ( 251760 1209715 )
NEW met1 ( 251760 1209715 ) ( 1029360 1209715 )
NEW met3 ( 1035600 1013430 ) ( 1052640 1013430 0 )
NEW met2 ( 1107120 270285 ) ( 1107120 270470 )
NEW met2 ( 1107120 270470 ) ( 1107600 270470 0 )
NEW met1 ( 1035120 270285 ) ( 1107120 270285 )
NEW met1 ( 251760 1022125 ) M1M2_PR
NEW met1 ( 1035120 270285 ) M1M2_PR
NEW met2 ( 1035600 1013430 ) via2_FR
NEW met1 ( 1029360 1130905 ) M1M2_PR
NEW met1 ( 1035600 1130905 ) M1M2_PR
NEW met2 ( 213840 1024160 ) via2_FR
NEW met1 ( 213840 1022125 ) M1M2_PR
NEW met2 ( 213840 1018610 ) via2_FR
NEW met1 ( 1029360 1209715 ) M1M2_PR
NEW met1 ( 251760 1209715 ) M1M2_PR
NEW met1 ( 1107120 270285 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[3\] ( soc mgmt_out_data[3] ) ( soc mgmt_in_data[3] ) ( gpio_control_in\[3\] mgmt_gpio_out ) ( gpio_control_in\[3\] mgmt_gpio_in )
+ ROUTED met2 ( 3192240 1167350 0 ) ( 3192240 1181410 )
NEW met3 ( 3192240 1181410 ) ( 3196560 1181410 )
NEW met3 ( 3188640 1167350 ) ( 3191280 1167350 )
NEW met2 ( 3191280 1167350 ) ( 3192240 1167350 0 )
NEW met2 ( 3196560 1181410 ) ( 3196560 1281865 )
NEW met4 ( 3188640 261590 ) ( 3188640 1167350 )
NEW met3 ( 3385440 1287970 0 ) ( 3385440 1288710 )
NEW met3 ( 3385200 1288710 ) ( 3385440 1288710 )
NEW met2 ( 3385200 1288710 ) ( 3385200 1291670 )
NEW met3 ( 3385200 1291670 ) ( 3385440 1291670 )
NEW met3 ( 3385440 1291670 ) ( 3385440 1293150 0 )
NEW met2 ( 3385200 1281865 ) ( 3385200 1288710 )
NEW met1 ( 3196560 1281865 ) ( 3385200 1281865 )
NEW met2 ( 1109520 261590 ) ( 1109520 268250 0 )
NEW met3 ( 1109520 261590 ) ( 3188640 261590 )
NEW met1 ( 3196560 1281865 ) M1M2_PR
NEW met2 ( 3192240 1181410 ) via2_FR
NEW met2 ( 3196560 1181410 ) via2_FR
NEW met3 ( 3188640 1167350 ) M3M4_PR_M
NEW met2 ( 3191280 1167350 ) via2_FR
NEW met3 ( 3188640 261590 ) M3M4_PR_M
NEW met2 ( 3385200 1288710 ) via2_FR
NEW met2 ( 3385200 1291670 ) via2_FR
NEW met1 ( 3385200 1281865 ) M1M2_PR
NEW met2 ( 1109520 261590 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[4\] ( soc mgmt_out_data[4] ) ( soc mgmt_in_data[4] ) ( gpio_control_in\[4\] mgmt_gpio_out ) ( gpio_control_in\[4\] mgmt_gpio_in )
+ ROUTED met3 ( 3372240 1512930 ) ( 3373920 1512930 0 )
NEW met2 ( 3372240 1512930 ) ( 3372240 1513115 )
NEW met3 ( 3372240 1518110 ) ( 3373920 1518110 0 )
NEW met2 ( 3372240 1513115 ) ( 3372240 1518110 )
NEW met1 ( 3253200 1513115 ) ( 3372240 1513115 )
NEW met1 ( 3225840 1164575 ) ( 3253200 1164575 )
NEW met3 ( 3202080 1157730 0 ) ( 3225840 1157730 )
NEW met2 ( 3253200 1164575 ) ( 3253200 1513115 )
NEW met2 ( 3225840 244385 ) ( 3225840 1164575 )
NEW met2 ( 1111440 244385 ) ( 1111440 268250 )
NEW met2 ( 1111200 268250 0 ) ( 1111440 268250 )
NEW met1 ( 1111440 244385 ) ( 3225840 244385 )
NEW met1 ( 3253200 1513115 ) M1M2_PR
NEW met2 ( 3372240 1512930 ) via2_FR
NEW met1 ( 3372240 1513115 ) M1M2_PR
NEW met2 ( 3372240 1518110 ) via2_FR
NEW met1 ( 3225840 1164575 ) M1M2_PR
NEW met1 ( 3253200 1164575 ) M1M2_PR
NEW met2 ( 3225840 1157730 ) via2_FR
NEW met1 ( 3225840 244385 ) M1M2_PR
NEW met1 ( 1111440 244385 ) M1M2_PR
NEW met2 ( 3225840 1157730 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[5\] ( soc mgmt_out_data[5] ) ( soc mgmt_in_data[5] ) ( gpio_control_in\[5\] mgmt_gpio_out ) ( gpio_control_in\[5\] mgmt_gpio_in )
+ ROUTED met3 ( 3385440 1736410 ) ( 3385440 1737890 0 )
NEW met3 ( 3385200 1736410 ) ( 3385440 1736410 )
NEW met2 ( 3385200 1728085 ) ( 3385200 1736410 )
NEW met3 ( 3385440 1741590 ) ( 3385440 1743070 0 )
NEW met3 ( 3385200 1741590 ) ( 3385440 1741590 )
NEW met2 ( 3385200 1736410 ) ( 3385200 1741590 )
NEW met1 ( 3252720 1728085 ) ( 3385200 1728085 )
NEW met2 ( 3190320 1166610 ) ( 3190560 1166610 0 )
NEW met2 ( 3190320 1166610 ) ( 3190320 1182705 )
NEW met1 ( 3190320 1182705 ) ( 3252720 1182705 )
NEW met3 ( 3184800 1170310 ) ( 3190320 1170310 )
NEW met2 ( 3252720 1182705 ) ( 3252720 1728085 )
NEW met4 ( 3184800 258630 ) ( 3184800 1170310 )
NEW met2 ( 1113360 258630 ) ( 1113360 266770 )
NEW met2 ( 1113120 266770 ) ( 1113360 266770 )
NEW met2 ( 1113120 266770 ) ( 1113120 268250 0 )
NEW met3 ( 1113360 258630 ) ( 3184800 258630 )
NEW met1 ( 3252720 1728085 ) M1M2_PR
NEW met2 ( 3385200 1736410 ) via2_FR
NEW met1 ( 3385200 1728085 ) M1M2_PR
NEW met2 ( 3385200 1741590 ) via2_FR
NEW met1 ( 3190320 1182705 ) M1M2_PR
NEW met1 ( 3252720 1182705 ) M1M2_PR
NEW met3 ( 3184800 1170310 ) M3M4_PR_M
NEW met2 ( 3190320 1170310 ) via2_FR
NEW met3 ( 3184800 258630 ) M3M4_PR_M
NEW met2 ( 1113360 258630 ) via2_FR
NEW met2 ( 3190320 1170310 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[6\] ( soc mgmt_out_data[6] ) ( soc mgmt_in_data[6] ) ( gpio_control_in\[6\] mgmt_gpio_out ) ( gpio_control_in\[6\] mgmt_gpio_in )
+ ROUTED met1 ( 3226320 1165685 ) ( 3252240 1165685 )
NEW met3 ( 3202080 1154770 0 ) ( 3202080 1155510 )
NEW met3 ( 3202080 1155510 ) ( 3226320 1155510 )
NEW met2 ( 3252240 1165685 ) ( 3252240 1958965 )
NEW met3 ( 3385440 1963590 0 ) ( 3385440 1965070 )
NEW met3 ( 3385440 1965070 ) ( 3385680 1965070 )
NEW met2 ( 3385680 1965070 ) ( 3385680 1967290 )
NEW met3 ( 3385440 1967290 ) ( 3385680 1967290 )
NEW met3 ( 3385440 1967290 ) ( 3385440 1969510 0 )
NEW met2 ( 3385680 1958965 ) ( 3385680 1965070 )
NEW met1 ( 3252240 1958965 ) ( 3385680 1958965 )
NEW met2 ( 3226320 244015 ) ( 3226320 1165685 )
NEW met2 ( 1114800 244015 ) ( 1114800 268250 )
NEW met2 ( 1114800 268250 ) ( 1115040 268250 0 )
NEW met1 ( 1114800 244015 ) ( 3226320 244015 )
NEW met1 ( 3252240 1958965 ) M1M2_PR
NEW met1 ( 3226320 1165685 ) M1M2_PR
NEW met1 ( 3252240 1165685 ) M1M2_PR
NEW met2 ( 3226320 1155510 ) via2_FR
NEW met2 ( 3385680 1965070 ) via2_FR
NEW met2 ( 3385680 1967290 ) via2_FR
NEW met1 ( 3385680 1958965 ) M1M2_PR
NEW met1 ( 3226320 244015 ) M1M2_PR
NEW met1 ( 1114800 244015 ) M1M2_PR
NEW met2 ( 3226320 1155510 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[7\] ( soc mgmt_out_data[7] ) ( soc mgmt_in_data[7] ) ( gpio_control_in\[7\] mgmt_gpio_out ) ( gpio_control_in\[7\] mgmt_gpio_in )
+ ROUTED met3 ( 3385440 2403890 ) ( 3385440 2404630 0 )
NEW met3 ( 3385200 2403890 ) ( 3385440 2403890 )
NEW met2 ( 3385200 2397415 ) ( 3385200 2403890 )
NEW met3 ( 3385440 2408330 ) ( 3385440 2410180 0 )
NEW met3 ( 3385200 2408330 ) ( 3385440 2408330 )
NEW met2 ( 3385200 2403890 ) ( 3385200 2408330 )
NEW met1 ( 3251760 2397415 ) ( 3385200 2397415 )
NEW met2 ( 3188640 1167350 0 ) ( 3189840 1167350 )
NEW met2 ( 3189840 1167350 ) ( 3189840 1181965 )
NEW met1 ( 3189840 1181965 ) ( 3251760 1181965 )
NEW met3 ( 3189600 1169570 ) ( 3189840 1169570 )
NEW met2 ( 3251760 1181965 ) ( 3251760 2397415 )
NEW met4 ( 3189600 260850 ) ( 3189600 1169570 )
NEW met2 ( 1116720 260850 ) ( 1116720 268250 0 )
NEW met3 ( 1116720 260850 ) ( 3189600 260850 )
NEW met1 ( 3251760 2397415 ) M1M2_PR
NEW met2 ( 3385200 2403890 ) via2_FR
NEW met1 ( 3385200 2397415 ) M1M2_PR
NEW met2 ( 3385200 2408330 ) via2_FR
NEW met1 ( 3189840 1181965 ) M1M2_PR
NEW met1 ( 3251760 1181965 ) M1M2_PR
NEW met3 ( 3189600 1169570 ) M3M4_PR_M
NEW met2 ( 3189840 1169570 ) via2_FR
NEW met3 ( 3189600 260850 ) M3M4_PR_M
NEW met2 ( 1116720 260850 ) via2_FR
NEW met3 ( 3189600 1169570 ) RECT ( -380 -150 0 150 )
NEW met2 ( 3189840 1169570 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[8\] ( soc mgmt_out_data[8] ) ( soc mgmt_in_data[8] ) ( gpio_control_in\[8\] mgmt_gpio_out ) ( gpio_control_in\[8\] mgmt_gpio_in )
+ ROUTED met2 ( 3186720 1167350 0 ) ( 3187920 1167350 )
NEW met2 ( 3187920 1167350 ) ( 3187920 1182335 )
NEW met1 ( 3187920 1182335 ) ( 3251280 1182335 )
NEW met3 ( 3185760 1168090 ) ( 3186000 1168090 )
NEW met2 ( 3186000 1167350 ) ( 3186000 1168090 )
NEW met2 ( 3186000 1167350 ) ( 3186720 1167350 0 )
NEW met2 ( 3251280 1182335 ) ( 3251280 2621635 )
NEW met3 ( 3385440 2624780 0 ) ( 3385440 2625890 )
NEW met3 ( 3385200 2625890 ) ( 3385440 2625890 )
NEW met2 ( 3385200 2625890 ) ( 3385200 2628850 )
NEW met3 ( 3385200 2628850 ) ( 3385440 2628850 )
NEW met3 ( 3385440 2628850 ) ( 3385440 2630330 0 )
NEW met2 ( 3385200 2621635 ) ( 3385200 2625890 )
NEW met1 ( 3251280 2621635 ) ( 3385200 2621635 )
NEW met2 ( 1148400 244755 ) ( 1148400 251970 )
NEW met4 ( 3185760 251970 ) ( 3185760 1168090 )
NEW met2 ( 1118640 244755 ) ( 1118640 268250 0 )
NEW met1 ( 1118640 244755 ) ( 1148400 244755 )
NEW met3 ( 1148400 251970 ) ( 3185760 251970 )
NEW met1 ( 3251280 2621635 ) M1M2_PR
NEW met1 ( 3187920 1182335 ) M1M2_PR
NEW met1 ( 3251280 1182335 ) M1M2_PR
NEW met3 ( 3185760 1168090 ) M3M4_PR_M
NEW met2 ( 3186000 1168090 ) via2_FR
NEW met2 ( 3385200 2625890 ) via2_FR
NEW met2 ( 3385200 2628850 ) via2_FR
NEW met1 ( 3385200 2621635 ) M1M2_PR
NEW met1 ( 1148400 244755 ) M1M2_PR
NEW met2 ( 1148400 251970 ) via2_FR
NEW met3 ( 3185760 251970 ) M3M4_PR_M
NEW met1 ( 1118640 244755 ) M1M2_PR
NEW met3 ( 3185760 1168090 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[9\] ( soc mgmt_out_data[9] ) ( soc mgmt_in_data[9] ) ( gpio_control_in\[9\] mgmt_gpio_out ) ( gpio_control_in\[9\] mgmt_gpio_in )
+ ROUTED met3 ( 3385440 2847890 ) ( 3385440 2849740 0 )
NEW met3 ( 3385200 2847890 ) ( 3385440 2847890 )
NEW met2 ( 3385200 2836975 ) ( 3385200 2847890 )
NEW met3 ( 3385440 2853810 ) ( 3385440 2855290 0 )
NEW met3 ( 3385200 2853810 ) ( 3385440 2853810 )
NEW met2 ( 3385200 2847890 ) ( 3385200 2853810 )
NEW met1 ( 3250800 2836975 ) ( 3385200 2836975 )
NEW met1 ( 3226800 1164945 ) ( 3250800 1164945 )
NEW met3 ( 3201120 1152550 0 ) ( 3201120 1153290 )
NEW met3 ( 3201120 1153290 ) ( 3226800 1153290 )
NEW met2 ( 3250800 1164945 ) ( 3250800 2836975 )
NEW met2 ( 3226800 243645 ) ( 3226800 1164945 )
NEW met2 ( 1120560 243645 ) ( 1120560 268250 0 )
NEW met1 ( 1120560 243645 ) ( 3226800 243645 )
NEW met1 ( 3250800 2836975 ) M1M2_PR
NEW met2 ( 3385200 2847890 ) via2_FR
NEW met1 ( 3385200 2836975 ) M1M2_PR
NEW met2 ( 3385200 2853810 ) via2_FR
NEW met1 ( 3226800 1164945 ) M1M2_PR
NEW met1 ( 3250800 1164945 ) M1M2_PR
NEW met2 ( 3226800 1153290 ) via2_FR
NEW met1 ( 3226800 243645 ) M1M2_PR
NEW met1 ( 1120560 243645 ) M1M2_PR
NEW met2 ( 3226800 1153290 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_rdata\[0\] ( storage mgmt_rdata[0] ) ( soc mgmt_rdata[0] )
+ ROUTED met2 ( 808080 359455 ) ( 808080 374625 )
NEW met2 ( 1024560 347430 ) ( 1024560 359455 )
NEW met1 ( 808080 359455 ) ( 1024560 359455 )
NEW met2 ( 720720 374625 ) ( 720720 387390 )
NEW met3 ( 704160 387390 0 ) ( 720720 387390 )
NEW met1 ( 720720 374625 ) ( 808080 374625 )
NEW met3 ( 1024560 347430 ) ( 1052640 347430 0 )
NEW met1 ( 808080 374625 ) M1M2_PR
NEW met1 ( 808080 359455 ) M1M2_PR
NEW met1 ( 1024560 359455 ) M1M2_PR
NEW met2 ( 1024560 347430 ) via2_FR
NEW met1 ( 720720 374625 ) M1M2_PR
NEW met2 ( 720720 387390 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[10\] ( storage mgmt_rdata[10] ) ( soc mgmt_rdata[10] )
+ ROUTED met2 ( 1024080 349650 ) ( 1024080 359825 )
NEW met2 ( 755760 359825 ) ( 755760 447145 )
NEW met1 ( 755760 359825 ) ( 1024080 359825 )
NEW met3 ( 1024080 349650 ) ( 1052640 349650 0 )
NEW met3 ( 704160 448070 0 ) ( 720240 448070 )
NEW met2 ( 720240 447145 ) ( 720240 448070 )
NEW met1 ( 720240 447145 ) ( 755760 447145 )
NEW met1 ( 1024080 359825 ) M1M2_PR
NEW met2 ( 1024080 349650 ) via2_FR
NEW met1 ( 755760 447145 ) M1M2_PR
NEW met1 ( 755760 359825 ) M1M2_PR
NEW met2 ( 720240 448070 ) via2_FR
NEW met1 ( 720240 447145 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[11\] ( storage mgmt_rdata[11] ) ( soc mgmt_rdata[11] )
+ ROUTED met2 ( 828240 359085 ) ( 828240 446775 )
NEW met2 ( 1023600 352610 ) ( 1023600 359085 )
NEW met1 ( 828240 359085 ) ( 1023600 359085 )
NEW met3 ( 1023600 352610 ) ( 1052640 352610 0 )
NEW met2 ( 720720 446775 ) ( 720720 453990 )
NEW met3 ( 704160 453990 0 ) ( 720720 453990 )
NEW met1 ( 720720 446775 ) ( 828240 446775 )
NEW met1 ( 828240 446775 ) M1M2_PR
NEW met1 ( 828240 359085 ) M1M2_PR
NEW met1 ( 1023600 359085 ) M1M2_PR
NEW met2 ( 1023600 352610 ) via2_FR
NEW met1 ( 720720 446775 ) M1M2_PR
NEW met2 ( 720720 453990 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[12\] ( storage mgmt_rdata[12] ) ( soc mgmt_rdata[12] )
+ ROUTED met2 ( 842640 358715 ) ( 842640 446405 )
NEW met2 ( 1023120 355570 ) ( 1023120 358715 )
NEW met1 ( 842640 358715 ) ( 1023120 358715 )
NEW met3 ( 1023120 355570 ) ( 1052640 355570 0 )
NEW met2 ( 721200 446405 ) ( 721200 456950 )
NEW met3 ( 704160 456950 ) ( 721200 456950 )
NEW met3 ( 704160 456950 ) ( 704160 459540 0 )
NEW met1 ( 721200 446405 ) ( 842640 446405 )
NEW met1 ( 842640 446405 ) M1M2_PR
NEW met1 ( 842640 358715 ) M1M2_PR
NEW met1 ( 1023120 358715 ) M1M2_PR
NEW met2 ( 1023120 355570 ) via2_FR
NEW met1 ( 721200 446405 ) M1M2_PR
NEW met2 ( 721200 456950 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[13\] ( storage mgmt_rdata[13] ) ( soc mgmt_rdata[13] )
+ ROUTED met2 ( 857040 358345 ) ( 857040 460835 )
NEW met2 ( 1022640 358345 ) ( 1022640 358530 )
NEW met1 ( 857040 358345 ) ( 1022640 358345 )
NEW met3 ( 1052640 358160 0 ) ( 1052640 358530 )
NEW met3 ( 1022640 358530 ) ( 1052640 358530 )
NEW met3 ( 704160 464350 ) ( 704160 465460 0 )
NEW met3 ( 704160 464350 ) ( 720240 464350 )
NEW met2 ( 720240 460835 ) ( 720240 464350 )
NEW met1 ( 720240 460835 ) ( 857040 460835 )
NEW met1 ( 857040 460835 ) M1M2_PR
NEW met1 ( 857040 358345 ) M1M2_PR
NEW met1 ( 1022640 358345 ) M1M2_PR
NEW met2 ( 1022640 358530 ) via2_FR
NEW met2 ( 720240 464350 ) via2_FR
NEW met1 ( 720240 460835 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[14\] ( storage mgmt_rdata[14] ) ( soc mgmt_rdata[14] )
+ ROUTED met2 ( 1024560 360750 ) ( 1024560 374255 )
NEW met2 ( 770160 374255 ) ( 770160 461205 )
NEW met1 ( 770160 374255 ) ( 1024560 374255 )
NEW met3 ( 1024560 360750 ) ( 1052640 360750 0 )
NEW met2 ( 720720 461205 ) ( 720720 471750 )
NEW met3 ( 704160 471750 0 ) ( 720720 471750 )
NEW met1 ( 720720 461205 ) ( 770160 461205 )
NEW met1 ( 1024560 374255 ) M1M2_PR
NEW met2 ( 1024560 360750 ) via2_FR
NEW met1 ( 770160 461205 ) M1M2_PR
NEW met1 ( 770160 374255 ) M1M2_PR
NEW met1 ( 720720 461205 ) M1M2_PR
NEW met2 ( 720720 471750 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[15\] ( storage mgmt_rdata[15] ) ( soc mgmt_rdata[15] )
+ ROUTED met2 ( 872400 373885 ) ( 872400 475635 )
NEW met2 ( 1024080 363710 ) ( 1024080 373885 )
NEW met1 ( 872400 373885 ) ( 1024080 373885 )
NEW met3 ( 1024080 363710 ) ( 1052640 363710 0 )
NEW met2 ( 720240 475635 ) ( 720240 477670 )
NEW met3 ( 704160 477670 0 ) ( 720240 477670 )
NEW met1 ( 720240 475635 ) ( 872400 475635 )
NEW met1 ( 872400 373885 ) M1M2_PR
NEW met1 ( 872400 475635 ) M1M2_PR
NEW met1 ( 1024080 373885 ) M1M2_PR
NEW met2 ( 1024080 363710 ) via2_FR
NEW met1 ( 720240 475635 ) M1M2_PR
NEW met2 ( 720240 477670 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[16\] ( storage mgmt_rdata[16] ) ( soc mgmt_rdata[16] )
+ ROUTED met2 ( 1023600 368150 ) ( 1023600 373515 )
NEW met1 ( 886320 373515 ) ( 1023600 373515 )
NEW met2 ( 886320 373515 ) ( 886320 475265 )
NEW met3 ( 1052640 366300 0 ) ( 1052640 368150 )
NEW met3 ( 1023600 368150 ) ( 1052640 368150 )
NEW met3 ( 704160 481370 ) ( 704160 483960 0 )
NEW met3 ( 704160 481370 ) ( 720720 481370 )
NEW met2 ( 720720 475265 ) ( 720720 481370 )
NEW met1 ( 720720 475265 ) ( 886320 475265 )
NEW met1 ( 1023600 373515 ) M1M2_PR
NEW met2 ( 1023600 368150 ) via2_FR
NEW met1 ( 886320 373515 ) M1M2_PR
NEW met1 ( 886320 475265 ) M1M2_PR
NEW met2 ( 720720 481370 ) via2_FR
NEW met1 ( 720720 475265 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[17\] ( storage mgmt_rdata[17] ) ( soc mgmt_rdata[17] )
+ ROUTED met2 ( 1023120 368890 ) ( 1023120 373145 )
NEW met1 ( 900720 373145 ) ( 1023120 373145 )
NEW met2 ( 900720 373145 ) ( 900720 490435 )
NEW met3 ( 1023120 368890 ) ( 1052640 368890 0 )
NEW met2 ( 720240 490250 ) ( 720240 490435 )
NEW met3 ( 704160 490250 0 ) ( 720240 490250 )
NEW met1 ( 720240 490435 ) ( 900720 490435 )
NEW met1 ( 1023120 373145 ) M1M2_PR
NEW met2 ( 1023120 368890 ) via2_FR
NEW met1 ( 900720 373145 ) M1M2_PR
NEW met1 ( 900720 490435 ) M1M2_PR
NEW met1 ( 720240 490435 ) M1M2_PR
NEW met2 ( 720240 490250 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[18\] ( storage mgmt_rdata[18] ) ( soc mgmt_rdata[18] )
+ ROUTED met2 ( 1022640 371850 ) ( 1022640 372775 )
NEW met1 ( 915600 372775 ) ( 1022640 372775 )
NEW met2 ( 915600 372775 ) ( 915600 490065 )
NEW met3 ( 1022640 371850 ) ( 1052640 371850 0 )
NEW met2 ( 720720 490065 ) ( 720720 495430 )
NEW met3 ( 704160 495430 0 ) ( 720720 495430 )
NEW met1 ( 720720 490065 ) ( 915600 490065 )
NEW met1 ( 1022640 372775 ) M1M2_PR
NEW met2 ( 1022640 371850 ) via2_FR
NEW met1 ( 915600 372775 ) M1M2_PR
NEW met1 ( 915600 490065 ) M1M2_PR
NEW met1 ( 720720 490065 ) M1M2_PR
NEW met2 ( 720720 495430 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[19\] ( storage mgmt_rdata[19] ) ( soc mgmt_rdata[19] )
+ ROUTED met2 ( 721200 489695 ) ( 721200 499130 )
NEW met3 ( 704160 499130 ) ( 721200 499130 )
NEW met3 ( 704160 499130 ) ( 704160 501720 0 )
NEW met1 ( 721200 489695 ) ( 1041840 489695 )
NEW met2 ( 1079040 267695 ) ( 1079040 268250 0 )
NEW met1 ( 1079040 267695 ) ( 1079040 268435 )
NEW met1 ( 1041840 268435 ) ( 1079040 268435 )
NEW met2 ( 1041840 268435 ) ( 1041840 489695 )
NEW met1 ( 721200 489695 ) M1M2_PR
NEW met2 ( 721200 499130 ) via2_FR
NEW met1 ( 1041840 489695 ) M1M2_PR
NEW met1 ( 1079040 267695 ) M1M2_PR
NEW met1 ( 1041840 268435 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[1\] ( storage mgmt_rdata[1] ) ( soc mgmt_rdata[1] )
+ ROUTED met2 ( 720240 389055 ) ( 720240 393310 )
NEW met3 ( 704160 393310 0 ) ( 720240 393310 )
NEW met1 ( 720240 389055 ) ( 1041360 389055 )
NEW met2 ( 1080720 268250 ) ( 1080960 268250 0 )
NEW met2 ( 1080720 251785 ) ( 1080720 268250 )
NEW met1 ( 1041360 251785 ) ( 1080720 251785 )
NEW met2 ( 1041360 251785 ) ( 1041360 389055 )
NEW met1 ( 720240 389055 ) M1M2_PR
NEW met2 ( 720240 393310 ) via2_FR
NEW met1 ( 1041360 389055 ) M1M2_PR
NEW met1 ( 1080720 251785 ) M1M2_PR
NEW met1 ( 1041360 251785 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[20\] ( storage mgmt_rdata[20] ) ( soc mgmt_rdata[20] )
+ ROUTED met2 ( 1025040 376290 ) ( 1025040 388685 )
NEW met2 ( 785520 388685 ) ( 785520 507825 )
NEW met1 ( 785520 388685 ) ( 1025040 388685 )
NEW met3 ( 1052640 374440 0 ) ( 1052640 376290 )
NEW met3 ( 1025040 376290 ) ( 1052640 376290 )
NEW met2 ( 720240 507825 ) ( 720240 508010 )
NEW met3 ( 704160 508010 0 ) ( 720240 508010 )
NEW met1 ( 720240 507825 ) ( 785520 507825 )
NEW met1 ( 785520 388685 ) M1M2_PR
NEW met1 ( 1025040 388685 ) M1M2_PR
NEW met2 ( 1025040 376290 ) via2_FR
NEW met1 ( 785520 507825 ) M1M2_PR
NEW met1 ( 720240 507825 ) M1M2_PR
NEW met2 ( 720240 508010 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[21\] ( storage mgmt_rdata[21] ) ( soc mgmt_rdata[21] )
+ ROUTED met2 ( 738480 238465 ) ( 738480 504125 )
NEW met2 ( 720720 504125 ) ( 720720 513930 )
NEW met3 ( 704160 513930 0 ) ( 720720 513930 )
NEW met1 ( 720720 504125 ) ( 738480 504125 )
NEW met2 ( 1082640 238465 ) ( 1082640 268250 0 )
NEW met1 ( 738480 238465 ) ( 1082640 238465 )
NEW met1 ( 738480 238465 ) M1M2_PR
NEW met1 ( 738480 504125 ) M1M2_PR
NEW met1 ( 720720 504125 ) M1M2_PR
NEW met2 ( 720720 513930 ) via2_FR
NEW met1 ( 1082640 238465 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[22\] ( storage mgmt_rdata[22] ) ( soc mgmt_rdata[22] )
+ ROUTED met2 ( 1024560 377030 ) ( 1024560 388315 )
NEW met2 ( 799920 388315 ) ( 799920 521145 )
NEW met1 ( 799920 388315 ) ( 1024560 388315 )
NEW met3 ( 1024560 377030 ) ( 1052640 377030 0 )
NEW met3 ( 703200 520220 0 ) ( 703200 521330 )
NEW met3 ( 703200 521330 ) ( 720240 521330 )
NEW met2 ( 720240 521145 ) ( 720240 521330 )
NEW met1 ( 720240 521145 ) ( 799920 521145 )
NEW met1 ( 799920 388315 ) M1M2_PR
NEW met1 ( 1024560 388315 ) M1M2_PR
NEW met2 ( 1024560 377030 ) via2_FR
NEW met1 ( 799920 521145 ) M1M2_PR
NEW met2 ( 720240 521330 ) via2_FR
NEW met1 ( 720240 521145 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[23\] ( storage mgmt_rdata[23] ) ( soc mgmt_rdata[23] )
+ ROUTED met3 ( 704160 523550 ) ( 704160 526140 0 )
NEW met3 ( 704160 523550 ) ( 720720 523550 )
NEW met2 ( 720720 518555 ) ( 720720 523550 )
NEW met2 ( 1084560 251415 ) ( 1084560 268250 0 )
NEW met1 ( 1042320 251415 ) ( 1084560 251415 )
NEW met1 ( 720720 518555 ) ( 1042320 518555 )
NEW met2 ( 1042320 251415 ) ( 1042320 518555 )
NEW met2 ( 720720 523550 ) via2_FR
NEW met1 ( 720720 518555 ) M1M2_PR
NEW met1 ( 1084560 251415 ) M1M2_PR
NEW met1 ( 1042320 251415 ) M1M2_PR
NEW met1 ( 1042320 518555 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[24\] ( storage mgmt_rdata[24] ) ( soc mgmt_rdata[24] )
+ ROUTED met2 ( 757200 263625 ) ( 757200 521885 )
NEW met3 ( 704160 529470 ) ( 704160 532060 0 )
NEW met3 ( 704160 529470 ) ( 720240 529470 )
NEW met2 ( 720240 521885 ) ( 720240 529470 )
NEW met1 ( 720240 521885 ) ( 757200 521885 )
NEW met2 ( 1086480 263625 ) ( 1086480 268250 0 )
NEW met1 ( 757200 263625 ) ( 1086480 263625 )
NEW met1 ( 757200 263625 ) M1M2_PR
NEW met1 ( 757200 521885 ) M1M2_PR
NEW met2 ( 720240 529470 ) via2_FR
NEW met1 ( 720240 521885 ) M1M2_PR
NEW met1 ( 1086480 263625 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[25\] ( storage mgmt_rdata[25] ) ( soc mgmt_rdata[25] )
+ ROUTED met2 ( 1024080 379990 ) ( 1024080 387945 )
NEW met1 ( 814320 387945 ) ( 1024080 387945 )
NEW met2 ( 814320 387945 ) ( 814320 533725 )
NEW met2 ( 720240 533725 ) ( 720240 537610 )
NEW met3 ( 704160 537610 0 ) ( 720240 537610 )
NEW met1 ( 720240 533725 ) ( 814320 533725 )
NEW met3 ( 1024080 379990 ) ( 1052640 379990 0 )
NEW met1 ( 814320 387945 ) M1M2_PR
NEW met1 ( 1024080 387945 ) M1M2_PR
NEW met2 ( 1024080 379990 ) via2_FR
NEW met1 ( 814320 533725 ) M1M2_PR
NEW met1 ( 720240 533725 ) M1M2_PR
NEW met2 ( 720240 537610 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[26\] ( storage mgmt_rdata[26] ) ( soc mgmt_rdata[26] )
+ ROUTED met3 ( 704160 541310 ) ( 704160 543890 0 )
NEW met3 ( 704160 541310 ) ( 723600 541310 )
NEW met2 ( 723600 532985 ) ( 723600 541310 )
NEW met1 ( 723600 532985 ) ( 1042800 532985 )
NEW met2 ( 1088400 251045 ) ( 1088400 268250 0 )
NEW met1 ( 1042800 251045 ) ( 1088400 251045 )
NEW met2 ( 1042800 251045 ) ( 1042800 532985 )
NEW met2 ( 723600 541310 ) via2_FR
NEW met1 ( 723600 532985 ) M1M2_PR
NEW met1 ( 1042800 532985 ) M1M2_PR
NEW met1 ( 1088400 251045 ) M1M2_PR
NEW met1 ( 1042800 251045 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[27\] ( storage mgmt_rdata[27] ) ( soc mgmt_rdata[27] )
+ ROUTED met2 ( 1023600 384430 ) ( 1023600 387575 )
NEW met1 ( 929520 387575 ) ( 1023600 387575 )
NEW met3 ( 704160 548710 ) ( 704160 549820 0 )
NEW met3 ( 704160 548710 ) ( 720240 548710 )
NEW met2 ( 720240 547415 ) ( 720240 548710 )
NEW met1 ( 720240 547415 ) ( 929520 547415 )
NEW met2 ( 929520 387575 ) ( 929520 547415 )
NEW met3 ( 1052640 382580 0 ) ( 1052640 384430 )
NEW met3 ( 1023600 384430 ) ( 1052640 384430 )
NEW met1 ( 1023600 387575 ) M1M2_PR
NEW met2 ( 1023600 384430 ) via2_FR
NEW met1 ( 929520 387575 ) M1M2_PR
NEW met2 ( 720240 548710 ) via2_FR
NEW met1 ( 720240 547415 ) M1M2_PR
NEW met1 ( 929520 547415 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[28\] ( storage mgmt_rdata[28] ) ( soc mgmt_rdata[28] )
+ ROUTED met2 ( 738960 238095 ) ( 738960 547785 )
NEW met2 ( 720720 547785 ) ( 720720 556110 )
NEW met3 ( 704160 556110 0 ) ( 720720 556110 )
NEW met1 ( 720720 547785 ) ( 738960 547785 )
NEW met2 ( 1090320 238095 ) ( 1090320 268250 )
NEW met2 ( 1090080 268250 0 ) ( 1090320 268250 )
NEW met1 ( 738960 238095 ) ( 1090320 238095 )
NEW met1 ( 738960 238095 ) M1M2_PR
NEW met1 ( 738960 547785 ) M1M2_PR
NEW met1 ( 720720 547785 ) M1M2_PR
NEW met2 ( 720720 556110 ) via2_FR
NEW met1 ( 1090320 238095 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[29\] ( storage mgmt_rdata[29] ) ( soc mgmt_rdata[29] )
+ ROUTED met2 ( 739440 237355 ) ( 739440 561845 )
NEW met2 ( 720240 561845 ) ( 720240 562030 )
NEW met3 ( 704160 562030 0 ) ( 720240 562030 )
NEW met1 ( 720240 561845 ) ( 739440 561845 )
NEW met2 ( 1091760 237355 ) ( 1091760 268250 )
NEW met2 ( 1091760 268250 ) ( 1092000 268250 0 )
NEW met1 ( 739440 237355 ) ( 1091760 237355 )
NEW met1 ( 739440 237355 ) M1M2_PR
NEW met1 ( 739440 561845 ) M1M2_PR
NEW met1 ( 720240 561845 ) M1M2_PR
NEW met2 ( 720240 562030 ) via2_FR
NEW met1 ( 1091760 237355 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[2\] ( storage mgmt_rdata[2] ) ( soc mgmt_rdata[2] )
+ ROUTED met2 ( 1022640 385170 ) ( 1022640 387205 )
NEW met2 ( 936240 387205 ) ( 936240 389425 )
NEW met1 ( 936240 387205 ) ( 1022640 387205 )
NEW met3 ( 704160 397010 ) ( 704160 399600 0 )
NEW met3 ( 704160 397010 ) ( 720720 397010 )
NEW met2 ( 720720 389425 ) ( 720720 397010 )
NEW met1 ( 720720 389425 ) ( 936240 389425 )
NEW met3 ( 1022640 385170 ) ( 1052640 385170 0 )
NEW met1 ( 1022640 387205 ) M1M2_PR
NEW met2 ( 1022640 385170 ) via2_FR
NEW met1 ( 936240 389425 ) M1M2_PR
NEW met1 ( 936240 387205 ) M1M2_PR
NEW met2 ( 720720 397010 ) via2_FR
NEW met1 ( 720720 389425 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[30\] ( storage mgmt_rdata[30] ) ( soc mgmt_rdata[30] )
+ ROUTED met2 ( 739920 237725 ) ( 739920 562215 )
NEW met2 ( 720720 562215 ) ( 720720 565730 )
NEW met3 ( 704160 565730 ) ( 720720 565730 )
NEW met3 ( 704160 565730 ) ( 704160 568320 0 )
NEW met1 ( 720720 562215 ) ( 739920 562215 )
NEW met2 ( 1093680 237725 ) ( 1093680 268250 0 )
NEW met1 ( 739920 237725 ) ( 1093680 237725 )
NEW met1 ( 739920 237725 ) M1M2_PR
NEW met1 ( 739920 562215 ) M1M2_PR
NEW met1 ( 720720 562215 ) M1M2_PR
NEW met2 ( 720720 565730 ) via2_FR
NEW met1 ( 1093680 237725 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[31\] ( storage mgmt_rdata[31] ) ( soc mgmt_rdata[31] )
+ ROUTED met2 ( 1023120 386835 ) ( 1023120 388130 )
NEW met1 ( 958320 386835 ) ( 1023120 386835 )
NEW met2 ( 958320 386835 ) ( 958320 563325 )
NEW met3 ( 704160 570910 ) ( 704160 573810 0 )
NEW met3 ( 704160 570910 ) ( 720240 570910 )
NEW met2 ( 720240 563325 ) ( 720240 570910 )
NEW met1 ( 720240 563325 ) ( 958320 563325 )
NEW met3 ( 1023120 388130 ) ( 1052640 388130 0 )
NEW met1 ( 1023120 386835 ) M1M2_PR
NEW met2 ( 1023120 388130 ) via2_FR
NEW met1 ( 958320 386835 ) M1M2_PR
NEW met1 ( 958320 563325 ) M1M2_PR
NEW met2 ( 720240 570910 ) via2_FR
NEW met1 ( 720240 563325 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[32\] ( storage mgmt_rdata[32] ) ( soc mgmt_rdata[32] )
+ ROUTED met2 ( 734640 579605 ) ( 734640 579790 )
NEW met1 ( 734640 579605 ) ( 740880 579605 )
NEW met2 ( 740880 239945 ) ( 740880 579605 )
NEW met3 ( 704160 579790 0 ) ( 734640 579790 )
NEW met2 ( 1095600 239945 ) ( 1095600 268250 0 )
NEW met1 ( 740880 239945 ) ( 1095600 239945 )
NEW met1 ( 740880 239945 ) M1M2_PR
NEW met2 ( 734640 579790 ) via2_FR
NEW met1 ( 734640 579605 ) M1M2_PR
NEW met1 ( 740880 579605 ) M1M2_PR
NEW met1 ( 1095600 239945 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[33\] ( storage mgmt_rdata[33] ) ( soc mgmt_rdata[33] )
+ ROUTED met2 ( 734640 583305 ) ( 734640 583490 )
NEW met1 ( 734640 583305 ) ( 740400 583305 )
NEW met2 ( 740400 240315 ) ( 740400 583305 )
NEW met3 ( 704160 583490 ) ( 704160 586050 0 )
NEW met3 ( 704160 583490 ) ( 734640 583490 )
NEW met2 ( 1097520 240315 ) ( 1097520 268250 0 )
NEW met1 ( 740400 240315 ) ( 1097520 240315 )
NEW met1 ( 740400 240315 ) M1M2_PR
NEW met2 ( 734640 583490 ) via2_FR
NEW met1 ( 734640 583305 ) M1M2_PR
NEW met1 ( 740400 583305 ) M1M2_PR
NEW met1 ( 1097520 240315 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[34\] ( storage mgmt_rdata[34] ) ( soc mgmt_rdata[34] )
+ ROUTED met2 ( 1023120 392570 ) ( 1023120 403115 )
NEW met1 ( 943920 403115 ) ( 1023120 403115 )
NEW met2 ( 720240 591445 ) ( 720240 591630 )
NEW met3 ( 1052640 390720 0 ) ( 1052640 392570 )
NEW met3 ( 1023120 392570 ) ( 1052640 392570 )
NEW met3 ( 703200 592370 0 ) ( 703200 593110 )
NEW met3 ( 703200 593110 ) ( 705360 593110 )
NEW met2 ( 705360 591630 ) ( 705360 593110 )
NEW met3 ( 705360 591630 ) ( 720240 591630 )
NEW met2 ( 834960 591445 ) ( 834960 591630 )
NEW met2 ( 834960 591630 ) ( 835920 591630 )
NEW met2 ( 835920 591630 ) ( 835920 591815 )
NEW met1 ( 720240 591445 ) ( 834960 591445 )
NEW met2 ( 907440 591815 ) ( 907440 592555 )
NEW met1 ( 907440 592555 ) ( 943920 592555 )
NEW met1 ( 835920 591815 ) ( 907440 591815 )
NEW met2 ( 943920 403115 ) ( 943920 592555 )
NEW met1 ( 1023120 403115 ) M1M2_PR
NEW met2 ( 1023120 392570 ) via2_FR
NEW met1 ( 943920 403115 ) M1M2_PR
NEW met2 ( 720240 591630 ) via2_FR
NEW met1 ( 720240 591445 ) M1M2_PR
NEW met2 ( 705360 593110 ) via2_FR
NEW met2 ( 705360 591630 ) via2_FR
NEW met1 ( 834960 591445 ) M1M2_PR
NEW met1 ( 835920 591815 ) M1M2_PR
NEW met1 ( 907440 591815 ) M1M2_PR
NEW met1 ( 907440 592555 ) M1M2_PR
NEW met1 ( 943920 592555 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[35\] ( storage mgmt_rdata[35] ) ( soc mgmt_rdata[35] )
+ ROUTED met2 ( 734640 595145 ) ( 734640 595330 )
NEW met1 ( 734640 595145 ) ( 756720 595145 )
NEW met2 ( 756720 261035 ) ( 756720 595145 )
NEW met3 ( 704160 595330 ) ( 704160 598150 0 )
NEW met3 ( 704160 595330 ) ( 734640 595330 )
NEW met2 ( 1099440 261035 ) ( 1099440 268250 0 )
NEW met1 ( 756720 261035 ) ( 1099440 261035 )
NEW met1 ( 756720 261035 ) M1M2_PR
NEW met2 ( 734640 595330 ) via2_FR
NEW met1 ( 734640 595145 ) M1M2_PR
NEW met1 ( 756720 595145 ) M1M2_PR
NEW met1 ( 1099440 261035 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[36\] ( storage mgmt_rdata[36] ) ( soc mgmt_rdata[36] )
+ ROUTED met2 ( 1022640 393310 ) ( 1022640 402745 )
NEW met1 ( 972720 402745 ) ( 1022640 402745 )
NEW met2 ( 972720 402745 ) ( 972720 590705 )
NEW met2 ( 720720 590705 ) ( 720720 604210 )
NEW met3 ( 704160 604210 0 ) ( 720720 604210 )
NEW met1 ( 720720 590705 ) ( 972720 590705 )
NEW met3 ( 1022640 393310 ) ( 1052640 393310 0 )
NEW met1 ( 1022640 402745 ) M1M2_PR
NEW met2 ( 1022640 393310 ) via2_FR
NEW met1 ( 972720 402745 ) M1M2_PR
NEW met1 ( 972720 590705 ) M1M2_PR
NEW met1 ( 720720 590705 ) M1M2_PR
NEW met2 ( 720720 604210 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[37\] ( storage mgmt_rdata[37] ) ( soc mgmt_rdata[37] )
+ ROUTED met2 ( 748560 238835 ) ( 748560 605135 )
NEW met3 ( 704160 607910 ) ( 704160 609760 0 )
NEW met3 ( 704160 607910 ) ( 720240 607910 )
NEW met2 ( 720240 605135 ) ( 720240 607910 )
NEW met1 ( 720240 605135 ) ( 748560 605135 )
NEW met2 ( 1101360 238835 ) ( 1101360 268250 )
NEW met2 ( 1101120 268250 0 ) ( 1101360 268250 )
NEW met1 ( 748560 238835 ) ( 1101360 238835 )
NEW met1 ( 748560 238835 ) M1M2_PR
NEW met1 ( 748560 605135 ) M1M2_PR
NEW met2 ( 720240 607910 ) via2_FR
NEW met1 ( 720240 605135 ) M1M2_PR
NEW met1 ( 1101360 238835 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[38\] ( storage mgmt_rdata[38] ) ( soc mgmt_rdata[38] )
+ ROUTED met2 ( 748080 239205 ) ( 748080 607725 )
NEW met3 ( 704160 613830 ) ( 704160 615680 0 )
NEW met3 ( 704160 613830 ) ( 720720 613830 )
NEW met2 ( 720720 607725 ) ( 720720 613830 )
NEW met1 ( 720720 607725 ) ( 748080 607725 )
NEW met2 ( 1102800 239205 ) ( 1102800 268250 )
NEW met2 ( 1102800 268250 ) ( 1103040 268250 0 )
NEW met1 ( 748080 239205 ) ( 1102800 239205 )
NEW met1 ( 748080 239205 ) M1M2_PR
NEW met1 ( 748080 607725 ) M1M2_PR
NEW met2 ( 720720 613830 ) via2_FR
NEW met1 ( 720720 607725 ) M1M2_PR
NEW met1 ( 1102800 239205 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[39\] ( storage mgmt_rdata[39] ) ( soc mgmt_rdata[39] )
+ ROUTED met1 ( 987120 401635 ) ( 1023600 401635 )
NEW met2 ( 1023600 396270 ) ( 1023600 401635 )
NEW met2 ( 987120 401635 ) ( 987120 619935 )
NEW met2 ( 720240 619935 ) ( 720240 621970 )
NEW met3 ( 704160 621970 0 ) ( 720240 621970 )
NEW met1 ( 720240 619935 ) ( 987120 619935 )
NEW met3 ( 1023600 396270 ) ( 1052640 396270 0 )
NEW met1 ( 987120 401635 ) M1M2_PR
NEW met1 ( 1023600 401635 ) M1M2_PR
NEW met2 ( 1023600 396270 ) via2_FR
NEW met1 ( 987120 619935 ) M1M2_PR
NEW met1 ( 720240 619935 ) M1M2_PR
NEW met2 ( 720240 621970 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[3\] ( storage mgmt_rdata[3] ) ( soc mgmt_rdata[3] )
+ ROUTED met3 ( 704160 403670 ) ( 722160 403670 )
NEW met3 ( 704160 403670 ) ( 704160 405850 0 )
NEW met2 ( 722160 260295 ) ( 722160 403670 )
NEW met2 ( 1059600 260295 ) ( 1059600 262515 )
NEW met1 ( 1059600 262515 ) ( 1104720 262515 )
NEW met2 ( 1104720 262515 ) ( 1104720 268250 0 )
NEW met1 ( 722160 260295 ) ( 1059600 260295 )
NEW met2 ( 722160 403670 ) via2_FR
NEW met1 ( 722160 260295 ) M1M2_PR
NEW met1 ( 1059600 260295 ) M1M2_PR
NEW met1 ( 1059600 262515 ) M1M2_PR
NEW met1 ( 1104720 262515 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[40\] ( storage mgmt_rdata[40] ) ( soc mgmt_rdata[40] )
+ ROUTED met1 ( 1001520 402005 ) ( 1027440 402005 )
NEW met2 ( 1027440 400710 ) ( 1027440 402005 )
NEW met2 ( 1001520 402005 ) ( 1001520 619565 )
NEW met3 ( 704160 625670 ) ( 704160 628210 0 )
NEW met3 ( 704160 625670 ) ( 720720 625670 )
NEW met2 ( 720720 619565 ) ( 720720 625670 )
NEW met1 ( 720720 619565 ) ( 1001520 619565 )
NEW met3 ( 1052640 398860 0 ) ( 1052640 400710 )
NEW met3 ( 1027440 400710 ) ( 1052640 400710 )
NEW met1 ( 1001520 402005 ) M1M2_PR
NEW met1 ( 1027440 402005 ) M1M2_PR
NEW met2 ( 1027440 400710 ) via2_FR
NEW met1 ( 1001520 619565 ) M1M2_PR
NEW met2 ( 720720 625670 ) via2_FR
NEW met1 ( 720720 619565 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[41\] ( storage mgmt_rdata[41] ) ( soc mgmt_rdata[41] )
+ ROUTED met2 ( 735600 634365 ) ( 735600 634550 )
NEW met1 ( 735600 634365 ) ( 747600 634365 )
NEW met2 ( 747600 239575 ) ( 747600 634365 )
NEW met3 ( 704160 634550 0 ) ( 735600 634550 )
NEW met2 ( 1106640 239575 ) ( 1106640 268250 0 )
NEW met1 ( 747600 239575 ) ( 1106640 239575 )
NEW met1 ( 747600 239575 ) M1M2_PR
NEW met2 ( 735600 634550 ) via2_FR
NEW met1 ( 735600 634365 ) M1M2_PR
NEW met1 ( 747600 634365 ) M1M2_PR
NEW met1 ( 1106640 239575 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[42\] ( storage mgmt_rdata[42] ) ( soc mgmt_rdata[42] )
+ ROUTED met3 ( 704160 637510 ) ( 704160 640450 0 )
NEW met3 ( 704160 637510 ) ( 733680 637510 )
NEW met2 ( 733680 633625 ) ( 733680 637510 )
NEW met2 ( 1108560 243645 ) ( 1108560 268250 0 )
NEW met1 ( 1043280 243645 ) ( 1108560 243645 )
NEW met1 ( 733680 633625 ) ( 1043280 633625 )
NEW met2 ( 1043280 243645 ) ( 1043280 633625 )
NEW met2 ( 733680 637510 ) via2_FR
NEW met1 ( 733680 633625 ) M1M2_PR
NEW met1 ( 1108560 243645 ) M1M2_PR
NEW met1 ( 1043280 243645 ) M1M2_PR
NEW met1 ( 1043280 633625 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[43\] ( storage mgmt_rdata[43] ) ( soc mgmt_rdata[43] )
+ ROUTED met1 ( 1015920 402375 ) ( 1034640 402375 )
NEW met2 ( 1034640 401450 ) ( 1034640 402375 )
NEW met2 ( 1015920 402375 ) ( 1015920 633995 )
NEW met3 ( 1034640 401450 ) ( 1052640 401450 0 )
NEW met1 ( 734160 633995 ) ( 734160 635105 )
NEW met1 ( 720240 635105 ) ( 734160 635105 )
NEW met2 ( 720240 635105 ) ( 720240 646390 )
NEW met3 ( 704160 646390 0 ) ( 720240 646390 )
NEW met1 ( 734160 633995 ) ( 1015920 633995 )
NEW met1 ( 1015920 402375 ) M1M2_PR
NEW met1 ( 1034640 402375 ) M1M2_PR
NEW met2 ( 1034640 401450 ) via2_FR
NEW met1 ( 1015920 633995 ) M1M2_PR
NEW met1 ( 720240 635105 ) M1M2_PR
NEW met2 ( 720240 646390 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[44\] ( storage mgmt_rdata[44] ) ( soc mgmt_rdata[44] )
+ ROUTED met3 ( 704160 650830 ) ( 704160 651940 0 )
NEW met3 ( 704160 650830 ) ( 720240 650830 )
NEW met2 ( 720240 648055 ) ( 720240 650830 )
NEW met2 ( 1110480 244015 ) ( 1110480 268250 0 )
NEW met1 ( 1045200 244015 ) ( 1110480 244015 )
NEW met2 ( 1045200 244015 ) ( 1045200 293410 )
NEW met2 ( 1045200 293410 ) ( 1045680 293410 )
NEW met1 ( 720240 648055 ) ( 1045680 648055 )
NEW met2 ( 1045680 293410 ) ( 1045680 648055 )
NEW met2 ( 720240 650830 ) via2_FR
NEW met1 ( 720240 648055 ) M1M2_PR
NEW met1 ( 1110480 244015 ) M1M2_PR
NEW met1 ( 1045200 244015 ) M1M2_PR
NEW met1 ( 1045680 648055 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[45\] ( storage mgmt_rdata[45] ) ( soc mgmt_rdata[45] )
+ ROUTED met2 ( 1024080 404410 ) ( 1024080 417545 )
NEW met1 ( 757680 417545 ) ( 1024080 417545 )
NEW met2 ( 757680 417545 ) ( 757680 648425 )
NEW met3 ( 1024080 404410 ) ( 1052640 404410 0 )
NEW met3 ( 704160 655270 ) ( 704160 657860 0 )
NEW met3 ( 704160 655270 ) ( 720720 655270 )
NEW met2 ( 720720 648425 ) ( 720720 655270 )
NEW met1 ( 720720 648425 ) ( 757680 648425 )
NEW met1 ( 1024080 417545 ) M1M2_PR
NEW met2 ( 1024080 404410 ) via2_FR
NEW met1 ( 757680 417545 ) M1M2_PR
NEW met1 ( 757680 648425 ) M1M2_PR
NEW met2 ( 720720 655270 ) via2_FR
NEW met1 ( 720720 648425 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[46\] ( storage mgmt_rdata[46] ) ( soc mgmt_rdata[46] )
+ ROUTED met2 ( 720240 662485 ) ( 720240 664150 )
NEW met3 ( 704160 664150 0 ) ( 720240 664150 )
NEW met2 ( 1044720 241055 ) ( 1044720 294150 )
NEW met2 ( 1044720 294150 ) ( 1045200 294150 )
NEW met1 ( 720240 662485 ) ( 1045200 662485 )
NEW met2 ( 1045200 294150 ) ( 1045200 662485 )
NEW met2 ( 1112400 241055 ) ( 1112400 268250 )
NEW met2 ( 1112160 268250 0 ) ( 1112400 268250 )
NEW met1 ( 1044720 241055 ) ( 1112400 241055 )
NEW met1 ( 720240 662485 ) M1M2_PR
NEW met2 ( 720240 664150 ) via2_FR
NEW met1 ( 1044720 241055 ) M1M2_PR
NEW met1 ( 1045200 662485 ) M1M2_PR
NEW met1 ( 1112400 241055 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[47\] ( storage mgmt_rdata[47] ) ( soc mgmt_rdata[47] )
+ ROUTED met2 ( 721680 662855 ) ( 721680 670070 )
NEW met3 ( 704160 670070 0 ) ( 721680 670070 )
NEW met2 ( 1113840 268250 ) ( 1114080 268250 0 )
NEW met2 ( 1113840 240685 ) ( 1113840 268250 )
NEW met1 ( 1044240 240685 ) ( 1113840 240685 )
NEW met2 ( 1044240 240685 ) ( 1044240 294890 )
NEW met2 ( 1044240 294890 ) ( 1044720 294890 )
NEW met1 ( 721680 662855 ) ( 1044720 662855 )
NEW met2 ( 1044720 294890 ) ( 1044720 662855 )
NEW met1 ( 721680 662855 ) M1M2_PR
NEW met2 ( 721680 670070 ) via2_FR
NEW met1 ( 1113840 240685 ) M1M2_PR
NEW met1 ( 1044240 240685 ) M1M2_PR
NEW met1 ( 1044720 662855 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[48\] ( storage mgmt_rdata[48] ) ( soc mgmt_rdata[48] )
+ ROUTED met2 ( 1023600 407370 ) ( 1023600 417175 )
NEW met1 ( 828720 417175 ) ( 1023600 417175 )
NEW met2 ( 828720 417175 ) ( 828720 664705 )
NEW met3 ( 1023600 407370 ) ( 1052640 407370 0 )
NEW met3 ( 704160 673770 ) ( 704160 676360 0 )
NEW met3 ( 704160 673770 ) ( 720240 673770 )
NEW met2 ( 720240 664705 ) ( 720240 673770 )
NEW met1 ( 720240 664705 ) ( 828720 664705 )
NEW met1 ( 828720 417175 ) M1M2_PR
NEW met1 ( 1023600 417175 ) M1M2_PR
NEW met2 ( 1023600 407370 ) via2_FR
NEW met1 ( 828720 664705 ) M1M2_PR
NEW met2 ( 720240 673770 ) via2_FR
NEW met1 ( 720240 664705 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[49\] ( storage mgmt_rdata[49] ) ( soc mgmt_rdata[49] )
+ ROUTED met2 ( 735120 680245 ) ( 735120 680430 )
NEW met1 ( 735120 680245 ) ( 747120 680245 )
NEW met2 ( 747120 240685 ) ( 747120 680245 )
NEW met3 ( 704160 680430 ) ( 704160 682610 0 )
NEW met3 ( 704160 680430 ) ( 735120 680430 )
NEW met2 ( 1043280 232545 ) ( 1043280 240685 )
NEW met1 ( 1043280 232545 ) ( 1115760 232545 )
NEW met2 ( 1115760 232545 ) ( 1115760 268250 0 )
NEW met1 ( 747120 240685 ) ( 1043280 240685 )
NEW met1 ( 747120 240685 ) M1M2_PR
NEW met2 ( 735120 680430 ) via2_FR
NEW met1 ( 735120 680245 ) M1M2_PR
NEW met1 ( 747120 680245 ) M1M2_PR
NEW met1 ( 1043280 240685 ) M1M2_PR
NEW met1 ( 1043280 232545 ) M1M2_PR
NEW met1 ( 1115760 232545 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[4\] ( storage mgmt_rdata[4] ) ( soc mgmt_rdata[4] )
+ ROUTED met2 ( 1022640 409590 ) ( 1022640 410515 )
NEW met2 ( 720240 410515 ) ( 720240 411810 )
NEW met3 ( 704160 411810 0 ) ( 720240 411810 )
NEW met1 ( 720240 410515 ) ( 1022640 410515 )
NEW met3 ( 1022640 409590 ) ( 1052640 409590 0 )
NEW met1 ( 1022640 410515 ) M1M2_PR
NEW met2 ( 1022640 409590 ) via2_FR
NEW met1 ( 720240 410515 ) M1M2_PR
NEW met2 ( 720240 411810 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[50\] ( storage mgmt_rdata[50] ) ( soc mgmt_rdata[50] )
+ ROUTED met1 ( 935760 676915 ) ( 935760 677655 )
NEW met2 ( 1117680 233655 ) ( 1117680 268250 0 )
NEW met1 ( 1043760 233655 ) ( 1117680 233655 )
NEW met2 ( 1043760 233655 ) ( 1043760 295630 )
NEW met2 ( 1043760 295630 ) ( 1044240 295630 )
NEW met2 ( 834960 678025 ) ( 834960 678210 )
NEW met2 ( 834960 678210 ) ( 835440 678210 )
NEW met2 ( 835440 678025 ) ( 835440 678210 )
NEW met1 ( 835440 678025 ) ( 856560 678025 )
NEW met1 ( 856560 677655 ) ( 856560 678025 )
NEW met1 ( 856560 677655 ) ( 935760 677655 )
NEW met1 ( 1024560 676545 ) ( 1024560 676915 )
NEW met1 ( 1024560 676545 ) ( 1044240 676545 )
NEW met1 ( 935760 676915 ) ( 1024560 676915 )
NEW met2 ( 1044240 295630 ) ( 1044240 676545 )
NEW met2 ( 806160 677470 ) ( 806160 678025 )
NEW met2 ( 805680 677470 ) ( 806160 677470 )
NEW met2 ( 805680 677470 ) ( 805680 687830 )
NEW met3 ( 704160 687830 0 ) ( 805680 687830 )
NEW met1 ( 806160 678025 ) ( 834960 678025 )
NEW met1 ( 1117680 233655 ) M1M2_PR
NEW met1 ( 1043760 233655 ) M1M2_PR
NEW met1 ( 834960 678025 ) M1M2_PR
NEW met1 ( 835440 678025 ) M1M2_PR
NEW met1 ( 1044240 676545 ) M1M2_PR
NEW met1 ( 806160 678025 ) M1M2_PR
NEW met2 ( 805680 687830 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[51\] ( storage mgmt_rdata[51] ) ( soc mgmt_rdata[51] )
+ ROUTED met2 ( 720240 691345 ) ( 720240 693010 )
NEW met3 ( 704160 693010 ) ( 720240 693010 )
NEW met3 ( 704160 693010 ) ( 704160 694120 0 )
NEW met2 ( 1119600 232915 ) ( 1119600 268250 0 )
NEW met1 ( 1038960 232915 ) ( 1119600 232915 )
NEW met2 ( 1038960 232915 ) ( 1038960 299885 )
NEW met1 ( 1038960 299885 ) ( 1043760 299885 )
NEW met1 ( 720240 691345 ) ( 1043760 691345 )
NEW met2 ( 1043760 299885 ) ( 1043760 691345 )
NEW met1 ( 720240 691345 ) M1M2_PR
NEW met2 ( 720240 693010 ) via2_FR
NEW met1 ( 1119600 232915 ) M1M2_PR
NEW met1 ( 1038960 232915 ) M1M2_PR
NEW met1 ( 1038960 299885 ) M1M2_PR
NEW met1 ( 1043760 299885 ) M1M2_PR
NEW met1 ( 1043760 691345 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[52\] ( storage mgmt_rdata[52] ) ( soc mgmt_rdata[52] )
+ ROUTED met2 ( 1023120 412550 ) ( 1023120 416805 )
NEW met1 ( 843120 416805 ) ( 1023120 416805 )
NEW met3 ( 1023120 412550 ) ( 1052640 412550 0 )
NEW met3 ( 704160 697450 ) ( 704160 700040 0 )
NEW met3 ( 704160 697450 ) ( 721680 697450 )
NEW met2 ( 721680 692085 ) ( 721680 697450 )
NEW met1 ( 721680 692085 ) ( 843120 692085 )
NEW met2 ( 843120 416805 ) ( 843120 692085 )
NEW met1 ( 843120 416805 ) M1M2_PR
NEW met1 ( 1023120 416805 ) M1M2_PR
NEW met2 ( 1023120 412550 ) via2_FR
NEW met2 ( 721680 697450 ) via2_FR
NEW met1 ( 721680 692085 ) M1M2_PR
NEW met1 ( 843120 692085 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[53\] ( storage mgmt_rdata[53] ) ( soc mgmt_rdata[53] )
+ ROUTED met1 ( 719760 662115 ) ( 721680 662115 )
NEW met2 ( 719760 662115 ) ( 719760 693750 )
NEW met2 ( 719760 693750 ) ( 720240 693750 )
NEW met2 ( 720240 693750 ) ( 720240 704850 )
NEW met3 ( 720240 704850 ) ( 720480 704850 )
NEW met3 ( 720480 704850 ) ( 720480 706330 )
NEW met3 ( 704160 706330 0 ) ( 720480 706330 )
NEW met2 ( 721680 251045 ) ( 721680 662115 )
NEW met2 ( 1041840 249195 ) ( 1041840 251045 )
NEW met1 ( 1041840 249195 ) ( 1121520 249195 )
NEW met2 ( 1121520 249195 ) ( 1121520 268250 0 )
NEW met1 ( 721680 251045 ) ( 1041840 251045 )
NEW met1 ( 721680 251045 ) M1M2_PR
NEW met1 ( 721680 662115 ) M1M2_PR
NEW met1 ( 719760 662115 ) M1M2_PR
NEW met2 ( 720240 704850 ) via2_FR
NEW met1 ( 1041840 251045 ) M1M2_PR
NEW met1 ( 1041840 249195 ) M1M2_PR
NEW met1 ( 1121520 249195 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[54\] ( storage mgmt_rdata[54] ) ( soc mgmt_rdata[54] )
+ ROUTED met2 ( 1022640 415510 ) ( 1022640 416435 )
NEW met1 ( 857520 416435 ) ( 1022640 416435 )
NEW met3 ( 1022640 415510 ) ( 1052640 415510 0 )
NEW met2 ( 720240 705775 ) ( 720240 712250 )
NEW met3 ( 704160 712250 0 ) ( 720240 712250 )
NEW met1 ( 720240 705775 ) ( 857520 705775 )
NEW met2 ( 857520 416435 ) ( 857520 705775 )
NEW met1 ( 857520 416435 ) M1M2_PR
NEW met1 ( 1022640 416435 ) M1M2_PR
NEW met2 ( 1022640 415510 ) via2_FR
NEW met1 ( 720240 705775 ) M1M2_PR
NEW met2 ( 720240 712250 ) via2_FR
NEW met1 ( 857520 705775 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[55\] ( storage mgmt_rdata[55] ) ( soc mgmt_rdata[55] )
+ ROUTED met2 ( 746640 241055 ) ( 746640 712805 )
NEW met3 ( 704160 715950 ) ( 704160 718540 0 )
NEW met3 ( 704160 715950 ) ( 720240 715950 )
NEW met2 ( 720240 712805 ) ( 720240 715950 )
NEW met1 ( 720240 712805 ) ( 746640 712805 )
NEW met2 ( 1042800 231805 ) ( 1042800 241055 )
NEW met1 ( 1042800 231805 ) ( 1123440 231805 )
NEW met2 ( 1123440 231805 ) ( 1123440 268250 )
NEW met2 ( 1123200 268250 0 ) ( 1123440 268250 )
NEW met1 ( 746640 241055 ) ( 1042800 241055 )
NEW met1 ( 746640 241055 ) M1M2_PR
NEW met1 ( 746640 712805 ) M1M2_PR
NEW met2 ( 720240 715950 ) via2_FR
NEW met1 ( 720240 712805 ) M1M2_PR
NEW met1 ( 1042800 241055 ) M1M2_PR
NEW met1 ( 1042800 231805 ) M1M2_PR
NEW met1 ( 1123440 231805 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[56\] ( storage mgmt_rdata[56] ) ( soc mgmt_rdata[56] )
+ ROUTED met3 ( 722640 633810 ) ( 730800 633810 )
NEW met2 ( 730800 633810 ) ( 730800 675990 )
NEW met3 ( 730800 675990 ) ( 732960 675990 )
NEW met3 ( 732960 675990 ) ( 732960 678210 )
NEW met3 ( 732960 678210 ) ( 733680 678210 )
NEW met2 ( 733680 678210 ) ( 733680 721130 )
NEW met3 ( 704160 721130 ) ( 733680 721130 )
NEW met3 ( 704160 721130 ) ( 704160 723950 0 )
NEW met2 ( 722640 252155 ) ( 722640 633810 )
NEW met2 ( 1040400 249565 ) ( 1040400 252155 )
NEW met1 ( 1040400 249565 ) ( 1124880 249565 )
NEW met2 ( 1124880 249565 ) ( 1124880 268250 )
NEW met2 ( 1124880 268250 ) ( 1125120 268250 0 )
NEW met1 ( 722640 252155 ) ( 1040400 252155 )
NEW met1 ( 722640 252155 ) M1M2_PR
NEW met2 ( 722640 633810 ) via2_FR
NEW met2 ( 730800 633810 ) via2_FR
NEW met2 ( 730800 675990 ) via2_FR
NEW met2 ( 733680 678210 ) via2_FR
NEW met2 ( 733680 721130 ) via2_FR
NEW met1 ( 1040400 252155 ) M1M2_PR
NEW met1 ( 1040400 249565 ) M1M2_PR
NEW met1 ( 1124880 249565 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[57\] ( storage mgmt_rdata[57] ) ( soc mgmt_rdata[57] )
+ ROUTED met2 ( 1024560 417730 ) ( 1024560 431975 )
NEW met1 ( 770640 431975 ) ( 1024560 431975 )
NEW met2 ( 770640 431975 ) ( 770640 720205 )
NEW met3 ( 1024560 417730 ) ( 1052640 417730 0 )
NEW met2 ( 720240 720205 ) ( 720240 730010 )
NEW met3 ( 704160 730010 0 ) ( 720240 730010 )
NEW met1 ( 720240 720205 ) ( 770640 720205 )
NEW met1 ( 1024560 431975 ) M1M2_PR
NEW met2 ( 1024560 417730 ) via2_FR
NEW met1 ( 770640 431975 ) M1M2_PR
NEW met1 ( 770640 720205 ) M1M2_PR
NEW met1 ( 720240 720205 ) M1M2_PR
NEW met2 ( 720240 730010 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[58\] ( storage mgmt_rdata[58] ) ( soc mgmt_rdata[58] )
+ ROUTED met3 ( 704160 734450 ) ( 720720 734450 )
NEW met3 ( 704160 734450 ) ( 704160 736300 0 )
NEW met1 ( 719280 363155 ) ( 720720 363155 )
NEW met2 ( 719280 363155 ) ( 719280 398490 )
NEW met2 ( 719280 398490 ) ( 720240 398490 )
NEW met2 ( 720240 398490 ) ( 720240 404225 )
NEW met1 ( 720240 404225 ) ( 722160 404225 )
NEW met2 ( 722160 404225 ) ( 722160 431975 )
NEW met1 ( 722160 431975 ) ( 723120 431975 )
NEW met1 ( 723600 633255 ) ( 730800 633255 )
NEW met2 ( 720720 251785 ) ( 720720 363155 )
NEW met1 ( 720720 731305 ) ( 723600 731305 )
NEW met2 ( 720720 731305 ) ( 720720 734450 )
NEW met2 ( 723600 633255 ) ( 723600 731305 )
NEW met2 ( 1039920 248825 ) ( 1039920 251785 )
NEW met1 ( 1039920 248825 ) ( 1126800 248825 )
NEW met2 ( 1126800 248825 ) ( 1126800 268250 0 )
NEW met1 ( 720720 251785 ) ( 1039920 251785 )
NEW met1 ( 720720 524475 ) ( 723120 524475 )
NEW met2 ( 720720 524475 ) ( 720720 535205 )
NEW met1 ( 720720 535205 ) ( 730800 535205 )
NEW met2 ( 723120 431975 ) ( 723120 524475 )
NEW met2 ( 730800 535205 ) ( 730800 633255 )
NEW met2 ( 720720 734450 ) via2_FR
NEW met1 ( 720720 363155 ) M1M2_PR
NEW met1 ( 719280 363155 ) M1M2_PR
NEW met1 ( 720240 404225 ) M1M2_PR
NEW met1 ( 722160 404225 ) M1M2_PR
NEW met1 ( 722160 431975 ) M1M2_PR
NEW met1 ( 723120 431975 ) M1M2_PR
NEW met1 ( 730800 633255 ) M1M2_PR
NEW met1 ( 723600 633255 ) M1M2_PR
NEW met1 ( 720720 251785 ) M1M2_PR
NEW met1 ( 720720 731305 ) M1M2_PR
NEW met1 ( 723600 731305 ) M1M2_PR
NEW met1 ( 1039920 251785 ) M1M2_PR
NEW met1 ( 1039920 248825 ) M1M2_PR
NEW met1 ( 1126800 248825 ) M1M2_PR
NEW met1 ( 723120 524475 ) M1M2_PR
NEW met1 ( 720720 524475 ) M1M2_PR
NEW met1 ( 720720 535205 ) M1M2_PR
NEW met1 ( 730800 535205 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[59\] ( storage mgmt_rdata[59] ) ( soc mgmt_rdata[59] )
+ ROUTED met2 ( 1024080 420690 ) ( 1024080 431605 )
NEW met1 ( 871920 431605 ) ( 1024080 431605 )
NEW met3 ( 704160 739630 ) ( 704160 742220 0 )
NEW met3 ( 704160 739630 ) ( 734160 739630 )
NEW met2 ( 734160 734635 ) ( 734160 739630 )
NEW met1 ( 734160 734635 ) ( 871920 734635 )
NEW met3 ( 1024080 420690 ) ( 1052640 420690 0 )
NEW met2 ( 871920 431605 ) ( 871920 734635 )
NEW met1 ( 871920 431605 ) M1M2_PR
NEW met1 ( 1024080 431605 ) M1M2_PR
NEW met2 ( 1024080 420690 ) via2_FR
NEW met1 ( 871920 734635 ) M1M2_PR
NEW met2 ( 734160 739630 ) via2_FR
NEW met1 ( 734160 734635 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[5\] ( storage mgmt_rdata[5] ) ( soc mgmt_rdata[5] )
+ ROUTED met2 ( 723120 335590 ) ( 723600 335590 )
NEW met2 ( 723600 335590 ) ( 723600 417730 )
NEW met3 ( 704160 417730 ) ( 723600 417730 )
NEW met3 ( 704160 417730 ) ( 704160 418090 0 )
NEW met2 ( 723120 259925 ) ( 723120 335590 )
NEW met1 ( 1061520 259925 ) ( 1061520 260665 )
NEW met1 ( 1061520 260665 ) ( 1128720 260665 )
NEW met2 ( 1128720 260665 ) ( 1128720 268250 0 )
NEW met1 ( 723120 259925 ) ( 1061520 259925 )
NEW met2 ( 723600 417730 ) via2_FR
NEW met1 ( 723120 259925 ) M1M2_PR
NEW met1 ( 1128720 260665 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[60\] ( storage mgmt_rdata[60] ) ( soc mgmt_rdata[60] )
+ ROUTED met1 ( 722640 735005 ) ( 746160 735005 )
NEW met2 ( 722640 735005 ) ( 722640 748510 )
NEW met3 ( 704160 748510 0 ) ( 722640 748510 )
NEW met2 ( 746160 233655 ) ( 746160 735005 )
NEW met2 ( 1042320 231435 ) ( 1042320 233655 )
NEW met1 ( 1042320 231435 ) ( 1130640 231435 )
NEW met2 ( 1130640 231435 ) ( 1130640 268250 0 )
NEW met1 ( 746160 233655 ) ( 1042320 233655 )
NEW met1 ( 746160 233655 ) M1M2_PR
NEW met1 ( 746160 735005 ) M1M2_PR
NEW met1 ( 722640 735005 ) M1M2_PR
NEW met2 ( 722640 748510 ) via2_FR
NEW met1 ( 1042320 233655 ) M1M2_PR
NEW met1 ( 1042320 231435 ) M1M2_PR
NEW met1 ( 1130640 231435 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[61\] ( storage mgmt_rdata[61] ) ( soc mgmt_rdata[61] )
+ ROUTED met2 ( 1023600 423650 ) ( 1023600 431235 )
NEW met1 ( 885840 431235 ) ( 1023600 431235 )
NEW met2 ( 734160 749065 ) ( 734160 754430 )
NEW met3 ( 704160 754430 0 ) ( 734160 754430 )
NEW met1 ( 734160 749065 ) ( 885840 749065 )
NEW met3 ( 1023600 423650 ) ( 1052640 423650 0 )
NEW met2 ( 885840 431235 ) ( 885840 749065 )
NEW met1 ( 1023600 431235 ) M1M2_PR
NEW met2 ( 1023600 423650 ) via2_FR
NEW met1 ( 885840 431235 ) M1M2_PR
NEW met1 ( 734160 749065 ) M1M2_PR
NEW met2 ( 734160 754430 ) via2_FR
NEW met1 ( 885840 749065 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[62\] ( storage mgmt_rdata[62] ) ( soc mgmt_rdata[62] )
+ ROUTED met1 ( 722640 749435 ) ( 745680 749435 )
NEW met2 ( 722640 749435 ) ( 722640 758130 )
NEW met3 ( 704160 758130 ) ( 722640 758130 )
NEW met3 ( 704160 758130 ) ( 704160 760720 0 )
NEW met2 ( 745680 232545 ) ( 745680 749435 )
NEW met2 ( 1041840 231065 ) ( 1041840 232545 )
NEW met1 ( 1041840 231065 ) ( 1132560 231065 )
NEW met2 ( 1132560 231065 ) ( 1132560 268250 0 )
NEW met1 ( 745680 232545 ) ( 1041840 232545 )
NEW met1 ( 745680 232545 ) M1M2_PR
NEW met1 ( 745680 749435 ) M1M2_PR
NEW met1 ( 722640 749435 ) M1M2_PR
NEW met2 ( 722640 758130 ) via2_FR
NEW met1 ( 1041840 232545 ) M1M2_PR
NEW met1 ( 1041840 231065 ) M1M2_PR
NEW met1 ( 1132560 231065 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[63\] ( storage mgmt_rdata[63] ) ( soc mgmt_rdata[63] )
+ ROUTED met2 ( 1023120 425870 ) ( 1023120 430865 )
NEW met1 ( 900240 430865 ) ( 1023120 430865 )
NEW met3 ( 704160 764790 ) ( 704160 766250 0 )
NEW met3 ( 704160 764790 ) ( 734160 764790 )
NEW met2 ( 734160 763865 ) ( 734160 764790 )
NEW met1 ( 734160 763865 ) ( 900240 763865 )
NEW met3 ( 1023120 425870 ) ( 1052640 425870 0 )
NEW met2 ( 900240 430865 ) ( 900240 763865 )
NEW met1 ( 1023120 430865 ) M1M2_PR
NEW met2 ( 1023120 425870 ) via2_FR
NEW met1 ( 900240 430865 ) M1M2_PR
NEW met2 ( 734160 764790 ) via2_FR
NEW met1 ( 734160 763865 ) M1M2_PR
NEW met1 ( 900240 763865 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[6\] ( storage mgmt_rdata[6] ) ( soc mgmt_rdata[6] )
+ ROUTED met1 ( 730800 334295 ) ( 733680 334295 )
NEW met2 ( 733680 334295 ) ( 733680 420690 )
NEW met3 ( 704160 420690 ) ( 733680 420690 )
NEW met3 ( 704160 420690 ) ( 704160 423280 0 )
NEW met2 ( 730800 251415 ) ( 730800 334295 )
NEW met2 ( 1039440 248085 ) ( 1039440 251415 )
NEW met1 ( 1039440 248085 ) ( 1133040 248085 )
NEW met2 ( 1133040 248085 ) ( 1133040 268250 )
NEW met2 ( 1133040 268250 ) ( 1134240 268250 0 )
NEW met1 ( 730800 251415 ) ( 1039440 251415 )
NEW met1 ( 730800 334295 ) M1M2_PR
NEW met1 ( 733680 334295 ) M1M2_PR
NEW met2 ( 733680 420690 ) via2_FR
NEW met1 ( 730800 251415 ) M1M2_PR
NEW met1 ( 1039440 251415 ) M1M2_PR
NEW met1 ( 1039440 248085 ) M1M2_PR
NEW met1 ( 1133040 248085 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[7\] ( storage mgmt_rdata[7] ) ( soc mgmt_rdata[7] )
+ ROUTED met2 ( 1022640 424945 ) ( 1022640 428830 )
NEW met2 ( 720240 424945 ) ( 720240 429570 )
NEW met3 ( 704160 429570 0 ) ( 720240 429570 )
NEW met1 ( 720240 424945 ) ( 1022640 424945 )
NEW met3 ( 1022640 428830 ) ( 1052640 428830 0 )
NEW met1 ( 1022640 424945 ) M1M2_PR
NEW met2 ( 1022640 428830 ) via2_FR
NEW met1 ( 720240 424945 ) M1M2_PR
NEW met2 ( 720240 429570 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[8\] ( storage mgmt_rdata[8] ) ( soc mgmt_rdata[8] )
+ ROUTED met2 ( 720240 397750 ) ( 720720 397750 )
NEW met2 ( 720240 394050 ) ( 720240 397750 )
NEW met2 ( 719760 394050 ) ( 720240 394050 )
NEW met2 ( 719760 388130 ) ( 719760 394050 )
NEW met2 ( 719760 388130 ) ( 720240 388130 )
NEW met2 ( 720240 379250 ) ( 720240 388130 )
NEW met2 ( 719760 379250 ) ( 720240 379250 )
NEW met2 ( 719760 374995 ) ( 719760 379250 )
NEW met2 ( 719760 374995 ) ( 720240 374995 )
NEW met2 ( 720240 370370 ) ( 720240 374995 )
NEW met2 ( 719760 370370 ) ( 720240 370370 )
NEW met2 ( 719760 353350 ) ( 719760 370370 )
NEW met2 ( 719760 353350 ) ( 720240 353350 )
NEW met2 ( 720240 349650 ) ( 720240 353350 )
NEW met2 ( 719760 349650 ) ( 720240 349650 )
NEW met2 ( 719760 345210 ) ( 719760 349650 )
NEW met2 ( 719760 345210 ) ( 720240 345210 )
NEW met2 ( 720240 339290 ) ( 720240 345210 )
NEW met2 ( 719760 339290 ) ( 720240 339290 )
NEW met2 ( 719760 336330 ) ( 719760 339290 )
NEW met2 ( 719760 336330 ) ( 720240 336330 )
NEW met2 ( 720240 333555 ) ( 720240 336330 )
NEW met1 ( 720240 333555 ) ( 733680 333555 )
NEW met2 ( 733680 260665 ) ( 733680 333555 )
NEW met3 ( 704160 435490 0 ) ( 720720 435490 )
NEW met2 ( 720720 397750 ) ( 720720 435490 )
NEW met2 ( 1061040 260665 ) ( 1061040 261775 )
NEW met1 ( 1061040 261775 ) ( 1134960 261775 )
NEW met2 ( 1134960 261775 ) ( 1134960 268250 )
NEW met2 ( 1134960 268250 ) ( 1136160 268250 0 )
NEW met1 ( 733680 260665 ) ( 1061040 260665 )
NEW met1 ( 720240 333555 ) M1M2_PR
NEW met1 ( 733680 333555 ) M1M2_PR
NEW met1 ( 733680 260665 ) M1M2_PR
NEW met2 ( 720720 435490 ) via2_FR
NEW met1 ( 1061040 260665 ) M1M2_PR
NEW met1 ( 1061040 261775 ) M1M2_PR
NEW met1 ( 1134960 261775 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[9\] ( storage mgmt_rdata[9] ) ( soc mgmt_rdata[9] )
+ ROUTED met2 ( 1137840 259555 ) ( 1137840 268250 0 )
NEW met3 ( 704160 439190 ) ( 732240 439190 )
NEW met3 ( 704160 439190 ) ( 704160 441780 0 )
NEW met2 ( 732240 259555 ) ( 732240 439190 )
NEW met1 ( 1066800 259555 ) ( 1066800 259925 )
NEW met1 ( 1066800 259925 ) ( 1067760 259925 )
NEW met1 ( 1067760 259555 ) ( 1067760 259925 )
NEW met1 ( 732240 259555 ) ( 1066800 259555 )
NEW met1 ( 1067760 259555 ) ( 1137840 259555 )
NEW met1 ( 1137840 259555 ) M1M2_PR
NEW met1 ( 732240 259555 ) M1M2_PR
NEW met2 ( 732240 439190 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[0\] ( storage mgmt_rdata_ro[0] ) ( soc mgmt_rdata_ro[0] )
+ ROUTED met2 ( 1022640 430495 ) ( 1022640 431790 )
NEW met1 ( 915120 430495 ) ( 1022640 430495 )
NEW met2 ( 722640 763495 ) ( 722640 772190 )
NEW met3 ( 704160 772190 0 ) ( 722640 772190 )
NEW met1 ( 722640 763495 ) ( 915120 763495 )
NEW met3 ( 1022640 431790 ) ( 1052640 431790 0 )
NEW met2 ( 915120 430495 ) ( 915120 763495 )
NEW met1 ( 1022640 430495 ) M1M2_PR
NEW met2 ( 1022640 431790 ) via2_FR
NEW met1 ( 915120 430495 ) M1M2_PR
NEW met1 ( 722640 763495 ) M1M2_PR
NEW met2 ( 722640 772190 ) via2_FR
NEW met1 ( 915120 763495 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[10\] ( storage mgmt_rdata_ro[10] ) ( soc mgmt_rdata_ro[10] )
+ ROUTED met1 ( 723600 820845 ) ( 743760 820845 )
NEW met2 ( 723600 820845 ) ( 723600 832870 )
NEW met3 ( 704160 832870 0 ) ( 723600 832870 )
NEW met2 ( 743760 234395 ) ( 743760 820845 )
NEW met2 ( 1139760 234395 ) ( 1139760 268250 0 )
NEW met1 ( 743760 234395 ) ( 1139760 234395 )
NEW met1 ( 743760 234395 ) M1M2_PR
NEW met1 ( 743760 820845 ) M1M2_PR
NEW met1 ( 723600 820845 ) M1M2_PR
NEW met2 ( 723600 832870 ) via2_FR
NEW met1 ( 1139760 234395 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[11\] ( storage mgmt_rdata_ro[11] ) ( soc mgmt_rdata_ro[11] )
+ ROUTED met2 ( 1024560 434010 ) ( 1024560 446035 )
NEW met1 ( 785040 446035 ) ( 1024560 446035 )
NEW met2 ( 785040 446035 ) ( 785040 836385 )
NEW met2 ( 720240 836385 ) ( 720240 838050 )
NEW met3 ( 704160 838050 0 ) ( 720240 838050 )
NEW met1 ( 720240 836385 ) ( 785040 836385 )
NEW met3 ( 1024560 434010 ) ( 1052640 434010 0 )
NEW met1 ( 785040 446035 ) M1M2_PR
NEW met1 ( 1024560 446035 ) M1M2_PR
NEW met2 ( 1024560 434010 ) via2_FR
NEW met1 ( 785040 836385 ) M1M2_PR
NEW met1 ( 720240 836385 ) M1M2_PR
NEW met2 ( 720240 838050 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[12\] ( storage mgmt_rdata_ro[12] ) ( soc mgmt_rdata_ro[12] )
+ ROUTED met2 ( 1141680 253635 ) ( 1141680 268250 0 )
NEW met3 ( 704160 841750 ) ( 725520 841750 )
NEW met3 ( 704160 841750 ) ( 704160 844340 0 )
NEW met2 ( 725520 253635 ) ( 725520 841750 )
NEW met1 ( 725520 253635 ) ( 1141680 253635 )
NEW met1 ( 1141680 253635 ) M1M2_PR
NEW met1 ( 725520 253635 ) M1M2_PR
NEW met2 ( 725520 841750 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[13\] ( storage mgmt_rdata_ro[13] ) ( soc mgmt_rdata_ro[13] )
+ ROUTED met2 ( 1143600 253265 ) ( 1143600 268250 0 )
NEW met1 ( 725040 325045 ) ( 727440 325045 )
NEW met2 ( 727440 253265 ) ( 727440 325045 )
NEW met3 ( 704160 850630 0 ) ( 725040 850630 )
NEW met2 ( 725040 325045 ) ( 725040 850630 )
NEW met1 ( 727440 253265 ) ( 1143600 253265 )
NEW met1 ( 1143600 253265 ) M1M2_PR
NEW met1 ( 725040 325045 ) M1M2_PR
NEW met1 ( 727440 325045 ) M1M2_PR
NEW met1 ( 727440 253265 ) M1M2_PR
NEW met2 ( 725040 850630 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[14\] ( storage mgmt_rdata_ro[14] ) ( soc mgmt_rdata_ro[14] )
+ ROUTED met2 ( 1024080 436970 ) ( 1024080 445665 )
NEW met1 ( 799440 445665 ) ( 1024080 445665 )
NEW met2 ( 799440 445665 ) ( 799440 851185 )
NEW met2 ( 720240 851185 ) ( 720240 856550 )
NEW met3 ( 704160 856550 0 ) ( 720240 856550 )
NEW met1 ( 720240 851185 ) ( 799440 851185 )
NEW met3 ( 1024080 436970 ) ( 1052640 436970 0 )
NEW met1 ( 799440 445665 ) M1M2_PR
NEW met1 ( 1024080 445665 ) M1M2_PR
NEW met2 ( 1024080 436970 ) via2_FR
NEW met1 ( 799440 851185 ) M1M2_PR
NEW met1 ( 720240 851185 ) M1M2_PR
NEW met2 ( 720240 856550 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[15\] ( storage mgmt_rdata_ro[15] ) ( soc mgmt_rdata_ro[15] )
+ ROUTED met2 ( 1144080 252525 ) ( 1144080 268250 )
NEW met2 ( 1144080 268250 ) ( 1145280 268250 0 )
NEW met3 ( 704160 860250 ) ( 726000 860250 )
NEW met3 ( 704160 860250 ) ( 704160 862810 0 )
NEW met2 ( 726000 252525 ) ( 726000 860250 )
NEW met1 ( 726000 252525 ) ( 1144080 252525 )
NEW met1 ( 1144080 252525 ) M1M2_PR
NEW met1 ( 726000 252525 ) M1M2_PR
NEW met2 ( 726000 860250 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[16\] ( storage mgmt_rdata_ro[16] ) ( soc mgmt_rdata_ro[16] )
+ ROUTED met2 ( 1023600 439930 ) ( 1023600 445295 )
NEW met1 ( 813840 445295 ) ( 1023600 445295 )
NEW met2 ( 813840 445295 ) ( 813840 864135 )
NEW met3 ( 704160 866910 ) ( 704160 868760 0 )
NEW met3 ( 704160 866910 ) ( 720240 866910 )
NEW met2 ( 720240 864135 ) ( 720240 866910 )
NEW met1 ( 720240 864135 ) ( 813840 864135 )
NEW met3 ( 1023600 439930 ) ( 1052640 439930 0 )
NEW met1 ( 813840 445295 ) M1M2_PR
NEW met1 ( 1023600 445295 ) M1M2_PR
NEW met2 ( 1023600 439930 ) via2_FR
NEW met1 ( 813840 864135 ) M1M2_PR
NEW met2 ( 720240 866910 ) via2_FR
NEW met1 ( 720240 864135 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[17\] ( storage mgmt_rdata_ro[17] ) ( soc mgmt_rdata_ro[17] )
+ ROUTED met2 ( 743280 232175 ) ( 743280 864505 )
NEW met2 ( 1146000 232175 ) ( 1146000 268250 )
NEW met2 ( 1146000 268250 ) ( 1147200 268250 0 )
NEW met2 ( 720720 864505 ) ( 720720 872090 )
NEW met3 ( 704160 872090 ) ( 720720 872090 )
NEW met3 ( 704160 872090 ) ( 704160 874910 0 )
NEW met1 ( 720720 864505 ) ( 743280 864505 )
NEW met1 ( 743280 232175 ) ( 1146000 232175 )
NEW met1 ( 743280 232175 ) M1M2_PR
NEW met1 ( 743280 864505 ) M1M2_PR
NEW met1 ( 1146000 232175 ) M1M2_PR
NEW met1 ( 720720 864505 ) M1M2_PR
NEW met2 ( 720720 872090 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[18\] ( storage mgmt_rdata_ro[18] ) ( soc mgmt_rdata_ro[18] )
+ ROUTED met2 ( 1148880 252895 ) ( 1148880 268250 0 )
NEW met3 ( 704160 880230 0 ) ( 726480 880230 )
NEW met2 ( 726480 252895 ) ( 726480 880230 )
NEW met1 ( 726480 252895 ) ( 1148880 252895 )
NEW met1 ( 1148880 252895 ) M1M2_PR
NEW met1 ( 726480 252895 ) M1M2_PR
NEW met2 ( 726480 880230 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[19\] ( storage mgmt_rdata_ro[19] ) ( soc mgmt_rdata_ro[19] )
+ ROUTED met2 ( 1023120 442150 ) ( 1023120 444925 )
NEW met1 ( 929040 444925 ) ( 1023120 444925 )
NEW met3 ( 704160 883930 ) ( 704160 886520 0 )
NEW met3 ( 704160 883930 ) ( 720240 883930 )
NEW met2 ( 720240 878565 ) ( 720240 883930 )
NEW met1 ( 720240 878565 ) ( 929040 878565 )
NEW met2 ( 929040 444925 ) ( 929040 878565 )
NEW met3 ( 1023120 442150 ) ( 1052640 442150 0 )
NEW met1 ( 1023120 444925 ) M1M2_PR
NEW met2 ( 1023120 442150 ) via2_FR
NEW met1 ( 929040 444925 ) M1M2_PR
NEW met1 ( 929040 878565 ) M1M2_PR
NEW met2 ( 720240 883930 ) via2_FR
NEW met1 ( 720240 878565 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[1\] ( storage mgmt_rdata_ro[1] ) ( soc mgmt_rdata_ro[1] )
+ ROUTED met1 ( 734640 778295 ) ( 745200 778295 )
NEW met2 ( 734640 778110 ) ( 734640 778295 )
NEW met3 ( 704160 778110 ) ( 734640 778110 )
NEW met3 ( 704160 778110 ) ( 704160 778480 0 )
NEW met2 ( 745200 233285 ) ( 745200 778295 )
NEW met2 ( 1150800 233285 ) ( 1150800 268250 0 )
NEW met1 ( 745200 233285 ) ( 1150800 233285 )
NEW met1 ( 745200 233285 ) M1M2_PR
NEW met1 ( 745200 778295 ) M1M2_PR
NEW met1 ( 734640 778295 ) M1M2_PR
NEW met2 ( 734640 778110 ) via2_FR
NEW met1 ( 1150800 233285 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[20\] ( storage mgmt_rdata_ro[20] ) ( soc mgmt_rdata_ro[20] )
+ ROUTED met2 ( 1022640 444555 ) ( 1022640 445110 )
NEW met1 ( 957840 444555 ) ( 1022640 444555 )
NEW met2 ( 957840 444555 ) ( 957840 892995 )
NEW met2 ( 720240 892810 ) ( 720240 892995 )
NEW met3 ( 704160 892810 0 ) ( 720240 892810 )
NEW met1 ( 720240 892995 ) ( 957840 892995 )
NEW met3 ( 1022640 445110 ) ( 1052640 445110 0 )
NEW met1 ( 1022640 444555 ) M1M2_PR
NEW met2 ( 1022640 445110 ) via2_FR
NEW met1 ( 957840 444555 ) M1M2_PR
NEW met1 ( 957840 892995 ) M1M2_PR
NEW met1 ( 720240 892995 ) M1M2_PR
NEW met2 ( 720240 892810 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[21\] ( storage mgmt_rdata_ro[21] ) ( soc mgmt_rdata_ro[21] )
+ ROUTED met1 ( 724560 827875 ) ( 734160 827875 )
NEW met2 ( 734160 740370 ) ( 734640 740370 )
NEW met2 ( 734160 740370 ) ( 734160 748570 )
NEW met2 ( 734160 748570 ) ( 734640 748570 )
NEW met2 ( 734640 748570 ) ( 734640 755170 )
NEW met2 ( 734160 755170 ) ( 734640 755170 )
NEW met2 ( 734160 755170 ) ( 734160 763310 )
NEW met2 ( 734160 763310 ) ( 734640 763310 )
NEW met2 ( 734640 763310 ) ( 734640 765530 )
NEW met2 ( 734160 765530 ) ( 734640 765530 )
NEW met2 ( 734160 765530 ) ( 734160 827875 )
NEW met2 ( 734640 589410 ) ( 734640 591630 )
NEW met2 ( 1152720 254005 ) ( 1152720 268250 0 )
NEW met1 ( 730320 381655 ) ( 734160 381655 )
NEW met2 ( 734160 589410 ) ( 734640 589410 )
NEW met2 ( 734160 591630 ) ( 734640 591630 )
NEW met2 ( 730320 254005 ) ( 730320 381655 )
NEW met2 ( 734160 381655 ) ( 734160 589410 )
NEW met3 ( 704160 898730 0 ) ( 724560 898730 )
NEW met2 ( 724560 827875 ) ( 724560 898730 )
NEW met1 ( 730320 254005 ) ( 1152720 254005 )
NEW met2 ( 733680 655270 ) ( 734160 655270 )
NEW met2 ( 733680 655270 ) ( 733680 676915 )
NEW met1 ( 733680 676915 ) ( 734640 676915 )
NEW met2 ( 734160 591630 ) ( 734160 655270 )
NEW met2 ( 734640 676915 ) ( 734640 740370 )
NEW met1 ( 724560 827875 ) M1M2_PR
NEW met1 ( 734160 827875 ) M1M2_PR
NEW met1 ( 1152720 254005 ) M1M2_PR
NEW met1 ( 730320 381655 ) M1M2_PR
NEW met1 ( 734160 381655 ) M1M2_PR
NEW met1 ( 730320 254005 ) M1M2_PR
NEW met2 ( 724560 898730 ) via2_FR
NEW met1 ( 733680 676915 ) M1M2_PR
NEW met1 ( 734640 676915 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[22\] ( storage mgmt_rdata_ro[22] ) ( soc mgmt_rdata_ro[22] )
+ ROUTED met2 ( 742800 235135 ) ( 742800 893365 )
NEW met2 ( 1154640 235135 ) ( 1154640 268250 0 )
NEW met3 ( 704160 902430 ) ( 704160 904970 0 )
NEW met3 ( 704160 902430 ) ( 720720 902430 )
NEW met2 ( 720720 893365 ) ( 720720 902430 )
NEW met1 ( 720720 893365 ) ( 742800 893365 )
NEW met1 ( 742800 235135 ) ( 1154640 235135 )
NEW met1 ( 742800 235135 ) M1M2_PR
NEW met1 ( 742800 893365 ) M1M2_PR
NEW met1 ( 1154640 235135 ) M1M2_PR
NEW met2 ( 720720 902430 ) via2_FR
NEW met1 ( 720720 893365 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[23\] ( storage mgmt_rdata_ro[23] ) ( soc mgmt_rdata_ro[23] )
+ ROUTED met2 ( 1023600 448070 ) ( 1023600 460095 )
NEW met1 ( 943440 460095 ) ( 1023600 460095 )
NEW met2 ( 943440 460095 ) ( 943440 907425 )
NEW met3 ( 704160 909090 ) ( 704160 910940 0 )
NEW met3 ( 704160 909090 ) ( 720240 909090 )
NEW met2 ( 720240 907425 ) ( 720240 909090 )
NEW met1 ( 720240 907425 ) ( 943440 907425 )
NEW met3 ( 1023600 448070 ) ( 1052640 448070 0 )
NEW met1 ( 1023600 460095 ) M1M2_PR
NEW met2 ( 1023600 448070 ) via2_FR
NEW met1 ( 943440 460095 ) M1M2_PR
NEW met1 ( 943440 907425 ) M1M2_PR
NEW met2 ( 720240 909090 ) via2_FR
NEW met1 ( 720240 907425 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[24\] ( storage mgmt_rdata_ro[24] ) ( soc mgmt_rdata_ro[24] )
+ ROUTED met2 ( 1155120 254745 ) ( 1155120 268250 )
NEW met2 ( 1155120 268250 ) ( 1156320 268250 0 )
NEW met1 ( 721200 907795 ) ( 733200 907795 )
NEW met2 ( 721200 907795 ) ( 721200 916490 )
NEW met3 ( 704160 916490 0 ) ( 721200 916490 )
NEW met2 ( 733200 254745 ) ( 733200 907795 )
NEW met1 ( 733200 254745 ) ( 1155120 254745 )
NEW met1 ( 1155120 254745 ) M1M2_PR
NEW met1 ( 733200 254745 ) M1M2_PR
NEW met1 ( 733200 907795 ) M1M2_PR
NEW met1 ( 721200 907795 ) M1M2_PR
NEW met2 ( 721200 916490 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[25\] ( storage mgmt_rdata_ro[25] ) ( soc mgmt_rdata_ro[25] )
+ ROUTED met2 ( 1023120 450290 ) ( 1023120 459725 )
NEW met1 ( 972240 459725 ) ( 1023120 459725 )
NEW met2 ( 972240 459725 ) ( 972240 921855 )
NEW met2 ( 720240 921855 ) ( 720240 922410 )
NEW met3 ( 704160 922410 0 ) ( 720240 922410 )
NEW met1 ( 720240 921855 ) ( 972240 921855 )
NEW met3 ( 1023120 450290 ) ( 1052640 450290 0 )
NEW met1 ( 1023120 459725 ) M1M2_PR
NEW met2 ( 1023120 450290 ) via2_FR
NEW met1 ( 972240 459725 ) M1M2_PR
NEW met1 ( 972240 921855 ) M1M2_PR
NEW met1 ( 720240 921855 ) M1M2_PR
NEW met2 ( 720240 922410 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[26\] ( storage mgmt_rdata_ro[26] ) ( soc mgmt_rdata_ro[26] )
+ ROUTED met2 ( 1157040 254375 ) ( 1157040 268250 )
NEW met2 ( 1157040 268250 ) ( 1158240 268250 0 )
NEW met1 ( 727920 380915 ) ( 732720 380915 )
NEW met2 ( 727920 254375 ) ( 727920 380915 )
NEW met2 ( 731760 880230 ) ( 732720 880230 )
NEW met2 ( 731760 880230 ) ( 731760 910570 )
NEW met2 ( 731760 910570 ) ( 732240 910570 )
NEW met2 ( 732240 910570 ) ( 732240 926110 )
NEW met3 ( 704160 926110 ) ( 732240 926110 )
NEW met3 ( 704160 926110 ) ( 704160 928700 0 )
NEW met2 ( 732720 380915 ) ( 732720 880230 )
NEW met1 ( 727920 254375 ) ( 1157040 254375 )
NEW met1 ( 1157040 254375 ) M1M2_PR
NEW met1 ( 727920 380915 ) M1M2_PR
NEW met1 ( 732720 380915 ) M1M2_PR
NEW met1 ( 727920 254375 ) M1M2_PR
NEW met2 ( 732240 926110 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[27\] ( storage mgmt_rdata_ro[27] ) ( soc mgmt_rdata_ro[27] )
+ ROUTED met2 ( 1159920 255115 ) ( 1159920 268250 0 )
NEW met1 ( 731760 330965 ) ( 734160 330965 )
NEW met2 ( 734160 255115 ) ( 734160 330965 )
NEW met1 ( 730800 432345 ) ( 731760 432345 )
NEW met2 ( 731760 330965 ) ( 731760 432345 )
NEW met2 ( 730800 879490 ) ( 731760 879490 )
NEW met2 ( 730800 879490 ) ( 730800 932030 )
NEW met3 ( 704160 932030 ) ( 730800 932030 )
NEW met3 ( 704160 932030 ) ( 704160 934620 0 )
NEW met1 ( 734160 255115 ) ( 1159920 255115 )
NEW met1 ( 730800 507085 ) ( 731760 507085 )
NEW met2 ( 730800 432345 ) ( 730800 507085 )
NEW met2 ( 731760 507085 ) ( 731760 879490 )
NEW met1 ( 1159920 255115 ) M1M2_PR
NEW met1 ( 731760 330965 ) M1M2_PR
NEW met1 ( 734160 330965 ) M1M2_PR
NEW met1 ( 734160 255115 ) M1M2_PR
NEW met1 ( 731760 432345 ) M1M2_PR
NEW met1 ( 730800 432345 ) M1M2_PR
NEW met2 ( 730800 932030 ) via2_FR
NEW met1 ( 730800 507085 ) M1M2_PR
NEW met1 ( 731760 507085 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[28\] ( storage mgmt_rdata_ro[28] ) ( soc mgmt_rdata_ro[28] )
+ ROUTED met1 ( 986640 458985 ) ( 1022640 458985 )
NEW met2 ( 1022640 453250 ) ( 1022640 458985 )
NEW met2 ( 986640 458985 ) ( 986640 936285 )
NEW met2 ( 720240 936285 ) ( 720240 940910 )
NEW met3 ( 704160 940910 0 ) ( 720240 940910 )
NEW met1 ( 720240 936285 ) ( 986640 936285 )
NEW met3 ( 1022640 453250 ) ( 1052640 453250 0 )
NEW met1 ( 986640 458985 ) M1M2_PR
NEW met1 ( 1022640 458985 ) M1M2_PR
NEW met2 ( 1022640 453250 ) via2_FR
NEW met1 ( 986640 936285 ) M1M2_PR
NEW met1 ( 720240 936285 ) M1M2_PR
NEW met2 ( 720240 940910 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[29\] ( storage mgmt_rdata_ro[29] ) ( soc mgmt_rdata_ro[29] )
+ ROUTED met2 ( 1161840 255485 ) ( 1161840 268250 0 )
NEW met3 ( 704160 946830 0 ) ( 731280 946830 )
NEW met1 ( 731280 878935 ) ( 731280 880045 )
NEW met2 ( 731280 880045 ) ( 731280 946830 )
NEW met1 ( 731280 255485 ) ( 1161840 255485 )
NEW met3 ( 731040 532430 ) ( 731280 532430 )
NEW met3 ( 731040 532430 ) ( 731040 533910 )
NEW met3 ( 731040 533910 ) ( 731280 533910 )
NEW met2 ( 731280 255485 ) ( 731280 532430 )
NEW met2 ( 731280 533910 ) ( 731280 878935 )
NEW met1 ( 1161840 255485 ) M1M2_PR
NEW met2 ( 731280 946830 ) via2_FR
NEW met1 ( 731280 255485 ) M1M2_PR
NEW met1 ( 731280 878935 ) M1M2_PR
NEW met1 ( 731280 880045 ) M1M2_PR
NEW met2 ( 731280 532430 ) via2_FR
NEW met2 ( 731280 533910 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[2\] ( storage mgmt_rdata_ro[2] ) ( soc mgmt_rdata_ro[2] )
+ ROUTED met1 ( 1001040 459355 ) ( 1026960 459355 )
NEW met2 ( 1026960 456210 ) ( 1026960 459355 )
NEW met2 ( 1001040 459355 ) ( 1001040 777925 )
NEW met3 ( 704160 781810 ) ( 704160 784400 0 )
NEW met3 ( 704160 781810 ) ( 723600 781810 )
NEW met2 ( 723600 777925 ) ( 723600 781810 )
NEW met1 ( 723600 777925 ) ( 1001040 777925 )
NEW met3 ( 1026960 456210 ) ( 1052640 456210 0 )
NEW met1 ( 1001040 459355 ) M1M2_PR
NEW met1 ( 1026960 459355 ) M1M2_PR
NEW met2 ( 1026960 456210 ) via2_FR
NEW met1 ( 1001040 777925 ) M1M2_PR
NEW met2 ( 723600 781810 ) via2_FR
NEW met1 ( 723600 777925 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[30\] ( storage mgmt_rdata_ro[30] ) ( soc mgmt_rdata_ro[30] )
+ ROUTED met2 ( 1163760 249935 ) ( 1163760 268250 0 )
NEW met1 ( 723600 335035 ) ( 723600 336145 )
NEW met1 ( 723120 336145 ) ( 723600 336145 )
NEW met2 ( 723120 336145 ) ( 723120 362415 )
NEW met1 ( 723120 362415 ) ( 732720 362415 )
NEW met2 ( 732720 362415 ) ( 732720 379990 )
NEW met3 ( 732000 379990 ) ( 732720 379990 )
NEW met3 ( 732000 379990 ) ( 732000 382210 )
NEW met3 ( 730320 382210 ) ( 732000 382210 )
NEW met3 ( 704160 950530 ) ( 730320 950530 )
NEW met3 ( 704160 950530 ) ( 704160 952380 0 )
NEW met2 ( 723600 249935 ) ( 723600 335035 )
NEW met2 ( 730320 382210 ) ( 730320 950530 )
NEW met1 ( 723600 249935 ) ( 1163760 249935 )
NEW met1 ( 1163760 249935 ) M1M2_PR
NEW met1 ( 723600 335035 ) M1M2_PR
NEW met1 ( 723120 336145 ) M1M2_PR
NEW met1 ( 723120 362415 ) M1M2_PR
NEW met1 ( 732720 362415 ) M1M2_PR
NEW met2 ( 732720 379990 ) via2_FR
NEW met2 ( 730320 382210 ) via2_FR
NEW met2 ( 730320 950530 ) via2_FR
NEW met1 ( 723600 249935 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[31\] ( storage mgmt_rdata_ro[31] ) ( soc mgmt_rdata_ro[31] )
+ ROUTED met2 ( 742320 234025 ) ( 742320 950715 )
NEW met2 ( 1165680 234025 ) ( 1165680 268250 0 )
NEW met2 ( 720240 950715 ) ( 720240 958670 )
NEW met3 ( 704160 958670 0 ) ( 720240 958670 )
NEW met1 ( 720240 950715 ) ( 742320 950715 )
NEW met1 ( 742320 234025 ) ( 1165680 234025 )
NEW met1 ( 742320 234025 ) M1M2_PR
NEW met1 ( 742320 950715 ) M1M2_PR
NEW met1 ( 1165680 234025 ) M1M2_PR
NEW met1 ( 720240 950715 ) M1M2_PR
NEW met2 ( 720240 958670 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[3\] ( storage mgmt_rdata_ro[3] ) ( soc mgmt_rdata_ro[3] )
+ ROUTED met2 ( 1022640 459910 ) ( 1022640 460465 )
NEW met2 ( 719760 787730 ) ( 720240 787730 )
NEW met3 ( 704160 787730 ) ( 720240 787730 )
NEW met3 ( 704160 787730 ) ( 704160 790690 0 )
NEW met2 ( 719760 777370 ) ( 720240 777370 )
NEW met2 ( 719760 777370 ) ( 719760 787730 )
NEW met1 ( 718320 535945 ) ( 720720 535945 )
NEW met2 ( 720720 535945 ) ( 720720 547045 )
NEW met1 ( 720720 547045 ) ( 723120 547045 )
NEW met2 ( 723120 547045 ) ( 723120 626225 )
NEW met1 ( 720720 626225 ) ( 723120 626225 )
NEW met1 ( 718320 516705 ) ( 722160 516705 )
NEW met2 ( 722160 460465 ) ( 722160 516705 )
NEW met2 ( 718320 516705 ) ( 718320 535945 )
NEW met1 ( 722160 460465 ) ( 1022640 460465 )
NEW met2 ( 720240 730750 ) ( 720720 730750 )
NEW met2 ( 720720 661930 ) ( 720720 730750 )
NEW met2 ( 720240 661930 ) ( 720720 661930 )
NEW met2 ( 720240 651570 ) ( 720240 661930 )
NEW met2 ( 719760 651570 ) ( 720240 651570 )
NEW met2 ( 719760 647130 ) ( 719760 651570 )
NEW met2 ( 719760 647130 ) ( 720720 647130 )
NEW met2 ( 720240 730750 ) ( 720240 777370 )
NEW met2 ( 720720 626225 ) ( 720720 647130 )
NEW met3 ( 1052640 458800 0 ) ( 1052640 459910 )
NEW met3 ( 1022640 459910 ) ( 1052640 459910 )
NEW met1 ( 1022640 460465 ) M1M2_PR
NEW met2 ( 1022640 459910 ) via2_FR
NEW met2 ( 720240 787730 ) via2_FR
NEW met1 ( 718320 535945 ) M1M2_PR
NEW met1 ( 720720 535945 ) M1M2_PR
NEW met1 ( 720720 547045 ) M1M2_PR
NEW met1 ( 723120 547045 ) M1M2_PR
NEW met1 ( 723120 626225 ) M1M2_PR
NEW met1 ( 720720 626225 ) M1M2_PR
NEW met1 ( 718320 516705 ) M1M2_PR
NEW met1 ( 722160 516705 ) M1M2_PR
NEW met1 ( 722160 460465 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[4\] ( storage mgmt_rdata_ro[4] ) ( soc mgmt_rdata_ro[4] )
+ ROUTED met1 ( 723600 792355 ) ( 744720 792355 )
NEW met2 ( 723600 792355 ) ( 723600 796610 )
NEW met3 ( 704160 796610 0 ) ( 723600 796610 )
NEW met2 ( 744720 235875 ) ( 744720 792355 )
NEW met2 ( 1166640 235875 ) ( 1166640 268250 )
NEW met2 ( 1166640 268250 ) ( 1167360 268250 0 )
NEW met1 ( 744720 235875 ) ( 1166640 235875 )
NEW met1 ( 744720 235875 ) M1M2_PR
NEW met1 ( 744720 792355 ) M1M2_PR
NEW met1 ( 723600 792355 ) M1M2_PR
NEW met2 ( 723600 796610 ) via2_FR
NEW met1 ( 1166640 235875 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[5\] ( storage mgmt_rdata_ro[5] ) ( soc mgmt_rdata_ro[5] )
+ ROUTED met3 ( 704160 799570 ) ( 721680 799570 )
NEW met3 ( 704160 799570 ) ( 704160 802160 0 )
NEW met1 ( 718800 535575 ) ( 722160 535575 )
NEW met2 ( 722160 535575 ) ( 722160 622525 )
NEW met1 ( 720240 622525 ) ( 722160 622525 )
NEW met1 ( 718800 532615 ) ( 733680 532615 )
NEW met2 ( 733680 474525 ) ( 733680 532615 )
NEW met2 ( 718800 532615 ) ( 718800 535575 )
NEW met2 ( 719760 633810 ) ( 720240 633810 )
NEW met2 ( 719760 633810 ) ( 719760 634365 )
NEW met1 ( 719760 634365 ) ( 722640 634365 )
NEW met2 ( 722640 634365 ) ( 722640 698005 )
NEW met1 ( 721680 698005 ) ( 722640 698005 )
NEW met2 ( 720240 622525 ) ( 720240 633810 )
NEW met2 ( 721680 698005 ) ( 721680 799570 )
NEW met2 ( 1008720 461390 ) ( 1008720 474525 )
NEW met3 ( 1008720 461390 ) ( 1052640 461390 0 )
NEW met1 ( 733680 474525 ) ( 1008720 474525 )
NEW met2 ( 721680 799570 ) via2_FR
NEW met1 ( 718800 535575 ) M1M2_PR
NEW met1 ( 722160 535575 ) M1M2_PR
NEW met1 ( 722160 622525 ) M1M2_PR
NEW met1 ( 720240 622525 ) M1M2_PR
NEW met1 ( 718800 532615 ) M1M2_PR
NEW met1 ( 733680 532615 ) M1M2_PR
NEW met1 ( 733680 474525 ) M1M2_PR
NEW met1 ( 719760 634365 ) M1M2_PR
NEW met1 ( 722640 634365 ) M1M2_PR
NEW met1 ( 722640 698005 ) M1M2_PR
NEW met1 ( 721680 698005 ) M1M2_PR
NEW met1 ( 1008720 474525 ) M1M2_PR
NEW met2 ( 1008720 461390 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[6\] ( storage mgmt_rdata_ro[6] ) ( soc mgmt_rdata_ro[6] )
+ ROUTED met3 ( 704160 806970 ) ( 724080 806970 )
NEW met3 ( 704160 806970 ) ( 704160 808410 0 )
NEW met2 ( 1168080 256965 ) ( 1168080 268250 )
NEW met2 ( 1168080 268250 ) ( 1169280 268250 0 )
NEW met2 ( 724080 256965 ) ( 724080 806970 )
NEW met1 ( 724080 256965 ) ( 1168080 256965 )
NEW met2 ( 724080 806970 ) via2_FR
NEW met1 ( 1168080 256965 ) M1M2_PR
NEW met1 ( 724080 256965 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[7\] ( storage mgmt_rdata_ro[7] ) ( soc mgmt_rdata_ro[7] )
+ ROUTED met1 ( 723600 806415 ) ( 744240 806415 )
NEW met2 ( 723600 806415 ) ( 723600 814370 )
NEW met3 ( 704160 814370 0 ) ( 723600 814370 )
NEW met2 ( 744240 242905 ) ( 744240 806415 )
NEW met2 ( 1170960 242905 ) ( 1170960 268250 0 )
NEW met1 ( 744240 242905 ) ( 1170960 242905 )
NEW met1 ( 744240 242905 ) M1M2_PR
NEW met1 ( 744240 806415 ) M1M2_PR
NEW met1 ( 723600 806415 ) M1M2_PR
NEW met2 ( 723600 814370 ) via2_FR
NEW met1 ( 1170960 242905 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[8\] ( storage mgmt_rdata_ro[8] ) ( soc mgmt_rdata_ro[8] )
+ ROUTED met2 ( 1023600 464350 ) ( 1023600 473045 )
NEW met3 ( 704160 818070 ) ( 721200 818070 )
NEW met3 ( 704160 818070 ) ( 704160 820650 0 )
NEW met1 ( 721200 530765 ) ( 732240 530765 )
NEW met2 ( 732240 473045 ) ( 732240 530765 )
NEW met1 ( 732240 473045 ) ( 1023600 473045 )
NEW met2 ( 721200 530765 ) ( 721200 818070 )
NEW met3 ( 1023600 464350 ) ( 1052640 464350 0 )
NEW met1 ( 1023600 473045 ) M1M2_PR
NEW met2 ( 1023600 464350 ) via2_FR
NEW met2 ( 721200 818070 ) via2_FR
NEW met1 ( 721200 530765 ) M1M2_PR
NEW met1 ( 732240 530765 ) M1M2_PR
NEW met1 ( 732240 473045 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[9\] ( storage mgmt_rdata_ro[9] ) ( soc mgmt_rdata_ro[9] )
+ ROUTED met3 ( 704160 823990 ) ( 724560 823990 )
NEW met3 ( 704160 823990 ) ( 704160 826580 0 )
NEW met2 ( 1172880 256595 ) ( 1172880 268250 0 )
NEW met2 ( 724560 324490 ) ( 725040 324490 )
NEW met2 ( 725040 256595 ) ( 725040 324490 )
NEW met2 ( 724560 324490 ) ( 724560 823990 )
NEW met1 ( 725040 256595 ) ( 1172880 256595 )
NEW met2 ( 724560 823990 ) via2_FR
NEW met1 ( 1172880 256595 ) M1M2_PR
NEW met1 ( 725040 256595 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[0\] ( storage mgmt_wdata[0] ) ( soc mgmt_wdata[0] )
+ ROUTED met2 ( 1024080 468790 ) ( 1024080 474155 )
NEW met1 ( 756240 474155 ) ( 1024080 474155 )
NEW met2 ( 756240 474155 ) ( 756240 951085 )
NEW met2 ( 721680 951085 ) ( 721680 964590 )
NEW met3 ( 704160 964590 0 ) ( 721680 964590 )
NEW met1 ( 721680 951085 ) ( 756240 951085 )
NEW met3 ( 1052640 466940 0 ) ( 1052640 468790 )
NEW met3 ( 1024080 468790 ) ( 1052640 468790 )
NEW met1 ( 1024080 474155 ) M1M2_PR
NEW met2 ( 1024080 468790 ) via2_FR
NEW met1 ( 756240 474155 ) M1M2_PR
NEW met1 ( 756240 951085 ) M1M2_PR
NEW met1 ( 721680 951085 ) M1M2_PR
NEW met2 ( 721680 964590 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[10\] ( storage mgmt_wdata[10] ) ( soc mgmt_wdata[10] )
+ ROUTED met2 ( 1174800 255855 ) ( 1174800 268250 0 )
NEW met2 ( 728880 1007510 ) ( 729360 1007510 )
NEW met2 ( 728880 1007510 ) ( 728880 1025270 )
NEW met3 ( 704160 1025270 0 ) ( 728880 1025270 )
NEW met2 ( 729360 255855 ) ( 729360 1007510 )
NEW met1 ( 729360 255855 ) ( 1174800 255855 )
NEW met1 ( 1174800 255855 ) M1M2_PR
NEW met2 ( 728880 1025270 ) via2_FR
NEW met1 ( 729360 255855 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[11\] ( storage mgmt_wdata[11] ) ( soc mgmt_wdata[11] )
+ ROUTED met2 ( 1176720 256225 ) ( 1176720 268250 0 )
NEW met3 ( 704160 1028230 ) ( 728400 1028230 )
NEW met3 ( 704160 1028230 ) ( 704160 1030770 0 )
NEW met2 ( 728400 256225 ) ( 728400 1028230 )
NEW met1 ( 728400 256225 ) ( 1176720 256225 )
NEW met1 ( 1176720 256225 ) M1M2_PR
NEW met2 ( 728400 1028230 ) via2_FR
NEW met1 ( 728400 256225 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[12\] ( storage mgmt_wdata[12] ) ( soc mgmt_wdata[12] )
+ ROUTED met1 ( 1015440 472305 ) ( 1026480 472305 )
NEW met2 ( 1026480 469530 ) ( 1026480 472305 )
NEW met2 ( 1015440 472305 ) ( 1015440 1036925 )
NEW met2 ( 734160 1036925 ) ( 734160 1037110 )
NEW met3 ( 704160 1037110 0 ) ( 734160 1037110 )
NEW met1 ( 734160 1036925 ) ( 1015440 1036925 )
NEW met3 ( 1026480 469530 ) ( 1052640 469530 0 )
NEW met1 ( 1015440 472305 ) M1M2_PR
NEW met1 ( 1026480 472305 ) M1M2_PR
NEW met2 ( 1026480 469530 ) via2_FR
NEW met1 ( 1015440 1036925 ) M1M2_PR
NEW met1 ( 734160 1036925 ) M1M2_PR
NEW met2 ( 734160 1037110 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[13\] ( storage mgmt_wdata[13] ) ( soc mgmt_wdata[13] )
+ ROUTED met3 ( 704160 1040070 ) ( 727920 1040070 )
NEW met3 ( 704160 1040070 ) ( 704160 1043010 0 )
NEW met2 ( 1177200 250305 ) ( 1177200 268250 )
NEW met2 ( 1177200 268250 ) ( 1178400 268250 0 )
NEW met2 ( 727440 381470 ) ( 727920 381470 )
NEW met1 ( 727440 325785 ) ( 732720 325785 )
NEW met2 ( 732720 250305 ) ( 732720 325785 )
NEW met2 ( 727440 325785 ) ( 727440 381470 )
NEW met2 ( 727920 381470 ) ( 727920 1040070 )
NEW met1 ( 732720 250305 ) ( 1177200 250305 )
NEW met2 ( 727920 1040070 ) via2_FR
NEW met1 ( 1177200 250305 ) M1M2_PR
NEW met1 ( 727440 325785 ) M1M2_PR
NEW met1 ( 732720 325785 ) M1M2_PR
NEW met1 ( 732720 250305 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[14\] ( storage mgmt_wdata[14] ) ( soc mgmt_wdata[14] )
+ ROUTED met2 ( 1022640 472490 ) ( 1022640 473785 )
NEW met2 ( 733200 1037295 ) ( 733200 1048950 )
NEW met3 ( 704160 1048950 0 ) ( 733200 1048950 )
NEW met1 ( 733200 1037295 ) ( 828240 1037295 )
NEW met1 ( 828240 473785 ) ( 1022640 473785 )
NEW met2 ( 828240 473785 ) ( 828240 1037295 )
NEW met3 ( 1022640 472490 ) ( 1052640 472490 0 )
NEW met1 ( 828240 473785 ) M1M2_PR
NEW met1 ( 828240 1037295 ) M1M2_PR
NEW met1 ( 1022640 473785 ) M1M2_PR
NEW met2 ( 1022640 472490 ) via2_FR
NEW met1 ( 733200 1037295 ) M1M2_PR
NEW met2 ( 733200 1048950 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[15\] ( storage mgmt_wdata[15] ) ( soc mgmt_wdata[15] )
+ ROUTED met3 ( 704160 1052650 ) ( 727440 1052650 )
NEW met3 ( 704160 1052650 ) ( 704160 1055240 0 )
NEW met2 ( 1179120 250675 ) ( 1179120 268250 )
NEW met2 ( 1179120 268250 ) ( 1180320 268250 0 )
NEW met1 ( 720240 331335 ) ( 720240 332445 )
NEW met1 ( 720240 332445 ) ( 721200 332445 )
NEW met2 ( 721200 332445 ) ( 721200 382025 )
NEW met1 ( 721200 382025 ) ( 727440 382025 )
NEW met1 ( 720240 328005 ) ( 721200 328005 )
NEW met2 ( 721200 250675 ) ( 721200 328005 )
NEW met2 ( 720240 328005 ) ( 720240 331335 )
NEW met2 ( 727440 382025 ) ( 727440 1052650 )
NEW met1 ( 721200 250675 ) ( 1179120 250675 )
NEW met2 ( 727440 1052650 ) via2_FR
NEW met1 ( 1179120 250675 ) M1M2_PR
NEW met1 ( 720240 331335 ) M1M2_PR
NEW met1 ( 721200 332445 ) M1M2_PR
NEW met1 ( 721200 382025 ) M1M2_PR
NEW met1 ( 727440 382025 ) M1M2_PR
NEW met1 ( 720240 328005 ) M1M2_PR
NEW met1 ( 721200 328005 ) M1M2_PR
NEW met1 ( 721200 250675 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[16\] ( storage mgmt_wdata[16] ) ( soc mgmt_wdata[16] )
+ ROUTED met1 ( 726480 1051355 ) ( 741360 1051355 )
NEW met2 ( 726480 1051355 ) ( 726480 1058570 )
NEW met3 ( 704160 1058570 ) ( 726480 1058570 )
NEW met3 ( 704160 1058570 ) ( 704160 1061160 0 )
NEW met2 ( 741360 243275 ) ( 741360 1051355 )
NEW met2 ( 1182000 243275 ) ( 1182000 268250 0 )
NEW met1 ( 741360 243275 ) ( 1182000 243275 )
NEW met1 ( 741360 243275 ) M1M2_PR
NEW met1 ( 741360 1051355 ) M1M2_PR
NEW met1 ( 726480 1051355 ) M1M2_PR
NEW met2 ( 726480 1058570 ) via2_FR
NEW met1 ( 1182000 243275 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[17\] ( storage mgmt_wdata[17] ) ( soc mgmt_wdata[17] )
+ ROUTED met2 ( 1023120 473415 ) ( 1023120 473970 )
NEW met2 ( 734160 1066155 ) ( 734160 1066710 )
NEW met3 ( 704160 1066710 0 ) ( 734160 1066710 )
NEW met1 ( 734160 1066155 ) ( 842640 1066155 )
NEW met1 ( 842640 473415 ) ( 1023120 473415 )
NEW met2 ( 842640 473415 ) ( 842640 1066155 )
NEW met3 ( 1052640 473970 ) ( 1052640 475080 0 )
NEW met3 ( 1023120 473970 ) ( 1052640 473970 )
NEW met1 ( 842640 473415 ) M1M2_PR
NEW met1 ( 842640 1066155 ) M1M2_PR
NEW met1 ( 1023120 473415 ) M1M2_PR
NEW met2 ( 1023120 473970 ) via2_FR
NEW met1 ( 734160 1066155 ) M1M2_PR
NEW met2 ( 734160 1066710 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[18\] ( storage mgmt_wdata[18] ) ( soc mgmt_wdata[18] )
+ ROUTED met3 ( 704160 1072630 0 ) ( 726960 1072630 )
NEW met2 ( 1183920 257705 ) ( 1183920 268250 0 )
NEW met2 ( 726960 257705 ) ( 726960 1072630 )
NEW met1 ( 726960 257705 ) ( 1183920 257705 )
NEW met2 ( 726960 1072630 ) via2_FR
NEW met1 ( 1183920 257705 ) M1M2_PR
NEW met1 ( 726960 257705 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[19\] ( storage mgmt_wdata[19] ) ( soc mgmt_wdata[19] )
+ ROUTED met2 ( 1023600 477670 ) ( 1023600 488585 )
NEW met3 ( 704160 1076330 ) ( 704160 1078920 0 )
NEW met3 ( 704160 1076330 ) ( 722160 1076330 )
NEW met2 ( 722160 1065785 ) ( 722160 1076330 )
NEW met1 ( 722160 1065785 ) ( 857040 1065785 )
NEW met1 ( 857040 488585 ) ( 1023600 488585 )
NEW met2 ( 857040 488585 ) ( 857040 1065785 )
NEW met3 ( 1023600 477670 ) ( 1052640 477670 0 )
NEW met1 ( 857040 1065785 ) M1M2_PR
NEW met1 ( 857040 488585 ) M1M2_PR
NEW met1 ( 1023600 488585 ) M1M2_PR
NEW met2 ( 1023600 477670 ) via2_FR
NEW met2 ( 722160 1076330 ) via2_FR
NEW met1 ( 722160 1065785 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[1\] ( storage mgmt_wdata[1] ) ( soc mgmt_wdata[1] )
+ ROUTED met2 ( 1185840 257335 ) ( 1185840 268250 0 )
NEW met3 ( 704160 968290 ) ( 729840 968290 )
NEW met3 ( 704160 968290 ) ( 704160 970880 0 )
NEW met2 ( 729840 257335 ) ( 729840 968290 )
NEW met1 ( 729840 257335 ) ( 1185840 257335 )
NEW met1 ( 1185840 257335 ) M1M2_PR
NEW met2 ( 729840 968290 ) via2_FR
NEW met1 ( 729840 257335 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[20\] ( storage mgmt_wdata[20] ) ( soc mgmt_wdata[20] )
+ ROUTED met3 ( 704160 1082250 ) ( 729120 1082250 )
NEW met3 ( 704160 1082250 ) ( 704160 1085170 0 )
NEW met2 ( 1187760 256410 ) ( 1187760 268250 0 )
NEW met4 ( 729120 256410 ) ( 729120 1082250 )
NEW met3 ( 729120 256410 ) ( 1187760 256410 )
NEW met3 ( 729120 1082250 ) M3M4_PR_M
NEW met2 ( 1187760 256410 ) via2_FR
NEW met3 ( 729120 256410 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_wdata\[21\] ( storage mgmt_wdata[21] ) ( soc mgmt_wdata[21] )
+ ROUTED met2 ( 1024080 480630 ) ( 1024080 489325 )
NEW met1 ( 734160 1082805 ) ( 770160 1082805 )
NEW met2 ( 734160 1082805 ) ( 734160 1091130 )
NEW met3 ( 704160 1091130 0 ) ( 734160 1091130 )
NEW met1 ( 770160 489325 ) ( 1024080 489325 )
NEW met2 ( 770160 489325 ) ( 770160 1082805 )
NEW met3 ( 1024080 480630 ) ( 1052640 480630 0 )
NEW met1 ( 1024080 489325 ) M1M2_PR
NEW met2 ( 1024080 480630 ) via2_FR
NEW met1 ( 770160 489325 ) M1M2_PR
NEW met1 ( 770160 1082805 ) M1M2_PR
NEW met1 ( 734160 1082805 ) M1M2_PR
NEW met2 ( 734160 1091130 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[22\] ( storage mgmt_wdata[22] ) ( soc mgmt_wdata[22] )
+ ROUTED met3 ( 704160 1094830 ) ( 728160 1094830 )
NEW met3 ( 704160 1094830 ) ( 704160 1097410 0 )
NEW met2 ( 1188240 257150 ) ( 1188240 268250 )
NEW met2 ( 1188240 268250 ) ( 1189440 268250 0 )
NEW met4 ( 728160 257150 ) ( 728160 1094830 )
NEW met3 ( 728160 257150 ) ( 1188240 257150 )
NEW met3 ( 728160 1094830 ) M3M4_PR_M
NEW met2 ( 1188240 257150 ) via2_FR
NEW met3 ( 728160 257150 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_wdata\[23\] ( storage mgmt_wdata[23] ) ( soc mgmt_wdata[23] )
+ ROUTED met2 ( 1023120 485070 ) ( 1023120 488215 )
NEW met3 ( 704160 1100750 ) ( 704160 1103340 0 )
NEW met3 ( 704160 1100750 ) ( 722160 1100750 )
NEW met2 ( 722160 1094645 ) ( 722160 1100750 )
NEW met1 ( 722160 1094645 ) ( 871440 1094645 )
NEW met1 ( 871440 488215 ) ( 1023120 488215 )
NEW met2 ( 871440 488215 ) ( 871440 1094645 )
NEW met3 ( 1052640 483220 0 ) ( 1052640 485070 )
NEW met3 ( 1023120 485070 ) ( 1052640 485070 )
NEW met1 ( 871440 488215 ) M1M2_PR
NEW met1 ( 871440 1094645 ) M1M2_PR
NEW met1 ( 1023120 488215 ) M1M2_PR
NEW met2 ( 1023120 485070 ) via2_FR
NEW met2 ( 722160 1100750 ) via2_FR
NEW met1 ( 722160 1094645 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[24\] ( storage mgmt_wdata[24] ) ( soc mgmt_wdata[24] )
+ ROUTED met3 ( 704160 1108890 0 ) ( 733680 1108890 )
NEW met2 ( 733680 1108890 ) ( 733680 1109815 )
NEW met1 ( 733680 1109815 ) ( 885360 1109815 )
NEW met2 ( 1190160 236985 ) ( 1190160 268250 )
NEW met2 ( 1190160 268250 ) ( 1191360 268250 0 )
NEW met2 ( 885360 236985 ) ( 885360 1109815 )
NEW met1 ( 885360 236985 ) ( 1190160 236985 )
NEW met1 ( 885360 236985 ) M1M2_PR
NEW met2 ( 733680 1108890 ) via2_FR
NEW met1 ( 733680 1109815 ) M1M2_PR
NEW met1 ( 885360 1109815 ) M1M2_PR
NEW met1 ( 1190160 236985 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[25\] ( storage mgmt_wdata[25] ) ( soc mgmt_wdata[25] )
+ ROUTED met2 ( 734160 1109445 ) ( 734160 1114810 )
NEW met3 ( 704160 1114810 0 ) ( 734160 1114810 )
NEW met1 ( 734160 1109445 ) ( 899760 1109445 )
NEW met2 ( 1193040 236615 ) ( 1193040 268250 0 )
NEW met2 ( 899760 236615 ) ( 899760 1109445 )
NEW met1 ( 899760 236615 ) ( 1193040 236615 )
NEW met1 ( 899760 236615 ) M1M2_PR
NEW met1 ( 734160 1109445 ) M1M2_PR
NEW met2 ( 734160 1114810 ) via2_FR
NEW met1 ( 899760 1109445 ) M1M2_PR
NEW met1 ( 1193040 236615 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[26\] ( storage mgmt_wdata[26] ) ( soc mgmt_wdata[26] )
+ ROUTED met2 ( 1022640 485810 ) ( 1022640 487845 )
NEW met3 ( 704160 1118510 ) ( 704160 1121100 0 )
NEW met3 ( 704160 1118510 ) ( 722160 1118510 )
NEW met2 ( 722160 1109075 ) ( 722160 1118510 )
NEW met1 ( 914640 487845 ) ( 1022640 487845 )
NEW met1 ( 722160 1109075 ) ( 914640 1109075 )
NEW met2 ( 914640 487845 ) ( 914640 1109075 )
NEW met3 ( 1022640 485810 ) ( 1052640 485810 0 )
NEW met1 ( 1022640 487845 ) M1M2_PR
NEW met2 ( 1022640 485810 ) via2_FR
NEW met2 ( 722160 1118510 ) via2_FR
NEW met1 ( 722160 1109075 ) M1M2_PR
NEW met1 ( 914640 487845 ) M1M2_PR
NEW met1 ( 914640 1109075 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[27\] ( storage mgmt_wdata[27] ) ( soc mgmt_wdata[27] )
+ ROUTED met2 ( 1000560 236245 ) ( 1000560 1123505 )
NEW met3 ( 704160 1125170 ) ( 704160 1127330 0 )
NEW met3 ( 704160 1125170 ) ( 730800 1125170 )
NEW met2 ( 730800 1123505 ) ( 730800 1125170 )
NEW met1 ( 730800 1123505 ) ( 1000560 1123505 )
NEW met2 ( 1194960 236245 ) ( 1194960 268250 0 )
NEW met1 ( 1000560 236245 ) ( 1194960 236245 )
NEW met1 ( 1000560 236245 ) M1M2_PR
NEW met1 ( 1000560 1123505 ) M1M2_PR
NEW met2 ( 730800 1125170 ) via2_FR
NEW met1 ( 730800 1123505 ) M1M2_PR
NEW met1 ( 1194960 236245 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[28\] ( storage mgmt_wdata[28] ) ( soc mgmt_wdata[28] )
+ ROUTED met2 ( 1022640 488770 ) ( 1022640 488955 )
NEW met2 ( 734160 1132015 ) ( 734160 1133310 )
NEW met3 ( 704160 1133310 0 ) ( 734160 1133310 )
NEW met1 ( 734160 1132015 ) ( 784560 1132015 )
NEW met1 ( 784560 488955 ) ( 1022640 488955 )
NEW met2 ( 784560 488955 ) ( 784560 1132015 )
NEW met3 ( 1022640 488770 ) ( 1052640 488770 0 )
NEW met1 ( 784560 488955 ) M1M2_PR
NEW met1 ( 784560 1132015 ) M1M2_PR
NEW met1 ( 1022640 488955 ) M1M2_PR
NEW met2 ( 1022640 488770 ) via2_FR
NEW met1 ( 734160 1132015 ) M1M2_PR
NEW met2 ( 734160 1133310 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[29\] ( storage mgmt_wdata[29] ) ( soc mgmt_wdata[29] )
+ ROUTED met2 ( 942960 235505 ) ( 942960 1138675 )
NEW met2 ( 1196880 235505 ) ( 1196880 268250 0 )
NEW met3 ( 704160 1139230 ) ( 704160 1139570 0 )
NEW met3 ( 704160 1139230 ) ( 720240 1139230 )
NEW met2 ( 720240 1138675 ) ( 720240 1139230 )
NEW met1 ( 720240 1138675 ) ( 942960 1138675 )
NEW met1 ( 942960 235505 ) ( 1196880 235505 )
NEW met1 ( 942960 235505 ) M1M2_PR
NEW met1 ( 942960 1138675 ) M1M2_PR
NEW met1 ( 1196880 235505 ) M1M2_PR
NEW met2 ( 720240 1139230 ) via2_FR
NEW met1 ( 720240 1138675 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[2\] ( storage mgmt_wdata[2] ) ( soc mgmt_wdata[2] )
+ ROUTED met2 ( 1024560 493210 ) ( 1024560 503755 )
NEW met1 ( 719760 532985 ) ( 720240 532985 )
NEW met1 ( 719760 532985 ) ( 719760 534095 )
NEW met1 ( 719760 534095 ) ( 723120 534095 )
NEW met2 ( 723120 534095 ) ( 723120 542050 )
NEW met2 ( 723120 542050 ) ( 723600 542050 )
NEW met2 ( 723600 542050 ) ( 723600 623265 )
NEW met1 ( 722160 623265 ) ( 723600 623265 )
NEW met3 ( 704160 974210 ) ( 722160 974210 )
NEW met3 ( 704160 974210 ) ( 704160 976800 0 )
NEW met1 ( 720240 530025 ) ( 721200 530025 )
NEW met2 ( 721200 503755 ) ( 721200 530025 )
NEW met2 ( 720240 530025 ) ( 720240 532985 )
NEW met1 ( 721200 503755 ) ( 1024560 503755 )
NEW met2 ( 722160 623265 ) ( 722160 974210 )
NEW met3 ( 1052640 491360 0 ) ( 1052640 493210 )
NEW met3 ( 1024560 493210 ) ( 1052640 493210 )
NEW met1 ( 1024560 503755 ) M1M2_PR
NEW met2 ( 1024560 493210 ) via2_FR
NEW met1 ( 720240 532985 ) M1M2_PR
NEW met1 ( 723120 534095 ) M1M2_PR
NEW met1 ( 723600 623265 ) M1M2_PR
NEW met1 ( 722160 623265 ) M1M2_PR
NEW met2 ( 722160 974210 ) via2_FR
NEW met1 ( 720240 530025 ) M1M2_PR
NEW met1 ( 721200 530025 ) M1M2_PR
NEW met1 ( 721200 503755 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[30\] ( storage mgmt_wdata[30] ) ( soc mgmt_wdata[30] )
+ ROUTED met2 ( 971760 241795 ) ( 971760 1138305 )
NEW met2 ( 1198800 241795 ) ( 1198800 268250 0 )
NEW met3 ( 704160 1142190 ) ( 704160 1144780 0 )
NEW met3 ( 704160 1142190 ) ( 720720 1142190 )
NEW met2 ( 720720 1138305 ) ( 720720 1142190 )
NEW met1 ( 720720 1138305 ) ( 971760 1138305 )
NEW met1 ( 971760 241795 ) ( 1198800 241795 )
NEW met1 ( 971760 241795 ) M1M2_PR
NEW met1 ( 971760 1138305 ) M1M2_PR
NEW met1 ( 1198800 241795 ) M1M2_PR
NEW met2 ( 720720 1142190 ) via2_FR
NEW met1 ( 720720 1138305 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[31\] ( storage mgmt_wdata[31] ) ( soc mgmt_wdata[31] )
+ ROUTED met2 ( 986160 242165 ) ( 986160 1137935 )
NEW met2 ( 1199280 242165 ) ( 1199280 268250 )
NEW met2 ( 1199280 268250 ) ( 1200480 268250 0 )
NEW met2 ( 721200 1137935 ) ( 721200 1151070 )
NEW met3 ( 704160 1151070 0 ) ( 721200 1151070 )
NEW met1 ( 721200 1137935 ) ( 986160 1137935 )
NEW met1 ( 986160 242165 ) ( 1199280 242165 )
NEW met1 ( 986160 242165 ) M1M2_PR
NEW met1 ( 986160 1137935 ) M1M2_PR
NEW met1 ( 1199280 242165 ) M1M2_PR
NEW met1 ( 721200 1137935 ) M1M2_PR
NEW met2 ( 721200 1151070 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[3\] ( storage mgmt_wdata[3] ) ( soc mgmt_wdata[3] )
+ ROUTED met2 ( 1024080 493950 ) ( 1024080 503385 )
NEW met2 ( 719280 533170 ) ( 719760 533170 )
NEW met2 ( 719280 533170 ) ( 719280 534465 )
NEW met1 ( 719280 534465 ) ( 732240 534465 )
NEW met2 ( 732240 534465 ) ( 732240 626965 )
NEW met1 ( 723120 626965 ) ( 732240 626965 )
NEW met3 ( 704160 983090 0 ) ( 723120 983090 )
NEW met2 ( 719760 519850 ) ( 720240 519850 )
NEW met2 ( 720240 508750 ) ( 720240 519850 )
NEW met2 ( 719760 508750 ) ( 720240 508750 )
NEW met2 ( 719760 507270 ) ( 719760 508750 )
NEW met2 ( 719760 507270 ) ( 720240 507270 )
NEW met2 ( 720240 503385 ) ( 720240 507270 )
NEW met2 ( 719760 519850 ) ( 719760 533170 )
NEW met1 ( 720240 503385 ) ( 1024080 503385 )
NEW met2 ( 723120 626965 ) ( 723120 983090 )
NEW met3 ( 1024080 493950 ) ( 1052640 493950 0 )
NEW met1 ( 1024080 503385 ) M1M2_PR
NEW met2 ( 1024080 493950 ) via2_FR
NEW met1 ( 719280 534465 ) M1M2_PR
NEW met1 ( 732240 534465 ) M1M2_PR
NEW met1 ( 732240 626965 ) M1M2_PR
NEW met1 ( 723120 626965 ) M1M2_PR
NEW met2 ( 723120 983090 ) via2_FR
NEW met1 ( 720240 503385 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[4\] ( storage mgmt_wdata[4] ) ( soc mgmt_wdata[4] )
+ ROUTED met2 ( 1201200 242535 ) ( 1201200 268250 )
NEW met2 ( 1201200 268250 ) ( 1202400 268250 0 )
NEW met2 ( 723600 979205 ) ( 723600 989010 )
NEW met3 ( 704160 989010 0 ) ( 723600 989010 )
NEW met1 ( 723600 979205 ) ( 928560 979205 )
NEW met2 ( 928560 242535 ) ( 928560 979205 )
NEW met1 ( 928560 242535 ) ( 1201200 242535 )
NEW met1 ( 928560 242535 ) M1M2_PR
NEW met1 ( 928560 979205 ) M1M2_PR
NEW met1 ( 1201200 242535 ) M1M2_PR
NEW met1 ( 723600 979205 ) M1M2_PR
NEW met2 ( 723600 989010 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[5\] ( storage mgmt_wdata[5] ) ( soc mgmt_wdata[5] )
+ ROUTED met2 ( 1023600 496910 ) ( 1023600 502645 )
NEW met1 ( 798960 502645 ) ( 1023600 502645 )
NEW met2 ( 798960 502645 ) ( 798960 994745 )
NEW met2 ( 720240 994745 ) ( 720240 994930 )
NEW met3 ( 704160 994930 0 ) ( 720240 994930 )
NEW met1 ( 720240 994745 ) ( 798960 994745 )
NEW met3 ( 1023600 496910 ) ( 1052640 496910 0 )
NEW met1 ( 798960 994745 ) M1M2_PR
NEW met1 ( 798960 502645 ) M1M2_PR
NEW met1 ( 1023600 502645 ) M1M2_PR
NEW met2 ( 1023600 496910 ) via2_FR
NEW met1 ( 720240 994745 ) M1M2_PR
NEW met2 ( 720240 994930 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[6\] ( storage mgmt_wdata[6] ) ( soc mgmt_wdata[6] )
+ ROUTED met2 ( 957360 234765 ) ( 957360 993635 )
NEW met2 ( 1204080 234765 ) ( 1204080 268250 0 )
NEW met2 ( 722640 993635 ) ( 722640 997890 )
NEW met3 ( 704160 997890 ) ( 722640 997890 )
NEW met3 ( 704160 997890 ) ( 704160 1000710 0 )
NEW met1 ( 722640 993635 ) ( 957360 993635 )
NEW met1 ( 957360 234765 ) ( 1204080 234765 )
NEW met1 ( 957360 234765 ) M1M2_PR
NEW met1 ( 957360 993635 ) M1M2_PR
NEW met1 ( 1204080 234765 ) M1M2_PR
NEW met1 ( 722640 993635 ) M1M2_PR
NEW met2 ( 722640 997890 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[7\] ( storage mgmt_wdata[7] ) ( soc mgmt_wdata[7] )
+ ROUTED met3 ( 704160 1006770 0 ) ( 728880 1006770 )
NEW met2 ( 728880 248455 ) ( 728880 1006770 )
NEW met2 ( 1206000 246605 ) ( 1206000 268250 0 )
NEW met1 ( 893040 248085 ) ( 893040 248455 )
NEW met1 ( 728880 248455 ) ( 893040 248455 )
NEW met1 ( 1029360 247715 ) ( 1029360 248085 )
NEW met1 ( 1029360 247715 ) ( 1079280 247715 )
NEW met1 ( 1079280 247345 ) ( 1079280 247715 )
NEW met2 ( 1079280 246790 ) ( 1079280 247345 )
NEW met2 ( 1079280 246790 ) ( 1079760 246790 )
NEW met2 ( 1079760 246790 ) ( 1079760 247345 )
NEW met1 ( 893040 248085 ) ( 1029360 248085 )
NEW met2 ( 1094160 246605 ) ( 1094160 247345 )
NEW met1 ( 1079760 247345 ) ( 1094160 247345 )
NEW met1 ( 1094160 246605 ) ( 1206000 246605 )
NEW met2 ( 728880 1006770 ) via2_FR
NEW met1 ( 728880 248455 ) M1M2_PR
NEW met1 ( 1206000 246605 ) M1M2_PR
NEW met1 ( 1079280 247345 ) M1M2_PR
NEW met1 ( 1079760 247345 ) M1M2_PR
NEW met1 ( 1094160 247345 ) M1M2_PR
NEW met1 ( 1094160 246605 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[8\] ( storage mgmt_wdata[8] ) ( soc mgmt_wdata[8] )
+ ROUTED met2 ( 1022640 501350 ) ( 1022640 502275 )
NEW met1 ( 813360 502275 ) ( 1022640 502275 )
NEW met2 ( 813360 502275 ) ( 813360 1008435 )
NEW met2 ( 729360 1008435 ) ( 729360 1010470 )
NEW met3 ( 704160 1010470 ) ( 729360 1010470 )
NEW met3 ( 704160 1010470 ) ( 704160 1013060 0 )
NEW met1 ( 729360 1008435 ) ( 813360 1008435 )
NEW met3 ( 1052640 499500 0 ) ( 1052640 501350 )
NEW met3 ( 1022640 501350 ) ( 1052640 501350 )
NEW met1 ( 813360 1008435 ) M1M2_PR
NEW met1 ( 813360 502275 ) M1M2_PR
NEW met1 ( 1022640 502275 ) M1M2_PR
NEW met2 ( 1022640 501350 ) via2_FR
NEW met1 ( 729360 1008435 ) M1M2_PR
NEW met2 ( 729360 1010470 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[9\] ( storage mgmt_wdata[9] ) ( soc mgmt_wdata[9] )
+ ROUTED met2 ( 1014960 241425 ) ( 1014960 1008065 )
NEW met2 ( 1207920 241425 ) ( 1207920 268250 0 )
NEW met3 ( 704160 1016390 ) ( 704160 1018980 0 )
NEW met3 ( 704160 1016390 ) ( 720240 1016390 )
NEW met2 ( 720240 1008065 ) ( 720240 1016390 )
NEW met1 ( 720240 1008065 ) ( 1014960 1008065 )
NEW met1 ( 1014960 241425 ) ( 1207920 241425 )
NEW met1 ( 1014960 241425 ) M1M2_PR
NEW met1 ( 1014960 1008065 ) M1M2_PR
NEW met1 ( 1207920 241425 ) M1M2_PR
NEW met2 ( 720240 1016390 ) via2_FR
NEW met1 ( 720240 1008065 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen\[0\] ( storage mgmt_wen[0] ) ( soc mgmt_wen[0] )
+ ROUTED met2 ( 1023120 502090 ) ( 1023120 503015 )
NEW met1 ( 755760 503015 ) ( 1023120 503015 )
NEW met2 ( 755760 503015 ) ( 755760 1152735 )
NEW met2 ( 720240 1152735 ) ( 720240 1156990 )
NEW met3 ( 704160 1156990 0 ) ( 720240 1156990 )
NEW met1 ( 720240 1152735 ) ( 755760 1152735 )
NEW met3 ( 1023120 502090 ) ( 1052640 502090 0 )
NEW met1 ( 1023120 503015 ) M1M2_PR
NEW met2 ( 1023120 502090 ) via2_FR
NEW met1 ( 755760 503015 ) M1M2_PR
NEW met1 ( 755760 1152735 ) M1M2_PR
NEW met1 ( 720240 1152735 ) M1M2_PR
NEW met2 ( 720240 1156990 ) via2_FR
+ USE SIGNAL ;
- mgmt_wen\[1\] ( storage mgmt_wen[1] ) ( soc mgmt_wen[1] )
+ ROUTED met2 ( 827760 240870 ) ( 827760 1152365 )
NEW met2 ( 1209840 240870 ) ( 1209840 268250 0 )
NEW met3 ( 704160 1160690 ) ( 704160 1163280 0 )
NEW met3 ( 704160 1160690 ) ( 720720 1160690 )
NEW met2 ( 720720 1152365 ) ( 720720 1160690 )
NEW met1 ( 720720 1152365 ) ( 827760 1152365 )
NEW met3 ( 827760 240870 ) ( 1209840 240870 )
NEW met2 ( 827760 240870 ) via2_FR
NEW met1 ( 827760 1152365 ) M1M2_PR
NEW met2 ( 1209840 240870 ) via2_FR
NEW met2 ( 720720 1160690 ) via2_FR
NEW met1 ( 720720 1152365 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[0\] ( storage mgmt_wen_mask[0] ) ( soc mgmt_wen_mask[0] )
+ ROUTED met2 ( 1210320 243090 ) ( 1210320 268250 )
NEW met2 ( 1210320 268250 ) ( 1211520 268250 0 )
NEW met3 ( 704160 1168090 ) ( 704160 1169200 0 )
NEW met3 ( 704160 1168090 ) ( 720240 1168090 )
NEW met2 ( 720240 1166425 ) ( 720240 1168090 )
NEW met1 ( 720240 1166425 ) ( 856560 1166425 )
NEW met2 ( 856560 243090 ) ( 856560 1166425 )
NEW met3 ( 856560 243090 ) ( 1210320 243090 )
NEW met2 ( 856560 243090 ) via2_FR
NEW met2 ( 1210320 243090 ) via2_FR
NEW met2 ( 720240 1168090 ) via2_FR
NEW met1 ( 720240 1166425 ) M1M2_PR
NEW met1 ( 856560 1166425 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[1\] ( storage mgmt_wen_mask[1] ) ( soc mgmt_wen_mask[1] )
+ ROUTED met2 ( 1023600 505050 ) ( 1023600 517445 )
NEW met1 ( 722640 778295 ) ( 733680 778295 )
NEW met1 ( 723600 765715 ) ( 733680 765715 )
NEW met2 ( 733680 765715 ) ( 733680 778295 )
NEW met2 ( 735120 878195 ) ( 735120 880785 )
NEW met1 ( 719280 534835 ) ( 722160 534835 )
NEW met1 ( 719280 534835 ) ( 719280 535205 )
NEW met2 ( 719280 535205 ) ( 719280 566285 )
NEW met1 ( 719280 566285 ) ( 720720 566285 )
NEW met2 ( 720720 566285 ) ( 720720 589965 )
NEW met1 ( 719760 589965 ) ( 720720 589965 )
NEW met2 ( 719760 589965 ) ( 719760 592370 )
NEW met2 ( 719760 592370 ) ( 720240 592370 )
NEW met2 ( 720240 592370 ) ( 720240 604580 )
NEW met2 ( 719760 604580 ) ( 720240 604580 )
NEW met3 ( 704160 1175490 0 ) ( 732720 1175490 )
NEW met2 ( 722160 517445 ) ( 722160 534835 )
NEW met1 ( 722160 517445 ) ( 1023600 517445 )
NEW met1 ( 722640 732045 ) ( 723600 732045 )
NEW met2 ( 723600 732045 ) ( 723600 765715 )
NEW met1 ( 722640 878195 ) ( 734160 878195 )
NEW met2 ( 722640 778295 ) ( 722640 878195 )
NEW met2 ( 732720 880785 ) ( 732720 1175490 )
NEW met2 ( 734160 878195 ) ( 735120 878195 )
NEW met1 ( 732720 880785 ) ( 735120 880785 )
NEW met3 ( 1023600 505050 ) ( 1052640 505050 0 )
NEW met1 ( 718800 633255 ) ( 719760 633255 )
NEW met2 ( 718800 633255 ) ( 718800 698745 )
NEW met1 ( 718800 698745 ) ( 722640 698745 )
NEW met2 ( 719760 604580 ) ( 719760 633255 )
NEW met2 ( 722640 698745 ) ( 722640 732045 )
NEW met1 ( 1023600 517445 ) M1M2_PR
NEW met2 ( 1023600 505050 ) via2_FR
NEW met1 ( 733680 778295 ) M1M2_PR
NEW met1 ( 722640 778295 ) M1M2_PR
NEW met1 ( 733680 765715 ) M1M2_PR
NEW met1 ( 723600 765715 ) M1M2_PR
NEW met1 ( 735120 880785 ) M1M2_PR
NEW met1 ( 722160 534835 ) M1M2_PR
NEW met1 ( 719280 535205 ) M1M2_PR
NEW met1 ( 719280 566285 ) M1M2_PR
NEW met1 ( 720720 566285 ) M1M2_PR
NEW met1 ( 720720 589965 ) M1M2_PR
NEW met1 ( 719760 589965 ) M1M2_PR
NEW met2 ( 732720 1175490 ) via2_FR
NEW met1 ( 722160 517445 ) M1M2_PR
NEW met1 ( 723600 732045 ) M1M2_PR
NEW met1 ( 722640 732045 ) M1M2_PR
NEW met1 ( 732720 880785 ) M1M2_PR
NEW met1 ( 722640 878195 ) M1M2_PR
NEW met1 ( 734160 878195 ) M1M2_PR
NEW met1 ( 719760 633255 ) M1M2_PR
NEW met1 ( 718800 633255 ) M1M2_PR
NEW met1 ( 718800 698745 ) M1M2_PR
NEW met1 ( 722640 698745 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[2\] ( storage mgmt_wen_mask[2] ) ( soc mgmt_wen_mask[2] )
+ ROUTED met2 ( 1212240 243830 ) ( 1212240 268250 )
NEW met2 ( 1212240 268250 ) ( 1213440 268250 0 )
NEW met3 ( 704160 1181050 0 ) ( 704160 1181410 )
NEW met3 ( 704160 1181410 ) ( 720240 1181410 )
NEW met2 ( 720240 1181225 ) ( 720240 1181410 )
NEW met1 ( 720240 1181225 ) ( 842160 1181225 )
NEW met2 ( 842160 243830 ) ( 842160 1181225 )
NEW met3 ( 842160 243830 ) ( 1212240 243830 )
NEW met2 ( 842160 243830 ) via2_FR
NEW met2 ( 1212240 243830 ) via2_FR
NEW met2 ( 720240 1181410 ) via2_FR
NEW met1 ( 720240 1181225 ) M1M2_PR
NEW met1 ( 842160 1181225 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[3\] ( storage mgmt_wen_mask[3] ) ( soc mgmt_wen_mask[3] )
+ ROUTED met2 ( 1023120 508010 ) ( 1023120 517815 )
NEW met1 ( 720720 778665 ) ( 732240 778665 )
NEW met1 ( 731760 1080215 ) ( 731760 1080585 )
NEW met1 ( 731280 1080585 ) ( 731760 1080585 )
NEW met2 ( 734640 906870 ) ( 734640 909830 )
NEW met2 ( 734640 892070 ) ( 734640 893550 )
NEW met3 ( 721440 533170 ) ( 723120 533170 )
NEW met3 ( 721440 533170 ) ( 721440 534650 )
NEW met3 ( 719760 534650 ) ( 721440 534650 )
NEW met2 ( 719760 534650 ) ( 719760 538350 )
NEW met2 ( 719760 538350 ) ( 720240 538350 )
NEW met2 ( 720240 538350 ) ( 720240 546490 )
NEW met2 ( 719760 546490 ) ( 720240 546490 )
NEW met2 ( 719760 546490 ) ( 719760 549450 )
NEW met2 ( 719760 549450 ) ( 720240 549450 )
NEW met2 ( 720240 549450 ) ( 720240 561290 )
NEW met2 ( 719760 561290 ) ( 720240 561290 )
NEW met2 ( 719760 561290 ) ( 719760 571650 )
NEW met2 ( 719760 571650 ) ( 720240 571650 )
NEW met2 ( 720240 571650 ) ( 720240 590705 )
NEW met1 ( 720240 590705 ) ( 720240 591075 )
NEW met1 ( 720240 591075 ) ( 733680 591075 )
NEW met2 ( 733680 591075 ) ( 733680 628815 )
NEW met1 ( 732240 628815 ) ( 733680 628815 )
NEW met3 ( 704160 1184370 ) ( 731280 1184370 )
NEW met3 ( 704160 1184370 ) ( 704160 1186960 0 )
NEW met2 ( 731280 1080585 ) ( 731280 1184370 )
NEW met2 ( 723120 525030 ) ( 723600 525030 )
NEW met2 ( 723600 517815 ) ( 723600 525030 )
NEW met2 ( 723120 525030 ) ( 723120 533170 )
NEW met1 ( 723600 517815 ) ( 1023120 517815 )
NEW met2 ( 732240 628815 ) ( 732240 778665 )
NEW met1 ( 720720 863765 ) ( 733680 863765 )
NEW met2 ( 733680 863765 ) ( 733680 892070 )
NEW met1 ( 731760 922965 ) ( 734160 922965 )
NEW met2 ( 734160 909830 ) ( 734160 922965 )
NEW met2 ( 734160 893550 ) ( 734160 906870 )
NEW met2 ( 720720 778665 ) ( 720720 863765 )
NEW met2 ( 731760 922965 ) ( 731760 1080215 )
NEW met2 ( 733680 892070 ) ( 734640 892070 )
NEW met2 ( 734160 893550 ) ( 734640 893550 )
NEW met2 ( 734160 906870 ) ( 734640 906870 )
NEW met2 ( 734160 909830 ) ( 734640 909830 )
NEW met3 ( 1023120 508010 ) ( 1052640 508010 0 )
NEW met1 ( 1023120 517815 ) M1M2_PR
NEW met2 ( 1023120 508010 ) via2_FR
NEW met1 ( 732240 778665 ) M1M2_PR
NEW met1 ( 720720 778665 ) M1M2_PR
NEW met1 ( 731760 1080215 ) M1M2_PR
NEW met1 ( 731280 1080585 ) M1M2_PR
NEW met2 ( 723120 533170 ) via2_FR
NEW met2 ( 719760 534650 ) via2_FR
NEW met1 ( 720240 590705 ) M1M2_PR
NEW met1 ( 733680 591075 ) M1M2_PR
NEW met1 ( 733680 628815 ) M1M2_PR
NEW met1 ( 732240 628815 ) M1M2_PR
NEW met2 ( 731280 1184370 ) via2_FR
NEW met1 ( 723600 517815 ) M1M2_PR
NEW met1 ( 720720 863765 ) M1M2_PR
NEW met1 ( 733680 863765 ) M1M2_PR
NEW met1 ( 731760 922965 ) M1M2_PR
NEW met1 ( 734160 922965 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[4\] ( storage mgmt_wen_mask[4] ) ( soc mgmt_wen_mask[4] )
+ ROUTED met2 ( 1215120 242350 ) ( 1215120 268250 0 )
NEW met2 ( 720720 1180855 ) ( 720720 1193250 )
NEW met3 ( 704160 1193250 0 ) ( 720720 1193250 )
NEW met1 ( 720720 1180855 ) ( 870960 1180855 )
NEW met2 ( 870960 242350 ) ( 870960 1180855 )
NEW met3 ( 870960 242350 ) ( 1215120 242350 )
NEW met2 ( 870960 242350 ) via2_FR
NEW met2 ( 1215120 242350 ) via2_FR
NEW met1 ( 720720 1180855 ) M1M2_PR
NEW met2 ( 720720 1193250 ) via2_FR
NEW met1 ( 870960 1180855 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[5\] ( storage mgmt_wen_mask[5] ) ( soc mgmt_wen_mask[5] )
+ ROUTED met2 ( 1217040 257890 ) ( 1217040 268250 0 )
NEW met3 ( 704160 1199170 0 ) ( 727200 1199170 )
NEW met4 ( 727200 257890 ) ( 727200 1199170 )
NEW met3 ( 727200 257890 ) ( 1217040 257890 )
NEW met2 ( 1217040 257890 ) via2_FR
NEW met3 ( 727200 1199170 ) M3M4_PR_M
NEW met3 ( 727200 257890 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_wen_mask\[6\] ( storage mgmt_wen_mask[6] ) ( soc mgmt_wen_mask[6] )
+ ROUTED met2 ( 1022640 510230 ) ( 1022640 518185 )
NEW met1 ( 730800 779035 ) ( 733680 779035 )
NEW met2 ( 730320 1080030 ) ( 731280 1080030 )
NEW met1 ( 735120 676175 ) ( 735120 676545 )
NEW met1 ( 725520 986235 ) ( 731280 986235 )
NEW met2 ( 731280 986235 ) ( 731280 1080030 )
NEW met3 ( 704160 1202870 ) ( 730320 1202870 )
NEW met3 ( 704160 1202870 ) ( 704160 1205460 0 )
NEW met2 ( 730320 1080030 ) ( 730320 1202870 )
NEW met2 ( 730800 676545 ) ( 730800 779035 )
NEW met1 ( 730800 676545 ) ( 735120 676545 )
NEW met1 ( 725520 863025 ) ( 733680 863025 )
NEW met2 ( 725520 863025 ) ( 725520 986235 )
NEW met2 ( 733680 779035 ) ( 733680 863025 )
NEW met3 ( 1022640 510230 ) ( 1052640 510230 0 )
NEW met2 ( 735120 518185 ) ( 735120 676175 )
NEW met1 ( 735120 518185 ) ( 1022640 518185 )
NEW met1 ( 1022640 518185 ) M1M2_PR
NEW met2 ( 1022640 510230 ) via2_FR
NEW met1 ( 730800 779035 ) M1M2_PR
NEW met1 ( 733680 779035 ) M1M2_PR
NEW met1 ( 735120 676175 ) M1M2_PR
NEW met1 ( 725520 986235 ) M1M2_PR
NEW met1 ( 731280 986235 ) M1M2_PR
NEW met2 ( 730320 1202870 ) via2_FR
NEW met1 ( 730800 676545 ) M1M2_PR
NEW met1 ( 725520 863025 ) M1M2_PR
NEW met1 ( 733680 863025 ) M1M2_PR
NEW met1 ( 735120 518185 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[7\] ( storage mgmt_wen_mask[7] ) ( soc mgmt_wen_mask[7] )
+ ROUTED met2 ( 1218960 241610 ) ( 1218960 268250 0 )
NEW met3 ( 704160 1211750 0 ) ( 720240 1211750 )
NEW met2 ( 720240 1210085 ) ( 720240 1211750 )
NEW met1 ( 720240 1210085 ) ( 914160 1210085 )
NEW met2 ( 914160 241610 ) ( 914160 1210085 )
NEW met3 ( 914160 241610 ) ( 1218960 241610 )
NEW met2 ( 914160 241610 ) via2_FR
NEW met2 ( 1218960 241610 ) via2_FR
NEW met2 ( 720240 1211750 ) via2_FR
NEW met1 ( 720240 1210085 ) M1M2_PR
NEW met1 ( 914160 1210085 ) M1M2_PR
+ USE SIGNAL ;
- mprj2_vcc_pwrgood ( soc mprj2_vcc_pwrgood ) ( mgmt_buffers user2_vcc_powergood )
+ ROUTED met2 ( 1081920 1167350 0 ) ( 1083120 1167350 )
NEW met2 ( 1083120 1167350 ) ( 1083120 1225995 )
NEW met2 ( 1655280 1225995 ) ( 1655280 1226735 )
NEW met1 ( 1083120 1225995 ) ( 1655280 1225995 )
NEW met2 ( 1850640 1226365 ) ( 1850640 1226735 )
NEW met2 ( 1850640 1226365 ) ( 1851120 1226365 )
NEW met1 ( 1655280 1226735 ) ( 1850640 1226735 )
NEW met2 ( 2057520 1225995 ) ( 2057520 1232470 0 )
NEW met2 ( 1901040 1225255 ) ( 1901040 1226365 )
NEW met1 ( 1901040 1225255 ) ( 1994160 1225255 )
NEW met1 ( 1994160 1225255 ) ( 1994160 1225995 )
NEW met1 ( 1851120 1226365 ) ( 1901040 1226365 )
NEW met1 ( 1994160 1225995 ) ( 2057520 1225995 )
NEW met1 ( 1083120 1225995 ) M1M2_PR
NEW met1 ( 1655280 1225995 ) M1M2_PR
NEW met1 ( 1655280 1226735 ) M1M2_PR
NEW met1 ( 1850640 1226735 ) M1M2_PR
NEW met1 ( 1851120 1226365 ) M1M2_PR
NEW met1 ( 2057520 1225995 ) M1M2_PR
NEW met1 ( 1901040 1226365 ) M1M2_PR
NEW met1 ( 1901040 1225255 ) M1M2_PR
+ USE SIGNAL ;
- mprj2_vdd_pwrgood ( soc mprj2_vdd_pwrgood ) ( mgmt_buffers user2_vdd_powergood )
+ ROUTED met2 ( 2059920 1188070 ) ( 2059920 1232470 0 )
NEW met2 ( 1080000 1167350 0 ) ( 1081200 1167350 )
NEW met2 ( 1081200 1167350 ) ( 1081200 1188070 )
NEW met3 ( 1081200 1188070 ) ( 2059920 1188070 )
NEW met2 ( 2059920 1188070 ) via2_FR
NEW met2 ( 1081200 1188070 ) via2_FR
+ USE SIGNAL ;
- mprj_ack_i_core ( soc mprj_ack_i ) ( mprj wbs_ack_o )
+ ROUTED met1 ( 341040 1353645 ) ( 345360 1353645 )
NEW met2 ( 341040 1353645 ) ( 341040 1389350 0 )
NEW met2 ( 345360 1216745 ) ( 345360 1353645 )
NEW met2 ( 1030800 1146630 ) ( 1030800 1216745 )
NEW met1 ( 345360 1216745 ) ( 1030800 1216745 )
NEW met3 ( 1030800 1146630 ) ( 1052640 1146630 0 )
NEW met1 ( 341040 1353645 ) M1M2_PR
NEW met1 ( 345360 1353645 ) M1M2_PR
NEW met1 ( 345360 1216745 ) M1M2_PR
NEW met2 ( 1030800 1146630 ) via2_FR
NEW met1 ( 1030800 1216745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[0\] ( soc mprj_adr_o[0] ) ( mgmt_buffers mprj_adr_o_core[0] )
+ ROUTED met2 ( 1904880 1189365 ) ( 1904880 1232470 0 )
NEW met2 ( 1799280 1166610 ) ( 1799520 1166610 0 )
NEW met2 ( 1799280 1166610 ) ( 1799280 1189365 )
NEW met1 ( 1799280 1189365 ) ( 1904880 1189365 )
NEW met1 ( 1904880 1189365 ) M1M2_PR
NEW met1 ( 1799280 1189365 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[10\] ( soc mprj_adr_o[10] ) ( mgmt_buffers mprj_adr_o_core[10] )
+ ROUTED met2 ( 1078080 1167350 0 ) ( 1079280 1167350 )
NEW met2 ( 1079280 1167350 ) ( 1079280 1191030 )
NEW met2 ( 1137360 1191030 ) ( 1138320 1191030 )
NEW met2 ( 1138320 1190290 ) ( 1138320 1191030 )
NEW met3 ( 1079280 1191030 ) ( 1137360 1191030 )
NEW met2 ( 1713840 1190290 ) ( 1713840 1190475 )
NEW met2 ( 1915440 1190290 ) ( 1915440 1192695 )
NEW met1 ( 1915440 1192695 ) ( 1957200 1192695 )
NEW met2 ( 1957200 1192695 ) ( 1957200 1232470 0 )
NEW met2 ( 1195440 1187515 ) ( 1195440 1190290 )
NEW met1 ( 1195440 1187515 ) ( 1295760 1187515 )
NEW met2 ( 1295760 1187515 ) ( 1295760 1190290 )
NEW met3 ( 1138320 1190290 ) ( 1195440 1190290 )
NEW met2 ( 1598640 1185110 ) ( 1598640 1190290 )
NEW met3 ( 1598640 1185110 ) ( 1698960 1185110 )
NEW met2 ( 1698960 1185110 ) ( 1698960 1190290 )
NEW met3 ( 1295760 1190290 ) ( 1598640 1190290 )
NEW met3 ( 1698960 1190290 ) ( 1713840 1190290 )
NEW met2 ( 1850160 1190290 ) ( 1850160 1190475 )
NEW met1 ( 1713840 1190475 ) ( 1850160 1190475 )
NEW met3 ( 1850160 1190290 ) ( 1915440 1190290 )
NEW met2 ( 1079280 1191030 ) via2_FR
NEW met2 ( 1137360 1191030 ) via2_FR
NEW met2 ( 1138320 1190290 ) via2_FR
NEW met2 ( 1713840 1190290 ) via2_FR
NEW met1 ( 1713840 1190475 ) M1M2_PR
NEW met2 ( 1915440 1190290 ) via2_FR
NEW met1 ( 1915440 1192695 ) M1M2_PR
NEW met1 ( 1957200 1192695 ) M1M2_PR
NEW met2 ( 1195440 1190290 ) via2_FR
NEW met1 ( 1195440 1187515 ) M1M2_PR
NEW met1 ( 1295760 1187515 ) M1M2_PR
NEW met2 ( 1295760 1190290 ) via2_FR
NEW met2 ( 1598640 1190290 ) via2_FR
NEW met2 ( 1598640 1185110 ) via2_FR
NEW met2 ( 1698960 1185110 ) via2_FR
NEW met2 ( 1698960 1190290 ) via2_FR
NEW met2 ( 1850160 1190290 ) via2_FR
NEW met1 ( 1850160 1190475 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[11\] ( soc mprj_adr_o[11] ) ( mgmt_buffers mprj_adr_o_core[11] )
+ ROUTED met2 ( 1960560 1232470 ) ( 1961760 1232470 0 )
NEW met2 ( 1960560 1196395 ) ( 1960560 1232470 )
NEW met1 ( 1801200 1195655 ) ( 1853520 1195655 )
NEW met1 ( 1853520 1195655 ) ( 1853520 1196395 )
NEW met2 ( 1801200 1167350 0 ) ( 1801200 1195655 )
NEW met1 ( 1853520 1196395 ) ( 1960560 1196395 )
NEW met1 ( 1960560 1196395 ) M1M2_PR
NEW met1 ( 1801200 1195655 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[12\] ( soc mprj_adr_o[12] ) ( mgmt_buffers mprj_adr_o_core[12] )
+ ROUTED met2 ( 1965840 1189550 ) ( 1965840 1232470 0 )
NEW met2 ( 1076400 1167350 0 ) ( 1076400 1190290 )
NEW met3 ( 1076400 1190290 ) ( 1085280 1190290 )
NEW met3 ( 1085280 1189550 ) ( 1085280 1190290 )
NEW met3 ( 1085280 1189550 ) ( 1965840 1189550 )
NEW met2 ( 1965840 1189550 ) via2_FR
NEW met2 ( 1076400 1190290 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[13\] ( soc mprj_adr_o[13] ) ( mgmt_buffers mprj_adr_o_core[13] )
+ ROUTED met2 ( 1803120 1167350 0 ) ( 1803120 1208975 )
NEW met2 ( 1970640 1208235 ) ( 1970640 1232470 0 )
NEW met2 ( 1893360 1208235 ) ( 1893360 1208975 )
NEW met1 ( 1803120 1208975 ) ( 1893360 1208975 )
NEW met1 ( 1893360 1208235 ) ( 1970640 1208235 )
NEW met1 ( 1803120 1208975 ) M1M2_PR
NEW met1 ( 1970640 1208235 ) M1M2_PR
NEW met1 ( 1893360 1208975 ) M1M2_PR
NEW met1 ( 1893360 1208235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[14\] ( soc mprj_adr_o[14] ) ( mgmt_buffers mprj_adr_o_core[14] )
+ ROUTED met2 ( 1973520 1188810 ) ( 1973520 1232470 )
NEW met2 ( 1973520 1232470 ) ( 1974720 1232470 0 )
NEW met2 ( 1074480 1167350 0 ) ( 1074480 1189550 )
NEW met3 ( 1074480 1189550 ) ( 1084320 1189550 )
NEW met3 ( 1084320 1188810 ) ( 1084320 1189550 )
NEW met3 ( 1084320 1188810 ) ( 1973520 1188810 )
NEW met2 ( 1973520 1188810 ) via2_FR
NEW met2 ( 1074480 1189550 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[15\] ( soc mprj_adr_o[15] ) ( mgmt_buffers mprj_adr_o_core[15] )
+ ROUTED met2 ( 1979280 1196765 ) ( 1979280 1232470 0 )
NEW met1 ( 1805040 1196025 ) ( 1852800 1196025 )
NEW met1 ( 1852800 1196025 ) ( 1852800 1196765 )
NEW met2 ( 1805040 1167350 0 ) ( 1805040 1196025 )
NEW met1 ( 1852800 1196765 ) ( 1979280 1196765 )
NEW met1 ( 1979280 1196765 ) M1M2_PR
NEW met1 ( 1805040 1196025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[16\] ( soc mprj_adr_o[16] ) ( mgmt_buffers mprj_adr_o_core[16] )
+ ROUTED met2 ( 1806720 1167350 0 ) ( 1807920 1167350 )
NEW met2 ( 1807920 1167350 ) ( 1807920 1208235 )
NEW met2 ( 1982160 1208975 ) ( 1982160 1232470 )
NEW met2 ( 1982160 1232470 ) ( 1983360 1232470 0 )
NEW met1 ( 1892880 1207865 ) ( 1892880 1208235 )
NEW met1 ( 1892880 1207865 ) ( 1893840 1207865 )
NEW met2 ( 1893840 1207865 ) ( 1893840 1208975 )
NEW met1 ( 1807920 1208235 ) ( 1892880 1208235 )
NEW met1 ( 1893840 1208975 ) ( 1982160 1208975 )
NEW met1 ( 1807920 1208235 ) M1M2_PR
NEW met1 ( 1982160 1208975 ) M1M2_PR
NEW met1 ( 1893840 1207865 ) M1M2_PR
NEW met1 ( 1893840 1208975 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[17\] ( soc mprj_adr_o[17] ) ( mgmt_buffers mprj_adr_o_core[17] )
+ ROUTED met2 ( 1808640 1167350 0 ) ( 1809840 1167350 )
NEW met2 ( 1809840 1167350 ) ( 1809840 1206385 )
NEW met2 ( 1987440 1206385 ) ( 1987440 1232470 0 )
NEW met1 ( 1809840 1206385 ) ( 1987440 1206385 )
NEW met1 ( 1809840 1206385 ) M1M2_PR
NEW met1 ( 1987440 1206385 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[18\] ( soc mprj_adr_o[18] ) ( mgmt_buffers mprj_adr_o_core[18] )
+ ROUTED met2 ( 1810560 1167350 0 ) ( 1811760 1167350 )
NEW met2 ( 1811760 1167350 ) ( 1811760 1207125 )
NEW met2 ( 1992240 1207125 ) ( 1992240 1232470 0 )
NEW met1 ( 1811760 1207125 ) ( 1992240 1207125 )
NEW met1 ( 1811760 1207125 ) M1M2_PR
NEW met1 ( 1992240 1207125 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[19\] ( soc mprj_adr_o[19] ) ( mgmt_buffers mprj_adr_o_core[19] )
+ ROUTED met2 ( 1812240 1167350 0 ) ( 1812240 1206015 )
NEW met2 ( 1995120 1206015 ) ( 1995120 1232470 )
NEW met2 ( 1995120 1232470 ) ( 1996320 1232470 0 )
NEW met1 ( 1812240 1206015 ) ( 1995120 1206015 )
NEW met1 ( 1812240 1206015 ) M1M2_PR
NEW met1 ( 1995120 1206015 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[1\] ( soc mprj_adr_o[1] ) ( mgmt_buffers mprj_adr_o_core[1] )
+ ROUTED met2 ( 1814160 1167350 0 ) ( 1814160 1186035 )
NEW met2 ( 1911600 1186035 ) ( 1911600 1232470 0 )
NEW met1 ( 1814160 1186035 ) ( 1911600 1186035 )
NEW met1 ( 1814160 1186035 ) M1M2_PR
NEW met1 ( 1911600 1186035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[20\] ( soc mprj_adr_o[20] ) ( mgmt_buffers mprj_adr_o_core[20] )
+ ROUTED met2 ( 1816080 1167350 0 ) ( 1816080 1208605 )
NEW met2 ( 2000880 1208605 ) ( 2000880 1232470 0 )
NEW met1 ( 1816080 1208605 ) ( 2000880 1208605 )
NEW met1 ( 1816080 1208605 ) M1M2_PR
NEW met1 ( 2000880 1208605 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[21\] ( soc mprj_adr_o[21] ) ( mgmt_buffers mprj_adr_o_core[21] )
+ ROUTED met2 ( 1817760 1167350 0 ) ( 1818960 1167350 )
NEW met2 ( 1818960 1167350 ) ( 1818960 1207495 )
NEW met2 ( 2003760 1207495 ) ( 2003760 1232470 )
NEW met2 ( 2003760 1232470 ) ( 2004960 1232470 0 )
NEW met1 ( 1818960 1207495 ) ( 2003760 1207495 )
NEW met1 ( 1818960 1207495 ) M1M2_PR
NEW met1 ( 2003760 1207495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[22\] ( soc mprj_adr_o[22] ) ( mgmt_buffers mprj_adr_o_core[22] )
+ ROUTED met2 ( 1819680 1167350 0 ) ( 1820880 1167350 )
NEW met2 ( 1820880 1167350 ) ( 1820880 1206755 )
NEW met2 ( 2009520 1206755 ) ( 2009520 1232470 0 )
NEW met1 ( 1820880 1206755 ) ( 2009520 1206755 )
NEW met1 ( 1820880 1206755 ) M1M2_PR
NEW met1 ( 2009520 1206755 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[23\] ( soc mprj_adr_o[23] ) ( mgmt_buffers mprj_adr_o_core[23] )
+ ROUTED met2 ( 1821600 1167350 0 ) ( 1822800 1167350 )
NEW met2 ( 1822800 1167350 ) ( 1822800 1205645 )
NEW met2 ( 2013840 1205645 ) ( 2013840 1232470 0 )
NEW met1 ( 1822800 1205645 ) ( 2013840 1205645 )
NEW met1 ( 1822800 1205645 ) M1M2_PR
NEW met1 ( 2013840 1205645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[24\] ( soc mprj_adr_o[24] ) ( mgmt_buffers mprj_adr_o_core[24] )
+ ROUTED met2 ( 1823280 1167350 0 ) ( 1823280 1203425 )
NEW met2 ( 2017200 1203425 ) ( 2017200 1232470 )
NEW met2 ( 2017200 1232470 ) ( 2018400 1232470 0 )
NEW met1 ( 1823280 1203425 ) ( 2017200 1203425 )
NEW met1 ( 1823280 1203425 ) M1M2_PR
NEW met1 ( 2017200 1203425 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[25\] ( soc mprj_adr_o[25] ) ( mgmt_buffers mprj_adr_o_core[25] )
+ ROUTED met2 ( 1825200 1167350 0 ) ( 1825200 1204535 )
NEW met2 ( 2022480 1204535 ) ( 2022480 1232470 0 )
NEW met1 ( 1825200 1204535 ) ( 2022480 1204535 )
NEW met1 ( 1825200 1204535 ) M1M2_PR
NEW met1 ( 2022480 1204535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[26\] ( soc mprj_adr_o[26] ) ( mgmt_buffers mprj_adr_o_core[26] )
+ ROUTED met2 ( 1827120 1167350 0 ) ( 1827120 1203055 )
NEW met2 ( 2025840 1203055 ) ( 2025840 1232470 )
NEW met2 ( 2025840 1232470 ) ( 2027040 1232470 0 )
NEW met1 ( 1827120 1203055 ) ( 2025840 1203055 )
NEW met1 ( 1827120 1203055 ) M1M2_PR
NEW met1 ( 2025840 1203055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[27\] ( soc mprj_adr_o[27] ) ( mgmt_buffers mprj_adr_o_core[27] )
+ ROUTED met2 ( 1828800 1167350 0 ) ( 1830000 1167350 )
NEW met2 ( 1830000 1167350 ) ( 1830000 1202685 )
NEW met2 ( 2030640 1202685 ) ( 2030640 1232470 )
NEW met2 ( 2030640 1232470 ) ( 2031360 1232470 0 )
NEW met1 ( 1830000 1202685 ) ( 2030640 1202685 )
NEW met1 ( 1830000 1202685 ) M1M2_PR
NEW met1 ( 2030640 1202685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[28\] ( soc mprj_adr_o[28] ) ( mgmt_buffers mprj_adr_o_core[28] )
+ ROUTED met2 ( 1830720 1167350 0 ) ( 1831920 1167350 )
NEW met2 ( 1831920 1167350 ) ( 1831920 1204905 )
NEW met2 ( 2035920 1204905 ) ( 2035920 1232470 0 )
NEW met1 ( 1831920 1204905 ) ( 2035920 1204905 )
NEW met1 ( 1831920 1204905 ) M1M2_PR
NEW met1 ( 2035920 1204905 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[29\] ( soc mprj_adr_o[29] ) ( mgmt_buffers mprj_adr_o_core[29] )
+ ROUTED met2 ( 1832640 1167350 0 ) ( 1833840 1167350 )
NEW met2 ( 1833840 1167350 ) ( 1833840 1202315 )
NEW met2 ( 2039280 1202315 ) ( 2039280 1232470 )
NEW met2 ( 2039280 1232470 ) ( 2040000 1232470 0 )
NEW met1 ( 1833840 1202315 ) ( 2039280 1202315 )
NEW met1 ( 1833840 1202315 ) M1M2_PR
NEW met1 ( 2039280 1202315 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[2\] ( soc mprj_adr_o[2] ) ( mgmt_buffers mprj_adr_o_core[2] )
+ ROUTED met2 ( 1834320 1167350 0 ) ( 1834320 1194175 )
NEW met2 ( 1916880 1232470 ) ( 1918080 1232470 0 )
NEW met2 ( 1916880 1194175 ) ( 1917840 1194175 )
NEW met2 ( 1917840 1194175 ) ( 1917840 1197505 )
NEW met2 ( 1916880 1197505 ) ( 1917840 1197505 )
NEW met1 ( 1834320 1194175 ) ( 1916880 1194175 )
NEW met2 ( 1916880 1197505 ) ( 1916880 1232470 )
NEW met1 ( 1834320 1194175 ) M1M2_PR
NEW met1 ( 1916880 1194175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[30\] ( soc mprj_adr_o[30] ) ( mgmt_buffers mprj_adr_o_core[30] )
+ ROUTED met2 ( 1836240 1167350 0 ) ( 1836240 1204165 )
NEW met2 ( 2044560 1204165 ) ( 2044560 1232470 0 )
NEW met1 ( 1836240 1204165 ) ( 2044560 1204165 )
NEW met1 ( 1836240 1204165 ) M1M2_PR
NEW met1 ( 2044560 1204165 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[31\] ( soc mprj_adr_o[31] ) ( mgmt_buffers mprj_adr_o_core[31] )
+ ROUTED met2 ( 2048880 1202130 ) ( 2048880 1232470 0 )
NEW met2 ( 1838160 1167350 0 ) ( 1838160 1202130 )
NEW met3 ( 1838160 1202130 ) ( 2048880 1202130 )
NEW met2 ( 2048880 1202130 ) via2_FR
NEW met2 ( 1838160 1202130 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[3\] ( soc mprj_adr_o[3] ) ( mgmt_buffers mprj_adr_o_core[3] )
+ ROUTED met2 ( 1923120 1187515 ) ( 1923120 1232470 )
NEW met2 ( 1923120 1232470 ) ( 1924560 1232470 0 )
NEW met2 ( 1839840 1167350 0 ) ( 1839840 1168645 )
NEW met1 ( 1839840 1168645 ) ( 1883760 1168645 )
NEW met2 ( 1883760 1168645 ) ( 1883760 1187515 )
NEW met1 ( 1883760 1187515 ) ( 1923120 1187515 )
NEW met1 ( 1923120 1187515 ) M1M2_PR
NEW met1 ( 1839840 1168645 ) M1M2_PR
NEW met1 ( 1883760 1168645 ) M1M2_PR
NEW met1 ( 1883760 1187515 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[4\] ( soc mprj_adr_o[4] ) ( mgmt_buffers mprj_adr_o_core[4] )
+ ROUTED met2 ( 1841760 1167350 0 ) ( 1842480 1167350 )
NEW met2 ( 1842480 1167350 ) ( 1842480 1192325 )
NEW met2 ( 1929840 1192325 ) ( 1929840 1232470 )
NEW met2 ( 1929840 1232470 ) ( 1931040 1232470 0 )
NEW met1 ( 1842480 1192325 ) ( 1929840 1192325 )
NEW met1 ( 1842480 1192325 ) M1M2_PR
NEW met1 ( 1929840 1192325 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[5\] ( soc mprj_adr_o[5] ) ( mgmt_buffers mprj_adr_o_core[5] )
+ ROUTED met2 ( 1843680 1167350 0 ) ( 1844880 1167350 )
NEW met2 ( 1844880 1167350 ) ( 1844880 1181225 )
NEW met1 ( 1844880 1181225 ) ( 1935600 1181225 )
NEW met2 ( 1935600 1181225 ) ( 1935600 1232470 0 )
NEW met1 ( 1844880 1181225 ) M1M2_PR
NEW met1 ( 1935600 1181225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[6\] ( soc mprj_adr_o[6] ) ( mgmt_buffers mprj_adr_o_core[6] )
+ ROUTED met2 ( 1845360 1167350 0 ) ( 1845360 1186775 )
NEW met1 ( 1845360 1186775 ) ( 1938480 1186775 )
NEW met2 ( 1938480 1186775 ) ( 1938480 1232470 )
NEW met2 ( 1938480 1232470 ) ( 1939680 1232470 0 )
NEW met1 ( 1845360 1186775 ) M1M2_PR
NEW met1 ( 1938480 1186775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[7\] ( soc mprj_adr_o[7] ) ( mgmt_buffers mprj_adr_o_core[7] )
+ ROUTED met2 ( 1944240 1191955 ) ( 1944240 1232470 0 )
NEW met2 ( 1847280 1167350 0 ) ( 1847280 1191955 )
NEW met1 ( 1847280 1191955 ) ( 1944240 1191955 )
NEW met1 ( 1944240 1191955 ) M1M2_PR
NEW met1 ( 1847280 1191955 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[8\] ( soc mprj_adr_o[8] ) ( mgmt_buffers mprj_adr_o_core[8] )
+ ROUTED met2 ( 1948560 1190845 ) ( 1948560 1232470 0 )
NEW met2 ( 1849200 1167350 0 ) ( 1849200 1190845 )
NEW met1 ( 1849200 1190845 ) ( 1948560 1190845 )
NEW met1 ( 1948560 1190845 ) M1M2_PR
NEW met1 ( 1849200 1190845 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[9\] ( soc mprj_adr_o[9] ) ( mgmt_buffers mprj_adr_o_core[9] )
+ ROUTED met2 ( 1951920 1193065 ) ( 1951920 1232470 )
NEW met2 ( 1951920 1232470 ) ( 1953120 1232470 0 )
NEW met2 ( 1850880 1167350 0 ) ( 1852080 1167350 )
NEW met2 ( 1852080 1167350 ) ( 1852080 1193065 )
NEW met1 ( 1852080 1193065 ) ( 1951920 1193065 )
NEW met1 ( 1951920 1193065 ) M1M2_PR
NEW met1 ( 1852080 1193065 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[0\] ( mprj wbs_adr_i[0] ) ( mgmt_buffers mprj_adr_o_user[0] )
+ ROUTED met2 ( 365040 1356790 ) ( 365040 1389350 0 )
NEW met4 ( 1641120 1340325 ) ( 1641120 1356790 )
NEW met2 ( 1743120 1340325 ) ( 1743120 1343285 )
NEW met3 ( 365040 1356790 ) ( 1641120 1356790 )
NEW met4 ( 1643040 1340325 ) ( 1643040 1354570 )
NEW met3 ( 1643040 1354570 ) ( 1645200 1354570 )
NEW met2 ( 1645200 1340325 ) ( 1645200 1354570 )
NEW met5 ( 1641120 1340325 ) ( 1643040 1340325 )
NEW met1 ( 1645200 1340325 ) ( 1743120 1340325 )
NEW met2 ( 1865520 1342730 ) ( 1865520 1343285 )
NEW met2 ( 1865520 1342730 ) ( 1866000 1342730 )
NEW met2 ( 1866000 1342545 ) ( 1866000 1342730 )
NEW met1 ( 1866000 1342545 ) ( 1912080 1342545 )
NEW met1 ( 1743120 1343285 ) ( 1865520 1343285 )
NEW met2 ( 1912080 1325710 ) ( 1913520 1325710 0 )
NEW met2 ( 1912080 1325710 ) ( 1912080 1342545 )
NEW met2 ( 365040 1356790 ) via2_FR
NEW met4 ( 1641120 1340325 ) via4_FR
NEW met3 ( 1641120 1356790 ) M3M4_PR_M
NEW met1 ( 1743120 1340325 ) M1M2_PR
NEW met1 ( 1743120 1343285 ) M1M2_PR
NEW met4 ( 1643040 1340325 ) via4_FR
NEW met3 ( 1643040 1354570 ) M3M4_PR_M
NEW met2 ( 1645200 1354570 ) via2_FR
NEW met1 ( 1645200 1340325 ) M1M2_PR
NEW met1 ( 1865520 1343285 ) M1M2_PR
NEW met1 ( 1866000 1342545 ) M1M2_PR
NEW met1 ( 1912080 1342545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[10\] ( mprj wbs_adr_i[10] ) ( mgmt_buffers mprj_adr_o_user[10] )
+ ROUTED met2 ( 2058960 1230805 ) ( 2058960 1233950 )
NEW met3 ( 2058720 1233950 ) ( 2058960 1233950 )
NEW met3 ( 2058720 1233950 ) ( 2058720 1234690 )
NEW met3 ( 2055840 1234690 ) ( 2058720 1234690 )
NEW met1 ( 994800 1356605 ) ( 994800 1356975 )
NEW met1 ( 994800 1356975 ) ( 1060560 1356975 )
NEW met2 ( 1986960 1230805 ) ( 1986960 1233395 )
NEW met1 ( 1986960 1230805 ) ( 2058960 1230805 )
NEW met3 ( 1965840 1364190 ) ( 2044320 1364190 )
NEW met3 ( 2044320 1363450 ) ( 2044320 1364190 )
NEW met2 ( 1965840 1325710 0 ) ( 1965840 1364190 )
NEW met2 ( 1060560 1233395 ) ( 1060560 1356975 )
NEW met3 ( 2044320 1363450 ) ( 2055840 1363450 )
NEW met4 ( 2055840 1234690 ) ( 2055840 1363450 )
NEW met1 ( 1242000 1232655 ) ( 1242000 1233395 )
NEW met1 ( 1242000 1232655 ) ( 1242960 1232655 )
NEW met1 ( 1242960 1232655 ) ( 1242960 1233395 )
NEW met2 ( 1135440 1228215 ) ( 1135440 1233395 )
NEW met1 ( 1135440 1228215 ) ( 1160880 1228215 )
NEW met2 ( 1160880 1228215 ) ( 1160880 1233395 )
NEW met1 ( 1060560 1233395 ) ( 1135440 1233395 )
NEW met1 ( 1160880 1233395 ) ( 1242000 1233395 )
NEW met2 ( 567600 1356605 ) ( 567600 1389350 0 )
NEW met1 ( 567600 1356605 ) ( 994800 1356605 )
NEW met2 ( 1558800 1232655 ) ( 1558800 1233395 )
NEW met1 ( 1558800 1232655 ) ( 1566960 1232655 )
NEW met1 ( 1566960 1231915 ) ( 1566960 1232655 )
NEW met1 ( 1566960 1231915 ) ( 1569840 1231915 )
NEW met2 ( 1569840 1231915 ) ( 1569840 1233395 )
NEW met1 ( 1569840 1233395 ) ( 1986960 1233395 )
NEW met1 ( 1405680 1233025 ) ( 1405680 1233395 )
NEW met1 ( 1242960 1233395 ) ( 1405680 1233395 )
NEW met1 ( 1441680 1233025 ) ( 1441680 1233395 )
NEW met1 ( 1441680 1233395 ) ( 1461840 1233395 )
NEW met1 ( 1461840 1233395 ) ( 1461840 1233765 )
NEW met1 ( 1461840 1233765 ) ( 1473360 1233765 )
NEW met1 ( 1473360 1233395 ) ( 1473360 1233765 )
NEW met1 ( 1405680 1233025 ) ( 1441680 1233025 )
NEW met1 ( 1473360 1233395 ) ( 1558800 1233395 )
NEW met1 ( 2058960 1230805 ) M1M2_PR
NEW met2 ( 2058960 1233950 ) via2_FR
NEW met3 ( 2055840 1234690 ) M3M4_PR_M
NEW met1 ( 1060560 1356975 ) M1M2_PR
NEW met1 ( 1986960 1233395 ) M1M2_PR
NEW met1 ( 1986960 1230805 ) M1M2_PR
NEW met2 ( 1965840 1364190 ) via2_FR
NEW met1 ( 1060560 1233395 ) M1M2_PR
NEW met3 ( 2055840 1363450 ) M3M4_PR_M
NEW met1 ( 1135440 1233395 ) M1M2_PR
NEW met1 ( 1135440 1228215 ) M1M2_PR
NEW met1 ( 1160880 1228215 ) M1M2_PR
NEW met1 ( 1160880 1233395 ) M1M2_PR
NEW met1 ( 567600 1356605 ) M1M2_PR
NEW met1 ( 1558800 1233395 ) M1M2_PR
NEW met1 ( 1558800 1232655 ) M1M2_PR
NEW met1 ( 1569840 1231915 ) M1M2_PR
NEW met1 ( 1569840 1233395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[11\] ( mprj wbs_adr_i[11] ) ( mgmt_buffers mprj_adr_o_user[11] )
+ ROUTED met2 ( 2056080 1231175 ) ( 2056080 1233950 )
NEW met3 ( 2054880 1233950 ) ( 2056080 1233950 )
NEW met4 ( 2054880 1233765 ) ( 2054880 1233950 )
NEW met4 ( 2052960 1233765 ) ( 2054880 1233765 )
NEW met1 ( 995280 1356235 ) ( 995280 1356605 )
NEW met1 ( 995280 1356605 ) ( 1059600 1356605 )
NEW met2 ( 1986480 1231175 ) ( 1986480 1233765 )
NEW met1 ( 1986480 1231175 ) ( 2056080 1231175 )
NEW met2 ( 1970640 1325710 0 ) ( 1970640 1364930 )
NEW met2 ( 1059600 1233765 ) ( 1059600 1356605 )
NEW met3 ( 1970640 1364930 ) ( 2052960 1364930 )
NEW met4 ( 2052960 1233765 ) ( 2052960 1364930 )
NEW met2 ( 1239600 1229325 ) ( 1239600 1233765 )
NEW met1 ( 1239600 1229325 ) ( 1259760 1229325 )
NEW met2 ( 1259760 1229325 ) ( 1259760 1233765 )
NEW met2 ( 1137360 1228955 ) ( 1137360 1233765 )
NEW met1 ( 1137360 1228955 ) ( 1159440 1228955 )
NEW met2 ( 1159440 1228955 ) ( 1159440 1233765 )
NEW met1 ( 1059600 1233765 ) ( 1137360 1233765 )
NEW met1 ( 1159440 1233765 ) ( 1239600 1233765 )
NEW met2 ( 584880 1356235 ) ( 584880 1389350 0 )
NEW met1 ( 584880 1356235 ) ( 995280 1356235 )
NEW met2 ( 1546320 1223035 ) ( 1546320 1233765 )
NEW met1 ( 1546320 1223035 ) ( 1570320 1223035 )
NEW met2 ( 1570320 1223035 ) ( 1570320 1233765 )
NEW met1 ( 1570320 1233765 ) ( 1986480 1233765 )
NEW met2 ( 1384560 1222665 ) ( 1384560 1233765 )
NEW met1 ( 1384560 1222665 ) ( 1388880 1222665 )
NEW met2 ( 1388880 1214155 ) ( 1388880 1222665 )
NEW met2 ( 1388880 1214155 ) ( 1390320 1214155 )
NEW met2 ( 1390320 1214155 ) ( 1390320 1214525 )
NEW met1 ( 1441680 1205275 ) ( 1450320 1205275 )
NEW met1 ( 1259760 1233765 ) ( 1384560 1233765 )
NEW met1 ( 1450320 1221555 ) ( 1478640 1221555 )
NEW met2 ( 1478640 1221555 ) ( 1478640 1233765 )
NEW met1 ( 1390320 1214525 ) ( 1441680 1214525 )
NEW met2 ( 1441680 1205275 ) ( 1441680 1214525 )
NEW met2 ( 1450320 1205275 ) ( 1450320 1221555 )
NEW met1 ( 1478640 1233765 ) ( 1546320 1233765 )
NEW met1 ( 2056080 1231175 ) M1M2_PR
NEW met2 ( 2056080 1233950 ) via2_FR
NEW met3 ( 2054880 1233950 ) M3M4_PR_M
NEW met1 ( 1059600 1356605 ) M1M2_PR
NEW met1 ( 1986480 1233765 ) M1M2_PR
NEW met1 ( 1986480 1231175 ) M1M2_PR
NEW met2 ( 1970640 1364930 ) via2_FR
NEW met1 ( 1059600 1233765 ) M1M2_PR
NEW met3 ( 2052960 1364930 ) M3M4_PR_M
NEW met1 ( 1239600 1233765 ) M1M2_PR
NEW met1 ( 1239600 1229325 ) M1M2_PR
NEW met1 ( 1259760 1229325 ) M1M2_PR
NEW met1 ( 1259760 1233765 ) M1M2_PR
NEW met1 ( 1137360 1233765 ) M1M2_PR
NEW met1 ( 1137360 1228955 ) M1M2_PR
NEW met1 ( 1159440 1228955 ) M1M2_PR
NEW met1 ( 1159440 1233765 ) M1M2_PR
NEW met1 ( 584880 1356235 ) M1M2_PR
NEW met1 ( 1546320 1233765 ) M1M2_PR
NEW met1 ( 1546320 1223035 ) M1M2_PR
NEW met1 ( 1570320 1223035 ) M1M2_PR
NEW met1 ( 1570320 1233765 ) M1M2_PR
NEW met1 ( 1384560 1233765 ) M1M2_PR
NEW met1 ( 1384560 1222665 ) M1M2_PR
NEW met1 ( 1388880 1222665 ) M1M2_PR
NEW met1 ( 1390320 1214525 ) M1M2_PR
NEW met1 ( 1441680 1205275 ) M1M2_PR
NEW met1 ( 1450320 1205275 ) M1M2_PR
NEW met1 ( 1441680 1214525 ) M1M2_PR
NEW met1 ( 1450320 1221555 ) M1M2_PR
NEW met1 ( 1478640 1221555 ) M1M2_PR
NEW met1 ( 1478640 1233765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[12\] ( mprj wbs_adr_i[12] ) ( mgmt_buffers mprj_adr_o_user[12] )
+ ROUTED met1 ( 1988880 1232655 ) ( 1988880 1233025 )
NEW met1 ( 1988880 1232655 ) ( 2032080 1232655 )
NEW met2 ( 2032080 1232655 ) ( 2032080 1233950 )
NEW met3 ( 2031840 1233950 ) ( 2032080 1233950 )
NEW met3 ( 2031840 1233950 ) ( 2031840 1234690 )
NEW met3 ( 2031840 1234690 ) ( 2033760 1234690 )
NEW met3 ( 1975920 1357530 ) ( 2033760 1357530 )
NEW met2 ( 1974720 1325710 0 ) ( 1975920 1325710 )
NEW met2 ( 1975920 1325710 ) ( 1975920 1357530 )
NEW met4 ( 2033760 1234690 ) ( 2033760 1357530 )
NEW met2 ( 1059120 1233025 ) ( 1059120 1355865 )
NEW met2 ( 1241040 1228955 ) ( 1241040 1233025 )
NEW met1 ( 1241040 1228955 ) ( 1260720 1228955 )
NEW met2 ( 1260720 1228955 ) ( 1260720 1233025 )
NEW met2 ( 1132560 1227845 ) ( 1132560 1233025 )
NEW met1 ( 1132560 1227845 ) ( 1157040 1227845 )
NEW met2 ( 1157040 1227845 ) ( 1157040 1233025 )
NEW met1 ( 1059120 1233025 ) ( 1132560 1233025 )
NEW met1 ( 1157040 1233025 ) ( 1241040 1233025 )
NEW met2 ( 602640 1355865 ) ( 602640 1389350 0 )
NEW met1 ( 602640 1355865 ) ( 1059120 1355865 )
NEW met1 ( 1561200 1233025 ) ( 1561200 1233765 )
NEW met1 ( 1561200 1233765 ) ( 1568880 1233765 )
NEW met2 ( 1568880 1233025 ) ( 1568880 1233765 )
NEW met1 ( 1568880 1233025 ) ( 1988880 1233025 )
NEW met1 ( 1405200 1232655 ) ( 1405200 1233025 )
NEW met1 ( 1260720 1233025 ) ( 1405200 1233025 )
NEW met1 ( 1473360 1232655 ) ( 1473360 1233025 )
NEW met1 ( 1405200 1232655 ) ( 1473360 1232655 )
NEW met1 ( 1473360 1233025 ) ( 1561200 1233025 )
NEW met1 ( 1059120 1355865 ) M1M2_PR
NEW met1 ( 2032080 1232655 ) M1M2_PR
NEW met2 ( 2032080 1233950 ) via2_FR
NEW met3 ( 2033760 1234690 ) M3M4_PR_M
NEW met2 ( 1975920 1357530 ) via2_FR
NEW met3 ( 2033760 1357530 ) M3M4_PR_M
NEW met1 ( 1059120 1233025 ) M1M2_PR
NEW met1 ( 1241040 1233025 ) M1M2_PR
NEW met1 ( 1241040 1228955 ) M1M2_PR
NEW met1 ( 1260720 1228955 ) M1M2_PR
NEW met1 ( 1260720 1233025 ) M1M2_PR
NEW met1 ( 1132560 1233025 ) M1M2_PR
NEW met1 ( 1132560 1227845 ) M1M2_PR
NEW met1 ( 1157040 1227845 ) M1M2_PR
NEW met1 ( 1157040 1233025 ) M1M2_PR
NEW met1 ( 602640 1355865 ) M1M2_PR
NEW met1 ( 1568880 1233765 ) M1M2_PR
NEW met1 ( 1568880 1233025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[13\] ( mprj wbs_adr_i[13] ) ( mgmt_buffers mprj_adr_o_user[13] )
+ ROUTED met1 ( 2045040 1233765 ) ( 2045040 1236725 )
NEW met2 ( 2045040 1233765 ) ( 2045040 1233950 )
NEW met3 ( 2045040 1233950 ) ( 2050080 1233950 )
NEW met1 ( 620880 1364005 ) ( 632880 1364005 )
NEW met2 ( 620880 1364005 ) ( 620880 1389350 0 )
NEW met2 ( 632880 1236725 ) ( 632880 1364005 )
NEW met3 ( 1979280 1363450 ) ( 2043120 1363450 )
NEW met2 ( 2043120 1360490 ) ( 2043120 1363450 )
NEW met2 ( 1979280 1325710 0 ) ( 1979280 1363450 )
NEW met1 ( 632880 1236725 ) ( 2045040 1236725 )
NEW met3 ( 2043120 1360490 ) ( 2050080 1360490 )
NEW met4 ( 2050080 1233950 ) ( 2050080 1360490 )
NEW met1 ( 2045040 1233765 ) M1M2_PR
NEW met2 ( 2045040 1233950 ) via2_FR
NEW met3 ( 2050080 1233950 ) M3M4_PR_M
NEW met1 ( 632880 1236725 ) M1M2_PR
NEW met1 ( 632880 1364005 ) M1M2_PR
NEW met1 ( 620880 1364005 ) M1M2_PR
NEW met2 ( 1979280 1363450 ) via2_FR
NEW met2 ( 2043120 1363450 ) via2_FR
NEW met2 ( 2043120 1360490 ) via2_FR
NEW met3 ( 2050080 1360490 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[14\] ( mprj wbs_adr_i[14] ) ( mgmt_buffers mprj_adr_o_user[14] )
+ ROUTED met3 ( 1984560 1356050 ) ( 2034720 1356050 )
NEW met2 ( 1983360 1325710 0 ) ( 1984560 1325710 )
NEW met2 ( 1984560 1325710 ) ( 1984560 1356050 )
NEW met1 ( 638640 1364005 ) ( 647760 1364005 )
NEW met2 ( 638640 1364005 ) ( 638640 1389350 0 )
NEW met2 ( 647760 1236355 ) ( 647760 1364005 )
NEW met1 ( 2034960 1233765 ) ( 2034960 1236355 )
NEW met2 ( 2034960 1233765 ) ( 2034960 1233950 )
NEW met3 ( 2034960 1233950 ) ( 2035680 1233950 )
NEW met3 ( 2035680 1233950 ) ( 2035680 1234690 )
NEW met3 ( 2034720 1234690 ) ( 2035680 1234690 )
NEW met1 ( 647760 1236355 ) ( 2034960 1236355 )
NEW met4 ( 2034720 1234690 ) ( 2034720 1356050 )
NEW met2 ( 1984560 1356050 ) via2_FR
NEW met3 ( 2034720 1356050 ) M3M4_PR_M
NEW met1 ( 647760 1236355 ) M1M2_PR
NEW met1 ( 647760 1364005 ) M1M2_PR
NEW met1 ( 638640 1364005 ) M1M2_PR
NEW met1 ( 2034960 1233765 ) M1M2_PR
NEW met2 ( 2034960 1233950 ) via2_FR
NEW met3 ( 2034720 1234690 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[15\] ( mprj wbs_adr_i[15] ) ( mgmt_buffers mprj_adr_o_user[15] )
+ ROUTED met3 ( 1989360 1354570 ) ( 2031840 1354570 )
NEW met2 ( 1987440 1325710 0 ) ( 1989360 1325710 )
NEW met2 ( 1989360 1325710 ) ( 1989360 1354570 )
NEW met1 ( 656400 1364005 ) ( 661680 1364005 )
NEW met2 ( 656400 1364005 ) ( 656400 1389350 0 )
NEW met2 ( 661680 1235985 ) ( 661680 1364005 )
NEW met1 ( 2009520 1235245 ) ( 2009520 1235985 )
NEW met1 ( 2009520 1235245 ) ( 2016240 1235245 )
NEW met1 ( 2016240 1233765 ) ( 2016240 1235245 )
NEW met1 ( 2016240 1233765 ) ( 2030640 1233765 )
NEW met2 ( 2030640 1233765 ) ( 2030640 1233950 )
NEW met3 ( 2030640 1233950 ) ( 2030880 1233950 )
NEW met4 ( 2030880 1233950 ) ( 2031840 1233950 )
NEW met1 ( 661680 1235985 ) ( 2009520 1235985 )
NEW met4 ( 2031840 1233950 ) ( 2031840 1354570 )
NEW met2 ( 1989360 1354570 ) via2_FR
NEW met3 ( 2031840 1354570 ) M3M4_PR_M
NEW met1 ( 661680 1235985 ) M1M2_PR
NEW met1 ( 661680 1364005 ) M1M2_PR
NEW met1 ( 656400 1364005 ) M1M2_PR
NEW met1 ( 2030640 1233765 ) M1M2_PR
NEW met2 ( 2030640 1233950 ) via2_FR
NEW met3 ( 2030880 1233950 ) M3M4_PR_M
NEW met3 ( 2030640 1233950 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[16\] ( mprj wbs_adr_i[16] ) ( mgmt_buffers mprj_adr_o_user[16] )
+ ROUTED met3 ( 1992240 1362710 ) ( 2042640 1362710 )
NEW met2 ( 2042640 1359010 ) ( 2042640 1362710 )
NEW met2 ( 1992240 1325710 0 ) ( 1992240 1362710 )
NEW met2 ( 674160 1389350 0 ) ( 676080 1389350 )
NEW met2 ( 676080 1235615 ) ( 676080 1389350 )
NEW met3 ( 2042640 1359010 ) ( 2052000 1359010 )
NEW met1 ( 2009040 1234505 ) ( 2009040 1235615 )
NEW met1 ( 2009040 1234505 ) ( 2015280 1234505 )
NEW met1 ( 2015280 1233765 ) ( 2015280 1234505 )
NEW met2 ( 2015280 1227845 ) ( 2015280 1233765 )
NEW met1 ( 2015280 1227845 ) ( 2051760 1227845 )
NEW met2 ( 2051760 1227845 ) ( 2051760 1233950 )
NEW met3 ( 2051760 1233950 ) ( 2052000 1233950 )
NEW met1 ( 676080 1235615 ) ( 2009040 1235615 )
NEW met4 ( 2052000 1233950 ) ( 2052000 1359010 )
NEW met2 ( 1992240 1362710 ) via2_FR
NEW met2 ( 2042640 1362710 ) via2_FR
NEW met2 ( 2042640 1359010 ) via2_FR
NEW met1 ( 676080 1235615 ) M1M2_PR
NEW met3 ( 2052000 1359010 ) M3M4_PR_M
NEW met1 ( 2015280 1233765 ) M1M2_PR
NEW met1 ( 2015280 1227845 ) M1M2_PR
NEW met1 ( 2051760 1227845 ) M1M2_PR
NEW met2 ( 2051760 1233950 ) via2_FR
NEW met3 ( 2052000 1233950 ) M3M4_PR_M
NEW met3 ( 2051760 1233950 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[17\] ( mprj wbs_adr_i[17] ) ( mgmt_buffers mprj_adr_o_user[17] )
+ ROUTED met1 ( 691920 1337365 ) ( 704880 1337365 )
NEW met2 ( 691920 1337365 ) ( 691920 1389350 0 )
NEW met2 ( 704880 1235245 ) ( 704880 1337365 )
NEW met2 ( 1996320 1324230 0 ) ( 1997520 1324230 )
NEW met2 ( 1997520 1324230 ) ( 1997520 1355310 )
NEW met3 ( 1997520 1355310 ) ( 2039520 1355310 )
NEW met1 ( 2008560 1233395 ) ( 2008560 1235245 )
NEW met1 ( 2008560 1233395 ) ( 2041680 1233395 )
NEW met2 ( 2041680 1233395 ) ( 2041680 1233950 )
NEW met3 ( 2041680 1233950 ) ( 2043360 1233950 )
NEW met4 ( 2043360 1233950 ) ( 2043360 1236170 )
NEW met3 ( 2039520 1236170 ) ( 2043360 1236170 )
NEW met1 ( 704880 1235245 ) ( 2008560 1235245 )
NEW met4 ( 2039520 1236170 ) ( 2039520 1355310 )
NEW met3 ( 2039520 1355310 ) M3M4_PR_M
NEW met1 ( 704880 1235245 ) M1M2_PR
NEW met1 ( 704880 1337365 ) M1M2_PR
NEW met1 ( 691920 1337365 ) M1M2_PR
NEW met2 ( 1997520 1355310 ) via2_FR
NEW met1 ( 2041680 1233395 ) M1M2_PR
NEW met2 ( 2041680 1233950 ) via2_FR
NEW met3 ( 2043360 1233950 ) M3M4_PR_M
NEW met3 ( 2043360 1236170 ) M3M4_PR_M
NEW met3 ( 2039520 1236170 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[18\] ( mprj wbs_adr_i[18] ) ( mgmt_buffers mprj_adr_o_user[18] )
+ ROUTED met2 ( 2052720 1231915 ) ( 2052720 1233950 )
NEW met3 ( 2052720 1233950 ) ( 2052960 1233950 )
NEW met3 ( 2052960 1233950 ) ( 2052960 1234690 )
NEW met3 ( 2051040 1234690 ) ( 2052960 1234690 )
NEW met1 ( 1991280 1233765 ) ( 1991280 1234875 )
NEW met2 ( 1991280 1229695 ) ( 1991280 1233765 )
NEW met1 ( 1991280 1229695 ) ( 2040720 1229695 )
NEW met2 ( 2040720 1229695 ) ( 2040720 1231915 )
NEW met1 ( 2040720 1231915 ) ( 2052720 1231915 )
NEW met3 ( 2000880 1361970 ) ( 2042160 1361970 )
NEW met2 ( 2042160 1358270 ) ( 2042160 1361970 )
NEW met2 ( 2000880 1325710 0 ) ( 2000880 1361970 )
NEW met1 ( 710160 1364005 ) ( 719760 1364005 )
NEW met2 ( 710160 1364005 ) ( 710160 1389350 0 )
NEW met2 ( 719760 1234875 ) ( 719760 1364005 )
NEW met3 ( 2042160 1358270 ) ( 2051040 1358270 )
NEW met4 ( 2051040 1234690 ) ( 2051040 1358270 )
NEW met1 ( 719760 1234875 ) ( 1991280 1234875 )
NEW met1 ( 2052720 1231915 ) M1M2_PR
NEW met2 ( 2052720 1233950 ) via2_FR
NEW met3 ( 2051040 1234690 ) M3M4_PR_M
NEW met1 ( 1991280 1233765 ) M1M2_PR
NEW met1 ( 1991280 1229695 ) M1M2_PR
NEW met1 ( 2040720 1229695 ) M1M2_PR
NEW met1 ( 2040720 1231915 ) M1M2_PR
NEW met2 ( 2000880 1361970 ) via2_FR
NEW met2 ( 2042160 1361970 ) via2_FR
NEW met2 ( 2042160 1358270 ) via2_FR
NEW met1 ( 719760 1234875 ) M1M2_PR
NEW met1 ( 719760 1364005 ) M1M2_PR
NEW met1 ( 710160 1364005 ) M1M2_PR
NEW met3 ( 2051040 1358270 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[19\] ( mprj wbs_adr_i[19] ) ( mgmt_buffers mprj_adr_o_user[19] )
+ ROUTED met1 ( 1989360 1233025 ) ( 1989360 1234505 )
NEW met3 ( 2006160 1353830 ) ( 2032800 1353830 )
NEW met2 ( 2004960 1325710 0 ) ( 2006160 1325710 )
NEW met2 ( 2006160 1325710 ) ( 2006160 1353830 )
NEW met1 ( 727920 1360305 ) ( 733680 1360305 )
NEW met2 ( 727920 1360305 ) ( 727920 1389350 0 )
NEW met2 ( 733680 1234505 ) ( 733680 1360305 )
NEW met2 ( 2033040 1233025 ) ( 2033040 1233765 )
NEW met3 ( 2033040 1233765 ) ( 2033040 1233950 )
NEW met3 ( 2032800 1233950 ) ( 2033040 1233950 )
NEW met1 ( 1989360 1233025 ) ( 2033040 1233025 )
NEW met4 ( 2032800 1233950 ) ( 2032800 1353830 )
NEW met1 ( 733680 1234505 ) ( 1989360 1234505 )
NEW met2 ( 2006160 1353830 ) via2_FR
NEW met3 ( 2032800 1353830 ) M3M4_PR_M
NEW met1 ( 733680 1234505 ) M1M2_PR
NEW met1 ( 733680 1360305 ) M1M2_PR
NEW met1 ( 727920 1360305 ) M1M2_PR
NEW met1 ( 2033040 1233025 ) M1M2_PR
NEW met2 ( 2033040 1233765 ) via2_FR
NEW met3 ( 2032800 1233950 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[1\] ( mprj wbs_adr_i[1] ) ( mgmt_buffers mprj_adr_o_user[1] )
+ ROUTED met2 ( 389040 1354570 ) ( 389040 1389350 0 )
NEW met2 ( 1576560 1354570 ) ( 1576560 1392310 )
NEW met3 ( 389040 1354570 ) ( 1576560 1354570 )
NEW met3 ( 1843680 1392310 ) ( 1843680 1393050 )
NEW met3 ( 1843680 1393050 ) ( 1919280 1393050 )
NEW met3 ( 1576560 1392310 ) ( 1843680 1392310 )
NEW met2 ( 1919280 1325710 ) ( 1920480 1325710 0 )
NEW met2 ( 1919280 1325710 ) ( 1919280 1393050 )
NEW met2 ( 389040 1354570 ) via2_FR
NEW met2 ( 1576560 1354570 ) via2_FR
NEW met2 ( 1576560 1392310 ) via2_FR
NEW met2 ( 1919280 1393050 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[20\] ( mprj wbs_adr_i[20] ) ( mgmt_buffers mprj_adr_o_user[20] )
+ ROUTED met2 ( 745680 1389350 0 ) ( 748080 1389350 )
NEW met2 ( 748080 1228770 ) ( 748080 1389350 )
NEW met2 ( 1236720 1232655 ) ( 1236720 1233950 )
NEW met2 ( 2041200 1228585 ) ( 2041200 1233950 )
NEW met2 ( 2040720 1233950 ) ( 2041200 1233950 )
NEW met3 ( 2040480 1233950 ) ( 2040720 1233950 )
NEW met3 ( 2040480 1233950 ) ( 2040480 1234690 )
NEW met3 ( 2040480 1234690 ) ( 2047200 1234690 )
NEW met3 ( 2009520 1358270 ) ( 2035440 1358270 )
NEW met2 ( 2035440 1356050 ) ( 2035440 1358270 )
NEW met2 ( 2009520 1325710 0 ) ( 2009520 1358270 )
NEW met2 ( 1051920 1228770 ) ( 1051920 1228955 )
NEW met3 ( 748080 1228770 ) ( 1051920 1228770 )
NEW met2 ( 1239120 1231915 ) ( 1239120 1233950 )
NEW met3 ( 1236720 1233950 ) ( 1239120 1233950 )
NEW met2 ( 1641840 1233950 ) ( 1642320 1233950 )
NEW met2 ( 1642320 1231915 ) ( 1642320 1233950 )
NEW met3 ( 2035440 1356050 ) ( 2047200 1356050 )
NEW met4 ( 2047200 1234690 ) ( 2047200 1356050 )
NEW met2 ( 1832400 1232655 ) ( 1832400 1233950 )
NEW met1 ( 1832400 1232655 ) ( 1836720 1232655 )
NEW met1 ( 1836720 1232285 ) ( 1836720 1232655 )
NEW met2 ( 1134960 1228955 ) ( 1134960 1233025 )
NEW met1 ( 1134960 1233025 ) ( 1141200 1233025 )
NEW met1 ( 1141200 1232655 ) ( 1141200 1233025 )
NEW met1 ( 1051920 1228955 ) ( 1134960 1228955 )
NEW met1 ( 1141200 1232655 ) ( 1236720 1232655 )
NEW met2 ( 1555920 1232285 ) ( 1555920 1233950 )
NEW met3 ( 1555920 1233950 ) ( 1641840 1233950 )
NEW met1 ( 1700400 1231915 ) ( 1700400 1232285 )
NEW met1 ( 1700400 1232285 ) ( 1701360 1232285 )
NEW met1 ( 1701360 1231915 ) ( 1701360 1232285 )
NEW met1 ( 1701360 1231915 ) ( 1736400 1231915 )
NEW met2 ( 1736400 1231915 ) ( 1736400 1232655 )
NEW met1 ( 1736400 1232655 ) ( 1748400 1232655 )
NEW met2 ( 1748400 1232655 ) ( 1748400 1233950 )
NEW met1 ( 1642320 1231915 ) ( 1700400 1231915 )
NEW met3 ( 1748400 1233950 ) ( 1832400 1233950 )
NEW met2 ( 1914480 1228585 ) ( 1914480 1232285 )
NEW met1 ( 1836720 1232285 ) ( 1914480 1232285 )
NEW met1 ( 1914480 1228585 ) ( 2041200 1228585 )
NEW met2 ( 1436880 1224145 ) ( 1436880 1230805 )
NEW met1 ( 1436880 1224145 ) ( 1444080 1224145 )
NEW met2 ( 1444080 1224145 ) ( 1444080 1224885 )
NEW met2 ( 1444080 1224885 ) ( 1446000 1224885 )
NEW met2 ( 1446000 1224885 ) ( 1446000 1232285 )
NEW met1 ( 1446000 1232285 ) ( 1555920 1232285 )
NEW met2 ( 1303920 1221185 ) ( 1303920 1231915 )
NEW met1 ( 1303920 1221185 ) ( 1340880 1221185 )
NEW met2 ( 1340880 1221185 ) ( 1340880 1230805 )
NEW met1 ( 1239120 1231915 ) ( 1303920 1231915 )
NEW met1 ( 1340880 1230805 ) ( 1436880 1230805 )
NEW met3 ( 2047200 1234690 ) M3M4_PR_M
NEW met2 ( 748080 1228770 ) via2_FR
NEW met1 ( 1236720 1232655 ) M1M2_PR
NEW met2 ( 1236720 1233950 ) via2_FR
NEW met1 ( 2041200 1228585 ) M1M2_PR
NEW met2 ( 2040720 1233950 ) via2_FR
NEW met2 ( 2009520 1358270 ) via2_FR
NEW met2 ( 2035440 1358270 ) via2_FR
NEW met2 ( 2035440 1356050 ) via2_FR
NEW met2 ( 1051920 1228770 ) via2_FR
NEW met1 ( 1051920 1228955 ) M1M2_PR
NEW met1 ( 1239120 1231915 ) M1M2_PR
NEW met2 ( 1239120 1233950 ) via2_FR
NEW met2 ( 1641840 1233950 ) via2_FR
NEW met1 ( 1642320 1231915 ) M1M2_PR
NEW met3 ( 2047200 1356050 ) M3M4_PR_M
NEW met2 ( 1832400 1233950 ) via2_FR
NEW met1 ( 1832400 1232655 ) M1M2_PR
NEW met1 ( 1134960 1228955 ) M1M2_PR
NEW met1 ( 1134960 1233025 ) M1M2_PR
NEW met1 ( 1555920 1232285 ) M1M2_PR
NEW met2 ( 1555920 1233950 ) via2_FR
NEW met1 ( 1736400 1231915 ) M1M2_PR
NEW met1 ( 1736400 1232655 ) M1M2_PR
NEW met1 ( 1748400 1232655 ) M1M2_PR
NEW met2 ( 1748400 1233950 ) via2_FR
NEW met1 ( 1914480 1232285 ) M1M2_PR
NEW met1 ( 1914480 1228585 ) M1M2_PR
NEW met1 ( 1436880 1230805 ) M1M2_PR
NEW met1 ( 1436880 1224145 ) M1M2_PR
NEW met1 ( 1444080 1224145 ) M1M2_PR
NEW met1 ( 1446000 1232285 ) M1M2_PR
NEW met1 ( 1303920 1231915 ) M1M2_PR
NEW met1 ( 1303920 1221185 ) M1M2_PR
NEW met1 ( 1340880 1221185 ) M1M2_PR
NEW met1 ( 1340880 1230805 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[21\] ( mprj wbs_adr_i[21] ) ( mgmt_buffers mprj_adr_o_user[21] )
+ ROUTED met2 ( 2060880 1227475 ) ( 2060880 1236170 )
NEW met3 ( 2053920 1236170 ) ( 2060880 1236170 )
NEW met1 ( 763440 1365855 ) ( 776880 1365855 )
NEW met2 ( 763440 1365855 ) ( 763440 1389350 0 )
NEW met2 ( 776880 1227290 ) ( 776880 1365855 )
NEW met2 ( 2029680 1227475 ) ( 2029680 1233950 )
NEW met1 ( 2029680 1227475 ) ( 2060880 1227475 )
NEW met3 ( 2013840 1360490 ) ( 2041440 1360490 )
NEW met3 ( 2041440 1357530 ) ( 2041440 1360490 )
NEW met2 ( 2013840 1325710 0 ) ( 2013840 1360490 )
NEW met3 ( 1052640 1227290 ) ( 1052640 1233950 )
NEW met3 ( 776880 1227290 ) ( 1052640 1227290 )
NEW met3 ( 2041440 1357530 ) ( 2053920 1357530 )
NEW met4 ( 2053920 1236170 ) ( 2053920 1357530 )
NEW met2 ( 1258800 1227475 ) ( 1258800 1229695 )
NEW met2 ( 1115760 1227475 ) ( 1115760 1233950 )
NEW met3 ( 1052640 1233950 ) ( 1115760 1233950 )
NEW met1 ( 1115760 1227475 ) ( 1258800 1227475 )
NEW met2 ( 1538640 1230805 ) ( 1538640 1231915 )
NEW met1 ( 1538640 1231915 ) ( 1540080 1231915 )
NEW met2 ( 1540080 1231915 ) ( 1540080 1233950 )
NEW met2 ( 1540080 1233950 ) ( 1540560 1233950 )
NEW met3 ( 1540560 1233950 ) ( 1540560 1234690 )
NEW met2 ( 1643280 1224145 ) ( 1643280 1231915 )
NEW met2 ( 1643040 1231915 ) ( 1643280 1231915 )
NEW met2 ( 1643040 1231915 ) ( 1643040 1232655 )
NEW met2 ( 1642800 1232655 ) ( 1643040 1232655 )
NEW met2 ( 1642800 1232655 ) ( 1642800 1233950 )
NEW met3 ( 1642800 1233950 ) ( 1642800 1234690 )
NEW met3 ( 1540560 1234690 ) ( 1642800 1234690 )
NEW met1 ( 1800240 1227105 ) ( 1800240 1227475 )
NEW met2 ( 1951440 1227475 ) ( 1951440 1233950 )
NEW met1 ( 1800240 1227475 ) ( 1951440 1227475 )
NEW met3 ( 1951440 1233950 ) ( 2029680 1233950 )
NEW met2 ( 1698000 1223035 ) ( 1698000 1224145 )
NEW met1 ( 1698000 1223035 ) ( 1700400 1223035 )
NEW met1 ( 1700400 1223035 ) ( 1700400 1224145 )
NEW met1 ( 1700400 1224145 ) ( 1743600 1224145 )
NEW met2 ( 1743600 1224145 ) ( 1743600 1227105 )
NEW met1 ( 1643280 1224145 ) ( 1698000 1224145 )
NEW met1 ( 1743600 1227105 ) ( 1800240 1227105 )
NEW met2 ( 1386960 1229695 ) ( 1386960 1231915 )
NEW met1 ( 1386960 1231915 ) ( 1438320 1231915 )
NEW met1 ( 1438320 1231175 ) ( 1438320 1231915 )
NEW met1 ( 1438320 1231175 ) ( 1439760 1231175 )
NEW met2 ( 1439760 1230805 ) ( 1439760 1231175 )
NEW met2 ( 1439760 1230805 ) ( 1440720 1230805 )
NEW met1 ( 1258800 1229695 ) ( 1386960 1229695 )
NEW met1 ( 1440720 1230805 ) ( 1538640 1230805 )
NEW met1 ( 2060880 1227475 ) M1M2_PR
NEW met2 ( 2060880 1236170 ) via2_FR
NEW met3 ( 2053920 1236170 ) M3M4_PR_M
NEW met2 ( 776880 1227290 ) via2_FR
NEW met1 ( 776880 1365855 ) M1M2_PR
NEW met1 ( 763440 1365855 ) M1M2_PR
NEW met2 ( 2029680 1233950 ) via2_FR
NEW met1 ( 2029680 1227475 ) M1M2_PR
NEW met2 ( 2013840 1360490 ) via2_FR
NEW met3 ( 2053920 1357530 ) M3M4_PR_M
NEW met1 ( 1258800 1227475 ) M1M2_PR
NEW met1 ( 1258800 1229695 ) M1M2_PR
NEW met1 ( 1115760 1227475 ) M1M2_PR
NEW met2 ( 1115760 1233950 ) via2_FR
NEW met1 ( 1538640 1230805 ) M1M2_PR
NEW met1 ( 1538640 1231915 ) M1M2_PR
NEW met1 ( 1540080 1231915 ) M1M2_PR
NEW met2 ( 1540560 1233950 ) via2_FR
NEW met1 ( 1643280 1224145 ) M1M2_PR
NEW met2 ( 1642800 1233950 ) via2_FR
NEW met1 ( 1951440 1227475 ) M1M2_PR
NEW met2 ( 1951440 1233950 ) via2_FR
NEW met1 ( 1698000 1224145 ) M1M2_PR
NEW met1 ( 1698000 1223035 ) M1M2_PR
NEW met1 ( 1743600 1224145 ) M1M2_PR
NEW met1 ( 1743600 1227105 ) M1M2_PR
NEW met1 ( 1386960 1229695 ) M1M2_PR
NEW met1 ( 1386960 1231915 ) M1M2_PR
NEW met1 ( 1439760 1231175 ) M1M2_PR
NEW met1 ( 1440720 1230805 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[22\] ( mprj wbs_adr_i[22] ) ( mgmt_buffers mprj_adr_o_user[22] )
+ ROUTED met1 ( 781200 1365855 ) ( 791760 1365855 )
NEW met2 ( 781200 1365855 ) ( 781200 1389350 0 )
NEW met2 ( 791760 1225810 ) ( 791760 1365855 )
NEW met2 ( 1993680 1217670 ) ( 1993680 1225625 )
NEW met3 ( 2019600 1341250 ) ( 2023200 1341250 )
NEW met4 ( 2023200 1341250 ) ( 2023200 1343655 )
NEW met4 ( 2023200 1343655 ) ( 2028960 1343655 )
NEW met2 ( 2018400 1325710 0 ) ( 2019600 1325710 )
NEW met2 ( 2019600 1325710 ) ( 2019600 1341250 )
NEW met2 ( 1050960 1225810 ) ( 1050960 1226735 )
NEW met3 ( 791760 1225810 ) ( 1050960 1225810 )
NEW met3 ( 1993680 1217670 ) ( 2028960 1217670 )
NEW met4 ( 2028960 1217670 ) ( 2028960 1343655 )
NEW met2 ( 1159440 1225625 ) ( 1159440 1226735 )
NEW met1 ( 1050960 1226735 ) ( 1159440 1226735 )
NEW met2 ( 1559280 1223405 ) ( 1559280 1224145 )
NEW met1 ( 1537200 1223405 ) ( 1559280 1223405 )
NEW met2 ( 1537200 1223405 ) ( 1537200 1233950 )
NEW met1 ( 1642800 1223775 ) ( 1642800 1224145 )
NEW met1 ( 1642800 1223775 ) ( 1648560 1223775 )
NEW met2 ( 1648560 1223775 ) ( 1648560 1230805 )
NEW met1 ( 1648560 1230805 ) ( 1698480 1230805 )
NEW met2 ( 1698480 1226365 ) ( 1698480 1230805 )
NEW met1 ( 1559280 1224145 ) ( 1642800 1224145 )
NEW met2 ( 1849680 1225625 ) ( 1849680 1226365 )
NEW met1 ( 1698480 1226365 ) ( 1849680 1226365 )
NEW met1 ( 1849680 1225625 ) ( 1993680 1225625 )
NEW met2 ( 1406640 1233395 ) ( 1406640 1233950 )
NEW met1 ( 1406640 1233395 ) ( 1437840 1233395 )
NEW met2 ( 1437840 1233395 ) ( 1437840 1233950 )
NEW met3 ( 1437840 1233950 ) ( 1537200 1233950 )
NEW met2 ( 1301520 1224145 ) ( 1301520 1225625 )
NEW met1 ( 1301520 1224145 ) ( 1339920 1224145 )
NEW met2 ( 1339920 1222295 ) ( 1339920 1224145 )
NEW met1 ( 1339920 1222295 ) ( 1346160 1222295 )
NEW met2 ( 1346160 1222295 ) ( 1346160 1233950 )
NEW met1 ( 1159440 1225625 ) ( 1301520 1225625 )
NEW met3 ( 1346160 1233950 ) ( 1406640 1233950 )
NEW met2 ( 791760 1225810 ) via2_FR
NEW met1 ( 791760 1365855 ) M1M2_PR
NEW met1 ( 781200 1365855 ) M1M2_PR
NEW met1 ( 1993680 1225625 ) M1M2_PR
NEW met2 ( 1993680 1217670 ) via2_FR
NEW met2 ( 2019600 1341250 ) via2_FR
NEW met3 ( 2023200 1341250 ) M3M4_PR_M
NEW met2 ( 1050960 1225810 ) via2_FR
NEW met1 ( 1050960 1226735 ) M1M2_PR
NEW met3 ( 2028960 1217670 ) M3M4_PR_M
NEW met1 ( 1159440 1226735 ) M1M2_PR
NEW met1 ( 1159440 1225625 ) M1M2_PR
NEW met1 ( 1559280 1224145 ) M1M2_PR
NEW met1 ( 1559280 1223405 ) M1M2_PR
NEW met1 ( 1537200 1223405 ) M1M2_PR
NEW met2 ( 1537200 1233950 ) via2_FR
NEW met1 ( 1648560 1223775 ) M1M2_PR
NEW met1 ( 1648560 1230805 ) M1M2_PR
NEW met1 ( 1698480 1230805 ) M1M2_PR
NEW met1 ( 1698480 1226365 ) M1M2_PR
NEW met1 ( 1849680 1226365 ) M1M2_PR
NEW met1 ( 1849680 1225625 ) M1M2_PR
NEW met2 ( 1406640 1233950 ) via2_FR
NEW met1 ( 1406640 1233395 ) M1M2_PR
NEW met1 ( 1437840 1233395 ) M1M2_PR
NEW met2 ( 1437840 1233950 ) via2_FR
NEW met1 ( 1301520 1225625 ) M1M2_PR
NEW met1 ( 1301520 1224145 ) M1M2_PR
NEW met1 ( 1339920 1224145 ) M1M2_PR
NEW met1 ( 1339920 1222295 ) M1M2_PR
NEW met1 ( 1346160 1222295 ) M1M2_PR
NEW met2 ( 1346160 1233950 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[23\] ( mprj wbs_adr_i[23] ) ( mgmt_buffers mprj_adr_o_user[23] )
+ ROUTED met1 ( 799440 1365855 ) ( 805680 1365855 )
NEW met2 ( 799440 1365855 ) ( 799440 1389350 0 )
NEW met2 ( 805680 1225070 ) ( 805680 1365855 )
NEW met2 ( 1143120 1224145 ) ( 1143120 1233950 )
NEW met3 ( 2024400 1341250 ) ( 2028000 1341250 )
NEW met2 ( 2022480 1325710 0 ) ( 2024400 1325710 )
NEW met2 ( 2024400 1325710 ) ( 2024400 1341250 )
NEW met4 ( 2028000 1234690 ) ( 2028000 1341250 )
NEW met2 ( 1050480 1224145 ) ( 1050480 1225070 )
NEW met3 ( 805680 1225070 ) ( 1050480 1225070 )
NEW met1 ( 1050480 1224145 ) ( 1143120 1224145 )
NEW met2 ( 1230960 1227105 ) ( 1230960 1233950 )
NEW met1 ( 1230960 1227105 ) ( 1242000 1227105 )
NEW met2 ( 1242000 1227105 ) ( 1242000 1233950 )
NEW met3 ( 1143120 1233950 ) ( 1230960 1233950 )
NEW met1 ( 1736400 1223405 ) ( 1736400 1223775 )
NEW met1 ( 1712880 1223405 ) ( 1736400 1223405 )
NEW met2 ( 1712880 1223405 ) ( 1712880 1233950 )
NEW met2 ( 1946640 1223775 ) ( 1946640 1233950 )
NEW met3 ( 1946640 1233950 ) ( 1946640 1234690 )
NEW met1 ( 1736400 1223775 ) ( 1946640 1223775 )
NEW met3 ( 1946640 1234690 ) ( 2028000 1234690 )
NEW met2 ( 1584240 1223775 ) ( 1584720 1223775 )
NEW met1 ( 1584720 1223775 ) ( 1606320 1223775 )
NEW met2 ( 1606320 1220815 ) ( 1606320 1223775 )
NEW met1 ( 1606320 1220815 ) ( 1646640 1220815 )
NEW met2 ( 1646640 1220815 ) ( 1646640 1233950 )
NEW met3 ( 1646640 1233950 ) ( 1712880 1233950 )
NEW met2 ( 1304880 1223775 ) ( 1304880 1233950 )
NEW met3 ( 1242000 1233950 ) ( 1304880 1233950 )
NEW met1 ( 1304880 1223775 ) ( 1584240 1223775 )
NEW met2 ( 805680 1225070 ) via2_FR
NEW met1 ( 805680 1365855 ) M1M2_PR
NEW met1 ( 799440 1365855 ) M1M2_PR
NEW met1 ( 1143120 1224145 ) M1M2_PR
NEW met2 ( 1143120 1233950 ) via2_FR
NEW met3 ( 2028000 1234690 ) M3M4_PR_M
NEW met2 ( 2024400 1341250 ) via2_FR
NEW met3 ( 2028000 1341250 ) M3M4_PR_M
NEW met1 ( 1050480 1224145 ) M1M2_PR
NEW met2 ( 1050480 1225070 ) via2_FR
NEW met2 ( 1230960 1233950 ) via2_FR
NEW met1 ( 1230960 1227105 ) M1M2_PR
NEW met1 ( 1242000 1227105 ) M1M2_PR
NEW met2 ( 1242000 1233950 ) via2_FR
NEW met1 ( 1712880 1223405 ) M1M2_PR
NEW met2 ( 1712880 1233950 ) via2_FR
NEW met1 ( 1946640 1223775 ) M1M2_PR
NEW met2 ( 1946640 1233950 ) via2_FR
NEW met1 ( 1584240 1223775 ) M1M2_PR
NEW met1 ( 1584720 1223775 ) M1M2_PR
NEW met1 ( 1606320 1223775 ) M1M2_PR
NEW met1 ( 1606320 1220815 ) M1M2_PR
NEW met1 ( 1646640 1220815 ) M1M2_PR
NEW met2 ( 1646640 1233950 ) via2_FR
NEW met1 ( 1304880 1223775 ) M1M2_PR
NEW met2 ( 1304880 1233950 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[24\] ( mprj wbs_adr_i[24] ) ( mgmt_buffers mprj_adr_o_user[24] )
+ ROUTED met2 ( 817200 1389350 0 ) ( 820080 1389350 )
NEW met2 ( 820080 1238390 ) ( 820080 1389350 )
NEW met2 ( 936240 1235430 ) ( 936240 1238390 )
NEW met3 ( 936240 1235430 ) ( 985200 1235430 )
NEW met2 ( 985200 1235430 ) ( 985200 1237650 )
NEW met2 ( 985200 1237650 ) ( 987120 1237650 )
NEW met3 ( 820080 1238390 ) ( 936240 1238390 )
NEW met3 ( 987120 1237650 ) ( 2029920 1237650 )
NEW met3 ( 2028720 1341250 ) ( 2029920 1341250 )
NEW met2 ( 2027760 1341250 ) ( 2028720 1341250 )
NEW met2 ( 2027760 1325710 ) ( 2027760 1341250 )
NEW met2 ( 2027040 1325710 0 ) ( 2027760 1325710 )
NEW met4 ( 2029920 1237650 ) ( 2029920 1341250 )
NEW met2 ( 820080 1238390 ) via2_FR
NEW met2 ( 936240 1238390 ) via2_FR
NEW met2 ( 936240 1235430 ) via2_FR
NEW met2 ( 985200 1235430 ) via2_FR
NEW met2 ( 987120 1237650 ) via2_FR
NEW met3 ( 2029920 1237650 ) M3M4_PR_M
NEW met3 ( 2029920 1341250 ) M3M4_PR_M
NEW met2 ( 2028720 1341250 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[25\] ( mprj wbs_adr_i[25] ) ( mgmt_buffers mprj_adr_o_user[25] )
+ ROUTED met2 ( 834480 1234690 ) ( 834480 1389350 0 )
NEW met2 ( 2034000 1228215 ) ( 2034000 1233950 )
NEW met3 ( 2033760 1233950 ) ( 2034000 1233950 )
NEW met4 ( 2033760 1233765 ) ( 2033760 1233950 )
NEW met4 ( 2033760 1233765 ) ( 2036640 1233765 )
NEW met4 ( 2035680 1324230 ) ( 2036640 1324230 )
NEW met3 ( 2032800 1324230 ) ( 2035680 1324230 )
NEW met3 ( 2032800 1324230 ) ( 2032800 1324415 )
NEW met3 ( 2032560 1324415 ) ( 2032800 1324415 )
NEW met2 ( 2032560 1324230 ) ( 2032560 1324415 )
NEW met2 ( 2031360 1324230 0 ) ( 2032560 1324230 )
NEW met4 ( 2036640 1233765 ) ( 2036640 1324230 )
NEW met3 ( 1123680 1233950 ) ( 1123680 1234690 )
NEW met3 ( 1123680 1233950 ) ( 1124400 1233950 )
NEW met2 ( 1124400 1228585 ) ( 1124400 1233950 )
NEW met1 ( 1124400 1228585 ) ( 1140720 1228585 )
NEW met2 ( 1140720 1228585 ) ( 1140720 1233950 )
NEW met3 ( 1140720 1233950 ) ( 1140960 1233950 )
NEW met3 ( 1140960 1233950 ) ( 1140960 1234690 )
NEW met3 ( 1140960 1234690 ) ( 1141200 1234690 )
NEW met3 ( 1141200 1234690 ) ( 1141200 1235430 )
NEW met3 ( 834480 1234690 ) ( 1123680 1234690 )
NEW met3 ( 1836000 1233950 ) ( 1836000 1235430 )
NEW met3 ( 1836000 1233950 ) ( 1836720 1233950 )
NEW met2 ( 1836720 1232655 ) ( 1836720 1233950 )
NEW met2 ( 1836720 1232655 ) ( 1837200 1232655 )
NEW met1 ( 1837200 1232655 ) ( 1840080 1232655 )
NEW met2 ( 1840080 1228955 ) ( 1840080 1232655 )
NEW met1 ( 1840080 1228955 ) ( 1867440 1228955 )
NEW met2 ( 1867440 1228955 ) ( 1867440 1233950 )
NEW met3 ( 1867440 1233950 ) ( 1867440 1234690 )
NEW met3 ( 1141200 1235430 ) ( 1836000 1235430 )
NEW met2 ( 1945200 1228215 ) ( 1945200 1233950 )
NEW met3 ( 1945200 1233950 ) ( 1945200 1234690 )
NEW met3 ( 1867440 1234690 ) ( 1945200 1234690 )
NEW met1 ( 1945200 1228215 ) ( 2034000 1228215 )
NEW met2 ( 834480 1234690 ) via2_FR
NEW met1 ( 2034000 1228215 ) M1M2_PR
NEW met2 ( 2034000 1233950 ) via2_FR
NEW met3 ( 2033760 1233950 ) M3M4_PR_M
NEW met3 ( 2035680 1324230 ) M3M4_PR_M
NEW met2 ( 2032560 1324415 ) via2_FR
NEW met2 ( 1124400 1233950 ) via2_FR
NEW met1 ( 1124400 1228585 ) M1M2_PR
NEW met1 ( 1140720 1228585 ) M1M2_PR
NEW met2 ( 1140720 1233950 ) via2_FR
NEW met2 ( 1836720 1233950 ) via2_FR
NEW met1 ( 1837200 1232655 ) M1M2_PR
NEW met1 ( 1840080 1232655 ) M1M2_PR
NEW met1 ( 1840080 1228955 ) M1M2_PR
NEW met1 ( 1867440 1228955 ) M1M2_PR
NEW met2 ( 1867440 1233950 ) via2_FR
NEW met1 ( 1945200 1228215 ) M1M2_PR
NEW met2 ( 1945200 1233950 ) via2_FR
NEW met3 ( 2034000 1233950 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[26\] ( mprj wbs_adr_i[26] ) ( mgmt_buffers mprj_adr_o_user[26] )
+ ROUTED met4 ( 1036320 1233210 ) ( 1036320 1235430 )
NEW met3 ( 863760 1233210 ) ( 1036320 1233210 )
NEW met1 ( 852720 1367705 ) ( 863760 1367705 )
NEW met2 ( 852720 1367705 ) ( 852720 1389350 0 )
NEW met2 ( 863760 1233210 ) ( 863760 1367705 )
NEW met3 ( 1050720 1235430 ) ( 1050720 1236170 )
NEW met3 ( 1036320 1235430 ) ( 1050720 1235430 )
NEW met3 ( 2035680 1322750 ) ( 2036640 1322750 )
NEW met3 ( 2036640 1322750 ) ( 2036640 1324415 )
NEW met3 ( 2036400 1324415 ) ( 2036640 1324415 )
NEW met2 ( 2036400 1324230 ) ( 2036400 1324415 )
NEW met2 ( 2035920 1324230 0 ) ( 2036400 1324230 )
NEW met4 ( 2035680 1235430 ) ( 2035680 1322750 )
NEW met3 ( 1127520 1234690 ) ( 1127520 1236170 )
NEW met3 ( 1127520 1234690 ) ( 1130400 1234690 )
NEW met3 ( 1130400 1233950 ) ( 1130400 1234690 )
NEW met3 ( 1130400 1233950 ) ( 1138080 1233950 )
NEW met3 ( 1138080 1233950 ) ( 1138080 1236170 )
NEW met3 ( 1050720 1236170 ) ( 1127520 1236170 )
NEW met3 ( 1838880 1233950 ) ( 1838880 1236170 )
NEW met3 ( 1838880 1233950 ) ( 1847760 1233950 )
NEW met2 ( 1847760 1231915 ) ( 1847760 1233950 )
NEW met1 ( 1847760 1231915 ) ( 1853520 1231915 )
NEW met2 ( 1853520 1231915 ) ( 1853520 1233950 )
NEW met3 ( 1853520 1233950 ) ( 1854240 1233950 )
NEW met3 ( 1854240 1233950 ) ( 1854240 1235430 )
NEW met3 ( 1138080 1236170 ) ( 1838880 1236170 )
NEW met3 ( 1854240 1235430 ) ( 2035680 1235430 )
NEW met3 ( 1036320 1233210 ) M3M4_PR_M
NEW met3 ( 1036320 1235430 ) M3M4_PR_M
NEW met2 ( 863760 1233210 ) via2_FR
NEW met1 ( 863760 1367705 ) M1M2_PR
NEW met1 ( 852720 1367705 ) M1M2_PR
NEW met3 ( 2035680 1235430 ) M3M4_PR_M
NEW met3 ( 2035680 1322750 ) M3M4_PR_M
NEW met2 ( 2036400 1324415 ) via2_FR
NEW met2 ( 1847760 1233950 ) via2_FR
NEW met1 ( 1847760 1231915 ) M1M2_PR
NEW met1 ( 1853520 1231915 ) M1M2_PR
NEW met2 ( 1853520 1233950 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[27\] ( mprj wbs_adr_i[27] ) ( mgmt_buffers mprj_adr_o_user[27] )
+ ROUTED met3 ( 986400 1236910 ) ( 986400 1237650 )
NEW met3 ( 877680 1237650 ) ( 986400 1237650 )
NEW met1 ( 870480 1367705 ) ( 877680 1367705 )
NEW met2 ( 870480 1367705 ) ( 870480 1389350 0 )
NEW met2 ( 877680 1237650 ) ( 877680 1367705 )
NEW met3 ( 2030640 1236910 ) ( 2030640 1237650 )
NEW met3 ( 2030640 1237650 ) ( 2034720 1237650 )
NEW met3 ( 1129440 1235430 ) ( 1129440 1236910 )
NEW met3 ( 1129440 1235430 ) ( 1137360 1235430 )
NEW met3 ( 1137360 1235430 ) ( 1137360 1236910 )
NEW met3 ( 986400 1236910 ) ( 1129440 1236910 )
NEW met3 ( 1137360 1236910 ) ( 2030640 1236910 )
NEW met3 ( 2034720 1239130 ) ( 2038560 1239130 )
NEW met4 ( 2038560 1239130 ) ( 2038560 1324230 )
NEW met3 ( 2038560 1324230 ) ( 2038800 1324230 )
NEW met3 ( 2038800 1324230 ) ( 2038800 1324415 )
NEW met2 ( 2038800 1324230 ) ( 2038800 1324415 )
NEW met2 ( 2038800 1324230 ) ( 2040000 1324230 0 )
NEW met3 ( 2034720 1237650 ) ( 2034720 1239130 )
NEW met2 ( 877680 1237650 ) via2_FR
NEW met1 ( 877680 1367705 ) M1M2_PR
NEW met1 ( 870480 1367705 ) M1M2_PR
NEW met3 ( 2038560 1239130 ) M3M4_PR_M
NEW met3 ( 2038560 1324230 ) M3M4_PR_M
NEW met2 ( 2038800 1324415 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[28\] ( mprj wbs_adr_i[28] ) ( mgmt_buffers mprj_adr_o_user[28] )
+ ROUTED met3 ( 1992480 1216930 ) ( 1992480 1217670 )
NEW met3 ( 1992480 1216930 ) ( 2041440 1216930 )
NEW met1 ( 888240 1367705 ) ( 892080 1367705 )
NEW met2 ( 888240 1367705 ) ( 888240 1389350 0 )
NEW met2 ( 892080 1217670 ) ( 892080 1367705 )
NEW met3 ( 892080 1217670 ) ( 1992480 1217670 )
NEW met3 ( 2041440 1324230 ) ( 2044320 1324230 )
NEW met3 ( 2044320 1324230 ) ( 2044320 1324415 )
NEW met3 ( 2044080 1324415 ) ( 2044320 1324415 )
NEW met2 ( 2044080 1324230 ) ( 2044080 1324415 )
NEW met2 ( 2044080 1324230 ) ( 2044560 1324230 0 )
NEW met4 ( 2041440 1216930 ) ( 2041440 1324230 )
NEW met3 ( 2041440 1216930 ) M3M4_PR_M
NEW met2 ( 892080 1217670 ) via2_FR
NEW met1 ( 892080 1367705 ) M1M2_PR
NEW met1 ( 888240 1367705 ) M1M2_PR
NEW met3 ( 2041440 1324230 ) M3M4_PR_M
NEW met2 ( 2044080 1324415 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[29\] ( mprj wbs_adr_i[29] ) ( mgmt_buffers mprj_adr_o_user[29] )
+ ROUTED met2 ( 984720 1236910 ) ( 984720 1238390 )
NEW met3 ( 906480 1236910 ) ( 984720 1236910 )
NEW met2 ( 906480 1236910 ) ( 906480 1389350 0 )
NEW met2 ( 1644720 1232655 ) ( 1644720 1233950 )
NEW met3 ( 1644720 1233950 ) ( 1644960 1233950 )
NEW met3 ( 1644960 1233950 ) ( 1644960 1234690 )
NEW met3 ( 2048160 1324230 ) ( 2048160 1324415 )
NEW met3 ( 2047920 1324415 ) ( 2048160 1324415 )
NEW met2 ( 2047920 1324415 ) ( 2047920 1324970 )
NEW met2 ( 2047920 1324970 ) ( 2048880 1324970 0 )
NEW met3 ( 2032080 1236170 ) ( 2032080 1236910 )
NEW met3 ( 2032080 1236910 ) ( 2048160 1236910 )
NEW met4 ( 2048160 1236910 ) ( 2048160 1324230 )
NEW met2 ( 1043760 1229695 ) ( 1043760 1238390 )
NEW met3 ( 984720 1238390 ) ( 1043760 1238390 )
NEW met2 ( 1836240 1228585 ) ( 1836240 1232285 )
NEW met1 ( 1836240 1228585 ) ( 1851120 1228585 )
NEW met2 ( 1851120 1228585 ) ( 1851120 1233950 )
NEW met2 ( 1851120 1233950 ) ( 1851600 1233950 )
NEW met3 ( 1851600 1233950 ) ( 1852320 1233950 )
NEW met3 ( 1852320 1233950 ) ( 1852320 1236170 )
NEW met3 ( 1852320 1236170 ) ( 2032080 1236170 )
NEW met1 ( 1749360 1231915 ) ( 1749360 1232285 )
NEW met1 ( 1743120 1231915 ) ( 1749360 1231915 )
NEW met2 ( 1743120 1231915 ) ( 1743120 1233950 )
NEW met3 ( 1742880 1233950 ) ( 1743120 1233950 )
NEW met3 ( 1742880 1233950 ) ( 1742880 1234690 )
NEW met3 ( 1644960 1234690 ) ( 1742880 1234690 )
NEW met1 ( 1749360 1232285 ) ( 1836240 1232285 )
NEW met1 ( 1230960 1229695 ) ( 1230960 1230065 )
NEW met1 ( 1230960 1230065 ) ( 1241520 1230065 )
NEW met2 ( 1241520 1230065 ) ( 1241520 1233765 )
NEW met1 ( 1241520 1233765 ) ( 1241520 1234135 )
NEW met1 ( 1043760 1229695 ) ( 1230960 1229695 )
NEW met1 ( 1540560 1231545 ) ( 1540560 1231915 )
NEW met1 ( 1540560 1231915 ) ( 1561680 1231915 )
NEW met2 ( 1561680 1231915 ) ( 1561680 1233025 )
NEW met1 ( 1561680 1233025 ) ( 1567440 1233025 )
NEW met1 ( 1567440 1232655 ) ( 1567440 1233025 )
NEW met1 ( 1567440 1232655 ) ( 1644720 1232655 )
NEW met1 ( 1401840 1233765 ) ( 1401840 1234135 )
NEW met1 ( 1401840 1233765 ) ( 1404240 1233765 )
NEW met2 ( 1404240 1232285 ) ( 1404240 1233765 )
NEW met1 ( 1404240 1232285 ) ( 1439760 1232285 )
NEW met1 ( 1439760 1231545 ) ( 1439760 1232285 )
NEW met1 ( 1241520 1234135 ) ( 1401840 1234135 )
NEW met1 ( 1439760 1231545 ) ( 1540560 1231545 )
NEW met2 ( 984720 1236910 ) via2_FR
NEW met2 ( 984720 1238390 ) via2_FR
NEW met2 ( 906480 1236910 ) via2_FR
NEW met1 ( 1644720 1232655 ) M1M2_PR
NEW met2 ( 1644720 1233950 ) via2_FR
NEW met3 ( 2048160 1324230 ) M3M4_PR_M
NEW met2 ( 2047920 1324415 ) via2_FR
NEW met3 ( 2048160 1236910 ) M3M4_PR_M
NEW met1 ( 1043760 1229695 ) M1M2_PR
NEW met2 ( 1043760 1238390 ) via2_FR
NEW met1 ( 1836240 1232285 ) M1M2_PR
NEW met1 ( 1836240 1228585 ) M1M2_PR
NEW met1 ( 1851120 1228585 ) M1M2_PR
NEW met2 ( 1851600 1233950 ) via2_FR
NEW met1 ( 1743120 1231915 ) M1M2_PR
NEW met2 ( 1743120 1233950 ) via2_FR
NEW met1 ( 1241520 1230065 ) M1M2_PR
NEW met1 ( 1241520 1233765 ) M1M2_PR
NEW met1 ( 1561680 1231915 ) M1M2_PR
NEW met1 ( 1561680 1233025 ) M1M2_PR
NEW met1 ( 1404240 1233765 ) M1M2_PR
NEW met1 ( 1404240 1232285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[2\] ( mprj wbs_adr_i[2] ) ( mgmt_buffers mprj_adr_o_user[2] )
+ ROUTED met2 ( 413040 1356050 ) ( 413040 1389350 0 )
NEW met3 ( 413040 1356050 ) ( 1060080 1356050 )
NEW met2 ( 1060080 1232285 ) ( 1060080 1356050 )
NEW met1 ( 1243680 1232285 ) ( 1243680 1232655 )
NEW met3 ( 1926960 1367150 ) ( 2037600 1367150 )
NEW met2 ( 1926960 1325710 0 ) ( 1926960 1367150 )
NEW met2 ( 1842480 1231915 ) ( 1842480 1232655 )
NEW met2 ( 2036880 1231545 ) ( 2036880 1233950 )
NEW met3 ( 2036880 1233950 ) ( 2037600 1233950 )
NEW met4 ( 2037600 1233950 ) ( 2037600 1367150 )
NEW met1 ( 1139760 1232285 ) ( 1139760 1232655 )
NEW met1 ( 1139760 1232655 ) ( 1140720 1232655 )
NEW met1 ( 1140720 1232285 ) ( 1140720 1232655 )
NEW met1 ( 1060080 1232285 ) ( 1139760 1232285 )
NEW met1 ( 1140720 1232285 ) ( 1243680 1232285 )
NEW met2 ( 1699440 1230250 ) ( 1699440 1232285 )
NEW met2 ( 1699440 1230250 ) ( 1700880 1230250 )
NEW met2 ( 1700880 1223035 ) ( 1700880 1230250 )
NEW met1 ( 1700880 1223035 ) ( 1749840 1223035 )
NEW met2 ( 1749840 1223035 ) ( 1749840 1231915 )
NEW met1 ( 1749840 1231915 ) ( 1842480 1231915 )
NEW met2 ( 1988400 1231545 ) ( 1988400 1232655 )
NEW met2 ( 1988400 1231545 ) ( 1989360 1231545 )
NEW met1 ( 1842480 1232655 ) ( 1988400 1232655 )
NEW met1 ( 1989360 1231545 ) ( 2036880 1231545 )
NEW met1 ( 1556400 1232285 ) ( 1556400 1232655 )
NEW met1 ( 1556400 1232285 ) ( 1566480 1232285 )
NEW met1 ( 1566480 1231915 ) ( 1566480 1232285 )
NEW met2 ( 1566480 1231915 ) ( 1568400 1231915 )
NEW met2 ( 1568400 1231915 ) ( 1568400 1232285 )
NEW met1 ( 1568400 1232285 ) ( 1699440 1232285 )
NEW met2 ( 1385040 1212305 ) ( 1385040 1232655 )
NEW met1 ( 1420080 1209345 ) ( 1443120 1209345 )
NEW met1 ( 1243680 1232655 ) ( 1385040 1232655 )
NEW met1 ( 1443120 1214525 ) ( 1467120 1214525 )
NEW met2 ( 1467120 1214525 ) ( 1467120 1215635 )
NEW met1 ( 1467120 1215635 ) ( 1471920 1215635 )
NEW met2 ( 1471920 1214895 ) ( 1471920 1215635 )
NEW met2 ( 1471920 1214895 ) ( 1472880 1214895 )
NEW met2 ( 1472880 1214895 ) ( 1472880 1221370 )
NEW met2 ( 1472880 1221370 ) ( 1474320 1221370 )
NEW met2 ( 1474320 1221370 ) ( 1474320 1232655 )
NEW met1 ( 1385040 1212305 ) ( 1420080 1212305 )
NEW met2 ( 1420080 1209345 ) ( 1420080 1212305 )
NEW met2 ( 1443120 1209345 ) ( 1443120 1214525 )
NEW met1 ( 1474320 1232655 ) ( 1556400 1232655 )
NEW met2 ( 413040 1356050 ) via2_FR
NEW met2 ( 1060080 1356050 ) via2_FR
NEW met3 ( 2037600 1367150 ) M3M4_PR_M
NEW met1 ( 1060080 1232285 ) M1M2_PR
NEW met2 ( 1926960 1367150 ) via2_FR
NEW met1 ( 1842480 1231915 ) M1M2_PR
NEW met1 ( 1842480 1232655 ) M1M2_PR
NEW met1 ( 2036880 1231545 ) M1M2_PR
NEW met2 ( 2036880 1233950 ) via2_FR
NEW met3 ( 2037600 1233950 ) M3M4_PR_M
NEW met1 ( 1699440 1232285 ) M1M2_PR
NEW met1 ( 1700880 1223035 ) M1M2_PR
NEW met1 ( 1749840 1223035 ) M1M2_PR
NEW met1 ( 1749840 1231915 ) M1M2_PR
NEW met1 ( 1988400 1232655 ) M1M2_PR
NEW met1 ( 1989360 1231545 ) M1M2_PR
NEW met1 ( 1566480 1231915 ) M1M2_PR
NEW met1 ( 1568400 1232285 ) M1M2_PR
NEW met1 ( 1385040 1232655 ) M1M2_PR
NEW met1 ( 1385040 1212305 ) M1M2_PR
NEW met1 ( 1420080 1209345 ) M1M2_PR
NEW met1 ( 1443120 1209345 ) M1M2_PR
NEW met1 ( 1443120 1214525 ) M1M2_PR
NEW met1 ( 1467120 1214525 ) M1M2_PR
NEW met1 ( 1467120 1215635 ) M1M2_PR
NEW met1 ( 1471920 1215635 ) M1M2_PR
NEW met1 ( 1474320 1232655 ) M1M2_PR
NEW met1 ( 1420080 1212305 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[30\] ( mprj wbs_adr_i[30] ) ( mgmt_buffers mprj_adr_o_user[30] )
+ ROUTED met2 ( 2057040 1229325 ) ( 2057040 1233950 )
NEW met3 ( 2056800 1233950 ) ( 2057040 1233950 )
NEW met2 ( 984720 1231730 ) ( 984720 1236170 )
NEW met3 ( 984720 1231730 ) ( 987360 1231730 )
NEW met3 ( 987360 1231730 ) ( 987360 1232470 )
NEW met2 ( 1947120 1229325 ) ( 1947120 1232285 )
NEW met1 ( 1947120 1229325 ) ( 2057040 1229325 )
NEW met3 ( 935280 1236170 ) ( 984720 1236170 )
NEW met1 ( 923760 1367705 ) ( 935280 1367705 )
NEW met2 ( 923760 1367705 ) ( 923760 1389350 0 )
NEW met2 ( 935280 1236170 ) ( 935280 1367705 )
NEW met2 ( 1051440 1231175 ) ( 1051440 1232470 )
NEW met3 ( 987360 1232470 ) ( 1051440 1232470 )
NEW met3 ( 2053920 1323490 ) ( 2056800 1323490 )
NEW met3 ( 2053920 1323490 ) ( 2053920 1324415 )
NEW met3 ( 2053920 1324415 ) ( 2054160 1324415 )
NEW met2 ( 2054160 1324230 ) ( 2054160 1324415 )
NEW met2 ( 2053440 1324230 0 ) ( 2054160 1324230 )
NEW met4 ( 2056800 1233950 ) ( 2056800 1323490 )
NEW met2 ( 1914960 1230805 ) ( 1914960 1232285 )
NEW met1 ( 1914960 1232285 ) ( 1947120 1232285 )
NEW met2 ( 1146480 1229325 ) ( 1146480 1231175 )
NEW met1 ( 1051440 1231175 ) ( 1146480 1231175 )
NEW met2 ( 1537680 1222665 ) ( 1537680 1231915 )
NEW met1 ( 1537680 1222665 ) ( 1554480 1222665 )
NEW met1 ( 1554480 1222295 ) ( 1554480 1222665 )
NEW met1 ( 1554480 1222295 ) ( 1560240 1222295 )
NEW met1 ( 1560240 1221925 ) ( 1560240 1222295 )
NEW met2 ( 1746960 1221185 ) ( 1746960 1230805 )
NEW met1 ( 1746960 1230805 ) ( 1914960 1230805 )
NEW met2 ( 1231440 1226735 ) ( 1231440 1229325 )
NEW met1 ( 1231440 1226735 ) ( 1245840 1226735 )
NEW met2 ( 1245840 1226735 ) ( 1245840 1230435 )
NEW met1 ( 1146480 1229325 ) ( 1231440 1229325 )
NEW met1 ( 1598640 1221185 ) ( 1598640 1221925 )
NEW met1 ( 1560240 1221925 ) ( 1598640 1221925 )
NEW met1 ( 1598640 1221185 ) ( 1746960 1221185 )
NEW met1 ( 1386000 1231915 ) ( 1386000 1232655 )
NEW met1 ( 1386000 1232655 ) ( 1404720 1232655 )
NEW met2 ( 1404720 1232655 ) ( 1404720 1233765 )
NEW met1 ( 1404720 1233765 ) ( 1406160 1233765 )
NEW met1 ( 1406160 1233765 ) ( 1406160 1234135 )
NEW met1 ( 1406160 1234135 ) ( 1442160 1234135 )
NEW met1 ( 1442160 1233765 ) ( 1442160 1234135 )
NEW met1 ( 1442160 1233765 ) ( 1443600 1233765 )
NEW met2 ( 1443600 1231915 ) ( 1443600 1233765 )
NEW met1 ( 1443600 1231915 ) ( 1537680 1231915 )
NEW met2 ( 1302000 1215635 ) ( 1302000 1230435 )
NEW met1 ( 1302000 1215635 ) ( 1343280 1215635 )
NEW met2 ( 1343280 1215635 ) ( 1343280 1231915 )
NEW met1 ( 1245840 1230435 ) ( 1302000 1230435 )
NEW met1 ( 1343280 1231915 ) ( 1386000 1231915 )
NEW met1 ( 2057040 1229325 ) M1M2_PR
NEW met2 ( 2057040 1233950 ) via2_FR
NEW met3 ( 2056800 1233950 ) M3M4_PR_M
NEW met2 ( 984720 1236170 ) via2_FR
NEW met2 ( 984720 1231730 ) via2_FR
NEW met1 ( 1947120 1232285 ) M1M2_PR
NEW met1 ( 1947120 1229325 ) M1M2_PR
NEW met2 ( 935280 1236170 ) via2_FR
NEW met1 ( 935280 1367705 ) M1M2_PR
NEW met1 ( 923760 1367705 ) M1M2_PR
NEW met1 ( 1051440 1231175 ) M1M2_PR
NEW met2 ( 1051440 1232470 ) via2_FR
NEW met3 ( 2056800 1323490 ) M3M4_PR_M
NEW met2 ( 2054160 1324415 ) via2_FR
NEW met1 ( 1914960 1230805 ) M1M2_PR
NEW met1 ( 1914960 1232285 ) M1M2_PR
NEW met1 ( 1146480 1231175 ) M1M2_PR
NEW met1 ( 1146480 1229325 ) M1M2_PR
NEW met1 ( 1537680 1231915 ) M1M2_PR
NEW met1 ( 1537680 1222665 ) M1M2_PR
NEW met1 ( 1746960 1221185 ) M1M2_PR
NEW met1 ( 1746960 1230805 ) M1M2_PR
NEW met1 ( 1231440 1229325 ) M1M2_PR
NEW met1 ( 1231440 1226735 ) M1M2_PR
NEW met1 ( 1245840 1226735 ) M1M2_PR
NEW met1 ( 1245840 1230435 ) M1M2_PR
NEW met1 ( 1404720 1232655 ) M1M2_PR
NEW met1 ( 1404720 1233765 ) M1M2_PR
NEW met1 ( 1443600 1233765 ) M1M2_PR
NEW met1 ( 1443600 1231915 ) M1M2_PR
NEW met1 ( 1302000 1230435 ) M1M2_PR
NEW met1 ( 1302000 1215635 ) M1M2_PR
NEW met1 ( 1343280 1215635 ) M1M2_PR
NEW met1 ( 1343280 1231915 ) M1M2_PR
NEW met3 ( 2057040 1233950 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[31\] ( mprj wbs_adr_i[31] ) ( mgmt_buffers mprj_adr_o_user[31] )
+ ROUTED met1 ( 942000 1353645 ) ( 950160 1353645 )
NEW met2 ( 942000 1353645 ) ( 942000 1389350 0 )
NEW met3 ( 950160 1229510 ) ( 988080 1229510 )
NEW met2 ( 988080 1229510 ) ( 988080 1231730 )
NEW met2 ( 950160 1229510 ) ( 950160 1353645 )
NEW met2 ( 2038800 1231915 ) ( 2038800 1233950 )
NEW met3 ( 2038800 1233950 ) ( 2039520 1233950 )
NEW met3 ( 2039520 1233950 ) ( 2039520 1235430 )
NEW met3 ( 2039520 1235430 ) ( 2042400 1235430 )
NEW met4 ( 2042400 1235430 ) ( 2042400 1238390 )
NEW met3 ( 2042400 1238390 ) ( 2054880 1238390 )
NEW met2 ( 1051920 1231730 ) ( 1051920 1235430 )
NEW met3 ( 988080 1231730 ) ( 1051920 1231730 )
NEW met3 ( 2054880 1324230 ) ( 2055840 1324230 )
NEW met3 ( 2055840 1324230 ) ( 2055840 1324415 )
NEW met3 ( 2055840 1324415 ) ( 2056080 1324415 )
NEW met2 ( 2056080 1324415 ) ( 2056080 1324970 )
NEW met2 ( 2056080 1324970 ) ( 2057520 1324970 0 )
NEW met4 ( 2054880 1238390 ) ( 2054880 1324230 )
NEW met1 ( 1241040 1233765 ) ( 1241040 1234135 )
NEW met2 ( 1241040 1233765 ) ( 1241040 1233950 )
NEW met3 ( 1241040 1233950 ) ( 1241040 1234690 )
NEW met2 ( 1865040 1229325 ) ( 1865040 1231915 )
NEW met1 ( 1834320 1229325 ) ( 1865040 1229325 )
NEW met2 ( 1834320 1229325 ) ( 1834320 1233950 )
NEW met3 ( 1834080 1233950 ) ( 1834320 1233950 )
NEW met3 ( 1834080 1233950 ) ( 1834080 1234690 )
NEW met1 ( 1158960 1233395 ) ( 1158960 1234135 )
NEW met1 ( 1139280 1233395 ) ( 1158960 1233395 )
NEW met2 ( 1139280 1232655 ) ( 1139280 1233395 )
NEW met1 ( 1126800 1232655 ) ( 1139280 1232655 )
NEW met2 ( 1126800 1232655 ) ( 1126800 1233950 )
NEW met3 ( 1126560 1233950 ) ( 1126800 1233950 )
NEW met3 ( 1126560 1233950 ) ( 1126560 1235430 )
NEW met3 ( 1051920 1235430 ) ( 1126560 1235430 )
NEW met1 ( 1158960 1234135 ) ( 1241040 1234135 )
NEW met1 ( 1737360 1231545 ) ( 1737360 1232285 )
NEW met1 ( 1737360 1232285 ) ( 1745520 1232285 )
NEW met2 ( 1745520 1232285 ) ( 1745520 1233950 )
NEW met3 ( 1745520 1233950 ) ( 1745520 1234690 )
NEW met3 ( 1745520 1234690 ) ( 1834080 1234690 )
NEW met1 ( 1865040 1231915 ) ( 2038800 1231915 )
NEW met1 ( 1546800 1233765 ) ( 1546800 1234135 )
NEW met2 ( 1546800 1231545 ) ( 1546800 1233765 )
NEW met1 ( 1546800 1231545 ) ( 1737360 1231545 )
NEW met1 ( 1461360 1233765 ) ( 1461360 1234135 )
NEW met2 ( 1461360 1233025 ) ( 1461360 1233765 )
NEW met1 ( 1442160 1233025 ) ( 1461360 1233025 )
NEW met2 ( 1442160 1233025 ) ( 1442160 1233765 )
NEW met2 ( 1441680 1233765 ) ( 1442160 1233765 )
NEW met1 ( 1424880 1233765 ) ( 1441680 1233765 )
NEW met2 ( 1424880 1233765 ) ( 1424880 1233950 )
NEW met3 ( 1424880 1233950 ) ( 1424880 1234690 )
NEW met3 ( 1241040 1234690 ) ( 1424880 1234690 )
NEW met1 ( 1461360 1234135 ) ( 1546800 1234135 )
NEW met3 ( 2054880 1238390 ) M3M4_PR_M
NEW met1 ( 942000 1353645 ) M1M2_PR
NEW met1 ( 950160 1353645 ) M1M2_PR
NEW met2 ( 950160 1229510 ) via2_FR
NEW met2 ( 988080 1229510 ) via2_FR
NEW met2 ( 988080 1231730 ) via2_FR
NEW met1 ( 2038800 1231915 ) M1M2_PR
NEW met2 ( 2038800 1233950 ) via2_FR
NEW met3 ( 2042400 1235430 ) M3M4_PR_M
NEW met3 ( 2042400 1238390 ) M3M4_PR_M
NEW met2 ( 1051920 1235430 ) via2_FR
NEW met2 ( 1051920 1231730 ) via2_FR
NEW met3 ( 2054880 1324230 ) M3M4_PR_M
NEW met2 ( 2056080 1324415 ) via2_FR
NEW met1 ( 1241040 1233765 ) M1M2_PR
NEW met2 ( 1241040 1233950 ) via2_FR
NEW met1 ( 1865040 1231915 ) M1M2_PR
NEW met1 ( 1865040 1229325 ) M1M2_PR
NEW met1 ( 1834320 1229325 ) M1M2_PR
NEW met2 ( 1834320 1233950 ) via2_FR
NEW met1 ( 1139280 1233395 ) M1M2_PR
NEW met1 ( 1139280 1232655 ) M1M2_PR
NEW met1 ( 1126800 1232655 ) M1M2_PR
NEW met2 ( 1126800 1233950 ) via2_FR
NEW met1 ( 1745520 1232285 ) M1M2_PR
NEW met2 ( 1745520 1233950 ) via2_FR
NEW met1 ( 1546800 1233765 ) M1M2_PR
NEW met1 ( 1546800 1231545 ) M1M2_PR
NEW met1 ( 1461360 1233765 ) M1M2_PR
NEW met1 ( 1461360 1233025 ) M1M2_PR
NEW met1 ( 1442160 1233025 ) M1M2_PR
NEW met1 ( 1441680 1233765 ) M1M2_PR
NEW met1 ( 1424880 1233765 ) M1M2_PR
NEW met2 ( 1424880 1233950 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[3\] ( mprj wbs_adr_i[3] ) ( mgmt_buffers mprj_adr_o_user[3] )
+ ROUTED met2 ( 2054160 1231545 ) ( 2054160 1233950 )
NEW met3 ( 2053920 1233950 ) ( 2054160 1233950 )
NEW met3 ( 2053920 1233950 ) ( 2053920 1235430 )
NEW met3 ( 2049120 1235430 ) ( 2053920 1235430 )
NEW met1 ( 1988880 1233765 ) ( 1988880 1234135 )
NEW met2 ( 1988880 1232285 ) ( 1988880 1233765 )
NEW met1 ( 1988880 1232285 ) ( 2039280 1232285 )
NEW met1 ( 2039280 1231545 ) ( 2039280 1232285 )
NEW met1 ( 2039280 1231545 ) ( 2054160 1231545 )
NEW met2 ( 436080 1355310 ) ( 436080 1389350 0 )
NEW met3 ( 436080 1355310 ) ( 1058640 1355310 )
NEW met2 ( 1058640 1234135 ) ( 1058640 1355310 )
NEW met4 ( 2049120 1235430 ) ( 2049120 1361230 )
NEW met1 ( 1139760 1233765 ) ( 1139760 1234135 )
NEW met2 ( 1139760 1231545 ) ( 1139760 1233765 )
NEW met1 ( 1058640 1234135 ) ( 1139760 1234135 )
NEW met2 ( 1933200 1325710 0 ) ( 1933200 1361230 )
NEW met3 ( 1933200 1361230 ) ( 2049120 1361230 )
NEW met2 ( 1547280 1224145 ) ( 1547280 1233765 )
NEW met1 ( 1547280 1233765 ) ( 1560720 1233765 )
NEW met1 ( 1560720 1233765 ) ( 1560720 1234135 )
NEW met1 ( 1560720 1234135 ) ( 1988880 1234135 )
NEW met2 ( 1404240 1214155 ) ( 1404240 1231545 )
NEW met1 ( 1404240 1214155 ) ( 1444560 1214155 )
NEW met2 ( 1444560 1214155 ) ( 1444560 1224145 )
NEW met1 ( 1444560 1224145 ) ( 1547280 1224145 )
NEW met1 ( 1304400 1231545 ) ( 1304400 1231915 )
NEW met1 ( 1304400 1231915 ) ( 1340400 1231915 )
NEW met2 ( 1340400 1231730 ) ( 1340400 1231915 )
NEW met2 ( 1340400 1231730 ) ( 1341360 1231730 )
NEW met2 ( 1341360 1231545 ) ( 1341360 1231730 )
NEW met1 ( 1139760 1231545 ) ( 1304400 1231545 )
NEW met1 ( 1341360 1231545 ) ( 1404240 1231545 )
NEW met1 ( 2054160 1231545 ) M1M2_PR
NEW met2 ( 2054160 1233950 ) via2_FR
NEW met3 ( 2049120 1235430 ) M3M4_PR_M
NEW met2 ( 1058640 1355310 ) via2_FR
NEW met1 ( 1988880 1233765 ) M1M2_PR
NEW met1 ( 1988880 1232285 ) M1M2_PR
NEW met2 ( 436080 1355310 ) via2_FR
NEW met1 ( 1058640 1234135 ) M1M2_PR
NEW met3 ( 2049120 1361230 ) M3M4_PR_M
NEW met1 ( 1139760 1233765 ) M1M2_PR
NEW met1 ( 1139760 1231545 ) M1M2_PR
NEW met2 ( 1933200 1361230 ) via2_FR
NEW met1 ( 1547280 1224145 ) M1M2_PR
NEW met1 ( 1547280 1233765 ) M1M2_PR
NEW met1 ( 1404240 1231545 ) M1M2_PR
NEW met1 ( 1404240 1214155 ) M1M2_PR
NEW met1 ( 1444560 1214155 ) M1M2_PR
NEW met1 ( 1444560 1224145 ) M1M2_PR
NEW met1 ( 1340400 1231915 ) M1M2_PR
NEW met1 ( 1341360 1231545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[4\] ( mprj wbs_adr_i[4] ) ( mgmt_buffers mprj_adr_o_user[4] )
+ ROUTED met2 ( 1030320 1367335 ) ( 1030320 1384910 )
NEW met2 ( 460080 1367335 ) ( 460080 1389350 0 )
NEW met1 ( 460080 1367335 ) ( 1030320 1367335 )
NEW met2 ( 1938960 1325710 ) ( 1939680 1325710 0 )
NEW met2 ( 1891440 1384910 ) ( 1891440 1391385 )
NEW met1 ( 1891440 1391385 ) ( 1938960 1391385 )
NEW met3 ( 1030320 1384910 ) ( 1891440 1384910 )
NEW met2 ( 1938960 1325710 ) ( 1938960 1391385 )
NEW met2 ( 1030320 1384910 ) via2_FR
NEW met1 ( 1030320 1367335 ) M1M2_PR
NEW met1 ( 460080 1367335 ) M1M2_PR
NEW met2 ( 1891440 1384910 ) via2_FR
NEW met1 ( 1891440 1391385 ) M1M2_PR
NEW met1 ( 1938960 1391385 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[5\] ( mprj wbs_adr_i[5] ) ( mgmt_buffers mprj_adr_o_user[5] )
+ ROUTED met1 ( 965040 1353645 ) ( 965040 1354015 )
NEW met1 ( 965040 1353645 ) ( 978960 1353645 )
NEW met2 ( 978960 1353645 ) ( 978960 1357345 )
NEW met1 ( 978960 1357345 ) ( 1033200 1357345 )
NEW met1 ( 734640 1357345 ) ( 734640 1357715 )
NEW met3 ( 1033200 1222850 ) ( 1034640 1222850 )
NEW met2 ( 1034640 1216930 ) ( 1034640 1222850 )
NEW met2 ( 1033200 1222850 ) ( 1033200 1357345 )
NEW met2 ( 1944720 1215450 ) ( 1944720 1216930 )
NEW met3 ( 1944720 1215450 ) ( 2040480 1215450 )
NEW met4 ( 2040480 1215450 ) ( 2040480 1359750 )
NEW met2 ( 885360 1354015 ) ( 885360 1357345 )
NEW met1 ( 734640 1357345 ) ( 885360 1357345 )
NEW met1 ( 885360 1354015 ) ( 965040 1354015 )
NEW met3 ( 1034640 1216930 ) ( 1944720 1216930 )
NEW met2 ( 503760 1358085 ) ( 503760 1365485 )
NEW met1 ( 478320 1365485 ) ( 503760 1365485 )
NEW met2 ( 478320 1365485 ) ( 478320 1389350 0 )
NEW met2 ( 605040 1357345 ) ( 605040 1357530 )
NEW met2 ( 605040 1357530 ) ( 606000 1357530 )
NEW met2 ( 606000 1357530 ) ( 606000 1358085 )
NEW met1 ( 606000 1358085 ) ( 634320 1358085 )
NEW met1 ( 634320 1357715 ) ( 634320 1358085 )
NEW met1 ( 634320 1357715 ) ( 734640 1357715 )
NEW met1 ( 504240 1358085 ) ( 504240 1358455 )
NEW met1 ( 504240 1358455 ) ( 533040 1358455 )
NEW met1 ( 533040 1357345 ) ( 533040 1358455 )
NEW met1 ( 503760 1358085 ) ( 504240 1358085 )
NEW met1 ( 533040 1357345 ) ( 605040 1357345 )
NEW met2 ( 1944240 1325710 0 ) ( 1944240 1326635 )
NEW met1 ( 1944240 1326635 ) ( 1948080 1326635 )
NEW met2 ( 1948080 1326635 ) ( 1948080 1359750 )
NEW met3 ( 1948080 1359750 ) ( 2040480 1359750 )
NEW met1 ( 1033200 1357345 ) M1M2_PR
NEW met1 ( 978960 1353645 ) M1M2_PR
NEW met1 ( 978960 1357345 ) M1M2_PR
NEW met2 ( 1033200 1222850 ) via2_FR
NEW met2 ( 1034640 1222850 ) via2_FR
NEW met2 ( 1034640 1216930 ) via2_FR
NEW met2 ( 1944720 1216930 ) via2_FR
NEW met2 ( 1944720 1215450 ) via2_FR
NEW met3 ( 2040480 1215450 ) M3M4_PR_M
NEW met3 ( 2040480 1359750 ) M3M4_PR_M
NEW met1 ( 885360 1357345 ) M1M2_PR
NEW met1 ( 885360 1354015 ) M1M2_PR
NEW met1 ( 503760 1358085 ) M1M2_PR
NEW met1 ( 503760 1365485 ) M1M2_PR
NEW met1 ( 478320 1365485 ) M1M2_PR
NEW met1 ( 605040 1357345 ) M1M2_PR
NEW met1 ( 606000 1358085 ) M1M2_PR
NEW met1 ( 1944240 1326635 ) M1M2_PR
NEW met1 ( 1948080 1326635 ) M1M2_PR
NEW met2 ( 1948080 1359750 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[6\] ( mprj wbs_adr_i[6] ) ( mgmt_buffers mprj_adr_o_user[6] )
+ ROUTED met2 ( 1057680 1248750 ) ( 1058160 1248750 )
NEW met2 ( 993840 1359935 ) ( 993840 1364375 )
NEW met1 ( 993840 1364375 ) ( 1058160 1364375 )
NEW met2 ( 1058160 1248750 ) ( 1058160 1364375 )
NEW met4 ( 1339680 1216190 ) ( 1339680 1217115 )
NEW met4 ( 1339680 1217115 ) ( 1340640 1217115 )
NEW met4 ( 1340640 1217115 ) ( 1340640 1218410 )
NEW met3 ( 1948560 1361970 ) ( 1987920 1361970 )
NEW met2 ( 1987920 1361970 ) ( 1987920 1365670 )
NEW met2 ( 1948560 1325710 0 ) ( 1948560 1361970 )
NEW met2 ( 496080 1359935 ) ( 496080 1389350 0 )
NEW met1 ( 496080 1359935 ) ( 993840 1359935 )
NEW met3 ( 1057680 1211010 ) ( 1117680 1211010 )
NEW met2 ( 1117680 1211010 ) ( 1117680 1215265 )
NEW met1 ( 1117680 1215265 ) ( 1136880 1215265 )
NEW met2 ( 1136880 1215265 ) ( 1136880 1216190 )
NEW met2 ( 1057680 1211010 ) ( 1057680 1248750 )
NEW met3 ( 1136880 1216190 ) ( 1339680 1216190 )
NEW met2 ( 1440720 1218410 ) ( 1440720 1219335 )
NEW met1 ( 1440720 1219335 ) ( 1540560 1219335 )
NEW met2 ( 1540560 1216190 ) ( 1540560 1219335 )
NEW met3 ( 1340640 1218410 ) ( 1440720 1218410 )
NEW met3 ( 1987920 1365670 ) ( 2045280 1365670 )
NEW met3 ( 1540560 1216190 ) ( 2045280 1216190 )
NEW met4 ( 2045280 1216190 ) ( 2045280 1365670 )
NEW met1 ( 993840 1359935 ) M1M2_PR
NEW met1 ( 993840 1364375 ) M1M2_PR
NEW met1 ( 1058160 1364375 ) M1M2_PR
NEW met3 ( 1339680 1216190 ) M3M4_PR_M
NEW met3 ( 1340640 1218410 ) M3M4_PR_M
NEW met2 ( 1948560 1361970 ) via2_FR
NEW met2 ( 1987920 1361970 ) via2_FR
NEW met2 ( 1987920 1365670 ) via2_FR
NEW met1 ( 496080 1359935 ) M1M2_PR
NEW met2 ( 1057680 1211010 ) via2_FR
NEW met2 ( 1117680 1211010 ) via2_FR
NEW met1 ( 1117680 1215265 ) M1M2_PR
NEW met1 ( 1136880 1215265 ) M1M2_PR
NEW met2 ( 1136880 1216190 ) via2_FR
NEW met2 ( 1440720 1218410 ) via2_FR
NEW met1 ( 1440720 1219335 ) M1M2_PR
NEW met1 ( 1540560 1219335 ) M1M2_PR
NEW met2 ( 1540560 1216190 ) via2_FR
NEW met3 ( 2045280 1365670 ) M3M4_PR_M
NEW met3 ( 2045280 1216190 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_user\[7\] ( mprj wbs_adr_i[7] ) ( mgmt_buffers mprj_adr_o_user[7] )
+ ROUTED met2 ( 994320 1356975 ) ( 994320 1359935 )
NEW met1 ( 994320 1359935 ) ( 1061040 1359935 )
NEW met2 ( 784560 1356975 ) ( 784560 1358085 )
NEW met2 ( 1944720 1228955 ) ( 1944720 1231545 )
NEW met3 ( 1954320 1359010 ) ( 2030880 1359010 )
NEW met2 ( 1953120 1325710 0 ) ( 1954320 1325710 )
NEW met2 ( 1954320 1325710 ) ( 1954320 1359010 )
NEW met1 ( 885840 1356975 ) ( 885840 1358085 )
NEW met1 ( 784560 1358085 ) ( 885840 1358085 )
NEW met1 ( 885840 1356975 ) ( 994320 1356975 )
NEW met2 ( 1061040 1231915 ) ( 1061040 1359935 )
NEW met2 ( 2030160 1217670 ) ( 2030160 1228955 )
NEW met3 ( 2029920 1217670 ) ( 2030160 1217670 )
NEW met4 ( 2029920 1217670 ) ( 2029920 1233950 )
NEW met4 ( 2029680 1233950 ) ( 2029920 1233950 )
NEW met4 ( 2029680 1233950 ) ( 2029680 1235430 )
NEW met4 ( 2029680 1235430 ) ( 2030880 1235430 )
NEW met1 ( 1944720 1228955 ) ( 2030160 1228955 )
NEW met4 ( 2030880 1235430 ) ( 2030880 1359010 )
NEW met2 ( 1154640 1231175 ) ( 1154640 1231915 )
NEW met1 ( 1061040 1231915 ) ( 1154640 1231915 )
NEW met2 ( 513360 1356975 ) ( 513360 1389350 0 )
NEW met1 ( 513360 1356975 ) ( 784560 1356975 )
NEW met2 ( 1641360 1219705 ) ( 1641360 1231175 )
NEW met1 ( 1641360 1219705 ) ( 1698960 1219705 )
NEW met2 ( 1698960 1219705 ) ( 1698960 1230805 )
NEW met2 ( 1741200 1230805 ) ( 1741200 1231545 )
NEW met1 ( 1698960 1230805 ) ( 1741200 1230805 )
NEW met1 ( 1741200 1231545 ) ( 1944720 1231545 )
NEW met1 ( 1437360 1230805 ) ( 1437360 1231175 )
NEW met1 ( 1437360 1230805 ) ( 1439280 1230805 )
NEW met2 ( 1439280 1230805 ) ( 1439280 1231545 )
NEW met2 ( 1439280 1231545 ) ( 1440240 1231545 )
NEW met2 ( 1440240 1231175 ) ( 1440240 1231545 )
NEW met1 ( 1440240 1231175 ) ( 1641360 1231175 )
NEW met2 ( 1304400 1214895 ) ( 1304400 1231175 )
NEW met1 ( 1304400 1214895 ) ( 1342800 1214895 )
NEW met2 ( 1342800 1214895 ) ( 1342800 1231175 )
NEW met1 ( 1154640 1231175 ) ( 1304400 1231175 )
NEW met1 ( 1342800 1231175 ) ( 1437360 1231175 )
NEW met1 ( 994320 1356975 ) M1M2_PR
NEW met1 ( 994320 1359935 ) M1M2_PR
NEW met1 ( 1061040 1359935 ) M1M2_PR
NEW met1 ( 784560 1356975 ) M1M2_PR
NEW met1 ( 784560 1358085 ) M1M2_PR
NEW met1 ( 1944720 1231545 ) M1M2_PR
NEW met1 ( 1944720 1228955 ) M1M2_PR
NEW met2 ( 1954320 1359010 ) via2_FR
NEW met3 ( 2030880 1359010 ) M3M4_PR_M
NEW met1 ( 1061040 1231915 ) M1M2_PR
NEW met1 ( 2030160 1228955 ) M1M2_PR
NEW met2 ( 2030160 1217670 ) via2_FR
NEW met3 ( 2029920 1217670 ) M3M4_PR_M
NEW met1 ( 1154640 1231915 ) M1M2_PR
NEW met1 ( 1154640 1231175 ) M1M2_PR
NEW met1 ( 513360 1356975 ) M1M2_PR
NEW met1 ( 1641360 1231175 ) M1M2_PR
NEW met1 ( 1641360 1219705 ) M1M2_PR
NEW met1 ( 1698960 1219705 ) M1M2_PR
NEW met1 ( 1698960 1230805 ) M1M2_PR
NEW met1 ( 1741200 1230805 ) M1M2_PR
NEW met1 ( 1741200 1231545 ) M1M2_PR
NEW met1 ( 1439280 1230805 ) M1M2_PR
NEW met1 ( 1440240 1231175 ) M1M2_PR
NEW met1 ( 1304400 1231175 ) M1M2_PR
NEW met1 ( 1304400 1214895 ) M1M2_PR
NEW met1 ( 1342800 1214895 ) M1M2_PR
NEW met1 ( 1342800 1231175 ) M1M2_PR
NEW met3 ( 2030160 1217670 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_adr_o_user\[8\] ( mprj wbs_adr_i[8] ) ( mgmt_buffers mprj_adr_o_user[8] )
+ ROUTED met2 ( 957360 1193990 ) ( 957360 1355125 )
NEW met2 ( 1957200 1325710 0 ) ( 1957200 1364005 )
NEW met3 ( 957360 1193990 ) ( 2060400 1193990 )
NEW met1 ( 2060400 1209715 ) ( 2061360 1209715 )
NEW met2 ( 2060400 1193990 ) ( 2060400 1209715 )
NEW met1 ( 1957200 1364005 ) ( 2061360 1364005 )
NEW met2 ( 2061360 1209715 ) ( 2061360 1364005 )
NEW met2 ( 531600 1355125 ) ( 531600 1389350 0 )
NEW met1 ( 531600 1355125 ) ( 957360 1355125 )
NEW met2 ( 2060400 1193990 ) via2_FR
NEW met1 ( 957360 1355125 ) M1M2_PR
NEW met2 ( 957360 1193990 ) via2_FR
NEW met1 ( 1957200 1364005 ) M1M2_PR
NEW met1 ( 2060400 1209715 ) M1M2_PR
NEW met1 ( 2061360 1209715 ) M1M2_PR
NEW met1 ( 2061360 1364005 ) M1M2_PR
NEW met1 ( 531600 1355125 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[9\] ( mprj wbs_adr_i[9] ) ( mgmt_buffers mprj_adr_o_user[9] )
+ ROUTED met2 ( 1007760 1353090 ) ( 1007760 1355495 )
NEW met2 ( 1587600 1353090 ) ( 1587600 1353645 )
NEW met3 ( 1007760 1353090 ) ( 1587600 1353090 )
NEW met2 ( 549360 1355495 ) ( 549360 1389350 0 )
NEW met1 ( 549360 1355495 ) ( 1007760 1355495 )
NEW met2 ( 1960560 1325710 ) ( 1961760 1325710 0 )
NEW met2 ( 1960560 1325710 ) ( 1960560 1353645 )
NEW met1 ( 1587600 1353645 ) ( 1960560 1353645 )
NEW met2 ( 1007760 1353090 ) via2_FR
NEW met1 ( 1007760 1355495 ) M1M2_PR
NEW met2 ( 1587600 1353090 ) via2_FR
NEW met1 ( 1587600 1353645 ) M1M2_PR
NEW met1 ( 549360 1355495 ) M1M2_PR
NEW met1 ( 1960560 1353645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_clock ( mprj wb_clk_i ) ( mgmt_buffers user_clock )
+ ROUTED met2 ( 1062000 1325710 0 ) ( 1062000 1367705 )
NEW met1 ( 993360 1367705 ) ( 1062000 1367705 )
NEW met2 ( 993360 1364375 ) ( 993360 1367705 )
NEW met2 ( 329520 1364375 ) ( 329520 1389350 0 )
NEW met1 ( 329520 1364375 ) ( 993360 1364375 )
NEW met1 ( 1062000 1367705 ) M1M2_PR
NEW met1 ( 993360 1367705 ) M1M2_PR
NEW met1 ( 993360 1364375 ) M1M2_PR
NEW met1 ( 329520 1364375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_clock2 ( mprj user_clock2 ) ( mgmt_buffers user_clock2 )
+ ROUTED met1 ( 1053360 1324415 ) ( 1062480 1324415 )
NEW met2 ( 1062480 1324230 ) ( 1062480 1324415 )
NEW met2 ( 1062480 1324230 ) ( 1063920 1324230 0 )
NEW met2 ( 1339920 1212490 ) ( 1339920 1218410 )
NEW met3 ( 1339920 1212490 ) ( 1439280 1212490 )
NEW met2 ( 1439280 1212490 ) ( 1439760 1212490 )
NEW met2 ( 1439760 1212490 ) ( 1439760 1218410 )
NEW met2 ( 3240240 1389350 ) ( 3243600 1389350 0 )
NEW met3 ( 1053360 1211750 ) ( 1136400 1211750 )
NEW met2 ( 1136400 1211750 ) ( 1136400 1218410 )
NEW met2 ( 1136400 1218410 ) ( 1136880 1218410 )
NEW met2 ( 1053360 1211750 ) ( 1053360 1324415 )
NEW met3 ( 1136880 1218410 ) ( 1339920 1218410 )
NEW met2 ( 1440240 1217670 ) ( 1440240 1218410 )
NEW met2 ( 1440240 1217670 ) ( 1441680 1217670 )
NEW met2 ( 1441680 1217670 ) ( 1441680 1218410 )
NEW met2 ( 1439760 1218410 ) ( 1440240 1218410 )
NEW met2 ( 2217840 1218225 ) ( 2217840 1218410 )
NEW met1 ( 2217840 1218225 ) ( 2318160 1218225 )
NEW met2 ( 2318160 1218225 ) ( 2318160 1218410 )
NEW met3 ( 1441680 1218410 ) ( 2217840 1218410 )
NEW met2 ( 2419440 1218225 ) ( 2419440 1218410 )
NEW met1 ( 2419440 1218225 ) ( 2519760 1218225 )
NEW met2 ( 2519760 1218225 ) ( 2519760 1218410 )
NEW met3 ( 2318160 1218410 ) ( 2419440 1218410 )
NEW met2 ( 2621040 1218225 ) ( 2621040 1218410 )
NEW met1 ( 2621040 1218225 ) ( 2721360 1218225 )
NEW met2 ( 2721360 1218225 ) ( 2721360 1218410 )
NEW met3 ( 2519760 1218410 ) ( 2621040 1218410 )
NEW met2 ( 2822640 1218225 ) ( 2822640 1218410 )
NEW met1 ( 2822640 1218225 ) ( 2922960 1218225 )
NEW met2 ( 2922960 1218225 ) ( 2922960 1218410 )
NEW met3 ( 2721360 1218410 ) ( 2822640 1218410 )
NEW met2 ( 3024240 1218410 ) ( 3024240 1218595 )
NEW met1 ( 3024240 1218595 ) ( 3124560 1218595 )
NEW met2 ( 3124560 1218410 ) ( 3124560 1218595 )
NEW met3 ( 2922960 1218410 ) ( 3024240 1218410 )
NEW met2 ( 3225840 1218225 ) ( 3225840 1218410 )
NEW met1 ( 3225840 1218225 ) ( 3240240 1218225 )
NEW met3 ( 3124560 1218410 ) ( 3225840 1218410 )
NEW met2 ( 3240240 1218225 ) ( 3240240 1389350 )
NEW met1 ( 1053360 1324415 ) M1M2_PR
NEW met1 ( 1062480 1324415 ) M1M2_PR
NEW met2 ( 1339920 1218410 ) via2_FR
NEW met2 ( 1339920 1212490 ) via2_FR
NEW met2 ( 1439280 1212490 ) via2_FR
NEW met2 ( 1053360 1211750 ) via2_FR
NEW met2 ( 1136400 1211750 ) via2_FR
NEW met2 ( 1136880 1218410 ) via2_FR
NEW met2 ( 1441680 1218410 ) via2_FR
NEW met2 ( 2217840 1218410 ) via2_FR
NEW met1 ( 2217840 1218225 ) M1M2_PR
NEW met1 ( 2318160 1218225 ) M1M2_PR
NEW met2 ( 2318160 1218410 ) via2_FR
NEW met2 ( 2419440 1218410 ) via2_FR
NEW met1 ( 2419440 1218225 ) M1M2_PR
NEW met1 ( 2519760 1218225 ) M1M2_PR
NEW met2 ( 2519760 1218410 ) via2_FR
NEW met2 ( 2621040 1218410 ) via2_FR
NEW met1 ( 2621040 1218225 ) M1M2_PR
NEW met1 ( 2721360 1218225 ) M1M2_PR
NEW met2 ( 2721360 1218410 ) via2_FR
NEW met2 ( 2822640 1218410 ) via2_FR
NEW met1 ( 2822640 1218225 ) M1M2_PR
NEW met1 ( 2922960 1218225 ) M1M2_PR
NEW met2 ( 2922960 1218410 ) via2_FR
NEW met2 ( 3024240 1218410 ) via2_FR
NEW met1 ( 3024240 1218595 ) M1M2_PR
NEW met1 ( 3124560 1218595 ) M1M2_PR
NEW met2 ( 3124560 1218410 ) via2_FR
NEW met2 ( 3225840 1218410 ) via2_FR
NEW met1 ( 3225840 1218225 ) M1M2_PR
NEW met1 ( 3240240 1218225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_cyc_o_core ( soc mprj_cyc_o ) ( mgmt_buffers mprj_cyc_o_core )
+ ROUTED met3 ( 1050960 1144410 ) ( 1052640 1144410 0 )
NEW met2 ( 1050960 1144410 ) ( 1050960 1174010 )
NEW met2 ( 1897200 1174010 ) ( 1897200 1232470 )
NEW met2 ( 1897200 1232470 ) ( 1898400 1232470 0 )
NEW met3 ( 1050960 1174010 ) ( 1897200 1174010 )
NEW met2 ( 1050960 1144410 ) via2_FR
NEW met2 ( 1050960 1174010 ) via2_FR
NEW met2 ( 1897200 1174010 ) via2_FR
+ USE SIGNAL ;
- mprj_cyc_o_user ( mprj wbs_cyc_i ) ( mgmt_buffers mprj_cyc_o_user )
+ ROUTED met2 ( 347280 1360490 ) ( 347280 1389350 0 )
NEW met2 ( 1793520 1360490 ) ( 1793520 1361970 )
NEW met3 ( 347280 1360490 ) ( 1793520 1360490 )
NEW met2 ( 1906320 1325710 ) ( 1906320 1361970 )
NEW met2 ( 1906320 1325710 ) ( 1907040 1325710 0 )
NEW met3 ( 1793520 1361970 ) ( 1906320 1361970 )
NEW met2 ( 347280 1360490 ) via2_FR
NEW met2 ( 1793520 1360490 ) via2_FR
NEW met2 ( 1793520 1361970 ) via2_FR
NEW met2 ( 1906320 1361970 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[0\] ( soc mprj_dat_i[0] ) ( mprj wbs_dat_o[0] )
+ ROUTED met1 ( 377040 1353645 ) ( 388560 1353645 )
NEW met2 ( 377040 1353645 ) ( 377040 1389350 0 )
NEW met2 ( 388560 1217115 ) ( 388560 1353645 )
NEW met2 ( 1029840 1141450 ) ( 1029840 1217115 )
NEW met1 ( 388560 1217115 ) ( 1029840 1217115 )
NEW met3 ( 1029840 1141450 ) ( 1052640 1141450 0 )
NEW met1 ( 377040 1353645 ) M1M2_PR
NEW met1 ( 388560 1353645 ) M1M2_PR
NEW met1 ( 388560 1217115 ) M1M2_PR
NEW met2 ( 1029840 1141450 ) via2_FR
NEW met1 ( 1029840 1217115 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[10\] ( soc mprj_dat_i[10] ) ( mprj wbs_dat_o[10] )
+ ROUTED met1 ( 579120 1364005 ) ( 590160 1364005 )
NEW met2 ( 579120 1364005 ) ( 579120 1389350 0 )
NEW met2 ( 590160 1220815 ) ( 590160 1364005 )
NEW met2 ( 1025040 1138490 ) ( 1025040 1220815 )
NEW met1 ( 590160 1220815 ) ( 1025040 1220815 )
NEW met3 ( 1025040 1138490 ) ( 1052640 1138490 0 )
NEW met1 ( 590160 1220815 ) M1M2_PR
NEW met1 ( 590160 1364005 ) M1M2_PR
NEW met1 ( 579120 1364005 ) M1M2_PR
NEW met2 ( 1025040 1138490 ) via2_FR
NEW met1 ( 1025040 1220815 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[11\] ( soc mprj_dat_i[11] ) ( mprj wbs_dat_o[11] )
+ ROUTED met1 ( 596880 1364005 ) ( 604560 1364005 )
NEW met2 ( 596880 1364005 ) ( 596880 1389350 0 )
NEW met2 ( 604560 1221185 ) ( 604560 1364005 )
NEW met2 ( 1028400 1139970 ) ( 1028400 1221185 )
NEW met2 ( 1028400 1139970 ) ( 1028880 1139970 )
NEW met2 ( 1028880 1136270 ) ( 1028880 1139970 )
NEW met1 ( 604560 1221185 ) ( 1028400 1221185 )
NEW met3 ( 1028880 1136270 ) ( 1052640 1136270 0 )
NEW met2 ( 1028880 1136270 ) via2_FR
NEW met1 ( 604560 1221185 ) M1M2_PR
NEW met1 ( 604560 1364005 ) M1M2_PR
NEW met1 ( 596880 1364005 ) M1M2_PR
NEW met1 ( 1028400 1221185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[12\] ( soc mprj_dat_i[12] ) ( mprj wbs_dat_o[12] )
+ ROUTED met1 ( 614640 1364005 ) ( 618960 1364005 )
NEW met2 ( 614640 1364005 ) ( 614640 1389350 0 )
NEW met2 ( 618960 1221555 ) ( 618960 1364005 )
NEW met2 ( 1026960 1133310 ) ( 1026960 1221555 )
NEW met1 ( 618960 1221555 ) ( 1026960 1221555 )
NEW met3 ( 1026960 1133310 ) ( 1052640 1133310 0 )
NEW met2 ( 1026960 1133310 ) via2_FR
NEW met1 ( 618960 1221555 ) M1M2_PR
NEW met1 ( 618960 1364005 ) M1M2_PR
NEW met1 ( 614640 1364005 ) M1M2_PR
NEW met1 ( 1026960 1221555 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[13\] ( soc mprj_dat_i[13] ) ( mprj wbs_dat_o[13] )
+ ROUTED met2 ( 632880 1389350 0 ) ( 633360 1389350 )
NEW met2 ( 633360 1221925 ) ( 633360 1389350 )
NEW met2 ( 1027920 1130350 ) ( 1027920 1221925 )
NEW met1 ( 633360 1221925 ) ( 1027920 1221925 )
NEW met3 ( 1027920 1130350 ) ( 1052640 1130350 0 )
NEW met2 ( 1027920 1130350 ) via2_FR
NEW met1 ( 633360 1221925 ) M1M2_PR
NEW met1 ( 1027920 1221925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[14\] ( soc mprj_dat_i[14] ) ( mprj wbs_dat_o[14] )
+ ROUTED met2 ( 1026000 1128130 ) ( 1026000 1222295 )
NEW met1 ( 650640 1364745 ) ( 662160 1364745 )
NEW met2 ( 650640 1364745 ) ( 650640 1389350 0 )
NEW met2 ( 662160 1222295 ) ( 662160 1364745 )
NEW met1 ( 662160 1222295 ) ( 1026000 1222295 )
NEW met3 ( 1026000 1128130 ) ( 1052640 1128130 0 )
NEW met2 ( 1026000 1128130 ) via2_FR
NEW met1 ( 1026000 1222295 ) M1M2_PR
NEW met1 ( 662160 1222295 ) M1M2_PR
NEW met1 ( 662160 1364745 ) M1M2_PR
NEW met1 ( 650640 1364745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[15\] ( soc mprj_dat_i[15] ) ( mprj wbs_dat_o[15] )
+ ROUTED met3 ( 1034640 1137010 ) ( 1035600 1137010 )
NEW met2 ( 1034640 1125170 ) ( 1034640 1137010 )
NEW met2 ( 1035600 1137010 ) ( 1035600 1222665 )
NEW met1 ( 667920 1364745 ) ( 676560 1364745 )
NEW met2 ( 667920 1364745 ) ( 667920 1389350 0 )
NEW met2 ( 676560 1222665 ) ( 676560 1364745 )
NEW met1 ( 676560 1222665 ) ( 1035600 1222665 )
NEW met3 ( 1034640 1125170 ) ( 1052640 1125170 0 )
NEW met2 ( 1035600 1137010 ) via2_FR
NEW met2 ( 1034640 1137010 ) via2_FR
NEW met2 ( 1034640 1125170 ) via2_FR
NEW met1 ( 1035600 1222665 ) M1M2_PR
NEW met1 ( 676560 1222665 ) M1M2_PR
NEW met1 ( 676560 1364745 ) M1M2_PR
NEW met1 ( 667920 1364745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[16\] ( soc mprj_dat_i[16] ) ( mprj wbs_dat_o[16] )
+ ROUTED met2 ( 1035120 1122210 ) ( 1035120 1223035 )
NEW met1 ( 686160 1364005 ) ( 690960 1364005 )
NEW met2 ( 686160 1364005 ) ( 686160 1389350 0 )
NEW met2 ( 690960 1223035 ) ( 690960 1364005 )
NEW met1 ( 690960 1223035 ) ( 1035120 1223035 )
NEW met3 ( 1035120 1122210 ) ( 1052640 1122210 0 )
NEW met2 ( 1035120 1122210 ) via2_FR
NEW met1 ( 1035120 1223035 ) M1M2_PR
NEW met1 ( 690960 1223035 ) M1M2_PR
NEW met1 ( 690960 1364005 ) M1M2_PR
NEW met1 ( 686160 1364005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[17\] ( soc mprj_dat_i[17] ) ( mprj wbs_dat_o[17] )
+ ROUTED met2 ( 1022640 1119990 ) ( 1022640 1123135 )
NEW met1 ( 705360 1123135 ) ( 1022640 1123135 )
NEW met3 ( 1022640 1119990 ) ( 1052640 1119990 0 )
NEW met1 ( 703920 1349945 ) ( 705360 1349945 )
NEW met2 ( 703920 1349945 ) ( 703920 1389350 0 )
NEW met2 ( 705360 1123135 ) ( 705360 1349945 )
NEW met1 ( 1022640 1123135 ) M1M2_PR
NEW met2 ( 1022640 1119990 ) via2_FR
NEW met1 ( 705360 1123135 ) M1M2_PR
NEW met1 ( 705360 1349945 ) M1M2_PR
NEW met1 ( 703920 1349945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[18\] ( soc mprj_dat_i[18] ) ( mprj wbs_dat_o[18] )
+ ROUTED met2 ( 1023120 1117030 ) ( 1023120 1122765 )
NEW met1 ( 732240 1122765 ) ( 1023120 1122765 )
NEW met2 ( 732240 1188070 ) ( 734160 1188070 )
NEW met2 ( 732240 1122765 ) ( 732240 1188070 )
NEW met1 ( 722160 1366595 ) ( 734160 1366595 )
NEW met2 ( 722160 1366595 ) ( 722160 1389350 0 )
NEW met2 ( 734160 1188070 ) ( 734160 1366595 )
NEW met3 ( 1023120 1117030 ) ( 1052640 1117030 0 )
NEW met1 ( 1023120 1122765 ) M1M2_PR
NEW met2 ( 1023120 1117030 ) via2_FR
NEW met1 ( 732240 1122765 ) M1M2_PR
NEW met1 ( 734160 1366595 ) M1M2_PR
NEW met1 ( 722160 1366595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[19\] ( soc mprj_dat_i[19] ) ( mprj wbs_dat_o[19] )
+ ROUTED met2 ( 1023600 1114070 ) ( 1023600 1122395 )
NEW met1 ( 748560 1122395 ) ( 1023600 1122395 )
NEW met1 ( 739920 1365855 ) ( 748560 1365855 )
NEW met2 ( 739920 1365855 ) ( 739920 1389350 0 )
NEW met2 ( 748560 1122395 ) ( 748560 1365855 )
NEW met3 ( 1023600 1114070 ) ( 1052640 1114070 0 )
NEW met1 ( 1023600 1122395 ) M1M2_PR
NEW met2 ( 1023600 1114070 ) via2_FR
NEW met1 ( 748560 1122395 ) M1M2_PR
NEW met1 ( 748560 1365855 ) M1M2_PR
NEW met1 ( 739920 1365855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[1\] ( soc mprj_dat_i[1] ) ( mprj wbs_dat_o[1] )
+ ROUTED met2 ( 401040 1389350 0 ) ( 402960 1389350 )
NEW met2 ( 402960 1217485 ) ( 402960 1389350 )
NEW met2 ( 1034160 1111850 ) ( 1034160 1217485 )
NEW met1 ( 402960 1217485 ) ( 1034160 1217485 )
NEW met3 ( 1034160 1111850 ) ( 1052640 1111850 0 )
NEW met2 ( 1034160 1111850 ) via2_FR
NEW met1 ( 402960 1217485 ) M1M2_PR
NEW met1 ( 1034160 1217485 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[20\] ( soc mprj_dat_i[20] ) ( mprj wbs_dat_o[20] )
+ ROUTED met2 ( 1024080 1108890 ) ( 1024080 1122025 )
NEW met1 ( 762960 1122025 ) ( 1024080 1122025 )
NEW met1 ( 757200 1365855 ) ( 762960 1365855 )
NEW met2 ( 757200 1365855 ) ( 757200 1389350 0 )
NEW met2 ( 762960 1122025 ) ( 762960 1365855 )
NEW met3 ( 1024080 1108890 ) ( 1052640 1108890 0 )
NEW met1 ( 1024080 1122025 ) M1M2_PR
NEW met2 ( 1024080 1108890 ) via2_FR
NEW met1 ( 762960 1122025 ) M1M2_PR
NEW met1 ( 762960 1365855 ) M1M2_PR
NEW met1 ( 757200 1365855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[21\] ( soc mprj_dat_i[21] ) ( mprj wbs_dat_o[21] )
+ ROUTED met2 ( 1022640 1105930 ) ( 1022640 1108705 )
NEW met1 ( 777360 1108705 ) ( 1022640 1108705 )
NEW met2 ( 775440 1389350 0 ) ( 777360 1389350 )
NEW met2 ( 777360 1108705 ) ( 777360 1389350 )
NEW met3 ( 1022640 1105930 ) ( 1052640 1105930 0 )
NEW met1 ( 1022640 1108705 ) M1M2_PR
NEW met2 ( 1022640 1105930 ) via2_FR
NEW met1 ( 777360 1108705 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[22\] ( soc mprj_dat_i[22] ) ( mprj wbs_dat_o[22] )
+ ROUTED met2 ( 1023120 1105190 ) ( 1023120 1108335 )
NEW met1 ( 806160 1108335 ) ( 1023120 1108335 )
NEW met1 ( 793200 1366225 ) ( 806160 1366225 )
NEW met2 ( 793200 1366225 ) ( 793200 1389350 0 )
NEW met2 ( 806160 1108335 ) ( 806160 1366225 )
NEW met3 ( 1052640 1103340 0 ) ( 1052640 1105190 )
NEW met3 ( 1023120 1105190 ) ( 1052640 1105190 )
NEW met1 ( 806160 1108335 ) M1M2_PR
NEW met1 ( 1023120 1108335 ) M1M2_PR
NEW met2 ( 1023120 1105190 ) via2_FR
NEW met1 ( 806160 1366225 ) M1M2_PR
NEW met1 ( 793200 1366225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[23\] ( soc mprj_dat_i[23] ) ( mprj wbs_dat_o[23] )
+ ROUTED met2 ( 1023600 1100750 ) ( 1023600 1107965 )
NEW met1 ( 820560 1107965 ) ( 1023600 1107965 )
NEW met1 ( 810960 1365855 ) ( 820560 1365855 )
NEW met2 ( 810960 1365855 ) ( 810960 1389350 0 )
NEW met2 ( 820560 1107965 ) ( 820560 1365855 )
NEW met3 ( 1023600 1100750 ) ( 1052640 1100750 0 )
NEW met1 ( 820560 1107965 ) M1M2_PR
NEW met1 ( 1023600 1107965 ) M1M2_PR
NEW met2 ( 1023600 1100750 ) via2_FR
NEW met1 ( 820560 1365855 ) M1M2_PR
NEW met1 ( 810960 1365855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[24\] ( soc mprj_dat_i[24] ) ( mprj wbs_dat_o[24] )
+ ROUTED met2 ( 1024080 1097790 ) ( 1024080 1107595 )
NEW met1 ( 834960 1107595 ) ( 1024080 1107595 )
NEW met1 ( 829200 1367705 ) ( 834960 1367705 )
NEW met2 ( 829200 1367705 ) ( 829200 1389350 0 )
NEW met2 ( 834960 1107595 ) ( 834960 1367705 )
NEW met3 ( 1024080 1097790 ) ( 1052640 1097790 0 )
NEW met1 ( 834960 1107595 ) M1M2_PR
NEW met1 ( 1024080 1107595 ) M1M2_PR
NEW met2 ( 1024080 1097790 ) via2_FR
NEW met1 ( 834960 1367705 ) M1M2_PR
NEW met1 ( 829200 1367705 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[25\] ( soc mprj_dat_i[25] ) ( mprj wbs_dat_o[25] )
+ ROUTED met2 ( 1024560 1097050 ) ( 1024560 1107225 )
NEW met1 ( 849360 1107225 ) ( 1024560 1107225 )
NEW met2 ( 846480 1389350 0 ) ( 849360 1389350 )
NEW met2 ( 849360 1107225 ) ( 849360 1389350 )
NEW met3 ( 1052640 1095200 0 ) ( 1052640 1097050 )
NEW met3 ( 1024560 1097050 ) ( 1052640 1097050 )
NEW met1 ( 849360 1107225 ) M1M2_PR
NEW met1 ( 1024560 1107225 ) M1M2_PR
NEW met2 ( 1024560 1097050 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[26\] ( soc mprj_dat_i[26] ) ( mprj wbs_dat_o[26] )
+ ROUTED met2 ( 1022640 1092610 ) ( 1022640 1094275 )
NEW met1 ( 878160 1094275 ) ( 1022640 1094275 )
NEW met1 ( 864720 1359565 ) ( 878160 1359565 )
NEW met2 ( 864720 1359565 ) ( 864720 1389350 0 )
NEW met2 ( 878160 1094275 ) ( 878160 1359565 )
NEW met3 ( 1022640 1092610 ) ( 1052640 1092610 0 )
NEW met1 ( 878160 1094275 ) M1M2_PR
NEW met1 ( 1022640 1094275 ) M1M2_PR
NEW met2 ( 1022640 1092610 ) via2_FR
NEW met1 ( 878160 1359565 ) M1M2_PR
NEW met1 ( 864720 1359565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[27\] ( soc mprj_dat_i[27] ) ( mprj wbs_dat_o[27] )
+ ROUTED met2 ( 1023120 1089650 ) ( 1023120 1093905 )
NEW met1 ( 892560 1093905 ) ( 1023120 1093905 )
NEW met1 ( 882480 1359565 ) ( 892560 1359565 )
NEW met2 ( 882480 1359565 ) ( 882480 1389350 0 )
NEW met2 ( 892560 1093905 ) ( 892560 1359565 )
NEW met3 ( 1023120 1089650 ) ( 1052640 1089650 0 )
NEW met1 ( 1023120 1093905 ) M1M2_PR
NEW met2 ( 1023120 1089650 ) via2_FR
NEW met1 ( 892560 1093905 ) M1M2_PR
NEW met1 ( 892560 1359565 ) M1M2_PR
NEW met1 ( 882480 1359565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[28\] ( soc mprj_dat_i[28] ) ( mprj wbs_dat_o[28] )
+ ROUTED met2 ( 1023600 1088910 ) ( 1023600 1093535 )
NEW met1 ( 906960 1093535 ) ( 1023600 1093535 )
NEW met1 ( 900240 1367705 ) ( 906960 1367705 )
NEW met2 ( 900240 1367705 ) ( 900240 1389350 0 )
NEW met3 ( 1052640 1087060 0 ) ( 1052640 1088910 )
NEW met3 ( 1023600 1088910 ) ( 1052640 1088910 )
NEW met3 ( 906720 1229510 ) ( 906960 1229510 )
NEW met4 ( 906720 1229510 ) ( 906720 1235430 )
NEW met3 ( 906720 1235430 ) ( 906960 1235430 )
NEW met2 ( 906960 1093535 ) ( 906960 1229510 )
NEW met2 ( 906960 1235430 ) ( 906960 1367705 )
NEW met1 ( 1023600 1093535 ) M1M2_PR
NEW met2 ( 1023600 1088910 ) via2_FR
NEW met1 ( 906960 1093535 ) M1M2_PR
NEW met1 ( 906960 1367705 ) M1M2_PR
NEW met1 ( 900240 1367705 ) M1M2_PR
NEW met2 ( 906960 1229510 ) via2_FR
NEW met3 ( 906720 1229510 ) M3M4_PR_M
NEW met3 ( 906720 1235430 ) M3M4_PR_M
NEW met2 ( 906960 1235430 ) via2_FR
NEW met3 ( 906720 1229510 ) RECT ( -380 -150 0 150 )
NEW met3 ( 906720 1235430 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_dat_i_core\[29\] ( soc mprj_dat_i[29] ) ( mprj wbs_dat_o[29] )
+ ROUTED met2 ( 1024080 1084470 ) ( 1024080 1093165 )
NEW met1 ( 921360 1093165 ) ( 1024080 1093165 )
NEW met2 ( 918000 1389350 0 ) ( 921360 1389350 )
NEW met2 ( 921360 1093165 ) ( 921360 1389350 )
NEW met3 ( 1024080 1084470 ) ( 1052640 1084470 0 )
NEW met1 ( 1024080 1093165 ) M1M2_PR
NEW met2 ( 1024080 1084470 ) via2_FR
NEW met1 ( 921360 1093165 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[2\] ( soc mprj_dat_i[2] ) ( mprj wbs_dat_o[2] )
+ ROUTED met1 ( 424560 1361785 ) ( 431760 1361785 )
NEW met2 ( 424560 1361785 ) ( 424560 1389350 0 )
NEW met2 ( 431760 1217855 ) ( 431760 1361785 )
NEW met2 ( 1033680 1081510 ) ( 1033680 1217855 )
NEW met1 ( 431760 1217855 ) ( 1033680 1217855 )
NEW met3 ( 1033680 1081510 ) ( 1052640 1081510 0 )
NEW met1 ( 424560 1361785 ) M1M2_PR
NEW met1 ( 431760 1361785 ) M1M2_PR
NEW met2 ( 1033680 1081510 ) via2_FR
NEW met1 ( 431760 1217855 ) M1M2_PR
NEW met1 ( 1033680 1217855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[30\] ( soc mprj_dat_i[30] ) ( mprj wbs_dat_o[30] )
+ ROUTED met2 ( 1022640 1079290 ) ( 1022640 1079845 )
NEW met1 ( 935760 1079845 ) ( 1022640 1079845 )
NEW met2 ( 935760 1079845 ) ( 935760 1389350 0 )
NEW met3 ( 1052640 1078920 0 ) ( 1052640 1079290 )
NEW met3 ( 1022640 1079290 ) ( 1052640 1079290 )
NEW met1 ( 1022640 1079845 ) M1M2_PR
NEW met2 ( 1022640 1079290 ) via2_FR
NEW met1 ( 935760 1079845 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[31\] ( soc mprj_dat_i[31] ) ( mprj wbs_dat_o[31] )
+ ROUTED met2 ( 1023120 1076330 ) ( 1023120 1079475 )
NEW met1 ( 964560 1079475 ) ( 1023120 1079475 )
NEW met1 ( 954000 1353645 ) ( 964560 1353645 )
NEW met2 ( 954000 1353645 ) ( 954000 1389350 0 )
NEW met2 ( 964560 1079475 ) ( 964560 1353645 )
NEW met3 ( 1023120 1076330 ) ( 1052640 1076330 0 )
NEW met1 ( 1023120 1079475 ) M1M2_PR
NEW met2 ( 1023120 1076330 ) via2_FR
NEW met1 ( 964560 1079475 ) M1M2_PR
NEW met1 ( 954000 1353645 ) M1M2_PR
NEW met1 ( 964560 1353645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[3\] ( soc mprj_dat_i[3] ) ( mprj wbs_dat_o[3] )
+ ROUTED met1 ( 1030320 1130535 ) ( 1031760 1130535 )
NEW met2 ( 1031760 1073370 ) ( 1031760 1130535 )
NEW met2 ( 1030320 1130535 ) ( 1030320 1218225 )
NEW met1 ( 448080 1360305 ) ( 460560 1360305 )
NEW met2 ( 448080 1360305 ) ( 448080 1389350 0 )
NEW met2 ( 460560 1218225 ) ( 460560 1360305 )
NEW met1 ( 460560 1218225 ) ( 1030320 1218225 )
NEW met3 ( 1031760 1073370 ) ( 1052640 1073370 0 )
NEW met2 ( 1031760 1073370 ) via2_FR
NEW met1 ( 1030320 1130535 ) M1M2_PR
NEW met1 ( 1031760 1130535 ) M1M2_PR
NEW met1 ( 1030320 1218225 ) M1M2_PR
NEW met1 ( 460560 1218225 ) M1M2_PR
NEW met1 ( 460560 1360305 ) M1M2_PR
NEW met1 ( 448080 1360305 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[4\] ( soc mprj_dat_i[4] ) ( mprj wbs_dat_o[4] )
+ ROUTED met2 ( 1031280 1072630 ) ( 1031280 1218595 )
NEW met2 ( 472080 1389350 0 ) ( 474960 1389350 )
NEW met2 ( 474960 1218595 ) ( 474960 1389350 )
NEW met1 ( 474960 1218595 ) ( 1031280 1218595 )
NEW met3 ( 1052640 1070780 0 ) ( 1052640 1072630 )
NEW met3 ( 1031280 1072630 ) ( 1052640 1072630 )
NEW met2 ( 1031280 1072630 ) via2_FR
NEW met1 ( 1031280 1218595 ) M1M2_PR
NEW met1 ( 474960 1218595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[5\] ( soc mprj_dat_i[5] ) ( mprj wbs_dat_o[5] )
+ ROUTED met2 ( 1032240 1068190 ) ( 1032240 1218965 )
NEW met1 ( 503760 1218965 ) ( 1032240 1218965 )
NEW met3 ( 1032240 1068190 ) ( 1052640 1068190 0 )
NEW met1 ( 490320 1349945 ) ( 503760 1349945 )
NEW met2 ( 490320 1349945 ) ( 490320 1389350 0 )
NEW met2 ( 503760 1218965 ) ( 503760 1349945 )
NEW met2 ( 1032240 1068190 ) via2_FR
NEW met1 ( 1032240 1218965 ) M1M2_PR
NEW met1 ( 503760 1218965 ) M1M2_PR
NEW met1 ( 503760 1349945 ) M1M2_PR
NEW met1 ( 490320 1349945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[6\] ( soc mprj_dat_i[6] ) ( mprj wbs_dat_o[6] )
+ ROUTED met1 ( 1031760 1131275 ) ( 1033200 1131275 )
NEW met2 ( 1033200 1065230 ) ( 1033200 1131275 )
NEW met2 ( 1031760 1131275 ) ( 1031760 1219335 )
NEW met1 ( 507600 1364005 ) ( 518160 1364005 )
NEW met2 ( 507600 1364005 ) ( 507600 1389350 0 )
NEW met2 ( 518160 1219335 ) ( 518160 1364005 )
NEW met1 ( 518160 1219335 ) ( 1031760 1219335 )
NEW met3 ( 1033200 1065230 ) ( 1052640 1065230 0 )
NEW met2 ( 1033200 1065230 ) via2_FR
NEW met1 ( 1031760 1131275 ) M1M2_PR
NEW met1 ( 1033200 1131275 ) M1M2_PR
NEW met1 ( 1031760 1219335 ) M1M2_PR
NEW met1 ( 518160 1219335 ) M1M2_PR
NEW met1 ( 518160 1364005 ) M1M2_PR
NEW met1 ( 507600 1364005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[7\] ( soc mprj_dat_i[7] ) ( mprj wbs_dat_o[7] )
+ ROUTED met2 ( 1032720 1064490 ) ( 1032720 1219705 )
NEW met1 ( 525360 1364005 ) ( 532560 1364005 )
NEW met2 ( 525360 1364005 ) ( 525360 1389350 0 )
NEW met2 ( 532560 1219705 ) ( 532560 1364005 )
NEW met1 ( 532560 1219705 ) ( 1032720 1219705 )
NEW met3 ( 1052640 1062640 0 ) ( 1052640 1064490 )
NEW met3 ( 1032720 1064490 ) ( 1052640 1064490 )
NEW met2 ( 1032720 1064490 ) via2_FR
NEW met1 ( 1032720 1219705 ) M1M2_PR
NEW met1 ( 532560 1219705 ) M1M2_PR
NEW met1 ( 532560 1364005 ) M1M2_PR
NEW met1 ( 525360 1364005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[8\] ( soc mprj_dat_i[8] ) ( mprj wbs_dat_o[8] )
+ ROUTED met2 ( 1028880 1130350 ) ( 1029840 1130350 )
NEW met2 ( 1028880 1060050 ) ( 1028880 1130350 )
NEW met2 ( 543600 1389350 0 ) ( 546960 1389350 )
NEW met2 ( 546960 1220075 ) ( 546960 1389350 )
NEW met2 ( 1028880 1140895 ) ( 1028880 1220075 )
NEW met1 ( 1028880 1140895 ) ( 1029840 1140895 )
NEW met2 ( 1029840 1130350 ) ( 1029840 1140895 )
NEW met1 ( 546960 1220075 ) ( 1028880 1220075 )
NEW met3 ( 1028880 1060050 ) ( 1052640 1060050 0 )
NEW met2 ( 1028880 1060050 ) via2_FR
NEW met1 ( 546960 1220075 ) M1M2_PR
NEW met1 ( 1028880 1220075 ) M1M2_PR
NEW met1 ( 1028880 1140895 ) M1M2_PR
NEW met1 ( 1029840 1140895 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[9\] ( soc mprj_dat_i[9] ) ( mprj wbs_dat_o[9] )
+ ROUTED met1 ( 1028880 1129795 ) ( 1029360 1129795 )
NEW met1 ( 1028880 1129795 ) ( 1028880 1132015 )
NEW met1 ( 1028880 1132015 ) ( 1033200 1132015 )
NEW met2 ( 1029360 1057090 ) ( 1029360 1129795 )
NEW met2 ( 561360 1220445 ) ( 561360 1389350 0 )
NEW met2 ( 1033200 1132015 ) ( 1033200 1220445 )
NEW met1 ( 561360 1220445 ) ( 1033200 1220445 )
NEW met3 ( 1029360 1057090 ) ( 1052640 1057090 0 )
NEW met2 ( 1029360 1057090 ) via2_FR
NEW met1 ( 1029360 1129795 ) M1M2_PR
NEW met1 ( 1033200 1132015 ) M1M2_PR
NEW met1 ( 561360 1220445 ) M1M2_PR
NEW met1 ( 1033200 1220445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[0\] ( soc mprj_dat_o[0] ) ( mgmt_buffers mprj_dat_o_core[0] )
+ ROUTED met2 ( 1852800 1167350 0 ) ( 1854000 1167350 )
NEW met2 ( 1854000 1167350 ) ( 1854000 1184185 )
NEW met1 ( 1854000 1184185 ) ( 1905840 1184185 )
NEW met2 ( 1905840 1184185 ) ( 1905840 1232470 )
NEW met2 ( 1905840 1232470 ) ( 1907040 1232470 0 )
NEW met1 ( 1854000 1184185 ) M1M2_PR
NEW met1 ( 1905840 1184185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[10\] ( soc mprj_dat_o[10] ) ( mgmt_buffers mprj_dat_o_core[10] )
+ ROUTED met2 ( 1959600 1190475 ) ( 1959600 1232470 0 )
NEW met2 ( 1854720 1167350 0 ) ( 1855920 1167350 )
NEW met2 ( 1855920 1167350 ) ( 1855920 1190475 )
NEW met1 ( 1855920 1190475 ) ( 1959600 1190475 )
NEW met1 ( 1959600 1190475 ) M1M2_PR
NEW met1 ( 1855920 1190475 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[11\] ( soc mprj_dat_o[11] ) ( mgmt_buffers mprj_dat_o_core[11] )
+ ROUTED met2 ( 1962480 1189735 ) ( 1962480 1232470 )
NEW met2 ( 1962480 1232470 ) ( 1963680 1232470 0 )
NEW met2 ( 1856400 1167350 0 ) ( 1856400 1189735 )
NEW met1 ( 1856400 1189735 ) ( 1962480 1189735 )
NEW met1 ( 1962480 1189735 ) M1M2_PR
NEW met1 ( 1856400 1189735 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[12\] ( soc mprj_dat_o[12] ) ( mgmt_buffers mprj_dat_o_core[12] )
+ ROUTED met2 ( 1968240 1191215 ) ( 1968240 1232470 0 )
NEW met2 ( 1858320 1167350 0 ) ( 1858320 1191215 )
NEW met1 ( 1858320 1191215 ) ( 1968240 1191215 )
NEW met1 ( 1968240 1191215 ) M1M2_PR
NEW met1 ( 1858320 1191215 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[13\] ( soc mprj_dat_o[13] ) ( mgmt_buffers mprj_dat_o_core[13] )
+ ROUTED met2 ( 1971120 1190105 ) ( 1971120 1232470 )
NEW met2 ( 1971120 1232470 ) ( 1972320 1232470 0 )
NEW met2 ( 1860240 1167350 0 ) ( 1860240 1190105 )
NEW met1 ( 1860240 1190105 ) ( 1971120 1190105 )
NEW met1 ( 1971120 1190105 ) M1M2_PR
NEW met1 ( 1860240 1190105 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[14\] ( soc mprj_dat_o[14] ) ( mgmt_buffers mprj_dat_o_core[14] )
+ ROUTED met2 ( 1976880 1187145 ) ( 1976880 1232470 0 )
NEW met2 ( 1861920 1167350 0 ) ( 1863120 1167350 )
NEW met2 ( 1863120 1167350 ) ( 1863120 1187145 )
NEW met1 ( 1863120 1187145 ) ( 1976880 1187145 )
NEW met1 ( 1976880 1187145 ) M1M2_PR
NEW met1 ( 1863120 1187145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[15\] ( soc mprj_dat_o[15] ) ( mgmt_buffers mprj_dat_o_core[15] )
+ ROUTED met2 ( 1981200 1185665 ) ( 1981200 1232470 0 )
NEW met2 ( 1863840 1167350 0 ) ( 1865040 1167350 )
NEW met2 ( 1865040 1167350 ) ( 1865040 1185665 )
NEW met1 ( 1865040 1185665 ) ( 1981200 1185665 )
NEW met1 ( 1981200 1185665 ) M1M2_PR
NEW met1 ( 1865040 1185665 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[16\] ( soc mprj_dat_o[16] ) ( mgmt_buffers mprj_dat_o_core[16] )
+ ROUTED met2 ( 1984560 1232470 ) ( 1985760 1232470 0 )
NEW met2 ( 1865760 1167350 0 ) ( 1866960 1167350 )
NEW met2 ( 1866960 1167350 ) ( 1866960 1195285 )
NEW met1 ( 1866960 1195285 ) ( 1984560 1195285 )
NEW met2 ( 1984560 1195285 ) ( 1984560 1232470 )
NEW met1 ( 1866960 1195285 ) M1M2_PR
NEW met1 ( 1984560 1195285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[17\] ( soc mprj_dat_o[17] ) ( mgmt_buffers mprj_dat_o_core[17] )
+ ROUTED met2 ( 1989840 1188255 ) ( 1989840 1232470 0 )
NEW met2 ( 1867440 1167350 0 ) ( 1867440 1188255 )
NEW met1 ( 1867440 1188255 ) ( 1989840 1188255 )
NEW met1 ( 1989840 1188255 ) M1M2_PR
NEW met1 ( 1867440 1188255 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[18\] ( soc mprj_dat_o[18] ) ( mgmt_buffers mprj_dat_o_core[18] )
+ ROUTED met2 ( 1993200 1232470 ) ( 1994400 1232470 0 )
NEW met2 ( 1869360 1167350 0 ) ( 1869360 1198245 )
NEW met1 ( 1869360 1198245 ) ( 1914000 1198245 )
NEW met1 ( 1914000 1198245 ) ( 1914000 1198615 )
NEW met2 ( 1917840 1198615 ) ( 1917840 1203610 )
NEW met3 ( 1917840 1203610 ) ( 1993200 1203610 )
NEW met1 ( 1914000 1198615 ) ( 1917840 1198615 )
NEW met2 ( 1993200 1203610 ) ( 1993200 1232470 )
NEW met1 ( 1869360 1198245 ) M1M2_PR
NEW met1 ( 1917840 1198615 ) M1M2_PR
NEW met2 ( 1917840 1203610 ) via2_FR
NEW met2 ( 1993200 1203610 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_core\[19\] ( soc mprj_dat_o[19] ) ( mgmt_buffers mprj_dat_o_core[19] )
+ ROUTED met2 ( 1998480 1187885 ) ( 1998480 1232470 0 )
NEW met2 ( 1871280 1167350 0 ) ( 1871280 1187885 )
NEW met1 ( 1871280 1187885 ) ( 1998480 1187885 )
NEW met1 ( 1998480 1187885 ) M1M2_PR
NEW met1 ( 1871280 1187885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[1\] ( soc mprj_dat_o[1] ) ( mgmt_buffers mprj_dat_o_core[1] )
+ ROUTED met2 ( 1872960 1167350 0 ) ( 1874160 1167350 )
NEW met2 ( 1874160 1167350 ) ( 1874160 1198615 )
NEW met1 ( 1874160 1198615 ) ( 1913520 1198615 )
NEW met2 ( 1913520 1198615 ) ( 1913520 1232470 0 )
NEW met1 ( 1874160 1198615 ) M1M2_PR
NEW met1 ( 1913520 1198615 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[20\] ( soc mprj_dat_o[20] ) ( mgmt_buffers mprj_dat_o_core[20] )
+ ROUTED met2 ( 1874880 1167350 0 ) ( 1876080 1167350 )
NEW met2 ( 1876080 1167350 ) ( 1876080 1195655 )
NEW met1 ( 1876080 1195655 ) ( 2003280 1195655 )
NEW met2 ( 2003280 1195655 ) ( 2003280 1232470 0 )
NEW met1 ( 1876080 1195655 ) M1M2_PR
NEW met1 ( 2003280 1195655 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[21\] ( soc mprj_dat_o[21] ) ( mgmt_buffers mprj_dat_o_core[21] )
+ ROUTED met2 ( 2006160 1188995 ) ( 2006160 1232470 )
NEW met2 ( 2006160 1232470 ) ( 2007360 1232470 0 )
NEW met2 ( 1876800 1167350 0 ) ( 1878000 1167350 )
NEW met2 ( 1878000 1167350 ) ( 1878000 1188995 )
NEW met1 ( 1878000 1188995 ) ( 2006160 1188995 )
NEW met1 ( 2006160 1188995 ) M1M2_PR
NEW met1 ( 1878000 1188995 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[22\] ( soc mprj_dat_o[22] ) ( mgmt_buffers mprj_dat_o_core[22] )
+ ROUTED met2 ( 1878480 1167350 0 ) ( 1878480 1196025 )
NEW met1 ( 1878480 1196025 ) ( 2011920 1196025 )
NEW met2 ( 2011920 1196025 ) ( 2011920 1232470 0 )
NEW met1 ( 1878480 1196025 ) M1M2_PR
NEW met1 ( 2011920 1196025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[23\] ( soc mprj_dat_o[23] ) ( mgmt_buffers mprj_dat_o_core[23] )
+ ROUTED met2 ( 2016240 1209345 ) ( 2016240 1232470 )
NEW met2 ( 2016000 1232470 0 ) ( 2016240 1232470 )
NEW met2 ( 1880400 1167350 0 ) ( 1880400 1209345 )
NEW met1 ( 1880400 1209345 ) ( 2016240 1209345 )
NEW met1 ( 2016240 1209345 ) M1M2_PR
NEW met1 ( 1880400 1209345 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[24\] ( soc mprj_dat_o[24] ) ( mgmt_buffers mprj_dat_o_core[24] )
+ ROUTED met2 ( 2020560 1200835 ) ( 2020560 1232470 0 )
NEW met2 ( 1882320 1167350 0 ) ( 1882320 1200835 )
NEW met1 ( 1882320 1200835 ) ( 2020560 1200835 )
NEW met1 ( 2020560 1200835 ) M1M2_PR
NEW met1 ( 1882320 1200835 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[25\] ( soc mprj_dat_o[25] ) ( mgmt_buffers mprj_dat_o_core[25] )
+ ROUTED met2 ( 2024880 1200465 ) ( 2024880 1232470 0 )
NEW met2 ( 1884000 1167350 0 ) ( 1885200 1167350 )
NEW met2 ( 1885200 1167350 ) ( 1885200 1200465 )
NEW met1 ( 1885200 1200465 ) ( 2024880 1200465 )
NEW met1 ( 2024880 1200465 ) M1M2_PR
NEW met1 ( 1885200 1200465 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[26\] ( soc mprj_dat_o[26] ) ( mgmt_buffers mprj_dat_o_core[26] )
+ ROUTED met2 ( 2027760 1201205 ) ( 2027760 1232470 )
NEW met2 ( 2027760 1232470 ) ( 2028960 1232470 0 )
NEW met1 ( 1886160 1201205 ) ( 2027760 1201205 )
NEW met2 ( 1885920 1167350 0 ) ( 1885920 1168830 )
NEW met2 ( 1885920 1168830 ) ( 1886160 1168830 )
NEW met2 ( 1886160 1168830 ) ( 1886160 1201205 )
NEW met1 ( 2027760 1201205 ) M1M2_PR
NEW met1 ( 1886160 1201205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[27\] ( soc mprj_dat_o[27] ) ( mgmt_buffers mprj_dat_o_core[27] )
+ ROUTED met2 ( 2033520 1201575 ) ( 2033520 1232470 0 )
NEW met2 ( 1887840 1167350 0 ) ( 1889040 1167350 )
NEW met2 ( 1889040 1167350 ) ( 1889040 1201575 )
NEW met1 ( 1889040 1201575 ) ( 2033520 1201575 )
NEW met1 ( 2033520 1201575 ) M1M2_PR
NEW met1 ( 1889040 1201575 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[28\] ( soc mprj_dat_o[28] ) ( mgmt_buffers mprj_dat_o_core[28] )
+ ROUTED met2 ( 1889520 1167350 0 ) ( 1889520 1199725 )
NEW met1 ( 1889520 1199725 ) ( 2037840 1199725 )
NEW met2 ( 2037840 1199725 ) ( 2037840 1232470 0 )
NEW met1 ( 2037840 1199725 ) M1M2_PR
NEW met1 ( 1889520 1199725 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[29\] ( soc mprj_dat_o[29] ) ( mgmt_buffers mprj_dat_o_core[29] )
+ ROUTED met2 ( 2041680 1201945 ) ( 2041680 1232470 )
NEW met2 ( 2041680 1232470 ) ( 2042400 1232470 0 )
NEW met2 ( 1891440 1167350 0 ) ( 1891440 1201945 )
NEW met1 ( 1891440 1201945 ) ( 2041680 1201945 )
NEW met1 ( 2041680 1201945 ) M1M2_PR
NEW met1 ( 1891440 1201945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[2\] ( soc mprj_dat_o[2] ) ( mgmt_buffers mprj_dat_o_core[2] )
+ ROUTED met2 ( 1893360 1167350 0 ) ( 1893360 1199355 )
NEW met1 ( 1893360 1199355 ) ( 1919280 1199355 )
NEW met2 ( 1919280 1199355 ) ( 1919280 1232470 )
NEW met2 ( 1919280 1232470 ) ( 1920480 1232470 0 )
NEW met1 ( 1893360 1199355 ) M1M2_PR
NEW met1 ( 1919280 1199355 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[30\] ( soc mprj_dat_o[30] ) ( mgmt_buffers mprj_dat_o_core[30] )
+ ROUTED met2 ( 2046480 1205275 ) ( 2046480 1232470 0 )
NEW met2 ( 1895040 1167350 0 ) ( 1896240 1167350 )
NEW met2 ( 1896240 1167350 ) ( 1896240 1205275 )
NEW met1 ( 1896240 1205275 ) ( 2046480 1205275 )
NEW met1 ( 2046480 1205275 ) M1M2_PR
NEW met1 ( 1896240 1205275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[31\] ( soc mprj_dat_o[31] ) ( mgmt_buffers mprj_dat_o_core[31] )
+ ROUTED met2 ( 2049840 1203795 ) ( 2049840 1232470 )
NEW met2 ( 2049840 1232470 ) ( 2051040 1232470 0 )
NEW met2 ( 1896960 1167350 0 ) ( 1898160 1167350 )
NEW met2 ( 1898160 1167350 ) ( 1898160 1203795 )
NEW met1 ( 1898160 1203795 ) ( 2049840 1203795 )
NEW met1 ( 2049840 1203795 ) M1M2_PR
NEW met1 ( 1898160 1203795 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[3\] ( soc mprj_dat_o[3] ) ( mgmt_buffers mprj_dat_o_core[3] )
+ ROUTED met2 ( 1898880 1167350 0 ) ( 1900080 1167350 )
NEW met2 ( 1900080 1167350 ) ( 1900080 1186405 )
NEW met1 ( 1900080 1186405 ) ( 1926960 1186405 )
NEW met2 ( 1926960 1186405 ) ( 1926960 1232470 0 )
NEW met1 ( 1900080 1186405 ) M1M2_PR
NEW met1 ( 1926960 1186405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[4\] ( soc mprj_dat_o[4] ) ( mgmt_buffers mprj_dat_o_core[4] )
+ ROUTED met1 ( 1915920 1197135 ) ( 1915920 1197505 )
NEW met1 ( 1915920 1197505 ) ( 1933200 1197505 )
NEW met2 ( 1933200 1197505 ) ( 1933200 1232470 0 )
NEW met2 ( 1900560 1167350 0 ) ( 1900560 1197135 )
NEW met1 ( 1900560 1197135 ) ( 1915920 1197135 )
NEW met1 ( 1933200 1197505 ) M1M2_PR
NEW met1 ( 1900560 1197135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[5\] ( soc mprj_dat_o[5] ) ( mgmt_buffers mprj_dat_o_core[5] )
+ ROUTED met2 ( 1902480 1167350 0 ) ( 1902480 1194915 )
NEW met2 ( 1915920 1193435 ) ( 1915920 1194915 )
NEW met1 ( 1915920 1193435 ) ( 1938000 1193435 )
NEW met1 ( 1902480 1194915 ) ( 1915920 1194915 )
NEW met2 ( 1938000 1193435 ) ( 1938000 1232470 0 )
NEW met1 ( 1902480 1194915 ) M1M2_PR
NEW met1 ( 1915920 1194915 ) M1M2_PR
NEW met1 ( 1915920 1193435 ) M1M2_PR
NEW met1 ( 1938000 1193435 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[6\] ( soc mprj_dat_o[6] ) ( mgmt_buffers mprj_dat_o_core[6] )
+ ROUTED met2 ( 1904400 1167350 0 ) ( 1904400 1197505 )
NEW met2 ( 1940880 1232470 ) ( 1942080 1232470 0 )
NEW met2 ( 1915440 1197505 ) ( 1915440 1197875 )
NEW met2 ( 1915440 1197875 ) ( 1915920 1197875 )
NEW met2 ( 1915920 1197875 ) ( 1915920 1198245 )
NEW met1 ( 1915920 1198245 ) ( 1940880 1198245 )
NEW met1 ( 1904400 1197505 ) ( 1915440 1197505 )
NEW met2 ( 1940880 1198245 ) ( 1940880 1232470 )
NEW met1 ( 1904400 1197505 ) M1M2_PR
NEW met1 ( 1915440 1197505 ) M1M2_PR
NEW met1 ( 1915920 1198245 ) M1M2_PR
NEW met1 ( 1940880 1198245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[7\] ( soc mprj_dat_o[7] ) ( mgmt_buffers mprj_dat_o_core[7] )
+ ROUTED met2 ( 1906080 1167350 0 ) ( 1907280 1167350 )
NEW met2 ( 1907280 1167350 ) ( 1907280 1193805 )
NEW met1 ( 1907280 1193805 ) ( 1946160 1193805 )
NEW met2 ( 1946160 1193805 ) ( 1946160 1232470 0 )
NEW met1 ( 1907280 1193805 ) M1M2_PR
NEW met1 ( 1946160 1193805 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[8\] ( soc mprj_dat_o[8] ) ( mgmt_buffers mprj_dat_o_core[8] )
+ ROUTED met2 ( 1949520 1200095 ) ( 1949520 1232470 )
NEW met2 ( 1949520 1232470 ) ( 1950720 1232470 0 )
NEW met2 ( 1908000 1167350 0 ) ( 1909200 1167350 )
NEW met2 ( 1909200 1167350 ) ( 1909200 1200095 )
NEW met1 ( 1909200 1200095 ) ( 1949520 1200095 )
NEW met1 ( 1949520 1200095 ) M1M2_PR
NEW met1 ( 1909200 1200095 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[9\] ( soc mprj_dat_o[9] ) ( mgmt_buffers mprj_dat_o_core[9] )
+ ROUTED met2 ( 1909680 1166610 ) ( 1909920 1166610 0 )
NEW met2 ( 1909680 1166610 ) ( 1909680 1179745 )
NEW met1 ( 1909680 1179745 ) ( 1954800 1179745 )
NEW met2 ( 1954800 1179745 ) ( 1954800 1232470 0 )
NEW met1 ( 1909680 1179745 ) M1M2_PR
NEW met1 ( 1954800 1179745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[0\] ( mprj wbs_dat_i[0] ) ( mgmt_buffers mprj_dat_o_user[0] )
+ ROUTED met2 ( 370800 1361230 ) ( 370800 1389350 0 )
NEW met3 ( 370800 1361230 ) ( 1916400 1361230 )
NEW met2 ( 1915920 1325710 0 ) ( 1916400 1325710 )
NEW met2 ( 1916400 1325710 ) ( 1916400 1361230 )
NEW met2 ( 370800 1361230 ) via2_FR
NEW met2 ( 1916400 1361230 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[10\] ( mprj wbs_dat_i[10] ) ( mgmt_buffers mprj_dat_o_user[10] )
+ ROUTED met2 ( 573360 1382505 ) ( 573360 1389350 0 )
NEW met2 ( 1610640 1355125 ) ( 1610640 1382505 )
NEW met2 ( 1968240 1325710 0 ) ( 1968240 1355495 )
NEW met1 ( 573360 1382505 ) ( 1610640 1382505 )
NEW met1 ( 1684080 1355125 ) ( 1684080 1355495 )
NEW met1 ( 1610640 1355125 ) ( 1684080 1355125 )
NEW met1 ( 1684080 1355495 ) ( 1968240 1355495 )
NEW met1 ( 573360 1382505 ) M1M2_PR
NEW met1 ( 1610640 1382505 ) M1M2_PR
NEW met1 ( 1610640 1355125 ) M1M2_PR
NEW met1 ( 1968240 1355495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[11\] ( mprj wbs_dat_i[11] ) ( mgmt_buffers mprj_dat_o_user[11] )
+ ROUTED met2 ( 590640 1362155 ) ( 590640 1389350 0 )
NEW met2 ( 1971120 1325710 ) ( 1972320 1325710 0 )
NEW met2 ( 1971120 1325710 ) ( 1971120 1362155 )
NEW met1 ( 590640 1362155 ) ( 1971120 1362155 )
NEW met1 ( 590640 1362155 ) M1M2_PR
NEW met1 ( 1971120 1362155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[12\] ( mprj wbs_dat_i[12] ) ( mgmt_buffers mprj_dat_o_user[12] )
+ ROUTED met2 ( 608880 1362525 ) ( 608880 1389350 0 )
NEW met2 ( 1976400 1325710 ) ( 1976880 1325710 0 )
NEW met2 ( 1976400 1325710 ) ( 1976400 1359935 )
NEW met2 ( 1901520 1359935 ) ( 1901520 1362525 )
NEW met1 ( 608880 1362525 ) ( 1901520 1362525 )
NEW met1 ( 1901520 1359935 ) ( 1976400 1359935 )
NEW met1 ( 608880 1362525 ) M1M2_PR
NEW met1 ( 1976400 1359935 ) M1M2_PR
NEW met1 ( 1901520 1362525 ) M1M2_PR
NEW met1 ( 1901520 1359935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[13\] ( mprj wbs_dat_i[13] ) ( mgmt_buffers mprj_dat_o_user[13] )
+ ROUTED met2 ( 626640 1362895 ) ( 626640 1389350 0 )
NEW met2 ( 1981200 1325710 0 ) ( 1981200 1367705 )
NEW met2 ( 1891920 1362895 ) ( 1891920 1367705 )
NEW met1 ( 626640 1362895 ) ( 1891920 1362895 )
NEW met1 ( 1891920 1367705 ) ( 1981200 1367705 )
NEW met1 ( 626640 1362895 ) M1M2_PR
NEW met1 ( 1981200 1367705 ) M1M2_PR
NEW met1 ( 1891920 1362895 ) M1M2_PR
NEW met1 ( 1891920 1367705 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[14\] ( mprj wbs_dat_i[14] ) ( mgmt_buffers mprj_dat_o_user[14] )
+ ROUTED met2 ( 644880 1365855 ) ( 644880 1389350 0 )
NEW met1 ( 1893360 1363265 ) ( 1893360 1363635 )
NEW met2 ( 732720 1365855 ) ( 732720 1366965 )
NEW met1 ( 732720 1366965 ) ( 755760 1366965 )
NEW met2 ( 755760 1363265 ) ( 755760 1366965 )
NEW met1 ( 644880 1365855 ) ( 732720 1365855 )
NEW met1 ( 755760 1363265 ) ( 1893360 1363265 )
NEW met2 ( 1985040 1325710 ) ( 1985760 1325710 0 )
NEW met2 ( 1985040 1325710 ) ( 1985040 1362710 )
NEW met3 ( 1978320 1362710 ) ( 1985040 1362710 )
NEW met3 ( 1978320 1362710 ) ( 1978320 1363450 )
NEW met3 ( 1964640 1363450 ) ( 1978320 1363450 )
NEW met3 ( 1964640 1363450 ) ( 1964640 1364190 )
NEW met3 ( 1938000 1364190 ) ( 1964640 1364190 )
NEW met2 ( 1938000 1363635 ) ( 1938000 1364190 )
NEW met1 ( 1893360 1363635 ) ( 1938000 1363635 )
NEW met1 ( 644880 1365855 ) M1M2_PR
NEW met1 ( 732720 1365855 ) M1M2_PR
NEW met1 ( 732720 1366965 ) M1M2_PR
NEW met1 ( 755760 1366965 ) M1M2_PR
NEW met1 ( 755760 1363265 ) M1M2_PR
NEW met2 ( 1985040 1362710 ) via2_FR
NEW met2 ( 1938000 1364190 ) via2_FR
NEW met1 ( 1938000 1363635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[15\] ( mprj wbs_dat_i[15] ) ( mgmt_buffers mprj_dat_o_user[15] )
+ ROUTED met2 ( 1989840 1325710 0 ) ( 1990320 1325710 )
NEW met2 ( 1990320 1325710 ) ( 1990320 1364745 )
NEW met2 ( 662640 1365485 ) ( 662640 1389350 0 )
NEW met1 ( 1892880 1363635 ) ( 1892880 1364005 )
NEW met1 ( 1892880 1364005 ) ( 1893840 1364005 )
NEW met1 ( 1893840 1364005 ) ( 1893840 1364745 )
NEW met1 ( 1893840 1364745 ) ( 1990320 1364745 )
NEW met1 ( 733200 1365485 ) ( 733200 1365855 )
NEW met1 ( 733200 1365855 ) ( 739440 1365855 )
NEW met2 ( 739440 1363635 ) ( 739440 1365855 )
NEW met1 ( 662640 1365485 ) ( 733200 1365485 )
NEW met1 ( 739440 1363635 ) ( 1892880 1363635 )
NEW met1 ( 1990320 1364745 ) M1M2_PR
NEW met1 ( 662640 1365485 ) M1M2_PR
NEW met1 ( 739440 1365855 ) M1M2_PR
NEW met1 ( 739440 1363635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[16\] ( mprj wbs_dat_i[16] ) ( mgmt_buffers mprj_dat_o_user[16] )
+ ROUTED met2 ( 1993200 1325710 ) ( 1994400 1325710 0 )
NEW met2 ( 1993200 1325710 ) ( 1993200 1365115 )
NEW met2 ( 679920 1363635 ) ( 679920 1389350 0 )
NEW met1 ( 1892400 1364005 ) ( 1892400 1364375 )
NEW met1 ( 1892400 1364375 ) ( 1893360 1364375 )
NEW met2 ( 1893360 1364375 ) ( 1893360 1364930 )
NEW met2 ( 1893360 1364930 ) ( 1893840 1364930 )
NEW met2 ( 1893840 1364930 ) ( 1893840 1365115 )
NEW met1 ( 1893840 1365115 ) ( 1993200 1365115 )
NEW met2 ( 733200 1363635 ) ( 733200 1364005 )
NEW met2 ( 733200 1364005 ) ( 733680 1364005 )
NEW met1 ( 679920 1363635 ) ( 733200 1363635 )
NEW met1 ( 733680 1364005 ) ( 1892400 1364005 )
NEW met1 ( 1993200 1365115 ) M1M2_PR
NEW met1 ( 679920 1363635 ) M1M2_PR
NEW met1 ( 1893360 1364375 ) M1M2_PR
NEW met1 ( 1893840 1365115 ) M1M2_PR
NEW met1 ( 733200 1363635 ) M1M2_PR
NEW met1 ( 733680 1364005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[17\] ( mprj wbs_dat_i[17] ) ( mgmt_buffers mprj_dat_o_user[17] )
+ ROUTED met2 ( 1998480 1325710 0 ) ( 1998480 1365485 )
NEW met2 ( 698160 1364745 ) ( 698160 1389350 0 )
NEW met1 ( 1893360 1364745 ) ( 1893360 1365485 )
NEW met1 ( 698160 1364745 ) ( 1893360 1364745 )
NEW met1 ( 1893360 1365485 ) ( 1998480 1365485 )
NEW met1 ( 1998480 1365485 ) M1M2_PR
NEW met1 ( 698160 1364745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[18\] ( mprj wbs_dat_i[18] ) ( mgmt_buffers mprj_dat_o_user[18] )
+ ROUTED met2 ( 2003280 1325710 0 ) ( 2003280 1365855 )
NEW met2 ( 715920 1365115 ) ( 715920 1389350 0 )
NEW met1 ( 1892880 1365115 ) ( 1892880 1365855 )
NEW met1 ( 715920 1365115 ) ( 1892880 1365115 )
NEW met1 ( 1892880 1365855 ) ( 2003280 1365855 )
NEW met1 ( 2003280 1365855 ) M1M2_PR
NEW met1 ( 715920 1365115 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[19\] ( mprj wbs_dat_i[19] ) ( mgmt_buffers mprj_dat_o_user[19] )
+ ROUTED met2 ( 1975440 1354385 ) ( 1975440 1355865 )
NEW met1 ( 1975440 1354385 ) ( 2006640 1354385 )
NEW met2 ( 2006640 1325710 ) ( 2007360 1325710 0 )
NEW met2 ( 2006640 1325710 ) ( 2006640 1354385 )
NEW met2 ( 733680 1365485 ) ( 733680 1389350 0 )
NEW met2 ( 1890000 1355310 ) ( 1890000 1365485 )
NEW met2 ( 1890000 1355310 ) ( 1890960 1355310 )
NEW met2 ( 1890960 1355310 ) ( 1890960 1355865 )
NEW met1 ( 733680 1365485 ) ( 1890000 1365485 )
NEW met1 ( 1890960 1355865 ) ( 1975440 1355865 )
NEW met1 ( 1975440 1355865 ) M1M2_PR
NEW met1 ( 1975440 1354385 ) M1M2_PR
NEW met1 ( 2006640 1354385 ) M1M2_PR
NEW met1 ( 733680 1365485 ) M1M2_PR
NEW met1 ( 1890000 1365485 ) M1M2_PR
NEW met1 ( 1890960 1355865 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[1\] ( mprj wbs_dat_i[1] ) ( mgmt_buffers mprj_dat_o_user[1] )
+ ROUTED met2 ( 394800 1364190 ) ( 394800 1389350 0 )
NEW met2 ( 1879440 1364190 ) ( 1879440 1367150 )
NEW met3 ( 1879440 1367150 ) ( 1922160 1367150 )
NEW met3 ( 394800 1364190 ) ( 1879440 1364190 )
NEW met2 ( 1922160 1325710 0 ) ( 1922160 1367150 )
NEW met2 ( 394800 1364190 ) via2_FR
NEW met2 ( 1879440 1364190 ) via2_FR
NEW met2 ( 1879440 1367150 ) via2_FR
NEW met2 ( 1922160 1367150 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[20\] ( mprj wbs_dat_i[20] ) ( mgmt_buffers mprj_dat_o_user[20] )
+ ROUTED met2 ( 821040 1360305 ) ( 821040 1365855 )
NEW met1 ( 751440 1360305 ) ( 821040 1360305 )
NEW met2 ( 751440 1360305 ) ( 751440 1389350 0 )
NEW met2 ( 2011920 1325710 0 ) ( 2011920 1362525 )
NEW met2 ( 1892400 1363450 ) ( 1892400 1365855 )
NEW met2 ( 1892400 1363450 ) ( 1893840 1363450 )
NEW met2 ( 1893840 1363265 ) ( 1893840 1363450 )
NEW met1 ( 1893840 1363265 ) ( 1902000 1363265 )
NEW met2 ( 1902000 1362525 ) ( 1902000 1363265 )
NEW met1 ( 821040 1365855 ) ( 1892400 1365855 )
NEW met1 ( 1902000 1362525 ) ( 2011920 1362525 )
NEW met1 ( 821040 1365855 ) M1M2_PR
NEW met1 ( 821040 1360305 ) M1M2_PR
NEW met1 ( 751440 1360305 ) M1M2_PR
NEW met1 ( 2011920 1362525 ) M1M2_PR
NEW met1 ( 1892400 1365855 ) M1M2_PR
NEW met1 ( 1893840 1363265 ) M1M2_PR
NEW met1 ( 1902000 1363265 ) M1M2_PR
NEW met1 ( 1902000 1362525 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[21\] ( mprj wbs_dat_i[21] ) ( mgmt_buffers mprj_dat_o_user[21] )
+ ROUTED met2 ( 815760 1366225 ) ( 815760 1367705 )
NEW met1 ( 769200 1367705 ) ( 815760 1367705 )
NEW met2 ( 769200 1367705 ) ( 769200 1389350 0 )
NEW met1 ( 1974000 1366225 ) ( 1974000 1366595 )
NEW met1 ( 815760 1366225 ) ( 1974000 1366225 )
NEW met2 ( 2016000 1325710 0 ) ( 2016240 1325710 )
NEW met2 ( 2016240 1325710 ) ( 2016240 1343655 )
NEW met1 ( 2016240 1343655 ) ( 2020080 1343655 )
NEW met2 ( 2020080 1343655 ) ( 2020080 1366595 )
NEW met1 ( 1974000 1366595 ) ( 2020080 1366595 )
NEW met1 ( 815760 1366225 ) M1M2_PR
NEW met1 ( 815760 1367705 ) M1M2_PR
NEW met1 ( 769200 1367705 ) M1M2_PR
NEW met1 ( 2016240 1343655 ) M1M2_PR
NEW met1 ( 2020080 1343655 ) M1M2_PR
NEW met1 ( 2020080 1366595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[22\] ( mprj wbs_dat_i[22] ) ( mgmt_buffers mprj_dat_o_user[22] )
+ ROUTED met2 ( 787440 1366595 ) ( 787440 1389350 0 )
NEW met1 ( 1973520 1366595 ) ( 1973520 1366965 )
NEW met1 ( 1973520 1366965 ) ( 2020560 1366965 )
NEW met2 ( 2020560 1325710 0 ) ( 2020560 1366965 )
NEW met1 ( 787440 1366595 ) ( 1973520 1366595 )
NEW met1 ( 787440 1366595 ) M1M2_PR
NEW met1 ( 2020560 1366965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[23\] ( mprj wbs_dat_i[23] ) ( mgmt_buffers mprj_dat_o_user[23] )
+ ROUTED met2 ( 805200 1366965 ) ( 805200 1389350 0 )
NEW met2 ( 1973040 1362895 ) ( 1973040 1366965 )
NEW met1 ( 1973040 1362895 ) ( 1984560 1362895 )
NEW met2 ( 1984560 1359935 ) ( 1984560 1362895 )
NEW met1 ( 1984560 1359935 ) ( 1993680 1359935 )
NEW met2 ( 1993680 1358825 ) ( 1993680 1359935 )
NEW met2 ( 1993680 1358825 ) ( 1994640 1358825 )
NEW met2 ( 1994640 1354015 ) ( 1994640 1358825 )
NEW met1 ( 1994640 1354015 ) ( 2024880 1354015 )
NEW met2 ( 2024880 1325710 0 ) ( 2024880 1354015 )
NEW met1 ( 805200 1366965 ) ( 1973040 1366965 )
NEW met1 ( 805200 1366965 ) M1M2_PR
NEW met1 ( 1973040 1366965 ) M1M2_PR
NEW met1 ( 1973040 1362895 ) M1M2_PR
NEW met1 ( 1984560 1362895 ) M1M2_PR
NEW met1 ( 1984560 1359935 ) M1M2_PR
NEW met1 ( 1993680 1359935 ) M1M2_PR
NEW met1 ( 1994640 1354015 ) M1M2_PR
NEW met1 ( 2024880 1354015 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[24\] ( mprj wbs_dat_i[24] ) ( mgmt_buffers mprj_dat_o_user[24] )
+ ROUTED met2 ( 822960 1360305 ) ( 822960 1389350 0 )
NEW met2 ( 2028960 1325710 0 ) ( 2029680 1325710 )
NEW met2 ( 2029680 1325710 ) ( 2029680 1360305 )
NEW met1 ( 822960 1360305 ) ( 2029680 1360305 )
NEW met1 ( 822960 1360305 ) M1M2_PR
NEW met1 ( 2029680 1360305 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[25\] ( mprj wbs_dat_i[25] ) ( mgmt_buffers mprj_dat_o_user[25] )
+ ROUTED met2 ( 840720 1354385 ) ( 840720 1389350 0 )
NEW met2 ( 2033520 1325710 0 ) ( 2033520 1359195 )
NEW met2 ( 1974960 1354385 ) ( 1974960 1359195 )
NEW met1 ( 840720 1354385 ) ( 1974960 1354385 )
NEW met1 ( 1974960 1359195 ) ( 2033520 1359195 )
NEW met1 ( 840720 1354385 ) M1M2_PR
NEW met1 ( 2033520 1359195 ) M1M2_PR
NEW met1 ( 1974960 1354385 ) M1M2_PR
NEW met1 ( 1974960 1359195 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[26\] ( mprj wbs_dat_i[26] ) ( mgmt_buffers mprj_dat_o_user[26] )
+ ROUTED met1 ( 894000 1358825 ) ( 894000 1359565 )
NEW met1 ( 858480 1358825 ) ( 894000 1358825 )
NEW met2 ( 858480 1358825 ) ( 858480 1389350 0 )
NEW met1 ( 894000 1359565 ) ( 2037840 1359565 )
NEW met2 ( 2037840 1325710 0 ) ( 2037840 1359565 )
NEW met1 ( 858480 1358825 ) M1M2_PR
NEW met1 ( 2037840 1359565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[27\] ( mprj wbs_dat_i[27] ) ( mgmt_buffers mprj_dat_o_user[27] )
+ ROUTED met2 ( 1974480 1359195 ) ( 1974480 1366225 )
NEW met1 ( 1974480 1366225 ) ( 2041200 1366225 )
NEW met1 ( 906000 1358455 ) ( 906000 1359195 )
NEW met1 ( 876720 1358455 ) ( 906000 1358455 )
NEW met2 ( 876720 1358455 ) ( 876720 1389350 0 )
NEW met1 ( 906000 1359195 ) ( 1974480 1359195 )
NEW met2 ( 2042400 1324230 0 ) ( 2043120 1324230 )
NEW met2 ( 2043120 1324230 ) ( 2043120 1324415 )
NEW met2 ( 2043120 1324415 ) ( 2043600 1324415 )
NEW met2 ( 2043600 1324415 ) ( 2043600 1334775 )
NEW met1 ( 2041200 1334775 ) ( 2043600 1334775 )
NEW met2 ( 2041200 1334775 ) ( 2041200 1366225 )
NEW met1 ( 1974480 1359195 ) M1M2_PR
NEW met1 ( 1974480 1366225 ) M1M2_PR
NEW met1 ( 2041200 1366225 ) M1M2_PR
NEW met1 ( 876720 1358455 ) M1M2_PR
NEW met1 ( 2043600 1334775 ) M1M2_PR
NEW met1 ( 2041200 1334775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[28\] ( mprj wbs_dat_i[28] ) ( mgmt_buffers mprj_dat_o_user[28] )
+ ROUTED met1 ( 911760 1358085 ) ( 911760 1358825 )
NEW met1 ( 894480 1358085 ) ( 911760 1358085 )
NEW met2 ( 894480 1358085 ) ( 894480 1389350 0 )
NEW met1 ( 911760 1358825 ) ( 2046480 1358825 )
NEW met2 ( 2046480 1325710 0 ) ( 2046480 1358825 )
NEW met1 ( 894480 1358085 ) M1M2_PR
NEW met1 ( 2046480 1358825 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[29\] ( mprj wbs_dat_i[29] ) ( mgmt_buffers mprj_dat_o_user[29] )
+ ROUTED met2 ( 912240 1358455 ) ( 912240 1389350 0 )
NEW met2 ( 2049840 1325710 ) ( 2051040 1325710 0 )
NEW met2 ( 2049840 1325710 ) ( 2049840 1342915 )
NEW met1 ( 2018640 1342915 ) ( 2049840 1342915 )
NEW met2 ( 2018640 1342915 ) ( 2018640 1358825 )
NEW met2 ( 2016000 1358825 ) ( 2018640 1358825 )
NEW met2 ( 2016000 1358455 ) ( 2016000 1358825 )
NEW met1 ( 912240 1358455 ) ( 2016000 1358455 )
NEW met1 ( 912240 1358455 ) M1M2_PR
NEW met1 ( 2049840 1342915 ) M1M2_PR
NEW met1 ( 2018640 1342915 ) M1M2_PR
NEW met1 ( 2016000 1358455 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[2\] ( mprj wbs_dat_i[2] ) ( mgmt_buffers mprj_dat_o_user[2] )
+ ROUTED met2 ( 418320 1367150 ) ( 418320 1389350 0 )
NEW met2 ( 1878480 1367150 ) ( 1878480 1369555 )
NEW met1 ( 1878480 1369555 ) ( 1917360 1369555 )
NEW met2 ( 1917360 1356050 ) ( 1917360 1369555 )
NEW met3 ( 1917360 1356050 ) ( 1927920 1356050 )
NEW met3 ( 418320 1367150 ) ( 1878480 1367150 )
NEW met2 ( 1927920 1325710 ) ( 1929120 1325710 0 )
NEW met2 ( 1927920 1325710 ) ( 1927920 1356050 )
NEW met2 ( 418320 1367150 ) via2_FR
NEW met2 ( 1878480 1367150 ) via2_FR
NEW met1 ( 1878480 1369555 ) M1M2_PR
NEW met1 ( 1917360 1369555 ) M1M2_PR
NEW met2 ( 1917360 1356050 ) via2_FR
NEW met2 ( 1927920 1356050 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[30\] ( mprj wbs_dat_i[30] ) ( mgmt_buffers mprj_dat_o_user[30] )
+ ROUTED met2 ( 930000 1358085 ) ( 930000 1389350 0 )
NEW met2 ( 2055120 1325710 0 ) ( 2055120 1338105 )
NEW met1 ( 2015280 1338105 ) ( 2055120 1338105 )
NEW met2 ( 2015280 1338105 ) ( 2015280 1358085 )
NEW met1 ( 930000 1358085 ) ( 2015280 1358085 )
NEW met1 ( 930000 1358085 ) M1M2_PR
NEW met1 ( 2055120 1338105 ) M1M2_PR
NEW met1 ( 2015280 1338105 ) M1M2_PR
NEW met1 ( 2015280 1358085 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[31\] ( mprj wbs_dat_i[31] ) ( mgmt_buffers mprj_dat_o_user[31] )
+ ROUTED met2 ( 947760 1357715 ) ( 947760 1389350 0 )
NEW met2 ( 2059920 1325710 0 ) ( 2059920 1335145 )
NEW met1 ( 2015760 1335145 ) ( 2059920 1335145 )
NEW met2 ( 2015760 1335145 ) ( 2015760 1357715 )
NEW met1 ( 947760 1357715 ) ( 2015760 1357715 )
NEW met1 ( 947760 1357715 ) M1M2_PR
NEW met1 ( 2059920 1335145 ) M1M2_PR
NEW met1 ( 2015760 1335145 ) M1M2_PR
NEW met1 ( 2015760 1357715 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[3\] ( mprj wbs_dat_i[3] ) ( mgmt_buffers mprj_dat_o_user[3] )
+ ROUTED met2 ( 442320 1367890 ) ( 442320 1389350 0 )
NEW met2 ( 1865040 1354570 ) ( 1865040 1367890 )
NEW met3 ( 1865040 1354570 ) ( 1891920 1354570 )
NEW met2 ( 1891920 1354570 ) ( 1891920 1355310 )
NEW met2 ( 1891920 1355310 ) ( 1893360 1355310 )
NEW met2 ( 1893360 1353090 ) ( 1893360 1355310 )
NEW met2 ( 1893360 1353090 ) ( 1894800 1353090 )
NEW met2 ( 1894800 1353090 ) ( 1894800 1354015 )
NEW met1 ( 1894800 1354015 ) ( 1934640 1354015 )
NEW met3 ( 442320 1367890 ) ( 1865040 1367890 )
NEW met2 ( 1934640 1325710 ) ( 1935600 1325710 0 )
NEW met2 ( 1934640 1325710 ) ( 1934640 1354015 )
NEW met2 ( 442320 1367890 ) via2_FR
NEW met2 ( 1865040 1367890 ) via2_FR
NEW met2 ( 1865040 1354570 ) via2_FR
NEW met2 ( 1891920 1354570 ) via2_FR
NEW met1 ( 1894800 1354015 ) M1M2_PR
NEW met1 ( 1934640 1354015 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[4\] ( mprj wbs_dat_i[4] ) ( mgmt_buffers mprj_dat_o_user[4] )
+ ROUTED met2 ( 466320 1359010 ) ( 466320 1389350 0 )
NEW met3 ( 1846560 1359010 ) ( 1846560 1359750 )
NEW met3 ( 1846560 1359750 ) ( 1940880 1359750 )
NEW met3 ( 466320 1359010 ) ( 1846560 1359010 )
NEW met2 ( 1940880 1325710 ) ( 1942080 1325710 0 )
NEW met2 ( 1940880 1325710 ) ( 1940880 1359750 )
NEW met2 ( 466320 1359010 ) via2_FR
NEW met2 ( 1940880 1359750 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[5\] ( mprj wbs_dat_i[5] ) ( mgmt_buffers mprj_dat_o_user[5] )
+ ROUTED met2 ( 1946160 1325710 0 ) ( 1947120 1325710 )
NEW met2 ( 1947120 1325710 ) ( 1947120 1359010 )
NEW met2 ( 484080 1358270 ) ( 484080 1389350 0 )
NEW met3 ( 1847520 1358270 ) ( 1847520 1359010 )
NEW met3 ( 484080 1358270 ) ( 1847520 1358270 )
NEW met3 ( 1847520 1359010 ) ( 1947120 1359010 )
NEW met2 ( 1947120 1359010 ) via2_FR
NEW met2 ( 484080 1358270 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[6\] ( mprj wbs_dat_i[6] ) ( mgmt_buffers mprj_dat_o_user[6] )
+ ROUTED met2 ( 1949520 1325710 ) ( 1950720 1325710 0 )
NEW met2 ( 1949520 1325710 ) ( 1949520 1357530 )
NEW met2 ( 501840 1357530 ) ( 501840 1389350 0 )
NEW met3 ( 501840 1357530 ) ( 1949520 1357530 )
NEW met2 ( 1949520 1357530 ) via2_FR
NEW met2 ( 501840 1357530 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[7\] ( mprj wbs_dat_i[7] ) ( mgmt_buffers mprj_dat_o_user[7] )
+ ROUTED met2 ( 1954800 1325710 0 ) ( 1954800 1361415 )
NEW met2 ( 519600 1361045 ) ( 519600 1389350 0 )
NEW met1 ( 1893360 1361045 ) ( 1893360 1361415 )
NEW met1 ( 519600 1361045 ) ( 1893360 1361045 )
NEW met1 ( 1893360 1361415 ) ( 1954800 1361415 )
NEW met1 ( 1954800 1361415 ) M1M2_PR
NEW met1 ( 519600 1361045 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[8\] ( mprj wbs_dat_i[8] ) ( mgmt_buffers mprj_dat_o_user[8] )
+ ROUTED met2 ( 537360 1361415 ) ( 537360 1389350 0 )
NEW met2 ( 1959600 1325710 0 ) ( 1959600 1362895 )
NEW met2 ( 1892880 1361415 ) ( 1892880 1362895 )
NEW met1 ( 537360 1361415 ) ( 1892880 1361415 )
NEW met1 ( 1892880 1362895 ) ( 1959600 1362895 )
NEW met1 ( 537360 1361415 ) M1M2_PR
NEW met1 ( 1959600 1362895 ) M1M2_PR
NEW met1 ( 1892880 1361415 ) M1M2_PR
NEW met1 ( 1892880 1362895 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[9\] ( mprj wbs_dat_i[9] ) ( mgmt_buffers mprj_dat_o_user[9] )
+ ROUTED met2 ( 555600 1361785 ) ( 555600 1389350 0 )
NEW met2 ( 1962960 1325710 ) ( 1963680 1325710 0 )
NEW met2 ( 1962960 1325710 ) ( 1962960 1361415 )
NEW met1 ( 1962000 1361415 ) ( 1962960 1361415 )
NEW met1 ( 1962000 1361415 ) ( 1962000 1361785 )
NEW met1 ( 555600 1361785 ) ( 1962000 1361785 )
NEW met1 ( 555600 1361785 ) M1M2_PR
NEW met1 ( 1962960 1361415 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[0\] ( padframe mprj_io_analog_en[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_en )
+ ROUTED met3 ( 3371280 619010 ) ( 3373920 619010 0 )
NEW met1 ( 3371280 531875 ) ( 3377040 531875 )
NEW met2 ( 3377040 529100 0 ) ( 3377040 531875 )
NEW met2 ( 3371280 531875 ) ( 3371280 619010 )
NEW met2 ( 3371280 619010 ) via2_FR
NEW met1 ( 3371280 531875 ) M1M2_PR
NEW met1 ( 3377040 531875 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[10\] ( padframe mprj_io_analog_en[10] ) ( gpio_control_in\[10\] pad_gpio_ana_en )
+ ROUTED met1 ( 3366000 3443035 ) ( 3377520 3443035 )
NEW met2 ( 3377520 3443035 ) ( 3377520 3445070 0 )
NEW met3 ( 3366000 3083950 ) ( 3373920 3083950 0 )
NEW met2 ( 3366000 3083950 ) ( 3366000 3443035 )
NEW met1 ( 3366000 3443035 ) M1M2_PR
NEW met1 ( 3377520 3443035 ) M1M2_PR
NEW met2 ( 3366000 3083950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[11\] ( padframe mprj_io_analog_en[11] ) ( gpio_control_in\[11\] pad_gpio_ana_en )
+ ROUTED met1 ( 3364560 3311685 ) ( 3369360 3311685 )
NEW met2 ( 3369360 3308910 ) ( 3369360 3311685 )
NEW met3 ( 3369360 3308910 ) ( 3373920 3308910 0 )
NEW met1 ( 3364560 3667995 ) ( 3377520 3667995 )
NEW met2 ( 3377520 3667995 ) ( 3377520 3670030 0 )
NEW met2 ( 3364560 3311685 ) ( 3364560 3667995 )
NEW met1 ( 3364560 3311685 ) M1M2_PR
NEW met1 ( 3369360 3311685 ) M1M2_PR
NEW met2 ( 3369360 3308910 ) via2_FR
NEW met1 ( 3364560 3667995 ) M1M2_PR
NEW met1 ( 3377520 3667995 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[12\] ( padframe mprj_io_analog_en[12] ) ( gpio_control_in\[12\] pad_gpio_ana_en )
+ ROUTED met1 ( 3365040 3892955 ) ( 3377040 3892955 )
NEW met2 ( 3377040 3892955 ) ( 3377040 3895155 0 )
NEW met1 ( 3365040 3535165 ) ( 3372240 3535165 )
NEW met2 ( 3372240 3534980 ) ( 3372240 3535165 )
NEW met3 ( 3372240 3534980 ) ( 3373920 3534980 0 )
NEW met2 ( 3365040 3535165 ) ( 3365040 3892955 )
NEW met1 ( 3365040 3892955 ) M1M2_PR
NEW met1 ( 3377040 3892955 ) M1M2_PR
NEW met1 ( 3365040 3535165 ) M1M2_PR
NEW met1 ( 3372240 3535165 ) M1M2_PR
NEW met2 ( 3372240 3534980 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[13\] ( padframe mprj_io_analog_en[13] ) ( gpio_control_in\[13\] pad_gpio_ana_en )
+ ROUTED met1 ( 3366000 4339175 ) ( 3377040 4339175 )
NEW met2 ( 3377040 4339175 ) ( 3377040 4341210 0 )
NEW met3 ( 3366000 3760310 ) ( 3373920 3760310 )
NEW met3 ( 3373920 3759940 0 ) ( 3373920 3760310 )
NEW met2 ( 3366000 3760310 ) ( 3366000 4339175 )
NEW met1 ( 3366000 4339175 ) M1M2_PR
NEW met1 ( 3377040 4339175 ) M1M2_PR
NEW met2 ( 3366000 3760310 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[14\] ( padframe mprj_io_analog_en[14] ) ( gpio_control_in\[14\] pad_gpio_ana_en )
+ ROUTED met3 ( 3384480 4652010 0 ) ( 3384480 4653490 )
NEW met3 ( 3376080 4766710 ) ( 3384480 4766710 )
NEW met2 ( 3376080 4766710 ) ( 3376080 4785025 )
NEW met1 ( 3376080 4785025 ) ( 3377520 4785025 )
NEW met2 ( 3377520 4785025 ) ( 3377520 4787060 0 )
NEW met4 ( 3384480 4653490 ) ( 3384480 4766710 )
NEW met3 ( 3384480 4653490 ) M3M4_PR_M
NEW met3 ( 3384480 4766710 ) M3M4_PR_M
NEW met2 ( 3376080 4766710 ) via2_FR
NEW met1 ( 3376080 4785025 ) M1M2_PR
NEW met1 ( 3377520 4785025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[15\] ( padframe mprj_io_analog_en[15] ) ( gpio_control_in\[15\] pad_gpio_ana_en )
+ ROUTED met2 ( 2865840 4987415 ) ( 2865840 5004065 )
NEW met2 ( 3045360 4987415 ) ( 3045360 4997775 )
NEW met1 ( 2865840 4987415 ) ( 3045360 4987415 )
NEW met2 ( 3179280 4979090 ) ( 3180720 4979090 0 )
NEW met2 ( 3179280 4978905 ) ( 3179280 4979090 )
NEW met1 ( 3179280 4978905 ) ( 3179280 4979645 )
NEW met1 ( 3127440 4979645 ) ( 3179280 4979645 )
NEW met2 ( 3127440 4979645 ) ( 3127440 4997775 )
NEW met1 ( 3045360 4997775 ) ( 3127440 4997775 )
NEW met2 ( 2761680 5004065 ) ( 2761680 5004250 )
NEW met3 ( 2760000 5004250 0 ) ( 2761680 5004250 )
NEW met1 ( 2761680 5004065 ) ( 2865840 5004065 )
NEW met1 ( 2865840 5004065 ) M1M2_PR
NEW met1 ( 2865840 4987415 ) M1M2_PR
NEW met1 ( 3045360 4987415 ) M1M2_PR
NEW met1 ( 3045360 4997775 ) M1M2_PR
NEW met1 ( 3179280 4978905 ) M1M2_PR
NEW met1 ( 3127440 4979645 ) M1M2_PR
NEW met1 ( 3127440 4997775 ) M1M2_PR
NEW met1 ( 2761680 5004065 ) M1M2_PR
NEW met2 ( 2761680 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[16\] ( padframe mprj_io_analog_en[16] ) ( gpio_control_in\[16\] pad_gpio_ana_en )
+ ROUTED met2 ( 2591760 4973170 ) ( 2591760 4983715 )
NEW met1 ( 2560080 4983715 ) ( 2591760 4983715 )
NEW met2 ( 2560080 4983715 ) ( 2560080 4998145 )
NEW met2 ( 2503920 4998145 ) ( 2503920 4998330 )
NEW met3 ( 2503200 4998330 0 ) ( 2503920 4998330 )
NEW met1 ( 2503920 4998145 ) ( 2560080 4998145 )
NEW met2 ( 2671920 4973170 ) ( 2671920 4977610 0 )
NEW met3 ( 2591760 4973170 ) ( 2671920 4973170 )
NEW met2 ( 2591760 4973170 ) via2_FR
NEW met1 ( 2591760 4983715 ) M1M2_PR
NEW met1 ( 2560080 4983715 ) M1M2_PR
NEW met1 ( 2560080 4998145 ) M1M2_PR
NEW met1 ( 2503920 4998145 ) M1M2_PR
NEW met2 ( 2503920 4998330 ) via2_FR
NEW met2 ( 2671920 4973170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[17\] ( padframe mprj_io_analog_en[17] ) ( gpio_control_in\[17\] pad_gpio_ana_en )
+ ROUTED met2 ( 2331120 4977425 ) ( 2331120 5015165 )
NEW met2 ( 2413680 4977425 ) ( 2413680 4977610 )
NEW met2 ( 2413680 4977610 ) ( 2414880 4977610 0 )
NEW met1 ( 2331120 4977425 ) ( 2413680 4977425 )
NEW met2 ( 2177040 5014425 ) ( 2177040 5015165 )
NEW met1 ( 2119440 5014425 ) ( 2177040 5014425 )
NEW met2 ( 2119440 5014425 ) ( 2119440 5014610 )
NEW met3 ( 2118240 5014610 0 ) ( 2119440 5014610 )
NEW met1 ( 2177040 5015165 ) ( 2331120 5015165 )
NEW met1 ( 2331120 5015165 ) M1M2_PR
NEW met1 ( 2331120 4977425 ) M1M2_PR
NEW met1 ( 2413680 4977425 ) M1M2_PR
NEW met1 ( 2177040 5015165 ) M1M2_PR
NEW met1 ( 2177040 5014425 ) M1M2_PR
NEW met1 ( 2119440 5014425 ) M1M2_PR
NEW met2 ( 2119440 5014610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[18\] ( padframe mprj_io_analog_en[18] ) ( gpio_control_in\[18\] pad_gpio_ana_en )
+ ROUTED met2 ( 1968720 4977610 ) ( 1969920 4977610 0 )
NEW met2 ( 1968720 4977055 ) ( 1968720 4977610 )
NEW met1 ( 1899120 4977055 ) ( 1968720 4977055 )
NEW met2 ( 1899120 4977055 ) ( 1899120 5006285 )
NEW met2 ( 1782000 5006285 ) ( 1782000 5006470 )
NEW met3 ( 1780800 5006470 0 ) ( 1782000 5006470 )
NEW met1 ( 1782000 5006285 ) ( 1899120 5006285 )
NEW met1 ( 1968720 4977055 ) M1M2_PR
NEW met1 ( 1899120 4977055 ) M1M2_PR
NEW met1 ( 1899120 5006285 ) M1M2_PR
NEW met1 ( 1782000 5006285 ) M1M2_PR
NEW met2 ( 1782000 5006470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[19\] ( padframe mprj_io_analog_en[19] ) ( gpio_control_in\[19\] pad_gpio_ana_en )
+ ROUTED met2 ( 1460880 4979090 0 ) ( 1462800 4979090 )
NEW met2 ( 1462800 4978905 ) ( 1462800 4979090 )
NEW met1 ( 1462800 4978905 ) ( 1527600 4978905 )
NEW met2 ( 1527600 4978905 ) ( 1527600 4979830 )
NEW met3 ( 1527600 4979830 ) ( 1528800 4979830 0 )
NEW met1 ( 1462800 4978905 ) M1M2_PR
NEW met1 ( 1527600 4978905 ) M1M2_PR
NEW met2 ( 1527600 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[1\] ( padframe mprj_io_analog_en[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_en )
+ ROUTED met1 ( 3372720 757575 ) ( 3377040 757575 )
NEW met2 ( 3377040 755170 0 ) ( 3377040 757575 )
NEW met3 ( 3372720 843970 ) ( 3373920 843970 )
NEW met3 ( 3373920 843970 ) ( 3373920 844710 0 )
NEW met2 ( 3372720 757575 ) ( 3372720 843970 )
NEW met1 ( 3372720 757575 ) M1M2_PR
NEW met1 ( 3377040 757575 ) M1M2_PR
NEW met2 ( 3372720 843970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[20\] ( padframe mprj_io_analog_en[20] ) ( gpio_control_in\[20\] pad_gpio_ana_en )
+ ROUTED met2 ( 1202880 4978350 0 ) ( 1204080 4978350 )
NEW met3 ( 1251360 4977610 ) ( 1251360 4978350 )
NEW met3 ( 1251360 4977610 ) ( 1271040 4977610 )
NEW met3 ( 1271040 4977610 ) ( 1271040 4979090 0 )
NEW met3 ( 1204080 4978350 ) ( 1251360 4978350 )
NEW met2 ( 1204080 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[21\] ( padframe mprj_io_analog_en[21] ) ( gpio_control_in\[21\] pad_gpio_ana_en )
+ ROUTED met2 ( 945840 4977610 0 ) ( 947280 4977610 )
NEW met3 ( 947280 4977610 ) ( 1012320 4977610 )
NEW met3 ( 1012320 4977610 ) ( 1012320 4979090 )
NEW met3 ( 1012320 4979090 ) ( 1014240 4979090 0 )
NEW met2 ( 947280 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[22\] ( padframe mprj_io_analog_en[22] ) ( gpio_control_in\[22\] pad_gpio_ana_en )
+ ROUTED met2 ( 755280 5011650 ) ( 755280 5011835 )
NEW met3 ( 755280 5011650 ) ( 756960 5011650 0 )
NEW met2 ( 688800 4978350 0 ) ( 690000 4978350 )
NEW met2 ( 690000 4978350 ) ( 690000 4978535 )
NEW met1 ( 690000 4978535 ) ( 719280 4978535 )
NEW met2 ( 719280 4978535 ) ( 719280 5011835 )
NEW met1 ( 719280 5011835 ) ( 755280 5011835 )
NEW met1 ( 755280 5011835 ) M1M2_PR
NEW met2 ( 755280 5011650 ) via2_FR
NEW met1 ( 690000 4978535 ) M1M2_PR
NEW met1 ( 719280 4978535 ) M1M2_PR
NEW met1 ( 719280 5011835 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[23\] ( padframe mprj_io_analog_en[23] ) ( gpio_control_in\[23\] pad_gpio_ana_en )
+ ROUTED met2 ( 431760 4976685 ) ( 431760 4977610 0 )
NEW met2 ( 498960 4976685 ) ( 498960 4979830 )
NEW met3 ( 498960 4979830 ) ( 499680 4979830 0 )
NEW met1 ( 431760 4976685 ) ( 498960 4976685 )
NEW met1 ( 431760 4976685 ) M1M2_PR
NEW met1 ( 498960 4976685 ) M1M2_PR
NEW met2 ( 498960 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[24\] ( padframe mprj_io_analog_en[24] ) ( gpio_control_in\[24\] pad_gpio_ana_en )
+ ROUTED met3 ( 212640 4669770 0 ) ( 212640 4671990 )
NEW met3 ( 210960 4819990 ) ( 212640 4819990 )
NEW met2 ( 210960 4819990 ) ( 210960 4821840 0 )
NEW met4 ( 212640 4671990 ) ( 212640 4819990 )
NEW met3 ( 212640 4671990 ) M3M4_PR_M
NEW met3 ( 212640 4819990 ) M3M4_PR_M
NEW met2 ( 210960 4819990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[25\] ( padframe mprj_io_analog_en[25] ) ( gpio_control_in\[25\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 3972845 0 ) ( 210960 3975095 )
NEW met1 ( 210960 3975095 ) ( 215280 3975095 )
NEW met2 ( 215280 3975095 ) ( 215280 4040770 )
NEW met3 ( 212640 4040770 0 ) ( 215280 4040770 )
NEW met1 ( 210960 3975095 ) M1M2_PR
NEW met1 ( 215280 3975095 ) M1M2_PR
NEW met2 ( 215280 4040770 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[26\] ( padframe mprj_io_analog_en[26] ) ( gpio_control_in\[26\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 3756980 0 ) ( 210480 3758830 )
NEW met3 ( 210480 3758830 ) ( 213600 3758830 )
NEW met4 ( 213600 3758830 ) ( 213600 3823210 )
NEW met3 ( 212640 3823210 ) ( 213600 3823210 )
NEW met3 ( 212640 3823210 ) ( 212640 3824690 0 )
NEW met2 ( 210480 3758830 ) via2_FR
NEW met3 ( 213600 3758830 ) M3M4_PR_M
NEW met3 ( 213600 3823210 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_en\[27\] ( padframe mprj_io_analog_en[27] ) ( gpio_control_in\[27\] pad_gpio_ana_en )
+ ROUTED met3 ( 212400 3566430 ) ( 212640 3566430 )
NEW met2 ( 212400 3566430 ) ( 212400 3607870 )
NEW met3 ( 212400 3607870 ) ( 212640 3607870 )
NEW met3 ( 212640 3607870 ) ( 212640 3608980 0 )
NEW met2 ( 210480 3540900 0 ) ( 210480 3542750 )
NEW met3 ( 210480 3542750 ) ( 212640 3542750 )
NEW met4 ( 212640 3542750 ) ( 212640 3566430 )
NEW met3 ( 212640 3566430 ) M3M4_PR_M
NEW met2 ( 212400 3566430 ) via2_FR
NEW met2 ( 212400 3607870 ) via2_FR
NEW met2 ( 210480 3542750 ) via2_FR
NEW met3 ( 212640 3542750 ) M3M4_PR_M
NEW met3 ( 212640 3566430 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_en\[28\] ( padframe mprj_io_analog_en[28] ) ( gpio_control_in\[28\] pad_gpio_ana_en )
+ ROUTED met3 ( 210480 3391790 ) ( 210720 3391790 )
NEW met3 ( 210720 3391790 ) ( 210720 3392900 0 )
NEW met1 ( 210480 3353125 ) ( 215760 3353125 )
NEW met2 ( 215760 3326855 ) ( 215760 3353125 )
NEW met1 ( 210960 3326855 ) ( 215760 3326855 )
NEW met2 ( 210960 3324820 0 ) ( 210960 3326855 )
NEW met2 ( 210480 3353125 ) ( 210480 3391790 )
NEW met2 ( 210480 3391790 ) via2_FR
NEW met1 ( 210480 3353125 ) M1M2_PR
NEW met1 ( 215760 3353125 ) M1M2_PR
NEW met1 ( 215760 3326855 ) M1M2_PR
NEW met1 ( 210960 3326855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[29\] ( padframe mprj_io_analog_en[29] ) ( gpio_control_in\[29\] pad_gpio_ana_en )
+ ROUTED met3 ( 212640 3177930 ) ( 215280 3177930 )
NEW met3 ( 212640 3177190 0 ) ( 212640 3177930 )
NEW met2 ( 210960 3108740 0 ) ( 210960 3111145 )
NEW met1 ( 210960 3111145 ) ( 217200 3111145 )
NEW met2 ( 217200 3111145 ) ( 217200 3139265 )
NEW met1 ( 215280 3139265 ) ( 217200 3139265 )
NEW met2 ( 215280 3139265 ) ( 215280 3177930 )
NEW met2 ( 215280 3177930 ) via2_FR
NEW met1 ( 210960 3111145 ) M1M2_PR
NEW met1 ( 217200 3111145 ) M1M2_PR
NEW met1 ( 217200 3139265 ) M1M2_PR
NEW met1 ( 215280 3139265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[2\] ( padframe mprj_io_analog_en[2] ) ( gpio_control_in\[2\] pad_gpio_ana_en )
+ ROUTED met1 ( 3373200 982905 ) ( 3377040 982905 )
NEW met2 ( 3377040 980130 0 ) ( 3377040 982905 )
NEW met3 ( 3373200 1068930 ) ( 3373920 1068930 )
NEW met3 ( 3373920 1068930 ) ( 3373920 1069670 0 )
NEW met2 ( 3373200 982905 ) ( 3373200 1068930 )
NEW met1 ( 3373200 982905 ) M1M2_PR
NEW met1 ( 3377040 982905 ) M1M2_PR
NEW met2 ( 3373200 1068930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[30\] ( padframe mprj_io_analog_en[30] ) ( gpio_control_in\[30\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 2892845 0 ) ( 210960 2895065 )
NEW met1 ( 210960 2895065 ) ( 215280 2895065 )
NEW met2 ( 215280 2895065 ) ( 215280 2907645 )
NEW met1 ( 215280 2907645 ) ( 216720 2907645 )
NEW met2 ( 216720 2907645 ) ( 216720 2919115 )
NEW met1 ( 214320 2919115 ) ( 216720 2919115 )
NEW met2 ( 214320 2919115 ) ( 214320 2921890 )
NEW met2 ( 213840 2921890 ) ( 214320 2921890 )
NEW met3 ( 212640 2941870 ) ( 213840 2941870 )
NEW met4 ( 212640 2941870 ) ( 212640 2959630 )
NEW met3 ( 212640 2959630 ) ( 212640 2961110 0 )
NEW met2 ( 213840 2921890 ) ( 213840 2941870 )
NEW met1 ( 210960 2895065 ) M1M2_PR
NEW met1 ( 215280 2895065 ) M1M2_PR
NEW met1 ( 215280 2907645 ) M1M2_PR
NEW met1 ( 216720 2907645 ) M1M2_PR
NEW met1 ( 216720 2919115 ) M1M2_PR
NEW met1 ( 214320 2919115 ) M1M2_PR
NEW met2 ( 213840 2941870 ) via2_FR
NEW met3 ( 212640 2941870 ) M3M4_PR_M
NEW met3 ( 212640 2959630 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_en\[31\] ( padframe mprj_io_analog_en[31] ) ( gpio_control_in\[31\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 2676950 0 ) ( 210480 2678985 )
NEW met1 ( 210480 2678985 ) ( 215760 2678985 )
NEW met2 ( 215760 2678985 ) ( 215760 2745030 )
NEW met3 ( 212640 2745030 0 ) ( 215760 2745030 )
NEW met1 ( 210480 2678985 ) M1M2_PR
NEW met1 ( 215760 2678985 ) M1M2_PR
NEW met2 ( 215760 2745030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[32\] ( padframe mprj_io_analog_en[32] ) ( gpio_control_in\[32\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 2038845 0 ) ( 210960 2041105 )
NEW met1 ( 210960 2041105 ) ( 211920 2041105 )
NEW met1 ( 211920 2046655 ) ( 214800 2046655 )
NEW met2 ( 214800 2046655 ) ( 214800 2107150 )
NEW met3 ( 212640 2107150 0 ) ( 214800 2107150 )
NEW met2 ( 211920 2041105 ) ( 211920 2046655 )
NEW met1 ( 210960 2041105 ) M1M2_PR
NEW met1 ( 211920 2041105 ) M1M2_PR
NEW met1 ( 211920 2046655 ) M1M2_PR
NEW met1 ( 214800 2046655 ) M1M2_PR
NEW met2 ( 214800 2107150 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[33\] ( padframe mprj_io_analog_en[33] ) ( gpio_control_in\[33\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 1822845 0 ) ( 210960 1825025 )
NEW met1 ( 210960 1825025 ) ( 214320 1825025 )
NEW met2 ( 214320 1848150 ) ( 214800 1848150 )
NEW met2 ( 214800 1848150 ) ( 214800 1891070 )
NEW met3 ( 212640 1891070 0 ) ( 214800 1891070 )
NEW met2 ( 214320 1825025 ) ( 214320 1848150 )
NEW met1 ( 210960 1825025 ) M1M2_PR
NEW met1 ( 214320 1825025 ) M1M2_PR
NEW met2 ( 214800 1891070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[34\] ( padframe mprj_io_analog_en[34] ) ( gpio_control_in\[34\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 1606910 0 ) ( 210480 1608945 )
NEW met1 ( 210480 1608945 ) ( 212880 1608945 )
NEW met3 ( 212640 1673510 ) ( 212880 1673510 )
NEW met3 ( 212640 1673510 ) ( 212640 1674990 0 )
NEW met2 ( 212880 1608945 ) ( 212880 1673510 )
NEW met1 ( 210480 1608945 ) M1M2_PR
NEW met1 ( 212880 1608945 ) M1M2_PR
NEW met2 ( 212880 1673510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[35\] ( padframe mprj_io_analog_en[35] ) ( gpio_control_in\[35\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 1390830 0 ) ( 210960 1393050 )
NEW met3 ( 210960 1393050 ) ( 213600 1393050 )
NEW met4 ( 213600 1393050 ) ( 213600 1458910 )
NEW met3 ( 212640 1458910 0 ) ( 213600 1458910 )
NEW met2 ( 210960 1393050 ) via2_FR
NEW met3 ( 213600 1393050 ) M3M4_PR_M
NEW met3 ( 213600 1458910 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_en\[36\] ( padframe mprj_io_analog_en[36] ) ( gpio_control_in\[36\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 1174750 0 ) ( 210960 1177155 )
NEW met1 ( 210960 1177155 ) ( 215760 1177155 )
NEW met3 ( 212640 1242830 0 ) ( 215760 1242830 )
NEW met2 ( 215760 1177155 ) ( 215760 1242830 )
NEW met1 ( 210960 1177155 ) M1M2_PR
NEW met1 ( 215760 1177155 ) M1M2_PR
NEW met2 ( 215760 1242830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[37\] ( padframe mprj_io_analog_en[37] ) ( gpio_control_in\[37\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 958845 0 ) ( 210960 961075 )
NEW met1 ( 210960 961075 ) ( 215280 961075 )
NEW met2 ( 215280 961075 ) ( 215280 1026750 )
NEW met3 ( 212640 1026750 0 ) ( 215280 1026750 )
NEW met1 ( 210960 961075 ) M1M2_PR
NEW met1 ( 215280 961075 ) M1M2_PR
NEW met2 ( 215280 1026750 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[3\] ( padframe mprj_io_analog_en[3] ) ( gpio_control_in\[3\] pad_gpio_ana_en )
+ ROUTED met1 ( 3375120 1207865 ) ( 3377520 1207865 )
NEW met2 ( 3377520 1206200 0 ) ( 3377520 1207865 )
NEW met3 ( 3374880 1294630 ) ( 3375120 1294630 )
NEW met3 ( 3374880 1294630 ) ( 3374880 1296110 0 )
NEW met2 ( 3375120 1207865 ) ( 3375120 1294630 )
NEW met1 ( 3375120 1207865 ) M1M2_PR
NEW met1 ( 3377520 1207865 ) M1M2_PR
NEW met2 ( 3375120 1294630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[4\] ( padframe mprj_io_analog_en[4] ) ( gpio_control_in\[4\] pad_gpio_ana_en )
+ ROUTED met1 ( 3371280 1433935 ) ( 3377040 1433935 )
NEW met2 ( 3377040 1431160 0 ) ( 3377040 1433935 )
NEW met3 ( 3371280 1521070 ) ( 3373920 1521070 0 )
NEW met2 ( 3371280 1433935 ) ( 3371280 1521070 )
NEW met1 ( 3371280 1433935 ) M1M2_PR
NEW met1 ( 3377040 1433935 ) M1M2_PR
NEW met2 ( 3371280 1521070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[5\] ( padframe mprj_io_analog_en[5] ) ( gpio_control_in\[5\] pad_gpio_ana_en )
+ ROUTED met1 ( 3372720 1658895 ) ( 3377040 1658895 )
NEW met2 ( 3377040 1656120 0 ) ( 3377040 1658895 )
NEW met3 ( 3372720 1744550 ) ( 3373920 1744550 )
NEW met3 ( 3373920 1744550 ) ( 3373920 1746030 0 )
NEW met2 ( 3372720 1658895 ) ( 3372720 1744550 )
NEW met1 ( 3372720 1658895 ) M1M2_PR
NEW met1 ( 3377040 1658895 ) M1M2_PR
NEW met2 ( 3372720 1744550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[6\] ( padframe mprj_io_analog_en[6] ) ( gpio_control_in\[6\] pad_gpio_ana_en )
+ ROUTED met3 ( 3373200 1970990 ) ( 3373920 1970990 )
NEW met3 ( 3373920 1970990 ) ( 3373920 1971730 0 )
NEW met1 ( 3373200 1884595 ) ( 3377520 1884595 )
NEW met2 ( 3377520 1882190 0 ) ( 3377520 1884595 )
NEW met2 ( 3373200 1884595 ) ( 3373200 1970990 )
NEW met2 ( 3373200 1970990 ) via2_FR
NEW met1 ( 3373200 1884595 ) M1M2_PR
NEW met1 ( 3377520 1884595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[7\] ( padframe mprj_io_analog_en[7] ) ( gpio_control_in\[7\] pad_gpio_ana_en )
+ ROUTED met1 ( 3364560 2418875 ) ( 3369360 2418875 )
NEW met2 ( 3369360 2412770 ) ( 3369360 2418875 )
NEW met3 ( 3369360 2412770 ) ( 3373920 2412770 0 )
NEW met1 ( 3364560 2765935 ) ( 3377040 2765935 )
NEW met2 ( 3377040 2765935 ) ( 3377040 2768155 0 )
NEW met2 ( 3364560 2418875 ) ( 3364560 2765935 )
NEW met1 ( 3364560 2418875 ) M1M2_PR
NEW met1 ( 3369360 2418875 ) M1M2_PR
NEW met2 ( 3369360 2412770 ) via2_FR
NEW met1 ( 3364560 2765935 ) M1M2_PR
NEW met1 ( 3377040 2765935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[8\] ( padframe mprj_io_analog_en[8] ) ( gpio_control_in\[8\] pad_gpio_ana_en )
+ ROUTED met1 ( 3366000 2992005 ) ( 3377520 2992005 )
NEW met2 ( 3377520 2992005 ) ( 3377520 2994040 0 )
NEW met3 ( 3366000 2633290 ) ( 3373920 2633290 )
NEW met3 ( 3373920 2632920 0 ) ( 3373920 2633290 )
NEW met2 ( 3366000 2633290 ) ( 3366000 2992005 )
NEW met1 ( 3366000 2992005 ) M1M2_PR
NEW met1 ( 3377520 2992005 ) M1M2_PR
NEW met2 ( 3366000 2633290 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[9\] ( padframe mprj_io_analog_en[9] ) ( gpio_control_in\[9\] pad_gpio_ana_en )
+ ROUTED met1 ( 3364560 2858065 ) ( 3372240 2858065 )
NEW met2 ( 3372240 2857880 ) ( 3372240 2858065 )
NEW met3 ( 3372240 2857880 ) ( 3373920 2857880 0 )
NEW met1 ( 3364560 3216965 ) ( 3377040 3216965 )
NEW met2 ( 3377040 3216965 ) ( 3377040 3219155 0 )
NEW met2 ( 3364560 2858065 ) ( 3364560 3216965 )
NEW met1 ( 3364560 2858065 ) M1M2_PR
NEW met1 ( 3372240 2858065 ) M1M2_PR
NEW met2 ( 3372240 2857880 ) via2_FR
NEW met1 ( 3364560 3216965 ) M1M2_PR
NEW met1 ( 3377040 3216965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[0\] ( padframe mprj_io_analog_pol[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3374880 620490 ) ( 3375120 620490 )
NEW met3 ( 3374880 620490 ) ( 3374880 621600 0 )
NEW met1 ( 3375120 537795 ) ( 3377040 537795 )
NEW met2 ( 3377040 535595 0 ) ( 3377040 537795 )
NEW met2 ( 3375120 537795 ) ( 3375120 620490 )
NEW met2 ( 3375120 620490 ) via2_FR
NEW met1 ( 3375120 537795 ) M1M2_PR
NEW met1 ( 3377040 537795 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[10\] ( padframe mprj_io_analog_pol[10] ) ( gpio_control_in\[10\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3365520 3448955 ) ( 3377040 3448955 )
NEW met2 ( 3377040 3448955 ) ( 3377040 3451730 0 )
NEW met1 ( 3365520 3087835 ) ( 3373680 3087835 )
NEW met2 ( 3373680 3087650 ) ( 3373680 3087835 )
NEW met3 ( 3373680 3087650 ) ( 3373920 3087650 )
NEW met3 ( 3373920 3086910 0 ) ( 3373920 3087650 )
NEW met2 ( 3365520 3087835 ) ( 3365520 3448955 )
NEW met1 ( 3365520 3448955 ) M1M2_PR
NEW met1 ( 3377040 3448955 ) M1M2_PR
NEW met1 ( 3365520 3087835 ) M1M2_PR
NEW met1 ( 3373680 3087835 ) M1M2_PR
NEW met2 ( 3373680 3087650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[11\] ( padframe mprj_io_analog_pol[11] ) ( gpio_control_in\[11\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3366480 3311870 ) ( 3373920 3311870 0 )
NEW met1 ( 3366480 3673915 ) ( 3377040 3673915 )
NEW met2 ( 3377040 3673915 ) ( 3377040 3676690 0 )
NEW met2 ( 3366480 3311870 ) ( 3366480 3673915 )
NEW met2 ( 3366480 3311870 ) via2_FR
NEW met1 ( 3366480 3673915 ) M1M2_PR
NEW met1 ( 3377040 3673915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[12\] ( padframe mprj_io_analog_pol[12] ) ( gpio_control_in\[12\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3365520 3898875 ) ( 3377040 3898875 )
NEW met2 ( 3377040 3898875 ) ( 3377040 3901650 0 )
NEW met3 ( 3365520 3537570 ) ( 3373920 3537570 0 )
NEW met2 ( 3365520 3537570 ) ( 3365520 3898875 )
NEW met1 ( 3365520 3898875 ) M1M2_PR
NEW met1 ( 3377040 3898875 ) M1M2_PR
NEW met2 ( 3365520 3537570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[13\] ( padframe mprj_io_analog_pol[13] ) ( gpio_control_in\[13\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3366480 4345835 ) ( 3377520 4345835 )
NEW met2 ( 3377520 4345835 ) ( 3377520 4347500 0 )
NEW met3 ( 3366480 3762530 ) ( 3373920 3762530 0 )
NEW met2 ( 3366480 3762530 ) ( 3366480 4345835 )
NEW met1 ( 3366480 4345835 ) M1M2_PR
NEW met1 ( 3377520 4345835 ) M1M2_PR
NEW met2 ( 3366480 3762530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[14\] ( padframe mprj_io_analog_pol[14] ) ( gpio_control_in\[14\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3382560 4654600 0 ) ( 3382560 4655710 )
NEW met3 ( 3378960 4791870 ) ( 3382560 4791870 )
NEW met2 ( 3378960 4791870 ) ( 3378960 4793720 0 )
NEW met4 ( 3382560 4655710 ) ( 3382560 4791870 )
NEW met3 ( 3382560 4655710 ) M3M4_PR_M
NEW met3 ( 3382560 4791870 ) M3M4_PR_M
NEW met2 ( 3378960 4791870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[15\] ( padframe mprj_io_analog_pol[15] ) ( gpio_control_in\[15\] pad_gpio_ana_pol )
+ ROUTED met2 ( 3174480 4968915 ) ( 3174480 4977610 0 )
NEW met2 ( 2837040 4968915 ) ( 2837040 4996665 )
NEW met1 ( 2807760 4996665 ) ( 2837040 4996665 )
NEW met1 ( 2807760 4996665 ) ( 2807760 4997035 )
NEW met1 ( 2765040 4997035 ) ( 2807760 4997035 )
NEW met2 ( 2765040 4996850 ) ( 2765040 4997035 )
NEW met2 ( 2764560 4996850 ) ( 2765040 4996850 )
NEW met3 ( 2762400 4996850 0 ) ( 2764560 4996850 )
NEW met1 ( 2837040 4968915 ) ( 3174480 4968915 )
NEW met1 ( 3174480 4968915 ) M1M2_PR
NEW met1 ( 2837040 4968915 ) M1M2_PR
NEW met1 ( 2837040 4996665 ) M1M2_PR
NEW met1 ( 2765040 4997035 ) M1M2_PR
NEW met2 ( 2764560 4996850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[16\] ( padframe mprj_io_analog_pol[16] ) ( gpio_control_in\[16\] pad_gpio_ana_pol )
+ ROUTED met3 ( 2643360 4979090 ) ( 2643360 4979830 )
NEW met3 ( 2598960 4979830 ) ( 2643360 4979830 )
NEW met2 ( 2598960 4979830 ) ( 2598960 5015905 )
NEW met1 ( 2514480 5015165 ) ( 2514480 5015905 )
NEW met1 ( 2506800 5015165 ) ( 2514480 5015165 )
NEW met2 ( 2506800 5015165 ) ( 2506800 5015350 )
NEW met3 ( 2505600 5015350 0 ) ( 2506800 5015350 )
NEW met1 ( 2514480 5015905 ) ( 2598960 5015905 )
NEW met2 ( 2664240 4979090 ) ( 2665440 4979090 0 )
NEW met3 ( 2643360 4979090 ) ( 2664240 4979090 )
NEW met2 ( 2598960 4979830 ) via2_FR
NEW met1 ( 2598960 5015905 ) M1M2_PR
NEW met1 ( 2506800 5015165 ) M1M2_PR
NEW met2 ( 2506800 5015350 ) via2_FR
NEW met2 ( 2664240 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[17\] ( padframe mprj_io_analog_pol[17] ) ( gpio_control_in\[17\] pad_gpio_ana_pol )
+ ROUTED met2 ( 2332080 4979645 ) ( 2332080 5015905 )
NEW met2 ( 2147760 5014055 ) ( 2147760 5015905 )
NEW met1 ( 2147760 5015905 ) ( 2332080 5015905 )
NEW met2 ( 2406960 4979090 ) ( 2408400 4979090 0 )
NEW met2 ( 2406960 4978905 ) ( 2406960 4979090 )
NEW met1 ( 2406960 4978905 ) ( 2406960 4979275 )
NEW met1 ( 2359440 4979275 ) ( 2406960 4979275 )
NEW met1 ( 2359440 4979275 ) ( 2359440 4979645 )
NEW met1 ( 2332080 4979645 ) ( 2359440 4979645 )
NEW met2 ( 2121840 5013870 ) ( 2121840 5014055 )
NEW met3 ( 2120640 5013870 0 ) ( 2121840 5013870 )
NEW met1 ( 2121840 5014055 ) ( 2147760 5014055 )
NEW met1 ( 2332080 5015905 ) M1M2_PR
NEW met1 ( 2332080 4979645 ) M1M2_PR
NEW met1 ( 2147760 5014055 ) M1M2_PR
NEW met1 ( 2147760 5015905 ) M1M2_PR
NEW met1 ( 2406960 4978905 ) M1M2_PR
NEW met1 ( 2121840 5014055 ) M1M2_PR
NEW met2 ( 2121840 5013870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[18\] ( padframe mprj_io_analog_pol[18] ) ( gpio_control_in\[18\] pad_gpio_ana_pol )
+ ROUTED met2 ( 1962000 4977610 ) ( 1963440 4977610 0 )
NEW met2 ( 1962000 4977425 ) ( 1962000 4977610 )
NEW met1 ( 1900560 4977425 ) ( 1962000 4977425 )
NEW met2 ( 1900560 4977425 ) ( 1900560 5005545 )
NEW met2 ( 1785840 5005545 ) ( 1785840 5005730 )
NEW met2 ( 1785360 5005730 ) ( 1785840 5005730 )
NEW met3 ( 1783680 5005730 0 ) ( 1785360 5005730 )
NEW met1 ( 1785840 5005545 ) ( 1900560 5005545 )
NEW met1 ( 1962000 4977425 ) M1M2_PR
NEW met1 ( 1900560 4977425 ) M1M2_PR
NEW met1 ( 1900560 5005545 ) M1M2_PR
NEW met1 ( 1785840 5005545 ) M1M2_PR
NEW met2 ( 1785360 5005730 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[19\] ( padframe mprj_io_analog_pol[19] ) ( gpio_control_in\[19\] pad_gpio_ana_pol )
+ ROUTED met2 ( 1454400 4978350 0 ) ( 1456080 4978350 )
NEW met2 ( 1456080 4978350 ) ( 1456080 4978535 )
NEW met1 ( 1456080 4978535 ) ( 1530000 4978535 )
NEW met2 ( 1530000 4978535 ) ( 1530000 4979090 )
NEW met3 ( 1530000 4979090 ) ( 1531680 4979090 0 )
NEW met1 ( 1456080 4978535 ) M1M2_PR
NEW met1 ( 1530000 4978535 ) M1M2_PR
NEW met2 ( 1530000 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[1\] ( padframe mprj_io_analog_pol[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3371760 763125 ) ( 3377040 763125 )
NEW met2 ( 3377040 761460 0 ) ( 3377040 763125 )
NEW met3 ( 3371760 847670 ) ( 3373920 847670 0 )
NEW met2 ( 3371760 763125 ) ( 3371760 847670 )
NEW met1 ( 3371760 763125 ) M1M2_PR
NEW met1 ( 3377040 763125 ) M1M2_PR
NEW met2 ( 3371760 847670 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[20\] ( padframe mprj_io_analog_pol[20] ) ( gpio_control_in\[20\] pad_gpio_ana_pol )
+ ROUTED met2 ( 1196400 4979090 0 ) ( 1197840 4979090 )
NEW met2 ( 1252560 4979090 ) ( 1252560 4979645 )
NEW met1 ( 1252560 4979645 ) ( 1272720 4979645 )
NEW met2 ( 1272720 4979645 ) ( 1272720 4979830 )
NEW met3 ( 1272720 4979830 ) ( 1273440 4979830 0 )
NEW met3 ( 1197840 4979090 ) ( 1252560 4979090 )
NEW met2 ( 1197840 4979090 ) via2_FR
NEW met2 ( 1252560 4979090 ) via2_FR
NEW met1 ( 1252560 4979645 ) M1M2_PR
NEW met1 ( 1272720 4979645 ) M1M2_PR
NEW met2 ( 1272720 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[21\] ( padframe mprj_io_analog_pol[21] ) ( gpio_control_in\[21\] pad_gpio_ana_pol )
+ ROUTED met2 ( 939360 4978350 0 ) ( 940560 4978350 )
NEW met2 ( 940560 4978350 ) ( 940560 4978535 )
NEW met1 ( 940560 4978535 ) ( 1015440 4978535 )
NEW met2 ( 1015440 4978535 ) ( 1015440 4979090 )
NEW met3 ( 1015440 4979090 ) ( 1016640 4979090 0 )
NEW met1 ( 940560 4978535 ) M1M2_PR
NEW met1 ( 1015440 4978535 ) M1M2_PR
NEW met2 ( 1015440 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[22\] ( padframe mprj_io_analog_pol[22] ) ( gpio_control_in\[22\] pad_gpio_ana_pol )
+ ROUTED met2 ( 758160 5012205 ) ( 758160 5012390 )
NEW met3 ( 758160 5012390 ) ( 759840 5012390 0 )
NEW met2 ( 682320 4979090 0 ) ( 684240 4979090 )
NEW met2 ( 684240 4978905 ) ( 684240 4979090 )
NEW met1 ( 684240 4978905 ) ( 684240 4979645 )
NEW met1 ( 684240 4979645 ) ( 720240 4979645 )
NEW met2 ( 720240 4979645 ) ( 720240 5012205 )
NEW met1 ( 720240 5012205 ) ( 758160 5012205 )
NEW met1 ( 758160 5012205 ) M1M2_PR
NEW met2 ( 758160 5012390 ) via2_FR
NEW met1 ( 684240 4978905 ) M1M2_PR
NEW met1 ( 720240 4979645 ) M1M2_PR
NEW met1 ( 720240 5012205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[23\] ( padframe mprj_io_analog_pol[23] ) ( gpio_control_in\[23\] pad_gpio_ana_pol )
+ ROUTED met2 ( 425520 4977610 0 ) ( 426960 4977610 )
NEW met3 ( 440160 4976870 ) ( 440160 4977610 )
NEW met3 ( 440160 4976870 ) ( 495840 4976870 )
NEW met3 ( 495840 4976870 ) ( 495840 4978350 )
NEW met3 ( 495840 4978350 ) ( 502560 4978350 )
NEW met3 ( 502560 4978350 ) ( 502560 4979090 0 )
NEW met3 ( 426960 4977610 ) ( 440160 4977610 )
NEW met2 ( 426960 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[24\] ( padframe mprj_io_analog_pol[24] ) ( gpio_control_in\[24\] pad_gpio_ana_pol )
+ ROUTED met3 ( 205920 4672730 0 ) ( 205920 4674210 )
NEW met3 ( 205920 4813330 ) ( 209040 4813330 )
NEW met2 ( 209040 4813330 ) ( 209040 4815405 0 )
NEW met4 ( 205920 4674210 ) ( 205920 4813330 )
NEW met3 ( 205920 4674210 ) M3M4_PR_M
NEW met3 ( 205920 4813330 ) M3M4_PR_M
NEW met2 ( 209040 4813330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[25\] ( padframe mprj_io_analog_pol[25] ) ( gpio_control_in\[25\] pad_gpio_ana_pol )
+ ROUTED met2 ( 209040 3966400 0 ) ( 209040 3968990 )
NEW met3 ( 208800 3968990 ) ( 209040 3968990 )
NEW met4 ( 208800 3968990 ) ( 208800 4001550 )
NEW met3 ( 208800 4001550 ) ( 209040 4001550 )
NEW met2 ( 209040 4001550 ) ( 209040 4042250 )
NEW met3 ( 208800 4042250 ) ( 209040 4042250 )
NEW met3 ( 208800 4042250 ) ( 208800 4043730 0 )
NEW met2 ( 209040 3968990 ) via2_FR
NEW met3 ( 208800 3968990 ) M3M4_PR_M
NEW met3 ( 208800 4001550 ) M3M4_PR_M
NEW met2 ( 209040 4001550 ) via2_FR
NEW met2 ( 209040 4042250 ) via2_FR
NEW met3 ( 209040 3968990 ) RECT ( 0 -150 380 150 )
NEW met3 ( 208800 4001550 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_pol\[26\] ( padframe mprj_io_analog_pol[26] ) ( gpio_control_in\[26\] pad_gpio_ana_pol )
+ ROUTED met1 ( 215280 3770485 ) ( 216240 3770485 )
NEW met2 ( 216240 3770485 ) ( 216240 3783435 )
NEW met1 ( 214320 3783435 ) ( 216240 3783435 )
NEW met2 ( 214320 3783435 ) ( 214320 3793055 )
NEW met1 ( 214320 3793055 ) ( 215280 3793055 )
NEW met2 ( 215280 3793055 ) ( 215280 3827650 )
NEW met3 ( 212640 3827650 0 ) ( 215280 3827650 )
NEW met2 ( 210960 3750320 0 ) ( 210960 3751985 )
NEW met1 ( 210960 3751985 ) ( 215280 3751985 )
NEW met2 ( 215280 3751985 ) ( 215280 3770485 )
NEW met1 ( 215280 3770485 ) M1M2_PR
NEW met1 ( 216240 3770485 ) M1M2_PR
NEW met1 ( 216240 3783435 ) M1M2_PR
NEW met1 ( 214320 3783435 ) M1M2_PR
NEW met1 ( 214320 3793055 ) M1M2_PR
NEW met1 ( 215280 3793055 ) M1M2_PR
NEW met2 ( 215280 3827650 ) via2_FR
NEW met1 ( 210960 3751985 ) M1M2_PR
NEW met1 ( 215280 3751985 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[27\] ( padframe mprj_io_analog_pol[27] ) ( gpio_control_in\[27\] pad_gpio_ana_pol )
+ ROUTED met3 ( 210960 3569390 ) ( 211680 3569390 )
NEW met2 ( 210960 3569390 ) ( 210960 3610090 )
NEW met3 ( 210720 3610090 ) ( 210960 3610090 )
NEW met3 ( 210720 3610090 ) ( 210720 3611570 0 )
NEW met2 ( 210960 3534405 0 ) ( 210960 3536830 )
NEW met3 ( 210960 3536830 ) ( 211680 3536830 )
NEW met4 ( 211680 3536830 ) ( 211680 3569390 )
NEW met3 ( 211680 3569390 ) M3M4_PR_M
NEW met2 ( 210960 3569390 ) via2_FR
NEW met2 ( 210960 3610090 ) via2_FR
NEW met2 ( 210960 3536830 ) via2_FR
NEW met3 ( 211680 3536830 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_pol\[28\] ( padframe mprj_io_analog_pol[28] ) ( gpio_control_in\[28\] pad_gpio_ana_pol )
+ ROUTED met3 ( 212640 3395490 0 ) ( 215760 3395490 )
NEW met2 ( 210480 3318530 0 ) ( 210480 3320010 )
NEW met2 ( 210480 3320010 ) ( 210960 3320010 )
NEW met2 ( 210960 3320010 ) ( 210960 3320750 )
NEW met3 ( 210960 3320750 ) ( 215520 3320750 )
NEW met4 ( 215520 3320750 ) ( 215520 3354050 )
NEW met3 ( 215520 3354050 ) ( 215760 3354050 )
NEW met2 ( 215760 3354050 ) ( 215760 3395490 )
NEW met2 ( 215760 3395490 ) via2_FR
NEW met2 ( 210960 3320750 ) via2_FR
NEW met3 ( 215520 3320750 ) M3M4_PR_M
NEW met3 ( 215520 3354050 ) M3M4_PR_M
NEW met2 ( 215760 3354050 ) via2_FR
NEW met3 ( 215520 3354050 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_pol\[29\] ( padframe mprj_io_analog_pol[29] ) ( gpio_control_in\[29\] pad_gpio_ana_pol )
+ ROUTED met3 ( 210720 3177930 ) ( 210960 3177930 )
NEW met3 ( 210720 3177930 ) ( 210720 3179410 0 )
NEW met2 ( 210480 3102450 0 ) ( 210480 3104485 )
NEW met1 ( 210480 3104485 ) ( 214800 3104485 )
NEW met2 ( 214800 3104485 ) ( 214800 3125945 )
NEW met1 ( 214800 3125945 ) ( 216240 3125945 )
NEW met2 ( 216240 3125945 ) ( 216240 3137970 )
NEW met2 ( 215760 3137970 ) ( 216240 3137970 )
NEW met2 ( 215760 3137970 ) ( 215760 3138155 )
NEW met1 ( 210960 3138155 ) ( 215760 3138155 )
NEW met2 ( 210960 3138155 ) ( 210960 3177930 )
NEW met2 ( 210960 3177930 ) via2_FR
NEW met1 ( 210480 3104485 ) M1M2_PR
NEW met1 ( 214800 3104485 ) M1M2_PR
NEW met1 ( 214800 3125945 ) M1M2_PR
NEW met1 ( 216240 3125945 ) M1M2_PR
NEW met1 ( 215760 3138155 ) M1M2_PR
NEW met1 ( 210960 3138155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[2\] ( padframe mprj_io_analog_pol[2] ) ( gpio_control_in\[2\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3373680 988825 ) ( 3377040 988825 )
NEW met2 ( 3377040 986595 0 ) ( 3377040 988825 )
NEW met3 ( 3373680 1071890 ) ( 3373920 1071890 )
NEW met3 ( 3373920 1071890 ) ( 3373920 1072630 0 )
NEW met2 ( 3373680 988825 ) ( 3373680 1071890 )
NEW met1 ( 3373680 988825 ) M1M2_PR
NEW met1 ( 3377040 988825 ) M1M2_PR
NEW met2 ( 3373680 1071890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[30\] ( padframe mprj_io_analog_pol[30] ) ( gpio_control_in\[30\] pad_gpio_ana_pol )
+ ROUTED met3 ( 212640 2963700 0 ) ( 214320 2963700 )
NEW met2 ( 210960 2886370 0 ) ( 210960 2887850 )
NEW met3 ( 210960 2887850 ) ( 212640 2887850 )
NEW met4 ( 212640 2887850 ) ( 212640 2922630 )
NEW met3 ( 212640 2922630 ) ( 214320 2922630 )
NEW met2 ( 214320 2922630 ) ( 214320 2963700 )
NEW met2 ( 214320 2963700 ) via2_FR
NEW met2 ( 210960 2887850 ) via2_FR
NEW met3 ( 212640 2887850 ) M3M4_PR_M
NEW met3 ( 212640 2922630 ) M3M4_PR_M
NEW met2 ( 214320 2922630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[31\] ( padframe mprj_io_analog_pol[31] ) ( gpio_control_in\[31\] pad_gpio_ana_pol )
+ ROUTED met2 ( 209040 2670290 0 ) ( 209040 2671770 )
NEW met3 ( 208800 2671770 ) ( 209040 2671770 )
NEW met4 ( 208800 2671770 ) ( 208800 2706550 )
NEW met3 ( 208560 2706550 ) ( 208800 2706550 )
NEW met2 ( 208560 2706550 ) ( 208560 2745770 )
NEW met3 ( 208560 2745770 ) ( 208800 2745770 )
NEW met3 ( 208800 2745770 ) ( 208800 2747620 0 )
NEW met2 ( 209040 2671770 ) via2_FR
NEW met3 ( 208800 2671770 ) M3M4_PR_M
NEW met3 ( 208800 2706550 ) M3M4_PR_M
NEW met2 ( 208560 2706550 ) via2_FR
NEW met2 ( 208560 2745770 ) via2_FR
NEW met3 ( 209040 2671770 ) RECT ( 0 -150 380 150 )
NEW met3 ( 208800 2706550 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_pol\[32\] ( padframe mprj_io_analog_pol[32] ) ( gpio_control_in\[32\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 2032410 0 ) ( 210960 2035185 )
NEW met1 ( 210960 2035185 ) ( 214800 2035185 )
NEW met2 ( 214800 2045730 ) ( 215760 2045730 )
NEW met2 ( 215760 2045730 ) ( 215760 2076995 )
NEW met1 ( 200400 2076995 ) ( 215760 2076995 )
NEW met2 ( 200400 2076995 ) ( 200400 2107890 )
NEW met3 ( 200160 2107890 ) ( 200400 2107890 )
NEW met3 ( 200160 2107890 ) ( 200160 2109370 0 )
NEW met2 ( 214800 2035185 ) ( 214800 2045730 )
NEW met1 ( 210960 2035185 ) M1M2_PR
NEW met1 ( 214800 2035185 ) M1M2_PR
NEW met1 ( 215760 2076995 ) M1M2_PR
NEW met1 ( 200400 2076995 ) M1M2_PR
NEW met2 ( 200400 2107890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[33\] ( padframe mprj_io_analog_pol[33] ) ( gpio_control_in\[33\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 1816330 0 ) ( 210960 1819105 )
NEW met1 ( 210960 1819105 ) ( 211920 1819105 )
NEW met1 ( 211920 1849075 ) ( 214320 1849075 )
NEW met2 ( 214320 1849075 ) ( 214320 1868315 )
NEW met1 ( 201360 1868315 ) ( 214320 1868315 )
NEW met2 ( 201360 1868315 ) ( 201360 1891810 )
NEW met3 ( 201120 1891810 ) ( 201360 1891810 )
NEW met3 ( 201120 1891810 ) ( 201120 1893660 0 )
NEW met2 ( 211920 1819105 ) ( 211920 1849075 )
NEW met1 ( 210960 1819105 ) M1M2_PR
NEW met1 ( 211920 1819105 ) M1M2_PR
NEW met1 ( 211920 1849075 ) M1M2_PR
NEW met1 ( 214320 1849075 ) M1M2_PR
NEW met1 ( 214320 1868315 ) M1M2_PR
NEW met1 ( 201360 1868315 ) M1M2_PR
NEW met2 ( 201360 1891810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[34\] ( padframe mprj_io_analog_pol[34] ) ( gpio_control_in\[34\] pad_gpio_ana_pol )
+ ROUTED met2 ( 209040 1600405 0 ) ( 209040 1602470 )
NEW met3 ( 208800 1602470 ) ( 209040 1602470 )
NEW met4 ( 208800 1602470 ) ( 208800 1675730 )
NEW met3 ( 208800 1675730 ) ( 208800 1677950 0 )
NEW met2 ( 209040 1602470 ) via2_FR
NEW met3 ( 208800 1602470 ) M3M4_PR_M
NEW met3 ( 208800 1675730 ) M3M4_PR_M
NEW met3 ( 209040 1602470 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_pol\[35\] ( padframe mprj_io_analog_pol[35] ) ( gpio_control_in\[35\] pad_gpio_ana_pol )
+ ROUTED met1 ( 200880 1426165 ) ( 215280 1426165 )
NEW met2 ( 215280 1386945 ) ( 215280 1426165 )
NEW met1 ( 210480 1386945 ) ( 215280 1386945 )
NEW met2 ( 210480 1384540 0 ) ( 210480 1386945 )
NEW met3 ( 200880 1460390 ) ( 201120 1460390 )
NEW met3 ( 201120 1460390 ) ( 201120 1461870 0 )
NEW met2 ( 200880 1426165 ) ( 200880 1460390 )
NEW met1 ( 200880 1426165 ) M1M2_PR
NEW met1 ( 215280 1426165 ) M1M2_PR
NEW met1 ( 215280 1386945 ) M1M2_PR
NEW met1 ( 210480 1386945 ) M1M2_PR
NEW met2 ( 200880 1460390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[36\] ( padframe mprj_io_analog_pol[36] ) ( gpio_control_in\[36\] pad_gpio_ana_pol )
+ ROUTED met1 ( 200880 1210085 ) ( 212880 1210085 )
NEW met3 ( 200880 1244310 ) ( 201120 1244310 )
NEW met3 ( 201120 1244310 ) ( 201120 1245790 0 )
NEW met2 ( 200880 1210085 ) ( 200880 1244310 )
NEW met2 ( 210480 1168460 0 ) ( 210480 1170865 )
NEW met1 ( 210480 1170865 ) ( 212400 1170865 )
NEW met2 ( 212400 1170865 ) ( 212400 1194545 )
NEW met1 ( 212400 1194545 ) ( 213840 1194545 )
NEW met1 ( 213840 1194545 ) ( 213840 1196025 )
NEW met1 ( 212880 1196025 ) ( 213840 1196025 )
NEW met2 ( 212880 1196025 ) ( 212880 1210085 )
NEW met1 ( 200880 1210085 ) M1M2_PR
NEW met1 ( 212880 1210085 ) M1M2_PR
NEW met2 ( 200880 1244310 ) via2_FR
NEW met1 ( 210480 1170865 ) M1M2_PR
NEW met1 ( 212400 1170865 ) M1M2_PR
NEW met1 ( 212400 1194545 ) M1M2_PR
NEW met1 ( 212880 1196025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[37\] ( padframe mprj_io_analog_pol[37] ) ( gpio_control_in\[37\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 952380 0 ) ( 210960 955155 )
NEW met1 ( 210960 955155 ) ( 215760 955155 )
NEW met2 ( 215760 955155 ) ( 215760 993635 )
NEW met1 ( 201360 993635 ) ( 215760 993635 )
NEW met2 ( 201360 993635 ) ( 201360 1028230 )
NEW met3 ( 201120 1028230 ) ( 201360 1028230 )
NEW met3 ( 201120 1028230 ) ( 201120 1029710 0 )
NEW met1 ( 210960 955155 ) M1M2_PR
NEW met1 ( 215760 955155 ) M1M2_PR
NEW met1 ( 215760 993635 ) M1M2_PR
NEW met1 ( 201360 993635 ) M1M2_PR
NEW met2 ( 201360 1028230 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[3\] ( padframe mprj_io_analog_pol[3] ) ( gpio_control_in\[3\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3373200 1214895 ) ( 3377040 1214895 )
NEW met2 ( 3377040 1212490 0 ) ( 3377040 1214895 )
NEW met3 ( 3373200 1297590 ) ( 3373920 1297590 )
NEW met3 ( 3373920 1297590 ) ( 3373920 1298700 0 )
NEW met2 ( 3373200 1214895 ) ( 3373200 1297590 )
NEW met1 ( 3373200 1214895 ) M1M2_PR
NEW met1 ( 3377040 1214895 ) M1M2_PR
NEW met2 ( 3373200 1297590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[4\] ( padframe mprj_io_analog_pol[4] ) ( gpio_control_in\[4\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3372240 1438745 ) ( 3377040 1438745 )
NEW met2 ( 3377040 1437595 0 ) ( 3377040 1438745 )
NEW met2 ( 3372240 1503310 ) ( 3372720 1503310 )
NEW met2 ( 3372720 1503310 ) ( 3372720 1522550 )
NEW met3 ( 3372720 1522550 ) ( 3373920 1522550 )
NEW met3 ( 3373920 1522550 ) ( 3373920 1523660 0 )
NEW met2 ( 3372240 1438745 ) ( 3372240 1503310 )
NEW met1 ( 3372240 1438745 ) M1M2_PR
NEW met1 ( 3377040 1438745 ) M1M2_PR
NEW met2 ( 3372720 1522550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[5\] ( padframe mprj_io_analog_pol[5] ) ( gpio_control_in\[5\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3370320 1663705 ) ( 3377040 1663705 )
NEW met2 ( 3377040 1662595 0 ) ( 3377040 1663705 )
NEW met3 ( 3370320 1748250 ) ( 3373920 1748250 )
NEW met3 ( 3373920 1748250 ) ( 3373920 1748620 0 )
NEW met2 ( 3370320 1663705 ) ( 3370320 1748250 )
NEW met1 ( 3370320 1663705 ) M1M2_PR
NEW met1 ( 3377040 1663705 ) M1M2_PR
NEW met2 ( 3370320 1748250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[6\] ( padframe mprj_io_analog_pol[6] ) ( gpio_control_in\[6\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3373920 1973210 ) ( 3374160 1973210 )
NEW met3 ( 3373920 1973210 ) ( 3373920 1974690 0 )
NEW met1 ( 3374160 1890885 ) ( 3377040 1890885 )
NEW met2 ( 3377040 1888480 0 ) ( 3377040 1890885 )
NEW met2 ( 3374160 1890885 ) ( 3374160 1973210 )
NEW met2 ( 3374160 1973210 ) via2_FR
NEW met1 ( 3374160 1890885 ) M1M2_PR
NEW met1 ( 3377040 1890885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[7\] ( padframe mprj_io_analog_pol[7] ) ( gpio_control_in\[7\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3384480 2415730 0 ) ( 3384480 2417210 )
NEW met3 ( 3378960 2772410 ) ( 3384480 2772410 )
NEW met2 ( 3378960 2772410 ) ( 3378960 2774630 0 )
NEW met4 ( 3384480 2417210 ) ( 3384480 2772410 )
NEW met3 ( 3384480 2417210 ) M3M4_PR_M
NEW met3 ( 3384480 2772410 ) M3M4_PR_M
NEW met2 ( 3378960 2772410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[8\] ( padframe mprj_io_analog_pol[8] ) ( gpio_control_in\[8\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3365520 2999035 ) ( 3377040 2999035 )
NEW met2 ( 3377040 2999035 ) ( 3377040 3000700 0 )
NEW met3 ( 3365520 2635510 ) ( 3373920 2635510 0 )
NEW met2 ( 3365520 2635510 ) ( 3365520 2999035 )
NEW met1 ( 3365520 2999035 ) M1M2_PR
NEW met1 ( 3377040 2999035 ) M1M2_PR
NEW met2 ( 3365520 2635510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[9\] ( padframe mprj_io_analog_pol[9] ) ( gpio_control_in\[9\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3364080 2865465 ) ( 3369360 2865465 )
NEW met2 ( 3369360 2860470 ) ( 3369360 2865465 )
NEW met3 ( 3369360 2860470 ) ( 3373920 2860470 0 )
NEW met1 ( 3364080 3222885 ) ( 3377520 3222885 )
NEW met2 ( 3377520 3222885 ) ( 3377520 3225660 0 )
NEW met2 ( 3364080 2865465 ) ( 3364080 3222885 )
NEW met1 ( 3364080 2865465 ) M1M2_PR
NEW met1 ( 3369360 2865465 ) M1M2_PR
NEW met2 ( 3369360 2860470 ) via2_FR
NEW met1 ( 3364080 3222885 ) M1M2_PR
NEW met1 ( 3377520 3222885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[0\] ( padframe mprj_io_analog_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3373200 623450 ) ( 3373920 623450 )
NEW met3 ( 3373920 623450 ) ( 3373920 624190 0 )
NEW met1 ( 3373200 556665 ) ( 3375600 556665 )
NEW met2 ( 3375600 550775 ) ( 3375600 556665 )
NEW met2 ( 3375600 550775 ) ( 3377040 550775 0 )
NEW met2 ( 3373200 556665 ) ( 3373200 623450 )
NEW met2 ( 3373200 623450 ) via2_FR
NEW met1 ( 3373200 556665 ) M1M2_PR
NEW met1 ( 3375600 556665 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[10\] ( padframe mprj_io_analog_sel[10] ) ( gpio_control_in\[10\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3361680 3089315 ) ( 3372240 3089315 )
NEW met2 ( 3372240 3089130 ) ( 3372240 3089315 )
NEW met3 ( 3372240 3089130 ) ( 3373920 3089130 0 )
NEW met1 ( 3361680 3464495 ) ( 3377040 3464495 )
NEW met2 ( 3377040 3464495 ) ( 3377040 3466900 0 )
NEW met2 ( 3361680 3089315 ) ( 3361680 3464495 )
NEW met1 ( 3361680 3089315 ) M1M2_PR
NEW met1 ( 3372240 3089315 ) M1M2_PR
NEW met2 ( 3372240 3089130 ) via2_FR
NEW met1 ( 3361680 3464495 ) M1M2_PR
NEW met1 ( 3377040 3464495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[11\] ( padframe mprj_io_analog_sel[11] ) ( gpio_control_in\[11\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3366960 3314090 ) ( 3373920 3314090 0 )
NEW met1 ( 3366960 3689455 ) ( 3377040 3689455 )
NEW met2 ( 3377040 3689455 ) ( 3377040 3691860 0 )
NEW met2 ( 3366960 3314090 ) ( 3366960 3689455 )
NEW met2 ( 3366960 3314090 ) via2_FR
NEW met1 ( 3366960 3689455 ) M1M2_PR
NEW met1 ( 3377040 3689455 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[12\] ( padframe mprj_io_analog_sel[12] ) ( gpio_control_in\[12\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3367440 3914785 ) ( 3377040 3914785 )
NEW met2 ( 3377040 3914785 ) ( 3377040 3916820 0 )
NEW met3 ( 3367440 3540530 ) ( 3373920 3540530 0 )
NEW met2 ( 3367440 3540530 ) ( 3367440 3914785 )
NEW met1 ( 3367440 3914785 ) M1M2_PR
NEW met1 ( 3377040 3914785 ) M1M2_PR
NEW met2 ( 3367440 3540530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[13\] ( padframe mprj_io_analog_sel[13] ) ( gpio_control_in\[13\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3366960 4360635 ) ( 3377520 4360635 )
NEW met2 ( 3377520 4360635 ) ( 3377520 4362670 0 )
NEW met3 ( 3366960 3765490 ) ( 3373920 3765490 0 )
NEW met2 ( 3366960 3765490 ) ( 3366960 4360635 )
NEW met1 ( 3366960 4360635 ) M1M2_PR
NEW met1 ( 3377520 4360635 ) M1M2_PR
NEW met2 ( 3366960 3765490 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[14\] ( padframe mprj_io_analog_sel[14] ) ( gpio_control_in\[14\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3380640 4657190 0 ) ( 3380640 4658670 )
NEW met3 ( 3378960 4807410 ) ( 3380640 4807410 )
NEW met2 ( 3378960 4807410 ) ( 3378960 4808890 0 )
NEW met4 ( 3380640 4658670 ) ( 3380640 4807410 )
NEW met3 ( 3380640 4658670 ) M3M4_PR_M
NEW met3 ( 3380640 4807410 ) M3M4_PR_M
NEW met2 ( 3378960 4807410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[15\] ( padframe mprj_io_analog_sel[15] ) ( gpio_control_in\[15\] pad_gpio_ana_sel )
+ ROUTED met2 ( 2864880 4987045 ) ( 2864880 5012945 )
NEW met2 ( 3157680 4979090 ) ( 3159120 4979090 0 )
NEW met2 ( 3157680 4978905 ) ( 3157680 4979090 )
NEW met1 ( 3157680 4978905 ) ( 3157680 4979275 )
NEW met1 ( 3109680 4979275 ) ( 3157680 4979275 )
NEW met2 ( 3109680 4979275 ) ( 3109680 4987045 )
NEW met1 ( 2864880 4987045 ) ( 3109680 4987045 )
NEW met2 ( 2766480 5012945 ) ( 2766480 5013130 )
NEW met3 ( 2765280 5013130 0 ) ( 2766480 5013130 )
NEW met1 ( 2766480 5012945 ) ( 2864880 5012945 )
NEW met1 ( 2864880 5012945 ) M1M2_PR
NEW met1 ( 2864880 4987045 ) M1M2_PR
NEW met1 ( 3157680 4978905 ) M1M2_PR
NEW met1 ( 3109680 4979275 ) M1M2_PR
NEW met1 ( 3109680 4987045 ) M1M2_PR
NEW met1 ( 2766480 5012945 ) M1M2_PR
NEW met2 ( 2766480 5013130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[16\] ( padframe mprj_io_analog_sel[16] ) ( gpio_control_in\[16\] pad_gpio_ana_sel )
+ ROUTED met2 ( 2649360 4978350 ) ( 2649360 4978905 )
NEW met1 ( 2620560 4978905 ) ( 2649360 4978905 )
NEW met2 ( 2620560 4978905 ) ( 2620560 4996850 )
NEW met3 ( 2560560 4996850 ) ( 2620560 4996850 )
NEW met2 ( 2560560 4996850 ) ( 2560560 4997405 )
NEW met2 ( 2510160 4997405 ) ( 2510160 4997590 )
NEW met3 ( 2508480 4997590 0 ) ( 2510160 4997590 )
NEW met1 ( 2510160 4997405 ) ( 2560560 4997405 )
NEW met2 ( 2649360 4978350 ) ( 2650320 4978350 0 )
NEW met1 ( 2649360 4978905 ) M1M2_PR
NEW met1 ( 2620560 4978905 ) M1M2_PR
NEW met2 ( 2620560 4996850 ) via2_FR
NEW met2 ( 2560560 4996850 ) via2_FR
NEW met1 ( 2560560 4997405 ) M1M2_PR
NEW met1 ( 2510160 4997405 ) M1M2_PR
NEW met2 ( 2510160 4997590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[17\] ( padframe mprj_io_analog_sel[17] ) ( gpio_control_in\[17\] pad_gpio_ana_sel )
+ ROUTED met2 ( 2332560 4995185 ) ( 2332560 5016275 )
NEW met2 ( 2195760 5013685 ) ( 2195760 5016275 )
NEW met1 ( 2195760 5016275 ) ( 2332560 5016275 )
NEW met2 ( 2392080 4979090 ) ( 2393280 4979090 0 )
NEW met3 ( 2361360 4979090 ) ( 2392080 4979090 )
NEW met2 ( 2361360 4979090 ) ( 2361360 4995185 )
NEW met1 ( 2332560 4995185 ) ( 2361360 4995185 )
NEW met2 ( 2124240 5013685 ) ( 2124240 5013870 )
NEW met3 ( 2123520 5013870 0 ) ( 2124240 5013870 )
NEW met1 ( 2124240 5013685 ) ( 2195760 5013685 )
NEW met1 ( 2332560 5016275 ) M1M2_PR
NEW met1 ( 2332560 4995185 ) M1M2_PR
NEW met1 ( 2195760 5013685 ) M1M2_PR
NEW met1 ( 2195760 5016275 ) M1M2_PR
NEW met2 ( 2392080 4979090 ) via2_FR
NEW met2 ( 2361360 4979090 ) via2_FR
NEW met1 ( 2361360 4995185 ) M1M2_PR
NEW met1 ( 2124240 5013685 ) M1M2_PR
NEW met2 ( 2124240 5013870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[18\] ( padframe mprj_io_analog_sel[18] ) ( gpio_control_in\[18\] pad_gpio_ana_sel )
+ ROUTED met2 ( 1947120 4979090 ) ( 1948320 4979090 0 )
NEW met2 ( 1947120 4978905 ) ( 1947120 4979090 )
NEW met1 ( 1947120 4978905 ) ( 1947120 4979275 )
NEW met1 ( 1916880 4979275 ) ( 1947120 4979275 )
NEW met2 ( 1916880 4979275 ) ( 1916880 4999255 )
NEW met1 ( 1886640 4999255 ) ( 1916880 4999255 )
NEW met2 ( 1886640 4999255 ) ( 1886640 5016275 )
NEW met1 ( 1787280 5015905 ) ( 1787280 5016275 )
NEW met2 ( 1787280 5015905 ) ( 1787280 5016090 )
NEW met3 ( 1786080 5016090 0 ) ( 1787280 5016090 )
NEW met1 ( 1787280 5016275 ) ( 1886640 5016275 )
NEW met1 ( 1886640 5016275 ) M1M2_PR
NEW met1 ( 1947120 4978905 ) M1M2_PR
NEW met1 ( 1916880 4979275 ) M1M2_PR
NEW met1 ( 1916880 4999255 ) M1M2_PR
NEW met1 ( 1886640 4999255 ) M1M2_PR
NEW met1 ( 1787280 5015905 ) M1M2_PR
NEW met2 ( 1787280 5016090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[19\] ( padframe mprj_io_analog_sel[19] ) ( gpio_control_in\[19\] pad_gpio_ana_sel )
+ ROUTED met2 ( 1439280 4979090 0 ) ( 1439760 4979090 )
NEW met3 ( 1487520 4979090 ) ( 1487520 4980570 )
NEW met3 ( 1487520 4980570 ) ( 1497840 4980570 )
NEW met2 ( 1497840 4977610 ) ( 1497840 4980570 )
NEW met3 ( 1497840 4977610 ) ( 1533600 4977610 )
NEW met3 ( 1533600 4977610 ) ( 1533600 4979090 )
NEW met3 ( 1533600 4979090 ) ( 1534560 4979090 0 )
NEW met3 ( 1439760 4979090 ) ( 1487520 4979090 )
NEW met2 ( 1439760 4979090 ) via2_FR
NEW met2 ( 1497840 4980570 ) via2_FR
NEW met2 ( 1497840 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[1\] ( padframe mprj_io_analog_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_sel )
+ ROUTED met2 ( 3374640 776775 ) ( 3377040 776775 0 )
NEW met3 ( 3374640 849150 ) ( 3374880 849150 )
NEW met3 ( 3374880 849150 ) ( 3374880 850630 0 )
NEW met2 ( 3374640 776775 ) ( 3374640 849150 )
NEW met2 ( 3374640 849150 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[20\] ( padframe mprj_io_analog_sel[20] ) ( gpio_control_in\[20\] pad_gpio_ana_sel )
+ ROUTED met2 ( 1181280 4979090 0 ) ( 1182480 4979090 )
NEW met2 ( 1182480 4978905 ) ( 1182480 4979090 )
NEW met2 ( 1274640 4978905 ) ( 1274640 4979830 )
NEW met3 ( 1274640 4979830 ) ( 1276320 4979830 0 )
NEW met1 ( 1182480 4978905 ) ( 1274640 4978905 )
NEW met1 ( 1182480 4978905 ) M1M2_PR
NEW met1 ( 1274640 4978905 ) M1M2_PR
NEW met2 ( 1274640 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[21\] ( padframe mprj_io_analog_sel[21] ) ( gpio_control_in\[21\] pad_gpio_ana_sel )
+ ROUTED met2 ( 925680 4977055 ) ( 925680 4977610 )
NEW met2 ( 924240 4977610 0 ) ( 925680 4977610 )
NEW met2 ( 1018320 4977055 ) ( 1018320 4979090 )
NEW met3 ( 1018320 4979090 ) ( 1019520 4979090 0 )
NEW met1 ( 925680 4977055 ) ( 1018320 4977055 )
NEW met1 ( 925680 4977055 ) M1M2_PR
NEW met1 ( 1018320 4977055 ) M1M2_PR
NEW met2 ( 1018320 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[22\] ( padframe mprj_io_analog_sel[22] ) ( gpio_control_in\[22\] pad_gpio_ana_sel )
+ ROUTED met3 ( 762240 4977610 ) ( 762240 4979090 0 )
NEW met2 ( 667200 4977610 0 ) ( 668400 4977610 )
NEW met3 ( 668400 4977610 ) ( 762240 4977610 )
NEW met2 ( 668400 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[23\] ( padframe mprj_io_analog_sel[23] ) ( gpio_control_in\[23\] pad_gpio_ana_sel )
+ ROUTED met2 ( 411600 4977425 ) ( 411600 4977610 )
NEW met2 ( 410160 4977610 0 ) ( 411600 4977610 )
NEW met2 ( 503760 4977425 ) ( 503760 4979090 )
NEW met3 ( 503760 4979090 ) ( 505440 4979090 0 )
NEW met1 ( 411600 4977425 ) ( 503760 4977425 )
NEW met1 ( 411600 4977425 ) M1M2_PR
NEW met1 ( 503760 4977425 ) M1M2_PR
NEW met2 ( 503760 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[24\] ( padframe mprj_io_analog_sel[24] ) ( gpio_control_in\[24\] pad_gpio_ana_sel )
+ ROUTED met3 ( 207840 4675690 0 ) ( 207840 4677170 )
NEW met3 ( 207840 4798530 ) ( 209040 4798530 )
NEW met2 ( 209040 4798530 ) ( 209040 4800225 0 )
NEW met4 ( 207840 4677170 ) ( 207840 4798530 )
NEW met3 ( 207840 4677170 ) M3M4_PR_M
NEW met3 ( 207840 4798530 ) M3M4_PR_M
NEW met2 ( 209040 4798530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[25\] ( padframe mprj_io_analog_sel[25] ) ( gpio_control_in\[25\] pad_gpio_ana_sel )
+ ROUTED met3 ( 209760 3980830 ) ( 213840 3980830 )
NEW met4 ( 209760 3980830 ) ( 209760 4003030 )
NEW met3 ( 208080 4003030 ) ( 209760 4003030 )
NEW met2 ( 208080 4003030 ) ( 208080 4044470 )
NEW met3 ( 207840 4044470 ) ( 208080 4044470 )
NEW met3 ( 207840 4044470 ) ( 207840 4046320 0 )
NEW met2 ( 210960 3951230 0 ) ( 210960 3953265 )
NEW met1 ( 210960 3953265 ) ( 213840 3953265 )
NEW met2 ( 213840 3953265 ) ( 213840 3980830 )
NEW met2 ( 213840 3980830 ) via2_FR
NEW met3 ( 209760 3980830 ) M3M4_PR_M
NEW met3 ( 209760 4003030 ) M3M4_PR_M
NEW met2 ( 208080 4003030 ) via2_FR
NEW met2 ( 208080 4044470 ) via2_FR
NEW met1 ( 210960 3953265 ) M1M2_PR
NEW met1 ( 213840 3953265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[26\] ( padframe mprj_io_analog_sel[26] ) ( gpio_control_in\[26\] pad_gpio_ana_sel )
+ ROUTED met2 ( 216720 3762900 ) ( 217200 3762900 )
NEW met2 ( 217200 3762900 ) ( 217200 3786395 )
NEW met1 ( 208560 3786395 ) ( 217200 3786395 )
NEW met2 ( 208560 3786395 ) ( 208560 3831350 )
NEW met3 ( 208560 3831350 ) ( 208800 3831350 )
NEW met3 ( 208800 3830610 0 ) ( 208800 3831350 )
NEW met2 ( 210960 3735150 0 ) ( 210960 3736445 )
NEW met1 ( 210960 3736445 ) ( 215760 3736445 )
NEW met2 ( 215760 3736445 ) ( 215760 3758090 )
NEW met2 ( 215760 3758090 ) ( 216720 3758090 )
NEW met2 ( 216720 3758090 ) ( 216720 3762900 )
NEW met1 ( 217200 3786395 ) M1M2_PR
NEW met1 ( 208560 3786395 ) M1M2_PR
NEW met2 ( 208560 3831350 ) via2_FR
NEW met1 ( 210960 3736445 ) M1M2_PR
NEW met1 ( 215760 3736445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[27\] ( padframe mprj_io_analog_sel[27] ) ( gpio_control_in\[27\] pad_gpio_ana_sel )
+ ROUTED met3 ( 210480 3570130 ) ( 213600 3570130 )
NEW met2 ( 210480 3570130 ) ( 210480 3613050 )
NEW met3 ( 210480 3613050 ) ( 210720 3613050 )
NEW met3 ( 210720 3613050 ) ( 210720 3614530 0 )
NEW met2 ( 210960 3519225 0 ) ( 210960 3521475 )
NEW met1 ( 210960 3521475 ) ( 213840 3521475 )
NEW met2 ( 213840 3521475 ) ( 213840 3539050 )
NEW met3 ( 213600 3539050 ) ( 213840 3539050 )
NEW met4 ( 213600 3539050 ) ( 213600 3570130 )
NEW met3 ( 213600 3570130 ) M3M4_PR_M
NEW met2 ( 210480 3570130 ) via2_FR
NEW met2 ( 210480 3613050 ) via2_FR
NEW met1 ( 210960 3521475 ) M1M2_PR
NEW met1 ( 213840 3521475 ) M1M2_PR
NEW met2 ( 213840 3539050 ) via2_FR
NEW met3 ( 213600 3539050 ) M3M4_PR_M
NEW met3 ( 213840 3539050 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[28\] ( padframe mprj_io_analog_sel[28] ) ( gpio_control_in\[28\] pad_gpio_ana_sel )
+ ROUTED met3 ( 207600 3399190 ) ( 207840 3399190 )
NEW met3 ( 207840 3398450 0 ) ( 207840 3399190 )
NEW met1 ( 207600 3354235 ) ( 217200 3354235 )
NEW met2 ( 217200 3332775 ) ( 217200 3354235 )
NEW met1 ( 214320 3332775 ) ( 217200 3332775 )
NEW met2 ( 214320 3305395 ) ( 214320 3332775 )
NEW met1 ( 210480 3305395 ) ( 214320 3305395 )
NEW met2 ( 210480 3303360 0 ) ( 210480 3305395 )
NEW met2 ( 207600 3354235 ) ( 207600 3399190 )
NEW met2 ( 207600 3399190 ) via2_FR
NEW met1 ( 207600 3354235 ) M1M2_PR
NEW met1 ( 217200 3354235 ) M1M2_PR
NEW met1 ( 217200 3332775 ) M1M2_PR
NEW met1 ( 214320 3332775 ) M1M2_PR
NEW met1 ( 214320 3305395 ) M1M2_PR
NEW met1 ( 210480 3305395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[29\] ( padframe mprj_io_analog_sel[29] ) ( gpio_control_in\[29\] pad_gpio_ana_sel )
+ ROUTED met3 ( 209760 3180890 ) ( 210000 3180890 )
NEW met3 ( 209760 3180890 ) ( 209760 3182370 0 )
NEW met3 ( 209760 3137230 ) ( 210000 3137230 )
NEW met4 ( 209760 3089130 ) ( 209760 3137230 )
NEW met3 ( 209760 3089130 ) ( 210000 3089130 )
NEW met2 ( 210000 3087280 0 ) ( 210000 3089130 )
NEW met2 ( 210000 3137230 ) ( 210000 3180890 )
NEW met2 ( 210000 3180890 ) via2_FR
NEW met2 ( 210000 3137230 ) via2_FR
NEW met3 ( 209760 3137230 ) M3M4_PR_M
NEW met3 ( 209760 3089130 ) M3M4_PR_M
NEW met2 ( 210000 3089130 ) via2_FR
NEW met3 ( 210000 3137230 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209760 3089130 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[2\] ( padframe mprj_io_analog_sel[2] ) ( gpio_control_in\[2\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3374160 1001775 ) ( 3376560 1001775 )
NEW met2 ( 3376560 1001775 ) ( 3377040 1001775 0 )
NEW met3 ( 3373920 1074110 ) ( 3374160 1074110 )
NEW met3 ( 3373920 1074110 ) ( 3373920 1075590 0 )
NEW met2 ( 3374160 1001775 ) ( 3374160 1074110 )
NEW met1 ( 3374160 1001775 ) M1M2_PR
NEW met1 ( 3376560 1001775 ) M1M2_PR
NEW met2 ( 3374160 1074110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[30\] ( padframe mprj_io_analog_sel[30] ) ( gpio_control_in\[30\] pad_gpio_ana_sel )
+ ROUTED met3 ( 210480 2964810 ) ( 210720 2964810 )
NEW met3 ( 210720 2964810 ) ( 210720 2966290 0 )
NEW met3 ( 210480 2921890 ) ( 210720 2921890 )
NEW met4 ( 210720 2873050 ) ( 210720 2921890 )
NEW met3 ( 210480 2873050 ) ( 210720 2873050 )
NEW met2 ( 210480 2871200 0 ) ( 210480 2873050 )
NEW met2 ( 210480 2921890 ) ( 210480 2964810 )
NEW met2 ( 210480 2964810 ) via2_FR
NEW met2 ( 210480 2921890 ) via2_FR
NEW met3 ( 210720 2921890 ) M3M4_PR_M
NEW met3 ( 210720 2873050 ) M3M4_PR_M
NEW met2 ( 210480 2873050 ) via2_FR
NEW met3 ( 210480 2921890 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 2873050 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[31\] ( padframe mprj_io_analog_sel[31] ) ( gpio_control_in\[31\] pad_gpio_ana_sel )
+ ROUTED met2 ( 209040 2655120 0 ) ( 209040 2656230 )
NEW met3 ( 206880 2656230 ) ( 209040 2656230 )
NEW met4 ( 206880 2656230 ) ( 206880 2706550 )
NEW met3 ( 206880 2706550 ) ( 207120 2706550 )
NEW met2 ( 207120 2706550 ) ( 207120 2748730 )
NEW met3 ( 206880 2748730 ) ( 207120 2748730 )
NEW met3 ( 206880 2748730 ) ( 206880 2750210 0 )
NEW met2 ( 209040 2656230 ) via2_FR
NEW met3 ( 206880 2656230 ) M3M4_PR_M
NEW met3 ( 206880 2706550 ) M3M4_PR_M
NEW met2 ( 207120 2706550 ) via2_FR
NEW met2 ( 207120 2748730 ) via2_FR
NEW met3 ( 206880 2706550 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[32\] ( padframe mprj_io_analog_sel[32] ) ( gpio_control_in\[32\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 2017240 0 ) ( 211920 2017240 )
NEW met2 ( 211920 2017240 ) ( 211920 2040550 )
NEW met3 ( 211680 2040550 ) ( 211920 2040550 )
NEW met3 ( 211680 2046470 ) ( 215280 2046470 )
NEW met2 ( 215280 2046470 ) ( 215280 2073665 )
NEW met1 ( 200880 2073665 ) ( 215280 2073665 )
NEW met2 ( 200880 2073665 ) ( 200880 2110850 )
NEW met3 ( 200880 2110850 ) ( 201120 2110850 )
NEW met3 ( 201120 2110850 ) ( 201120 2112330 0 )
NEW met4 ( 211680 2040550 ) ( 211680 2046470 )
NEW met2 ( 211920 2040550 ) via2_FR
NEW met3 ( 211680 2040550 ) M3M4_PR_M
NEW met3 ( 211680 2046470 ) M3M4_PR_M
NEW met2 ( 215280 2046470 ) via2_FR
NEW met1 ( 215280 2073665 ) M1M2_PR
NEW met1 ( 200880 2073665 ) M1M2_PR
NEW met2 ( 200880 2110850 ) via2_FR
NEW met3 ( 211920 2040550 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[33\] ( padframe mprj_io_analog_sel[33] ) ( gpio_control_in\[33\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 1801160 0 ) ( 211440 1801160 )
NEW met2 ( 211440 1801160 ) ( 211440 1805970 )
NEW met3 ( 211440 1805970 ) ( 212640 1805970 )
NEW met4 ( 212640 1805970 ) ( 212640 1894770 )
NEW met3 ( 212640 1894770 ) ( 212640 1896250 0 )
NEW met2 ( 211440 1805970 ) via2_FR
NEW met3 ( 212640 1805970 ) M3M4_PR_M
NEW met3 ( 212640 1894770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_sel\[34\] ( padframe mprj_io_analog_sel[34] ) ( gpio_control_in\[34\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 1585225 0 ) ( 211440 1585225 )
NEW met2 ( 211440 1585225 ) ( 211440 1593775 )
NEW met1 ( 211440 1593775 ) ( 214320 1593775 )
NEW met3 ( 213600 1623930 ) ( 214320 1623930 )
NEW met4 ( 213600 1623930 ) ( 213600 1680170 )
NEW met3 ( 212640 1680170 0 ) ( 213600 1680170 )
NEW met2 ( 214320 1593775 ) ( 214320 1623930 )
NEW met1 ( 211440 1593775 ) M1M2_PR
NEW met1 ( 214320 1593775 ) M1M2_PR
NEW met2 ( 214320 1623930 ) via2_FR
NEW met3 ( 213600 1623930 ) M3M4_PR_M
NEW met3 ( 213600 1680170 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_sel\[35\] ( padframe mprj_io_analog_sel[35] ) ( gpio_control_in\[35\] pad_gpio_ana_sel )
+ ROUTED met1 ( 199920 1426905 ) ( 216720 1426905 )
NEW met2 ( 216720 1398785 ) ( 216720 1426905 )
NEW met1 ( 214800 1398785 ) ( 216720 1398785 )
NEW met2 ( 214800 1377325 ) ( 214800 1398785 )
NEW met1 ( 211440 1377325 ) ( 214800 1377325 )
NEW met2 ( 211440 1369225 ) ( 211440 1377325 )
NEW met2 ( 210960 1369225 0 ) ( 211440 1369225 )
NEW met3 ( 199920 1462610 ) ( 200160 1462610 )
NEW met3 ( 200160 1462610 ) ( 200160 1464090 0 )
NEW met2 ( 199920 1426905 ) ( 199920 1462610 )
NEW met1 ( 199920 1426905 ) M1M2_PR
NEW met1 ( 216720 1426905 ) M1M2_PR
NEW met1 ( 216720 1398785 ) M1M2_PR
NEW met1 ( 214800 1398785 ) M1M2_PR
NEW met1 ( 214800 1377325 ) M1M2_PR
NEW met1 ( 211440 1377325 ) M1M2_PR
NEW met2 ( 199920 1462610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[36\] ( padframe mprj_io_analog_sel[36] ) ( gpio_control_in\[36\] pad_gpio_ana_sel )
+ ROUTED met1 ( 200400 1210825 ) ( 211440 1210825 )
NEW met2 ( 211440 1203610 ) ( 211440 1210825 )
NEW met3 ( 211440 1203610 ) ( 211680 1203610 )
NEW met4 ( 211680 1182890 ) ( 211680 1203610 )
NEW met3 ( 211680 1182890 ) ( 211920 1182890 )
NEW met2 ( 211920 1153290 ) ( 211920 1182890 )
NEW met2 ( 210960 1153290 0 ) ( 211920 1153290 )
NEW met3 ( 200160 1246530 ) ( 200400 1246530 )
NEW met3 ( 200160 1246530 ) ( 200160 1248380 0 )
NEW met2 ( 200400 1210825 ) ( 200400 1246530 )
NEW met1 ( 200400 1210825 ) M1M2_PR
NEW met1 ( 211440 1210825 ) M1M2_PR
NEW met2 ( 211440 1203610 ) via2_FR
NEW met3 ( 211680 1203610 ) M3M4_PR_M
NEW met3 ( 211680 1182890 ) M3M4_PR_M
NEW met2 ( 211920 1182890 ) via2_FR
NEW met2 ( 200400 1246530 ) via2_FR
NEW met3 ( 211440 1203610 ) RECT ( -380 -150 0 150 )
NEW met3 ( 211680 1182890 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[37\] ( padframe mprj_io_analog_sel[37] ) ( gpio_control_in\[37\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 937210 0 ) ( 211440 937210 )
NEW met2 ( 211440 937210 ) ( 211440 939615 )
NEW met1 ( 211440 939615 ) ( 214800 939615 )
NEW met2 ( 214800 939615 ) ( 214800 966625 )
NEW met1 ( 214800 966625 ) ( 216240 966625 )
NEW met2 ( 216240 966625 ) ( 216240 994190 )
NEW met2 ( 215760 994190 ) ( 216240 994190 )
NEW met2 ( 215760 994190 ) ( 215760 994375 )
NEW met1 ( 200400 994375 ) ( 215760 994375 )
NEW met2 ( 200400 994375 ) ( 200400 1030450 )
NEW met3 ( 200160 1030450 ) ( 200400 1030450 )
NEW met3 ( 200160 1030450 ) ( 200160 1032670 0 )
NEW met1 ( 211440 939615 ) M1M2_PR
NEW met1 ( 214800 939615 ) M1M2_PR
NEW met1 ( 214800 966625 ) M1M2_PR
NEW met1 ( 216240 966625 ) M1M2_PR
NEW met1 ( 215760 994375 ) M1M2_PR
NEW met1 ( 200400 994375 ) M1M2_PR
NEW met2 ( 200400 1030450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[3\] ( padframe mprj_io_analog_sel[3] ) ( gpio_control_in\[3\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3374640 1230435 ) ( 3374640 1231545 )
NEW met1 ( 3374640 1230435 ) ( 3376560 1230435 )
NEW met2 ( 3376560 1229510 ) ( 3376560 1230435 )
NEW met2 ( 3376560 1229510 ) ( 3377040 1229510 )
NEW met2 ( 3377040 1227660 0 ) ( 3377040 1229510 )
NEW met3 ( 3374640 1300550 ) ( 3374880 1300550 )
NEW met3 ( 3374880 1300550 ) ( 3374880 1301290 0 )
NEW met2 ( 3374640 1231545 ) ( 3374640 1300550 )
NEW met1 ( 3374640 1231545 ) M1M2_PR
NEW met1 ( 3376560 1230435 ) M1M2_PR
NEW met2 ( 3374640 1300550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[4\] ( padframe mprj_io_analog_sel[4] ) ( gpio_control_in\[4\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3373680 1458725 ) ( 3375600 1458725 )
NEW met2 ( 3375600 1452775 ) ( 3375600 1458725 )
NEW met2 ( 3375600 1452775 ) ( 3377040 1452775 0 )
NEW met3 ( 3373680 1525510 ) ( 3373920 1525510 )
NEW met3 ( 3373920 1525510 ) ( 3373920 1526250 0 )
NEW met2 ( 3373680 1458725 ) ( 3373680 1525510 )
NEW met1 ( 3373680 1458725 ) M1M2_PR
NEW met1 ( 3375600 1458725 ) M1M2_PR
NEW met2 ( 3373680 1525510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[5\] ( padframe mprj_io_analog_sel[5] ) ( gpio_control_in\[5\] pad_gpio_ana_sel )
+ ROUTED met2 ( 3373680 1677775 ) ( 3377040 1677775 0 )
NEW met3 ( 3373680 1750470 ) ( 3373920 1750470 )
NEW met3 ( 3373920 1750470 ) ( 3373920 1751210 0 )
NEW met2 ( 3373680 1677775 ) ( 3373680 1750470 )
NEW met2 ( 3373680 1750470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[6\] ( padframe mprj_io_analog_sel[6] ) ( gpio_control_in\[6\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3374880 1976170 ) ( 3375120 1976170 )
NEW met3 ( 3374880 1976170 ) ( 3374880 1977650 0 )
NEW met2 ( 3375120 1906610 ) ( 3377040 1906610 )
NEW met2 ( 3377040 1903650 0 ) ( 3377040 1906610 )
NEW met2 ( 3375120 1906610 ) ( 3375120 1976170 )
NEW met2 ( 3375120 1976170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[7\] ( padframe mprj_io_analog_sel[7] ) ( gpio_control_in\[7\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3368880 2418690 ) ( 3373920 2418690 )
NEW met3 ( 3373920 2418320 0 ) ( 3373920 2418690 )
NEW met1 ( 3368880 2788135 ) ( 3377520 2788135 )
NEW met2 ( 3377520 2788135 ) ( 3377520 2789800 0 )
NEW met2 ( 3368880 2418690 ) ( 3368880 2788135 )
NEW met2 ( 3368880 2418690 ) via2_FR
NEW met1 ( 3368880 2788135 ) M1M2_PR
NEW met1 ( 3377520 2788135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[8\] ( padframe mprj_io_analog_sel[8] ) ( gpio_control_in\[8\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3366960 3013465 ) ( 3377040 3013465 )
NEW met2 ( 3377040 3013465 ) ( 3377040 3015870 0 )
NEW met3 ( 3366960 2638470 ) ( 3373920 2638470 0 )
NEW met2 ( 3366960 2638470 ) ( 3366960 3013465 )
NEW met1 ( 3366960 3013465 ) M1M2_PR
NEW met1 ( 3377040 3013465 ) M1M2_PR
NEW met2 ( 3366960 2638470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[9\] ( padframe mprj_io_analog_sel[9] ) ( gpio_control_in\[9\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3368880 2863430 ) ( 3373920 2863430 0 )
NEW met1 ( 3368880 3240275 ) ( 3377040 3240275 )
NEW met2 ( 3377040 3240275 ) ( 3377040 3240830 0 )
NEW met2 ( 3368880 2863430 ) ( 3368880 3240275 )
NEW met2 ( 3368880 2863430 ) via2_FR
NEW met1 ( 3368880 3240275 ) M1M2_PR
NEW met1 ( 3377040 3240275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[0\] ( padframe mprj_io_dm[0] ) ( gpio_control_bidir\[0\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3369840 627150 ) ( 3373920 627150 0 )
NEW met1 ( 3369840 534465 ) ( 3377520 534465 )
NEW met2 ( 3377520 532430 0 ) ( 3377520 534465 )
NEW met2 ( 3369840 534465 ) ( 3369840 627150 )
NEW met2 ( 3369840 627150 ) via2_FR
NEW met1 ( 3369840 534465 ) M1M2_PR
NEW met1 ( 3377520 534465 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[100\] ( padframe mprj_io_dm[100] ) ( gpio_control_in\[33\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210480 1828910 0 ) ( 210480 1831315 )
NEW met1 ( 210480 1831315 ) ( 214800 1831315 )
NEW met1 ( 214800 1847595 ) ( 215760 1847595 )
NEW met2 ( 215760 1847595 ) ( 215760 1871645 )
NEW met1 ( 200400 1871645 ) ( 215760 1871645 )
NEW met2 ( 200400 1871645 ) ( 200400 1900690 )
NEW met3 ( 200160 1900690 ) ( 200400 1900690 )
NEW met3 ( 200160 1900690 ) ( 200160 1901800 0 )
NEW met2 ( 214800 1831315 ) ( 214800 1847595 )
NEW met1 ( 210480 1831315 ) M1M2_PR
NEW met1 ( 214800 1831315 ) M1M2_PR
NEW met1 ( 214800 1847595 ) M1M2_PR
NEW met1 ( 215760 1847595 ) M1M2_PR
NEW met1 ( 215760 1871645 ) M1M2_PR
NEW met1 ( 200400 1871645 ) M1M2_PR
NEW met2 ( 200400 1900690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[101\] ( padframe mprj_io_dm[101] ) ( gpio_control_in\[33\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 1798005 0 ) ( 210960 1800605 )
NEW met1 ( 210960 1800605 ) ( 215760 1800605 )
NEW met2 ( 215760 1800605 ) ( 215760 1840010 )
NEW met2 ( 215760 1840010 ) ( 216720 1840010 )
NEW met1 ( 199920 1857955 ) ( 216720 1857955 )
NEW met2 ( 199920 1857955 ) ( 199920 1902910 )
NEW met3 ( 199920 1902910 ) ( 200160 1902910 )
NEW met3 ( 200160 1902910 ) ( 200160 1904390 0 )
NEW met2 ( 216720 1840010 ) ( 216720 1857955 )
NEW met1 ( 210960 1800605 ) M1M2_PR
NEW met1 ( 215760 1800605 ) M1M2_PR
NEW met1 ( 216720 1857955 ) M1M2_PR
NEW met1 ( 199920 1857955 ) M1M2_PR
NEW met2 ( 199920 1902910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[102\] ( padframe mprj_io_dm[102] ) ( gpio_control_in\[34\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210960 1603580 0 ) ( 210960 1605245 )
NEW met1 ( 210960 1605245 ) ( 215280 1605245 )
NEW met1 ( 200880 1647795 ) ( 215280 1647795 )
NEW met2 ( 200880 1647795 ) ( 200880 1681650 )
NEW met3 ( 200880 1681650 ) ( 201120 1681650 )
NEW met3 ( 201120 1681650 ) ( 201120 1683130 0 )
NEW met2 ( 215280 1605245 ) ( 215280 1647795 )
NEW met1 ( 210960 1605245 ) M1M2_PR
NEW met1 ( 215280 1605245 ) M1M2_PR
NEW met1 ( 215280 1647795 ) M1M2_PR
NEW met1 ( 200880 1647795 ) M1M2_PR
NEW met2 ( 200880 1681650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[103\] ( padframe mprj_io_dm[103] ) ( gpio_control_in\[34\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 1612830 0 ) ( 210960 1615605 )
NEW met1 ( 210960 1615605 ) ( 215760 1615605 )
NEW met1 ( 200400 1646685 ) ( 215760 1646685 )
NEW met2 ( 200400 1646685 ) ( 200400 1684610 )
NEW met3 ( 200160 1684610 ) ( 200400 1684610 )
NEW met3 ( 200160 1684610 ) ( 200160 1686090 0 )
NEW met2 ( 215760 1615605 ) ( 215760 1646685 )
NEW met1 ( 210960 1615605 ) M1M2_PR
NEW met1 ( 215760 1615605 ) M1M2_PR
NEW met1 ( 215760 1646685 ) M1M2_PR
NEW met1 ( 200400 1646685 ) M1M2_PR
NEW met2 ( 200400 1684610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[104\] ( padframe mprj_io_dm[104] ) ( gpio_control_in\[34\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210480 1582120 0 ) ( 210480 1584525 )
NEW met1 ( 210480 1584525 ) ( 214800 1584525 )
NEW met2 ( 214800 1584525 ) ( 214800 1634845 )
NEW met1 ( 211440 1634845 ) ( 214800 1634845 )
NEW met3 ( 211440 1687570 ) ( 211680 1687570 )
NEW met3 ( 211680 1687570 ) ( 211680 1688310 0 )
NEW met2 ( 211440 1634845 ) ( 211440 1687570 )
NEW met1 ( 210480 1584525 ) M1M2_PR
NEW met1 ( 214800 1584525 ) M1M2_PR
NEW met1 ( 214800 1634845 ) M1M2_PR
NEW met1 ( 211440 1634845 ) M1M2_PR
NEW met2 ( 211440 1687570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[105\] ( padframe mprj_io_dm[105] ) ( gpio_control_in\[35\] pad_gpio_dm[0] )
+ ROUTED met1 ( 200400 1426535 ) ( 215760 1426535 )
NEW met2 ( 215760 1389165 ) ( 215760 1426535 )
NEW met1 ( 210960 1389165 ) ( 215760 1389165 )
NEW met2 ( 210960 1387500 0 ) ( 210960 1389165 )
NEW met3 ( 200160 1465570 ) ( 200400 1465570 )
NEW met3 ( 200160 1465570 ) ( 200160 1467050 0 )
NEW met2 ( 200400 1426535 ) ( 200400 1465570 )
NEW met1 ( 200400 1426535 ) M1M2_PR
NEW met1 ( 215760 1426535 ) M1M2_PR
NEW met1 ( 215760 1389165 ) M1M2_PR
NEW met1 ( 210960 1389165 ) M1M2_PR
NEW met2 ( 200400 1465570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[106\] ( padframe mprj_io_dm[106] ) ( gpio_control_in\[35\] pad_gpio_dm[1] )
+ ROUTED met1 ( 201360 1425795 ) ( 214800 1425795 )
NEW met2 ( 214800 1399525 ) ( 214800 1425795 )
NEW met1 ( 210960 1399525 ) ( 214800 1399525 )
NEW met2 ( 210960 1396750 0 ) ( 210960 1399525 )
NEW met3 ( 201120 1468530 ) ( 201360 1468530 )
NEW met3 ( 201120 1468530 ) ( 201120 1470010 0 )
NEW met2 ( 201360 1425795 ) ( 201360 1468530 )
NEW met1 ( 201360 1425795 ) M1M2_PR
NEW met1 ( 214800 1425795 ) M1M2_PR
NEW met1 ( 214800 1399525 ) M1M2_PR
NEW met1 ( 210960 1399525 ) M1M2_PR
NEW met2 ( 201360 1468530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[107\] ( padframe mprj_io_dm[107] ) ( gpio_control_in\[35\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 1366040 0 ) ( 211920 1366040 )
NEW met2 ( 211920 1366040 ) ( 211920 1407850 )
NEW met3 ( 211920 1407850 ) ( 212640 1407850 )
NEW met4 ( 212640 1407850 ) ( 212640 1424130 )
NEW met3 ( 211920 1424130 ) ( 212640 1424130 )
NEW met3 ( 211680 1471490 ) ( 211920 1471490 )
NEW met3 ( 211680 1471490 ) ( 211680 1472600 0 )
NEW met2 ( 211920 1424130 ) ( 211920 1471490 )
NEW met2 ( 211920 1407850 ) via2_FR
NEW met3 ( 212640 1407850 ) M3M4_PR_M
NEW met3 ( 212640 1424130 ) M3M4_PR_M
NEW met2 ( 211920 1424130 ) via2_FR
NEW met2 ( 211920 1471490 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[108\] ( padframe mprj_io_dm[108] ) ( gpio_control_in\[36\] pad_gpio_dm[0] )
+ ROUTED met1 ( 199920 1210455 ) ( 214800 1210455 )
NEW met2 ( 214800 1174195 ) ( 214800 1210455 )
NEW met1 ( 210960 1174195 ) ( 214800 1174195 )
NEW met2 ( 210960 1171625 0 ) ( 210960 1174195 )
NEW met3 ( 199920 1249490 ) ( 200160 1249490 )
NEW met3 ( 200160 1249490 ) ( 200160 1250970 0 )
NEW met2 ( 199920 1210455 ) ( 199920 1249490 )
NEW met1 ( 199920 1210455 ) M1M2_PR
NEW met1 ( 214800 1210455 ) M1M2_PR
NEW met1 ( 214800 1174195 ) M1M2_PR
NEW met1 ( 210960 1174195 ) M1M2_PR
NEW met2 ( 199920 1249490 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[109\] ( padframe mprj_io_dm[109] ) ( gpio_control_in\[36\] pad_gpio_dm[1] )
+ ROUTED met1 ( 201360 1209715 ) ( 211920 1209715 )
NEW met2 ( 211920 1183445 ) ( 211920 1209715 )
NEW met1 ( 210960 1183445 ) ( 211920 1183445 )
NEW met2 ( 210960 1180825 0 ) ( 210960 1183445 )
NEW met3 ( 201120 1252450 ) ( 201360 1252450 )
NEW met3 ( 201120 1252450 ) ( 201120 1253930 0 )
NEW met2 ( 201360 1209715 ) ( 201360 1252450 )
NEW met1 ( 201360 1209715 ) M1M2_PR
NEW met1 ( 211920 1209715 ) M1M2_PR
NEW met1 ( 211920 1183445 ) M1M2_PR
NEW met1 ( 210960 1183445 ) M1M2_PR
NEW met2 ( 201360 1252450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[10\] ( padframe mprj_io_dm[10] ) ( gpio_control_in\[3\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3373680 1202685 ) ( 3377520 1202685 )
NEW met2 ( 3377520 1200280 0 ) ( 3377520 1202685 )
NEW met3 ( 3373680 1305730 ) ( 3373920 1305730 )
NEW met3 ( 3373920 1305730 ) ( 3373920 1306840 0 )
NEW met2 ( 3373680 1202685 ) ( 3373680 1305730 )
NEW met1 ( 3373680 1202685 ) M1M2_PR
NEW met1 ( 3377520 1202685 ) M1M2_PR
NEW met2 ( 3373680 1305730 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[110\] ( padframe mprj_io_dm[110] ) ( gpio_control_in\[36\] pad_gpio_dm[2] )
+ ROUTED met3 ( 207840 1151810 ) ( 209040 1151810 )
NEW met2 ( 209040 1149960 0 ) ( 209040 1151810 )
NEW met3 ( 207840 1255410 ) ( 207840 1256520 0 )
NEW met4 ( 207840 1151810 ) ( 207840 1255410 )
NEW met3 ( 207840 1151810 ) M3M4_PR_M
NEW met2 ( 209040 1151810 ) via2_FR
NEW met3 ( 207840 1255410 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[111\] ( padframe mprj_io_dm[111] ) ( gpio_control_in\[37\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210480 955710 0 ) ( 210480 957375 )
NEW met1 ( 210480 957375 ) ( 211920 957375 )
NEW met2 ( 211920 957375 ) ( 211920 975690 )
NEW met3 ( 211680 975690 ) ( 211920 975690 )
NEW met4 ( 211680 975690 ) ( 211680 987530 )
NEW met3 ( 210960 987530 ) ( 211680 987530 )
NEW met2 ( 210960 987530 ) ( 210960 995115 )
NEW met1 ( 200880 995115 ) ( 210960 995115 )
NEW met2 ( 200880 995115 ) ( 200880 1033410 )
NEW met3 ( 200880 1033410 ) ( 201120 1033410 )
NEW met3 ( 201120 1033410 ) ( 201120 1034890 0 )
NEW met1 ( 210480 957375 ) M1M2_PR
NEW met1 ( 211920 957375 ) M1M2_PR
NEW met2 ( 211920 975690 ) via2_FR
NEW met3 ( 211680 975690 ) M3M4_PR_M
NEW met3 ( 211680 987530 ) M3M4_PR_M
NEW met2 ( 210960 987530 ) via2_FR
NEW met1 ( 210960 995115 ) M1M2_PR
NEW met1 ( 200880 995115 ) M1M2_PR
NEW met2 ( 200880 1033410 ) via2_FR
NEW met3 ( 211920 975690 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[112\] ( padframe mprj_io_dm[112] ) ( gpio_control_in\[37\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210480 964960 0 ) ( 210480 967365 )
NEW met1 ( 210480 967365 ) ( 214800 967365 )
NEW met2 ( 214800 967365 ) ( 214800 1036370 )
NEW met3 ( 212640 1036370 ) ( 214800 1036370 )
NEW met3 ( 212640 1036370 ) ( 212640 1037850 0 )
NEW met1 ( 210480 967365 ) M1M2_PR
NEW met1 ( 214800 967365 ) M1M2_PR
NEW met2 ( 214800 1036370 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[113\] ( padframe mprj_io_dm[113] ) ( gpio_control_in\[37\] pad_gpio_dm[2] )
+ ROUTED met3 ( 212640 1038590 ) ( 213840 1038590 )
NEW met3 ( 212640 1038590 ) ( 212640 1040810 0 )
NEW met2 ( 210960 933880 0 ) ( 210960 935545 )
NEW met1 ( 210960 935545 ) ( 214320 935545 )
NEW met2 ( 213840 1025270 ) ( 214320 1025270 )
NEW met2 ( 213840 1025270 ) ( 213840 1038590 )
NEW met2 ( 214320 935545 ) ( 214320 1025270 )
NEW met2 ( 213840 1038590 ) via2_FR
NEW met1 ( 210960 935545 ) M1M2_PR
NEW met1 ( 214320 935545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[11\] ( padframe mprj_io_dm[11] ) ( gpio_control_in\[3\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3374160 1235985 ) ( 3375600 1235985 )
NEW met2 ( 3375600 1230990 ) ( 3375600 1235985 )
NEW met2 ( 3375600 1230990 ) ( 3377040 1230990 0 )
NEW met3 ( 3373920 1308690 ) ( 3374160 1308690 )
NEW met3 ( 3373920 1308690 ) ( 3373920 1309430 0 )
NEW met2 ( 3374160 1235985 ) ( 3374160 1308690 )
NEW met1 ( 3374160 1235985 ) M1M2_PR
NEW met1 ( 3375600 1235985 ) M1M2_PR
NEW met2 ( 3374160 1308690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[12\] ( padframe mprj_io_dm[12] ) ( gpio_control_in\[4\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3370800 1436155 ) ( 3377520 1436155 )
NEW met2 ( 3377520 1434490 0 ) ( 3377520 1436155 )
NEW met3 ( 3370800 1529210 ) ( 3373920 1529210 0 )
NEW met2 ( 3370800 1436155 ) ( 3370800 1529210 )
NEW met1 ( 3370800 1436155 ) M1M2_PR
NEW met1 ( 3377520 1436155 ) M1M2_PR
NEW met2 ( 3370800 1529210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[13\] ( padframe mprj_io_dm[13] ) ( gpio_control_in\[4\] pad_gpio_dm[1] )
+ ROUTED met2 ( 3378960 1424130 ) ( 3378960 1425240 0 )
NEW met3 ( 3378960 1424130 ) ( 3379680 1424130 )
NEW met3 ( 3379680 1497390 ) ( 3384720 1497390 )
NEW met2 ( 3384720 1497390 ) ( 3384720 1529950 )
NEW met3 ( 3384480 1529950 ) ( 3384720 1529950 )
NEW met3 ( 3384480 1529950 ) ( 3384480 1531800 0 )
NEW met4 ( 3379680 1424130 ) ( 3379680 1497390 )
NEW met2 ( 3378960 1424130 ) via2_FR
NEW met3 ( 3379680 1424130 ) M3M4_PR_M
NEW met3 ( 3379680 1497390 ) M3M4_PR_M
NEW met2 ( 3384720 1497390 ) via2_FR
NEW met2 ( 3384720 1529950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[14\] ( padframe mprj_io_dm[14] ) ( gpio_control_in\[4\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3372720 1458355 ) ( 3376080 1458355 )
NEW met2 ( 3376080 1455950 ) ( 3376080 1458355 )
NEW met2 ( 3376080 1455950 ) ( 3377040 1455950 0 )
NEW met2 ( 3372720 1502570 ) ( 3373200 1502570 )
NEW met2 ( 3373200 1502570 ) ( 3373200 1533650 )
NEW met3 ( 3373200 1533650 ) ( 3373920 1533650 )
NEW met3 ( 3373920 1533650 ) ( 3373920 1534390 0 )
NEW met2 ( 3372720 1458355 ) ( 3372720 1502570 )
NEW met1 ( 3372720 1458355 ) M1M2_PR
NEW met1 ( 3376080 1458355 ) M1M2_PR
NEW met2 ( 3373200 1533650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[15\] ( padframe mprj_io_dm[15] ) ( gpio_control_in\[5\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3370800 1661115 ) ( 3377520 1661115 )
NEW met2 ( 3377520 1659450 0 ) ( 3377520 1661115 )
NEW met3 ( 3370800 1754170 ) ( 3373920 1754170 0 )
NEW met2 ( 3370800 1661115 ) ( 3370800 1754170 )
NEW met1 ( 3370800 1661115 ) M1M2_PR
NEW met1 ( 3377520 1661115 ) M1M2_PR
NEW met2 ( 3370800 1754170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[16\] ( padframe mprj_io_dm[16] ) ( gpio_control_in\[5\] pad_gpio_dm[1] )
+ ROUTED met2 ( 3378960 1650200 0 ) ( 3378960 1652790 )
NEW met3 ( 3378960 1652790 ) ( 3382560 1652790 )
NEW met3 ( 3382560 1720870 ) ( 3384720 1720870 )
NEW met2 ( 3384720 1720870 ) ( 3384720 1755650 )
NEW met3 ( 3384480 1755650 ) ( 3384720 1755650 )
NEW met3 ( 3384480 1755650 ) ( 3384480 1756760 0 )
NEW met4 ( 3382560 1652790 ) ( 3382560 1720870 )
NEW met2 ( 3378960 1652790 ) via2_FR
NEW met3 ( 3382560 1652790 ) M3M4_PR_M
NEW met3 ( 3382560 1720870 ) M3M4_PR_M
NEW met2 ( 3384720 1720870 ) via2_FR
NEW met2 ( 3384720 1755650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[17\] ( padframe mprj_io_dm[17] ) ( gpio_control_in\[5\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3371760 1682945 ) ( 3377040 1682945 )
NEW met2 ( 3377040 1680910 0 ) ( 3377040 1682945 )
NEW met3 ( 3371760 1759350 ) ( 3373920 1759350 0 )
NEW met2 ( 3371760 1682945 ) ( 3371760 1759350 )
NEW met1 ( 3371760 1682945 ) M1M2_PR
NEW met1 ( 3377040 1682945 ) M1M2_PR
NEW met2 ( 3371760 1759350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[18\] ( padframe mprj_io_dm[18] ) ( gpio_control_in\[6\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3372720 1979130 ) ( 3373920 1979130 )
NEW met3 ( 3373920 1979130 ) ( 3373920 1979870 0 )
NEW met1 ( 3372720 1887925 ) ( 3377040 1887925 )
NEW met2 ( 3377040 1885375 0 ) ( 3377040 1887925 )
NEW met2 ( 3372720 1887925 ) ( 3372720 1979130 )
NEW met2 ( 3372720 1979130 ) via2_FR
NEW met1 ( 3372720 1887925 ) M1M2_PR
NEW met1 ( 3377040 1887925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[19\] ( padframe mprj_io_dm[19] ) ( gpio_control_in\[6\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3369840 1982830 ) ( 3373920 1982830 0 )
NEW met1 ( 3369840 1878675 ) ( 3377520 1878675 )
NEW met2 ( 3377520 1876270 0 ) ( 3377520 1878675 )
NEW met2 ( 3369840 1878675 ) ( 3369840 1982830 )
NEW met2 ( 3369840 1982830 ) via2_FR
NEW met1 ( 3369840 1878675 ) M1M2_PR
NEW met1 ( 3377520 1878675 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[1\] ( padframe mprj_io_dm[1] ) ( gpio_control_bidir\[0\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3371040 630110 ) ( 3373920 630110 0 )
NEW met3 ( 3371040 525770 ) ( 3377040 525770 )
NEW met2 ( 3377040 523180 0 ) ( 3377040 525770 )
NEW met4 ( 3371040 525770 ) ( 3371040 630110 )
NEW met3 ( 3371040 630110 ) M3M4_PR_M
NEW met3 ( 3371040 525770 ) M3M4_PR_M
NEW met2 ( 3377040 525770 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[20\] ( padframe mprj_io_dm[20] ) ( gpio_control_in\[6\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3374640 1984310 ) ( 3374880 1984310 )
NEW met3 ( 3374880 1984310 ) ( 3374880 1985790 0 )
NEW met1 ( 3374640 1923445 ) ( 3375600 1923445 )
NEW met2 ( 3375600 1906980 ) ( 3375600 1923445 )
NEW met2 ( 3375600 1906980 ) ( 3377040 1906980 0 )
NEW met2 ( 3374640 1923445 ) ( 3374640 1984310 )
NEW met2 ( 3374640 1984310 ) via2_FR
NEW met1 ( 3374640 1923445 ) M1M2_PR
NEW met1 ( 3375600 1923445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[21\] ( padframe mprj_io_dm[21] ) ( gpio_control_in\[7\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3366480 2420910 ) ( 3373920 2420910 0 )
NEW met1 ( 3366480 2768895 ) ( 3377520 2768895 )
NEW met2 ( 3377520 2768895 ) ( 3377520 2771300 0 )
NEW met2 ( 3366480 2420910 ) ( 3366480 2768895 )
NEW met2 ( 3366480 2420910 ) via2_FR
NEW met1 ( 3366480 2768895 ) M1M2_PR
NEW met1 ( 3377520 2768895 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[22\] ( padframe mprj_io_dm[22] ) ( gpio_control_in\[7\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3371760 2423870 ) ( 3373920 2423870 0 )
NEW met1 ( 3371760 2759645 ) ( 3377520 2759645 )
NEW met2 ( 3377520 2759645 ) ( 3377520 2762050 0 )
NEW met2 ( 3371760 2423870 ) ( 3371760 2759645 )
NEW met2 ( 3371760 2423870 ) via2_FR
NEW met1 ( 3371760 2759645 ) M1M2_PR
NEW met1 ( 3377520 2759645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[23\] ( padframe mprj_io_dm[23] ) ( gpio_control_in\[7\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3373920 2427570 ) ( 3374160 2427570 )
NEW met3 ( 3373920 2426830 0 ) ( 3373920 2427570 )
NEW met1 ( 3373680 2790355 ) ( 3377040 2790355 )
NEW met2 ( 3377040 2790355 ) ( 3377040 2793130 0 )
NEW met2 ( 3373680 2548190 ) ( 3374160 2548190 )
NEW met2 ( 3373680 2548190 ) ( 3373680 2790355 )
NEW met2 ( 3374160 2427570 ) ( 3374160 2548190 )
NEW met2 ( 3374160 2427570 ) via2_FR
NEW met1 ( 3373680 2790355 ) M1M2_PR
NEW met1 ( 3377040 2790355 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[24\] ( padframe mprj_io_dm[24] ) ( gpio_control_in\[8\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3365040 2996445 ) ( 3377040 2996445 )
NEW met2 ( 3377040 2996445 ) ( 3377040 2997370 0 )
NEW met1 ( 3365040 2642355 ) ( 3374160 2642355 )
NEW met2 ( 3374160 2642170 ) ( 3374160 2642355 )
NEW met3 ( 3373920 2642170 ) ( 3374160 2642170 )
NEW met3 ( 3373920 2641060 0 ) ( 3373920 2642170 )
NEW met2 ( 3365040 2642355 ) ( 3365040 2996445 )
NEW met1 ( 3365040 2996445 ) M1M2_PR
NEW met1 ( 3377040 2996445 ) M1M2_PR
NEW met1 ( 3365040 2642355 ) M1M2_PR
NEW met1 ( 3374160 2642355 ) M1M2_PR
NEW met2 ( 3374160 2642170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[25\] ( padframe mprj_io_dm[25] ) ( gpio_control_in\[8\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3361200 2985715 ) ( 3377520 2985715 )
NEW met2 ( 3377520 2985715 ) ( 3377520 2988120 0 )
NEW met1 ( 3361200 2645315 ) ( 3374160 2645315 )
NEW met2 ( 3374160 2645130 ) ( 3374160 2645315 )
NEW met3 ( 3373920 2645130 ) ( 3374160 2645130 )
NEW met3 ( 3373920 2643650 0 ) ( 3373920 2645130 )
NEW met2 ( 3361200 2645315 ) ( 3361200 2985715 )
NEW met1 ( 3361200 2985715 ) M1M2_PR
NEW met1 ( 3377520 2985715 ) M1M2_PR
NEW met1 ( 3361200 2645315 ) M1M2_PR
NEW met1 ( 3374160 2645315 ) M1M2_PR
NEW met2 ( 3374160 2645130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[26\] ( padframe mprj_io_dm[26] ) ( gpio_control_in\[8\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3367920 3016425 ) ( 3377040 3016425 )
NEW met2 ( 3377040 3016425 ) ( 3377040 3018995 0 )
NEW met3 ( 3367920 2646610 ) ( 3373920 2646610 0 )
NEW met2 ( 3367920 2646610 ) ( 3367920 3016425 )
NEW met1 ( 3367920 3016425 ) M1M2_PR
NEW met1 ( 3377040 3016425 ) M1M2_PR
NEW met2 ( 3367920 2646610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[27\] ( padframe mprj_io_dm[27] ) ( gpio_control_in\[9\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3362640 2868055 ) ( 3369360 2868055 )
NEW met2 ( 3369360 2866390 ) ( 3369360 2868055 )
NEW met3 ( 3369360 2866390 ) ( 3373920 2866390 0 )
NEW met1 ( 3362640 3220295 ) ( 3377520 3220295 )
NEW met2 ( 3377520 3220295 ) ( 3377520 3222330 0 )
NEW met2 ( 3362640 2868055 ) ( 3362640 3220295 )
NEW met1 ( 3362640 2868055 ) M1M2_PR
NEW met1 ( 3369360 2868055 ) M1M2_PR
NEW met2 ( 3369360 2866390 ) via2_FR
NEW met1 ( 3362640 3220295 ) M1M2_PR
NEW met1 ( 3377520 3220295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[28\] ( padframe mprj_io_dm[28] ) ( gpio_control_in\[9\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3363600 2879895 ) ( 3369360 2879895 )
NEW met2 ( 3369360 2868610 ) ( 3369360 2879895 )
NEW met3 ( 3369360 2868610 ) ( 3373920 2868610 0 )
NEW met1 ( 3363600 3211415 ) ( 3377520 3211415 )
NEW met2 ( 3377520 3211415 ) ( 3377520 3213080 0 )
NEW met2 ( 3363600 2879895 ) ( 3363600 3211415 )
NEW met1 ( 3363600 2879895 ) M1M2_PR
NEW met1 ( 3369360 2879895 ) M1M2_PR
NEW met2 ( 3369360 2868610 ) via2_FR
NEW met1 ( 3363600 3211415 ) M1M2_PR
NEW met1 ( 3377520 3211415 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[29\] ( padframe mprj_io_dm[29] ) ( gpio_control_in\[9\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3366480 2871570 ) ( 3373920 2871570 0 )
NEW met1 ( 3366480 3241385 ) ( 3377040 3241385 )
NEW met2 ( 3377040 3241385 ) ( 3377040 3243995 0 )
NEW met2 ( 3366480 2871570 ) ( 3366480 3241385 )
NEW met2 ( 3366480 2871570 ) via2_FR
NEW met1 ( 3366480 3241385 ) M1M2_PR
NEW met1 ( 3377040 3241385 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[2\] ( padframe mprj_io_dm[2] ) ( gpio_control_bidir\[0\] pad_gpio_dm[2] )
+ ROUTED met2 ( 3373680 604210 ) ( 3374160 604210 )
NEW met2 ( 3374160 604210 ) ( 3374160 631590 )
NEW met3 ( 3373920 631590 ) ( 3374160 631590 )
NEW met3 ( 3373920 631590 ) ( 3373920 632330 0 )
NEW met1 ( 3373680 555925 ) ( 3377040 555925 )
NEW met2 ( 3377040 553890 0 ) ( 3377040 555925 )
NEW met2 ( 3373680 555925 ) ( 3373680 604210 )
NEW met2 ( 3374160 631590 ) via2_FR
NEW met1 ( 3373680 555925 ) M1M2_PR
NEW met1 ( 3377040 555925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[30\] ( padframe mprj_io_dm[30] ) ( gpio_control_in\[10\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3365040 3446735 ) ( 3377040 3446735 )
NEW met2 ( 3377040 3446735 ) ( 3377040 3448400 0 )
NEW met1 ( 3365040 3092275 ) ( 3372240 3092275 )
NEW met2 ( 3372240 3092090 ) ( 3372240 3092275 )
NEW met3 ( 3372240 3092090 ) ( 3373920 3092090 0 )
NEW met2 ( 3365040 3092275 ) ( 3365040 3446735 )
NEW met1 ( 3365040 3446735 ) M1M2_PR
NEW met1 ( 3377040 3446735 ) M1M2_PR
NEW met1 ( 3365040 3092275 ) M1M2_PR
NEW met1 ( 3372240 3092275 ) M1M2_PR
NEW met2 ( 3372240 3092090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[31\] ( padframe mprj_io_dm[31] ) ( gpio_control_in\[10\] pad_gpio_dm[1] )
+ ROUTED met2 ( 3373200 3396970 ) ( 3373680 3396970 )
NEW met2 ( 3373680 3396970 ) ( 3373680 3436745 )
NEW met1 ( 3373680 3436745 ) ( 3377520 3436745 )
NEW met2 ( 3377520 3436745 ) ( 3377520 3439150 0 )
NEW met3 ( 3373200 3095790 ) ( 3373920 3095790 )
NEW met3 ( 3373920 3095050 0 ) ( 3373920 3095790 )
NEW met2 ( 3373200 3095790 ) ( 3373200 3396970 )
NEW met1 ( 3373680 3436745 ) M1M2_PR
NEW met1 ( 3377520 3436745 ) M1M2_PR
NEW met2 ( 3373200 3095790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[32\] ( padframe mprj_io_dm[32] ) ( gpio_control_in\[10\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3382560 3097270 0 ) ( 3382560 3099490 )
NEW met3 ( 3378960 3467270 ) ( 3382560 3467270 )
NEW met2 ( 3378960 3467270 ) ( 3378960 3469860 0 )
NEW met4 ( 3382560 3099490 ) ( 3382560 3467270 )
NEW met3 ( 3382560 3099490 ) M3M4_PR_M
NEW met3 ( 3382560 3467270 ) M3M4_PR_M
NEW met2 ( 3378960 3467270 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[33\] ( padframe mprj_io_dm[33] ) ( gpio_control_in\[11\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3364080 3326115 ) ( 3369360 3326115 )
NEW met2 ( 3369360 3317050 ) ( 3369360 3326115 )
NEW met3 ( 3369360 3317050 ) ( 3373920 3317050 0 )
NEW met1 ( 3364080 3672065 ) ( 3377040 3672065 )
NEW met2 ( 3377040 3672065 ) ( 3377040 3673360 0 )
NEW met2 ( 3364080 3326115 ) ( 3364080 3672065 )
NEW met1 ( 3364080 3326115 ) M1M2_PR
NEW met1 ( 3369360 3326115 ) M1M2_PR
NEW met2 ( 3369360 3317050 ) via2_FR
NEW met1 ( 3364080 3672065 ) M1M2_PR
NEW met1 ( 3377040 3672065 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[34\] ( padframe mprj_io_dm[34] ) ( gpio_control_in\[11\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3363120 3320935 ) ( 3373680 3320935 )
NEW met2 ( 3373680 3320750 ) ( 3373680 3320935 )
NEW met3 ( 3373680 3320750 ) ( 3373920 3320750 )
NEW met3 ( 3373920 3320010 0 ) ( 3373920 3320750 )
NEW met1 ( 3363120 3661705 ) ( 3377520 3661705 )
NEW met2 ( 3377520 3661705 ) ( 3377520 3664110 0 )
NEW met2 ( 3363120 3320935 ) ( 3363120 3661705 )
NEW met1 ( 3363120 3320935 ) M1M2_PR
NEW met1 ( 3373680 3320935 ) M1M2_PR
NEW met2 ( 3373680 3320750 ) via2_FR
NEW met1 ( 3363120 3661705 ) M1M2_PR
NEW met1 ( 3377520 3661705 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[35\] ( padframe mprj_io_dm[35] ) ( gpio_control_in\[11\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3372000 3322600 ) ( 3373920 3322600 0 )
NEW met3 ( 3372000 3692970 ) ( 3377040 3692970 )
NEW met2 ( 3377040 3692970 ) ( 3377040 3694995 0 )
NEW met4 ( 3372000 3322600 ) ( 3372000 3692970 )
NEW met3 ( 3372000 3322600 ) M3M4_PR_M
NEW met3 ( 3372000 3692970 ) M3M4_PR_M
NEW met2 ( 3377040 3692970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[36\] ( padframe mprj_io_dm[36] ) ( gpio_control_in\[12\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3361200 3896655 ) ( 3377520 3896655 )
NEW met2 ( 3377520 3896655 ) ( 3377520 3898320 0 )
NEW met1 ( 3361200 3543305 ) ( 3372240 3543305 )
NEW met2 ( 3372240 3543120 ) ( 3372240 3543305 )
NEW met3 ( 3372240 3543120 ) ( 3373920 3543120 0 )
NEW met2 ( 3361200 3543305 ) ( 3361200 3896655 )
NEW met1 ( 3361200 3896655 ) M1M2_PR
NEW met1 ( 3377520 3896655 ) M1M2_PR
NEW met1 ( 3361200 3543305 ) M1M2_PR
NEW met1 ( 3372240 3543305 ) M1M2_PR
NEW met2 ( 3372240 3543120 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[37\] ( padframe mprj_io_dm[37] ) ( gpio_control_in\[12\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3363600 3888145 ) ( 3377520 3888145 )
NEW met2 ( 3377520 3888145 ) ( 3377520 3889070 0 )
NEW met1 ( 3363600 3545895 ) ( 3372240 3545895 )
NEW met2 ( 3372240 3545710 ) ( 3372240 3545895 )
NEW met3 ( 3372240 3545710 ) ( 3373920 3545710 0 )
NEW met2 ( 3363600 3545895 ) ( 3363600 3888145 )
NEW met1 ( 3363600 3888145 ) M1M2_PR
NEW met1 ( 3377520 3888145 ) M1M2_PR
NEW met1 ( 3363600 3545895 ) M1M2_PR
NEW met1 ( 3372240 3545895 ) M1M2_PR
NEW met2 ( 3372240 3545710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[38\] ( padframe mprj_io_dm[38] ) ( gpio_control_in\[12\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3361680 3917375 ) ( 3377040 3917375 )
NEW met2 ( 3377040 3917375 ) ( 3377040 3919995 0 )
NEW met1 ( 3361680 3549595 ) ( 3373200 3549595 )
NEW met2 ( 3373200 3549410 ) ( 3373200 3549595 )
NEW met3 ( 3373200 3549410 ) ( 3373920 3549410 )
NEW met3 ( 3373920 3548670 0 ) ( 3373920 3549410 )
NEW met2 ( 3361680 3549595 ) ( 3361680 3917375 )
NEW met1 ( 3361680 3917375 ) M1M2_PR
NEW met1 ( 3377040 3917375 ) M1M2_PR
NEW met1 ( 3361680 3549595 ) M1M2_PR
NEW met1 ( 3373200 3549595 ) M1M2_PR
NEW met2 ( 3373200 3549410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[39\] ( padframe mprj_io_dm[39] ) ( gpio_control_in\[13\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3371760 3864650 ) ( 3396000 3864650 )
NEW met1 ( 3371760 4341765 ) ( 3377040 4341765 )
NEW met2 ( 3377040 4341765 ) ( 3377040 4344375 0 )
NEW met2 ( 3371760 3864650 ) ( 3371760 4341765 )
NEW met3 ( 3396000 3768080 0 ) ( 3396000 3769930 )
NEW met4 ( 3396000 3769930 ) ( 3396000 3864650 )
NEW met2 ( 3371760 3864650 ) via2_FR
NEW met3 ( 3396000 3864650 ) M3M4_PR_M
NEW met1 ( 3371760 4341765 ) M1M2_PR
NEW met1 ( 3377040 4341765 ) M1M2_PR
NEW met3 ( 3396000 3769930 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[3\] ( padframe mprj_io_dm[3] ) ( gpio_control_bidir\[1\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3370080 759610 ) ( 3377520 759610 )
NEW met2 ( 3377520 758500 0 ) ( 3377520 759610 )
NEW met3 ( 3370080 852850 ) ( 3373920 852850 0 )
NEW met4 ( 3370080 759610 ) ( 3370080 852850 )
NEW met3 ( 3370080 759610 ) M3M4_PR_M
NEW met2 ( 3377520 759610 ) via2_FR
NEW met3 ( 3370080 852850 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[40\] ( padframe mprj_io_dm[40] ) ( gpio_control_in\[13\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3373680 3875010 ) ( 3384480 3875010 )
NEW met4 ( 3384480 3865390 ) ( 3384480 3875010 )
NEW met3 ( 3384480 3865390 ) ( 3389280 3865390 )
NEW met1 ( 3375600 4278865 ) ( 3377520 4278865 )
NEW met1 ( 3373680 3952895 ) ( 3377520 3952895 )
NEW met2 ( 3373680 3875010 ) ( 3373680 3952895 )
NEW met2 ( 3377520 3952895 ) ( 3377520 4278865 )
NEW met1 ( 3375600 4332515 ) ( 3377040 4332515 )
NEW met2 ( 3377040 4332515 ) ( 3377040 4335290 0 )
NEW met2 ( 3375600 4278865 ) ( 3375600 4332515 )
NEW met3 ( 3389040 3823210 ) ( 3389280 3823210 )
NEW met2 ( 3389040 3772150 ) ( 3389040 3823210 )
NEW met3 ( 3389040 3772150 ) ( 3389280 3772150 )
NEW met3 ( 3389280 3770670 0 ) ( 3389280 3772150 )
NEW met4 ( 3389280 3823210 ) ( 3389280 3865390 )
NEW met2 ( 3373680 3875010 ) via2_FR
NEW met3 ( 3384480 3875010 ) M3M4_PR_M
NEW met3 ( 3384480 3865390 ) M3M4_PR_M
NEW met3 ( 3389280 3865390 ) M3M4_PR_M
NEW met1 ( 3375600 4278865 ) M1M2_PR
NEW met1 ( 3377520 4278865 ) M1M2_PR
NEW met1 ( 3373680 3952895 ) M1M2_PR
NEW met1 ( 3377520 3952895 ) M1M2_PR
NEW met1 ( 3375600 4332515 ) M1M2_PR
NEW met1 ( 3377040 4332515 ) M1M2_PR
NEW met3 ( 3389280 3823210 ) M3M4_PR_M
NEW met2 ( 3389040 3823210 ) via2_FR
NEW met2 ( 3389040 3772150 ) via2_FR
NEW met3 ( 3389280 3823210 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[41\] ( padframe mprj_io_dm[41] ) ( gpio_control_in\[13\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3373200 3863170 ) ( 3386400 3863170 )
NEW met1 ( 3373200 4363225 ) ( 3377040 4363225 )
NEW met2 ( 3377040 4363225 ) ( 3377040 4366000 0 )
NEW met2 ( 3373200 3863170 ) ( 3373200 4363225 )
NEW met3 ( 3386400 3822470 ) ( 3389280 3822470 )
NEW met4 ( 3389280 3775110 ) ( 3389280 3822470 )
NEW met3 ( 3389280 3773630 0 ) ( 3389280 3775110 )
NEW met4 ( 3386400 3822470 ) ( 3386400 3863170 )
NEW met2 ( 3373200 3863170 ) via2_FR
NEW met3 ( 3386400 3863170 ) M3M4_PR_M
NEW met1 ( 3373200 4363225 ) M1M2_PR
NEW met1 ( 3377040 4363225 ) M1M2_PR
NEW met3 ( 3386400 3822470 ) M3M4_PR_M
NEW met3 ( 3389280 3822470 ) M3M4_PR_M
NEW met3 ( 3389280 3775110 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[42\] ( padframe mprj_io_dm[42] ) ( gpio_control_in\[14\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3375840 4660150 0 ) ( 3375840 4660890 )
NEW met3 ( 3375840 4788170 ) ( 3377040 4788170 )
NEW met2 ( 3377040 4788170 ) ( 3377040 4790390 0 )
NEW met4 ( 3375840 4660890 ) ( 3375840 4788170 )
NEW met3 ( 3375840 4660890 ) M3M4_PR_M
NEW met3 ( 3375840 4788170 ) M3M4_PR_M
NEW met2 ( 3377040 4788170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[43\] ( padframe mprj_io_dm[43] ) ( gpio_control_in\[14\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3374880 4663110 0 ) ( 3374880 4663850 )
NEW met3 ( 3374880 4780030 ) ( 3377520 4780030 )
NEW met2 ( 3377520 4780030 ) ( 3377520 4781140 0 )
NEW met4 ( 3374880 4663850 ) ( 3374880 4780030 )
NEW met3 ( 3374880 4663850 ) M3M4_PR_M
NEW met3 ( 3374880 4780030 ) M3M4_PR_M
NEW met2 ( 3377520 4780030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[44\] ( padframe mprj_io_dm[44] ) ( gpio_control_in\[14\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3370080 4666810 ) ( 3373920 4666810 )
NEW met3 ( 3373920 4665330 0 ) ( 3373920 4666810 )
NEW met3 ( 3370080 4811110 ) ( 3377040 4811110 )
NEW met2 ( 3377040 4811110 ) ( 3377040 4811995 0 )
NEW met4 ( 3370080 4666810 ) ( 3370080 4811110 )
NEW met3 ( 3370080 4666810 ) M3M4_PR_M
NEW met3 ( 3370080 4811110 ) M3M4_PR_M
NEW met2 ( 3377040 4811110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[45\] ( padframe mprj_io_dm[45] ) ( gpio_control_in\[15\] pad_gpio_dm[0] )
+ ROUTED met2 ( 2853840 4969655 ) ( 2853840 5014425 )
NEW met2 ( 3176400 4969655 ) ( 3176400 4977610 )
NEW met2 ( 3176400 4977610 ) ( 3177600 4977610 0 )
NEW met1 ( 2853840 4969655 ) ( 3176400 4969655 )
NEW met2 ( 2768880 5014425 ) ( 2768880 5014610 )
NEW met3 ( 2768160 5014610 0 ) ( 2768880 5014610 )
NEW met1 ( 2768880 5014425 ) ( 2853840 5014425 )
NEW met1 ( 2853840 5014425 ) M1M2_PR
NEW met1 ( 2853840 4969655 ) M1M2_PR
NEW met1 ( 3176400 4969655 ) M1M2_PR
NEW met1 ( 2768880 5014425 ) M1M2_PR
NEW met2 ( 2768880 5014610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[46\] ( padframe mprj_io_dm[46] ) ( gpio_control_in\[15\] pad_gpio_dm[1] )
+ ROUTED met2 ( 2865360 4968545 ) ( 2865360 5014795 )
NEW met2 ( 3186960 4968545 ) ( 3186960 4977610 0 )
NEW met1 ( 2865360 4968545 ) ( 3186960 4968545 )
NEW met2 ( 2771760 5014610 ) ( 2771760 5014795 )
NEW met3 ( 2771040 5014610 0 ) ( 2771760 5014610 )
NEW met1 ( 2771760 5014795 ) ( 2865360 5014795 )
NEW met1 ( 2865360 5014795 ) M1M2_PR
NEW met1 ( 2865360 4968545 ) M1M2_PR
NEW met1 ( 3186960 4968545 ) M1M2_PR
NEW met1 ( 2771760 5014795 ) M1M2_PR
NEW met2 ( 2771760 5014610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[47\] ( padframe mprj_io_dm[47] ) ( gpio_control_in\[15\] pad_gpio_dm[2] )
+ ROUTED met2 ( 2856240 4988525 ) ( 2856240 5013685 )
NEW met2 ( 3053040 4988525 ) ( 3053040 4998515 )
NEW met1 ( 2856240 4988525 ) ( 3053040 4988525 )
NEW met2 ( 3154800 4977610 ) ( 3156000 4977610 0 )
NEW met2 ( 3154800 4977425 ) ( 3154800 4977610 )
NEW met1 ( 3127920 4977425 ) ( 3154800 4977425 )
NEW met2 ( 3127920 4977425 ) ( 3127920 4998515 )
NEW met1 ( 3053040 4998515 ) ( 3127920 4998515 )
NEW met2 ( 2774640 5013685 ) ( 2774640 5013870 )
NEW met3 ( 2773440 5013870 0 ) ( 2774640 5013870 )
NEW met1 ( 2774640 5013685 ) ( 2856240 5013685 )
NEW met1 ( 2856240 5013685 ) M1M2_PR
NEW met1 ( 2856240 4988525 ) M1M2_PR
NEW met1 ( 3053040 4988525 ) M1M2_PR
NEW met1 ( 3053040 4998515 ) M1M2_PR
NEW met1 ( 3154800 4977425 ) M1M2_PR
NEW met1 ( 3127920 4977425 ) M1M2_PR
NEW met1 ( 3127920 4998515 ) M1M2_PR
NEW met1 ( 2774640 5013685 ) M1M2_PR
NEW met2 ( 2774640 5013870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[48\] ( padframe mprj_io_dm[48] ) ( gpio_control_in\[16\] pad_gpio_dm[0] )
+ ROUTED met3 ( 2640480 4977610 ) ( 2640480 4978350 )
NEW met3 ( 2598480 4977610 ) ( 2640480 4977610 )
NEW met2 ( 2598480 4977610 ) ( 2598480 5016275 )
NEW met1 ( 2512080 5015905 ) ( 2512080 5016275 )
NEW met2 ( 2512080 5015905 ) ( 2512080 5016090 )
NEW met3 ( 2510880 5016090 0 ) ( 2512080 5016090 )
NEW met1 ( 2512080 5016275 ) ( 2598480 5016275 )
NEW met2 ( 2667120 4978350 ) ( 2668560 4978350 0 )
NEW met3 ( 2640480 4978350 ) ( 2667120 4978350 )
NEW met2 ( 2598480 4977610 ) via2_FR
NEW met1 ( 2598480 5016275 ) M1M2_PR
NEW met1 ( 2512080 5015905 ) M1M2_PR
NEW met2 ( 2512080 5016090 ) via2_FR
NEW met2 ( 2667120 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[49\] ( padframe mprj_io_dm[49] ) ( gpio_control_in\[16\] pad_gpio_dm[1] )
+ ROUTED met4 ( 2641440 4977610 ) ( 2641440 4980570 )
NEW met3 ( 2599440 4980570 ) ( 2641440 4980570 )
NEW met2 ( 2599440 4980570 ) ( 2599440 5015165 )
NEW met2 ( 2514960 5015165 ) ( 2514960 5015350 )
NEW met3 ( 2513760 5015350 0 ) ( 2514960 5015350 )
NEW met1 ( 2514960 5015165 ) ( 2599440 5015165 )
NEW met2 ( 2676720 4977610 ) ( 2677920 4977610 0 )
NEW met3 ( 2641440 4977610 ) ( 2676720 4977610 )
NEW met3 ( 2641440 4977610 ) M3M4_PR_M
NEW met3 ( 2641440 4980570 ) M3M4_PR_M
NEW met2 ( 2599440 4980570 ) via2_FR
NEW met1 ( 2599440 5015165 ) M1M2_PR
NEW met1 ( 2514960 5015165 ) M1M2_PR
NEW met2 ( 2514960 5015350 ) via2_FR
NEW met2 ( 2676720 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[4\] ( padframe mprj_io_dm[4] ) ( gpio_control_bidir\[1\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3372960 751470 ) ( 3377520 751470 )
NEW met2 ( 3377520 749250 0 ) ( 3377520 751470 )
NEW met3 ( 3372960 854330 ) ( 3373920 854330 )
NEW met3 ( 3373920 854330 ) ( 3373920 855810 0 )
NEW met4 ( 3372960 751470 ) ( 3372960 854330 )
NEW met3 ( 3372960 751470 ) M3M4_PR_M
NEW met2 ( 3377520 751470 ) via2_FR
NEW met3 ( 3372960 854330 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[50\] ( padframe mprj_io_dm[50] ) ( gpio_control_in\[16\] pad_gpio_dm[2] )
+ ROUTED met2 ( 2645040 4977610 ) ( 2646960 4977610 0 )
NEW met2 ( 2645040 4977610 ) ( 2645040 4977795 )
NEW met1 ( 2619120 4977795 ) ( 2645040 4977795 )
NEW met2 ( 2619120 4977795 ) ( 2619120 4998330 )
NEW met3 ( 2560560 4998330 ) ( 2619120 4998330 )
NEW met2 ( 2560560 4998145 ) ( 2560560 4998330 )
NEW met1 ( 2560560 4997775 ) ( 2560560 4998145 )
NEW met2 ( 2518320 4997590 ) ( 2518320 4997775 )
NEW met3 ( 2516640 4997590 0 ) ( 2518320 4997590 )
NEW met1 ( 2518320 4997775 ) ( 2560560 4997775 )
NEW met1 ( 2645040 4977795 ) M1M2_PR
NEW met1 ( 2619120 4977795 ) M1M2_PR
NEW met2 ( 2619120 4998330 ) via2_FR
NEW met2 ( 2560560 4998330 ) via2_FR
NEW met1 ( 2560560 4998145 ) M1M2_PR
NEW met1 ( 2518320 4997775 ) M1M2_PR
NEW met2 ( 2518320 4997590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[51\] ( padframe mprj_io_dm[51] ) ( gpio_control_in\[17\] pad_gpio_dm[0] )
+ ROUTED met2 ( 2331600 4996295 ) ( 2331600 5015535 )
NEW met2 ( 2410320 4978350 ) ( 2411760 4978350 0 )
NEW met3 ( 2360400 4978350 ) ( 2410320 4978350 )
NEW met2 ( 2360400 4978350 ) ( 2360400 4996295 )
NEW met1 ( 2331600 4996295 ) ( 2360400 4996295 )
NEW met2 ( 2127120 5015350 ) ( 2127120 5015535 )
NEW met3 ( 2125920 5015350 0 ) ( 2127120 5015350 )
NEW met1 ( 2127120 5015535 ) ( 2331600 5015535 )
NEW met1 ( 2331600 5015535 ) M1M2_PR
NEW met1 ( 2331600 4996295 ) M1M2_PR
NEW met2 ( 2410320 4978350 ) via2_FR
NEW met2 ( 2360400 4978350 ) via2_FR
NEW met1 ( 2360400 4996295 ) M1M2_PR
NEW met1 ( 2127120 5015535 ) M1M2_PR
NEW met2 ( 2127120 5015350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[52\] ( padframe mprj_io_dm[52] ) ( gpio_control_in\[17\] pad_gpio_dm[1] )
+ ROUTED met2 ( 2327760 4994815 ) ( 2327760 5014795 )
NEW met2 ( 2419440 4979090 ) ( 2420880 4979090 0 )
NEW met2 ( 2419440 4978905 ) ( 2419440 4979090 )
NEW met1 ( 2419440 4978905 ) ( 2419440 4979645 )
NEW met1 ( 2359920 4979645 ) ( 2419440 4979645 )
NEW met2 ( 2359920 4979645 ) ( 2359920 4994815 )
NEW met1 ( 2327760 4994815 ) ( 2359920 4994815 )
NEW met2 ( 2196240 5014055 ) ( 2196240 5014795 )
NEW met1 ( 2195280 5014055 ) ( 2196240 5014055 )
NEW met1 ( 2195280 5014055 ) ( 2195280 5014795 )
NEW met1 ( 2130480 5014795 ) ( 2195280 5014795 )
NEW met2 ( 2130480 5014610 ) ( 2130480 5014795 )
NEW met3 ( 2128800 5014610 0 ) ( 2130480 5014610 )
NEW met1 ( 2196240 5014795 ) ( 2327760 5014795 )
NEW met1 ( 2327760 5014795 ) M1M2_PR
NEW met1 ( 2327760 4994815 ) M1M2_PR
NEW met1 ( 2419440 4978905 ) M1M2_PR
NEW met1 ( 2359920 4979645 ) M1M2_PR
NEW met1 ( 2359920 4994815 ) M1M2_PR
NEW met1 ( 2196240 5014795 ) M1M2_PR
NEW met1 ( 2196240 5014055 ) M1M2_PR
NEW met1 ( 2130480 5014795 ) M1M2_PR
NEW met2 ( 2130480 5014610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[53\] ( padframe mprj_io_dm[53] ) ( gpio_control_in\[17\] pad_gpio_dm[2] )
+ ROUTED met2 ( 2330640 4976870 ) ( 2330640 5030150 )
NEW met2 ( 2176080 5012575 ) ( 2176080 5030150 )
NEW met3 ( 2176080 5030150 ) ( 2330640 5030150 )
NEW met2 ( 2388720 4976870 ) ( 2388720 4977610 )
NEW met2 ( 2388720 4977610 ) ( 2389920 4977610 0 )
NEW met3 ( 2330640 4976870 ) ( 2388720 4976870 )
NEW met2 ( 2133360 5012390 ) ( 2133360 5012575 )
NEW met3 ( 2131680 5012390 0 ) ( 2133360 5012390 )
NEW met1 ( 2133360 5012575 ) ( 2176080 5012575 )
NEW met2 ( 2330640 5030150 ) via2_FR
NEW met2 ( 2330640 4976870 ) via2_FR
NEW met1 ( 2176080 5012575 ) M1M2_PR
NEW met2 ( 2176080 5030150 ) via2_FR
NEW met2 ( 2388720 4976870 ) via2_FR
NEW met1 ( 2133360 5012575 ) M1M2_PR
NEW met2 ( 2133360 5012390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[54\] ( padframe mprj_io_dm[54] ) ( gpio_control_in\[18\] pad_gpio_dm[0] )
+ ROUTED met2 ( 1862640 4968545 ) ( 1862640 5013315 )
NEW met2 ( 1965360 4968545 ) ( 1965360 4977610 )
NEW met2 ( 1965360 4977610 ) ( 1966560 4977610 0 )
NEW met1 ( 1862640 4968545 ) ( 1965360 4968545 )
NEW met2 ( 1790160 5013130 ) ( 1790160 5013315 )
NEW met3 ( 1788960 5013130 0 ) ( 1790160 5013130 )
NEW met1 ( 1790160 5013315 ) ( 1862640 5013315 )
NEW met1 ( 1862640 5013315 ) M1M2_PR
NEW met1 ( 1862640 4968545 ) M1M2_PR
NEW met1 ( 1965360 4968545 ) M1M2_PR
NEW met1 ( 1790160 5013315 ) M1M2_PR
NEW met2 ( 1790160 5013130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[55\] ( padframe mprj_io_dm[55] ) ( gpio_control_in\[18\] pad_gpio_dm[1] )
+ ROUTED met2 ( 1866960 4972430 ) ( 1866960 5013685 )
NEW met2 ( 1975920 4972430 ) ( 1975920 4977610 0 )
NEW met3 ( 1866960 4972430 ) ( 1975920 4972430 )
NEW met2 ( 1793040 5013685 ) ( 1793040 5013870 )
NEW met3 ( 1791840 5013870 0 ) ( 1793040 5013870 )
NEW met1 ( 1793040 5013685 ) ( 1866960 5013685 )
NEW met1 ( 1866960 5013685 ) M1M2_PR
NEW met2 ( 1866960 4972430 ) via2_FR
NEW met2 ( 1975920 4972430 ) via2_FR
NEW met1 ( 1793040 5013685 ) M1M2_PR
NEW met2 ( 1793040 5013870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[56\] ( padframe mprj_io_dm[56] ) ( gpio_control_in\[18\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1944240 4977610 ) ( 1944960 4977610 0 )
NEW met2 ( 1944240 4977610 ) ( 1944240 4977795 )
NEW met1 ( 1915920 4977795 ) ( 1944240 4977795 )
NEW met2 ( 1915920 4977795 ) ( 1915920 5004805 )
NEW met2 ( 1829040 5004805 ) ( 1829040 5011465 )
NEW met1 ( 1795440 5011465 ) ( 1829040 5011465 )
NEW met2 ( 1795440 5011465 ) ( 1795440 5011650 )
NEW met3 ( 1794720 5011650 0 ) ( 1795440 5011650 )
NEW met1 ( 1829040 5004805 ) ( 1915920 5004805 )
NEW met1 ( 1944240 4977795 ) M1M2_PR
NEW met1 ( 1915920 4977795 ) M1M2_PR
NEW met1 ( 1915920 5004805 ) M1M2_PR
NEW met1 ( 1829040 5004805 ) M1M2_PR
NEW met1 ( 1829040 5011465 ) M1M2_PR
NEW met1 ( 1795440 5011465 ) M1M2_PR
NEW met2 ( 1795440 5011650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[57\] ( padframe mprj_io_dm[57] ) ( gpio_control_in\[19\] pad_gpio_dm[0] )
+ ROUTED met2 ( 1457520 4976130 ) ( 1457520 4977610 0 )
NEW met3 ( 1457520 4976130 ) ( 1536960 4976130 )
NEW met3 ( 1536960 4976130 ) ( 1536960 4979090 0 )
NEW met2 ( 1457520 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[58\] ( padframe mprj_io_dm[58] ) ( gpio_control_in\[19\] pad_gpio_dm[1] )
+ ROUTED met2 ( 1466880 4977610 0 ) ( 1468560 4977610 )
NEW met2 ( 1468560 4977425 ) ( 1468560 4977610 )
NEW met1 ( 1468560 4977425 ) ( 1538160 4977425 )
NEW met2 ( 1538160 4977425 ) ( 1538160 4979090 )
NEW met3 ( 1538160 4979090 ) ( 1539840 4979090 0 )
NEW met1 ( 1468560 4977425 ) M1M2_PR
NEW met1 ( 1538160 4977425 ) M1M2_PR
NEW met2 ( 1538160 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[59\] ( padframe mprj_io_dm[59] ) ( gpio_control_in\[19\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1435920 4977610 0 ) ( 1437360 4977610 )
NEW met2 ( 1541520 5013685 ) ( 1541520 5013870 )
NEW met3 ( 1541520 5013870 ) ( 1542240 5013870 0 )
NEW met2 ( 1496400 4977610 ) ( 1496400 5013685 )
NEW met3 ( 1437360 4977610 ) ( 1496400 4977610 )
NEW met1 ( 1496400 5013685 ) ( 1541520 5013685 )
NEW met2 ( 1437360 4977610 ) via2_FR
NEW met1 ( 1541520 5013685 ) M1M2_PR
NEW met2 ( 1541520 5013870 ) via2_FR
NEW met2 ( 1496400 4977610 ) via2_FR
NEW met1 ( 1496400 5013685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[5\] ( padframe mprj_io_dm[5] ) ( gpio_control_bidir\[1\] pad_gpio_dm[2] )
+ ROUTED met2 ( 3375120 779960 ) ( 3377040 779960 0 )
NEW met3 ( 3374880 857290 ) ( 3375120 857290 )
NEW met3 ( 3374880 857290 ) ( 3374880 858770 0 )
NEW met2 ( 3375120 779960 ) ( 3375120 857290 )
NEW met2 ( 3375120 857290 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[60\] ( padframe mprj_io_dm[60] ) ( gpio_control_in\[20\] pad_gpio_dm[0] )
+ ROUTED met2 ( 1199760 4977610 0 ) ( 1201200 4977610 )
NEW met2 ( 1201200 4976870 ) ( 1201200 4977610 )
NEW met3 ( 1279200 4976870 ) ( 1279200 4979090 0 )
NEW met3 ( 1201200 4976870 ) ( 1279200 4976870 )
NEW met2 ( 1201200 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[61\] ( padframe mprj_io_dm[61] ) ( gpio_control_in\[20\] pad_gpio_dm[1] )
+ ROUTED met2 ( 1208880 4976130 ) ( 1208880 4977610 0 )
NEW met2 ( 1280880 4976130 ) ( 1280880 4979090 )
NEW met3 ( 1280880 4979090 ) ( 1282080 4979090 0 )
NEW met3 ( 1208880 4976130 ) ( 1280880 4976130 )
NEW met2 ( 1208880 4976130 ) via2_FR
NEW met2 ( 1280880 4976130 ) via2_FR
NEW met2 ( 1280880 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[62\] ( padframe mprj_io_dm[62] ) ( gpio_control_in\[20\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1238160 4973170 ) ( 1238160 4978165 )
NEW met2 ( 1179120 4973170 ) ( 1179120 4977610 )
NEW met2 ( 1177920 4977610 0 ) ( 1179120 4977610 )
NEW met3 ( 1179120 4973170 ) ( 1238160 4973170 )
NEW met2 ( 1279920 4978165 ) ( 1279920 4978350 )
NEW met3 ( 1279920 4978350 ) ( 1284480 4978350 )
NEW met3 ( 1284480 4978350 ) ( 1284480 4979090 0 )
NEW met1 ( 1238160 4978165 ) ( 1279920 4978165 )
NEW met2 ( 1238160 4973170 ) via2_FR
NEW met1 ( 1238160 4978165 ) M1M2_PR
NEW met2 ( 1179120 4973170 ) via2_FR
NEW met1 ( 1279920 4978165 ) M1M2_PR
NEW met2 ( 1279920 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[63\] ( padframe mprj_io_dm[63] ) ( gpio_control_in\[21\] pad_gpio_dm[0] )
+ ROUTED met2 ( 942720 4979090 0 ) ( 944400 4979090 )
NEW met2 ( 944400 4978905 ) ( 944400 4979090 )
NEW met1 ( 944400 4978905 ) ( 944400 4979275 )
NEW met1 ( 944400 4979275 ) ( 1020240 4979275 )
NEW met2 ( 1020240 4979275 ) ( 1020240 4979830 )
NEW met3 ( 1020240 4979830 ) ( 1021920 4979830 0 )
NEW met1 ( 944400 4978905 ) M1M2_PR
NEW met1 ( 1020240 4979275 ) M1M2_PR
NEW met2 ( 1020240 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[64\] ( padframe mprj_io_dm[64] ) ( gpio_control_in\[21\] pad_gpio_dm[1] )
+ ROUTED met2 ( 951840 4979090 0 ) ( 953040 4979090 )
NEW met2 ( 953040 4978905 ) ( 953040 4979090 )
NEW met1 ( 953040 4978905 ) ( 1023600 4978905 )
NEW met2 ( 1023600 4978905 ) ( 1023600 4979090 )
NEW met3 ( 1023600 4979090 ) ( 1024800 4979090 0 )
NEW met1 ( 953040 4978905 ) M1M2_PR
NEW met1 ( 1023600 4978905 ) M1M2_PR
NEW met2 ( 1023600 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[65\] ( padframe mprj_io_dm[65] ) ( gpio_control_in\[21\] pad_gpio_dm[2] )
+ ROUTED met2 ( 920880 4976315 ) ( 920880 4977610 0 )
NEW met2 ( 978960 4976315 ) ( 978960 4982605 )
NEW met1 ( 978960 4982605 ) ( 1026000 4982605 )
NEW met2 ( 1026000 4982605 ) ( 1026000 4982790 )
NEW met3 ( 1026000 4982790 ) ( 1027680 4982790 0 )
NEW met1 ( 920880 4976315 ) ( 978960 4976315 )
NEW met1 ( 920880 4976315 ) M1M2_PR
NEW met1 ( 978960 4976315 ) M1M2_PR
NEW met1 ( 978960 4982605 ) M1M2_PR
NEW met1 ( 1026000 4982605 ) M1M2_PR
NEW met2 ( 1026000 4982790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[66\] ( padframe mprj_io_dm[66] ) ( gpio_control_in\[22\] pad_gpio_dm[0] )
+ ROUTED met2 ( 763440 5012390 ) ( 763440 5012575 )
NEW met3 ( 763440 5012390 ) ( 765120 5012390 0 )
NEW met2 ( 685680 4978350 0 ) ( 687120 4978350 )
NEW met2 ( 687120 4978165 ) ( 687120 4978350 )
NEW met1 ( 687120 4978165 ) ( 718320 4978165 )
NEW met2 ( 718320 4978165 ) ( 718320 4997590 )
NEW met2 ( 718320 4997590 ) ( 718800 4997590 )
NEW met2 ( 718800 4997590 ) ( 718800 5012575 )
NEW met1 ( 718800 5012575 ) ( 763440 5012575 )
NEW met1 ( 763440 5012575 ) M1M2_PR
NEW met2 ( 763440 5012390 ) via2_FR
NEW met1 ( 687120 4978165 ) M1M2_PR
NEW met1 ( 718320 4978165 ) M1M2_PR
NEW met1 ( 718800 5012575 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[67\] ( padframe mprj_io_dm[67] ) ( gpio_control_in\[22\] pad_gpio_dm[1] )
+ ROUTED met2 ( 766800 5012945 ) ( 766800 5013130 )
NEW met3 ( 766800 5013130 ) ( 767520 5013130 0 )
NEW met2 ( 694800 4979090 0 ) ( 696720 4979090 )
NEW met2 ( 696720 4978905 ) ( 696720 4979090 )
NEW met1 ( 696720 4978905 ) ( 696720 4979275 )
NEW met1 ( 696720 4979275 ) ( 719760 4979275 )
NEW met2 ( 719760 4979275 ) ( 719760 5012945 )
NEW met1 ( 719760 5012945 ) ( 766800 5012945 )
NEW met1 ( 766800 5012945 ) M1M2_PR
NEW met2 ( 766800 5013130 ) via2_FR
NEW met1 ( 696720 4978905 ) M1M2_PR
NEW met1 ( 719760 4979275 ) M1M2_PR
NEW met1 ( 719760 5012945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[68\] ( padframe mprj_io_dm[68] ) ( gpio_control_in\[22\] pad_gpio_dm[2] )
+ ROUTED met2 ( 748560 4972430 ) ( 748560 4976870 )
NEW met3 ( 748560 4976870 ) ( 770400 4976870 )
NEW met3 ( 770400 4976870 ) ( 770400 4979090 0 )
NEW met2 ( 664080 4972430 ) ( 664080 4977610 0 )
NEW met3 ( 664080 4972430 ) ( 748560 4972430 )
NEW met2 ( 748560 4972430 ) via2_FR
NEW met2 ( 748560 4976870 ) via2_FR
NEW met2 ( 664080 4972430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[69\] ( padframe mprj_io_dm[69] ) ( gpio_control_in\[23\] pad_gpio_dm[0] )
+ ROUTED met2 ( 428640 4979090 0 ) ( 429840 4979090 )
NEW met2 ( 429840 4978905 ) ( 429840 4979090 )
NEW met1 ( 429840 4978905 ) ( 429840 4979275 )
NEW met2 ( 506160 4979090 ) ( 506160 4979275 )
NEW met3 ( 506160 4979090 ) ( 508320 4979090 0 )
NEW met1 ( 429840 4979275 ) ( 506160 4979275 )
NEW met1 ( 429840 4978905 ) M1M2_PR
NEW met1 ( 506160 4979275 ) M1M2_PR
NEW met2 ( 506160 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[6\] ( padframe mprj_io_dm[6] ) ( gpio_control_in\[2\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3372720 985125 ) ( 3377520 985125 )
NEW met2 ( 3377520 983460 0 ) ( 3377520 985125 )
NEW met3 ( 3372720 1077070 ) ( 3373920 1077070 )
NEW met3 ( 3373920 1077070 ) ( 3373920 1077810 0 )
NEW met2 ( 3372720 985125 ) ( 3372720 1077070 )
NEW met1 ( 3372720 985125 ) M1M2_PR
NEW met1 ( 3377520 985125 ) M1M2_PR
NEW met2 ( 3372720 1077070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[70\] ( padframe mprj_io_dm[70] ) ( gpio_control_in\[23\] pad_gpio_dm[1] )
+ ROUTED met2 ( 437760 4977610 0 ) ( 438960 4977610 )
NEW met2 ( 438960 4976130 ) ( 438960 4977610 )
NEW met3 ( 438960 4976130 ) ( 510720 4976130 )
NEW met3 ( 510720 4976130 ) ( 510720 4979090 0 )
NEW met2 ( 438960 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[71\] ( padframe mprj_io_dm[71] ) ( gpio_control_in\[23\] pad_gpio_dm[2] )
+ ROUTED met2 ( 407040 4978350 0 ) ( 408240 4978350 )
NEW met2 ( 474960 4978350 ) ( 474960 4978535 )
NEW met1 ( 474960 4978535 ) ( 512400 4978535 )
NEW met2 ( 512400 4978535 ) ( 512400 4979090 )
NEW met3 ( 512400 4979090 ) ( 513600 4979090 0 )
NEW met3 ( 408240 4978350 ) ( 474960 4978350 )
NEW met2 ( 408240 4978350 ) via2_FR
NEW met2 ( 474960 4978350 ) via2_FR
NEW met1 ( 474960 4978535 ) M1M2_PR
NEW met1 ( 512400 4978535 ) M1M2_PR
NEW met2 ( 512400 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[72\] ( padframe mprj_io_dm[72] ) ( gpio_control_in\[24\] pad_gpio_dm[0] )
+ ROUTED met3 ( 204960 4678280 0 ) ( 204960 4679390 )
NEW met3 ( 204960 4817030 ) ( 209040 4817030 )
NEW met2 ( 209040 4817030 ) ( 209040 4818510 0 )
NEW met4 ( 204960 4679390 ) ( 204960 4817030 )
NEW met3 ( 204960 4679390 ) M3M4_PR_M
NEW met3 ( 204960 4817030 ) M3M4_PR_M
NEW met2 ( 209040 4817030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[73\] ( padframe mprj_io_dm[73] ) ( gpio_control_in\[24\] pad_gpio_dm[1] )
+ ROUTED met3 ( 204000 4680870 0 ) ( 204000 4682350 )
NEW met3 ( 204000 4825170 ) ( 209040 4825170 )
NEW met2 ( 209040 4825170 ) ( 209040 4827760 0 )
NEW met4 ( 204000 4682350 ) ( 204000 4825170 )
NEW met3 ( 204000 4682350 ) M3M4_PR_M
NEW met3 ( 204000 4825170 ) M3M4_PR_M
NEW met2 ( 209040 4825170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[74\] ( padframe mprj_io_dm[74] ) ( gpio_control_in\[24\] pad_gpio_dm[2] )
+ ROUTED met3 ( 209760 4683830 0 ) ( 209760 4685310 )
NEW met3 ( 209520 4795570 ) ( 209760 4795570 )
NEW met2 ( 209520 4795570 ) ( 209520 4797050 0 )
NEW met4 ( 209760 4685310 ) ( 209760 4795570 )
NEW met3 ( 209760 4685310 ) M3M4_PR_M
NEW met3 ( 209760 4795570 ) M3M4_PR_M
NEW met2 ( 209520 4795570 ) via2_FR
NEW met3 ( 209760 4795570 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[75\] ( padframe mprj_io_dm[75] ) ( gpio_control_in\[25\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210480 3969730 0 ) ( 210480 3971210 )
NEW met3 ( 210480 3971210 ) ( 210720 3971210 )
NEW met4 ( 210720 3971210 ) ( 210720 4001550 )
NEW met3 ( 210720 4001550 ) ( 210960 4001550 )
NEW met2 ( 210960 4001550 ) ( 210960 4047430 )
NEW met3 ( 210720 4047430 ) ( 210960 4047430 )
NEW met3 ( 210720 4047430 ) ( 210720 4048910 0 )
NEW met2 ( 210480 3971210 ) via2_FR
NEW met3 ( 210720 3971210 ) M3M4_PR_M
NEW met3 ( 210720 4001550 ) M3M4_PR_M
NEW met2 ( 210960 4001550 ) via2_FR
NEW met2 ( 210960 4047430 ) via2_FR
NEW met3 ( 210480 3971210 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 4001550 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[76\] ( padframe mprj_io_dm[76] ) ( gpio_control_in\[25\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 3978825 0 ) ( 210960 3981385 )
NEW met1 ( 210960 3981385 ) ( 213840 3981385 )
NEW met2 ( 213840 3981385 ) ( 213840 3990265 )
NEW met1 ( 213840 3990265 ) ( 214800 3990265 )
NEW met1 ( 214800 3990265 ) ( 214800 3991745 )
NEW met2 ( 214800 3991745 ) ( 214800 4051870 )
NEW met3 ( 212640 4051870 0 ) ( 214800 4051870 )
NEW met1 ( 210960 3981385 ) M1M2_PR
NEW met1 ( 213840 3981385 ) M1M2_PR
NEW met1 ( 213840 3990265 ) M1M2_PR
NEW met1 ( 214800 3991745 ) M1M2_PR
NEW met2 ( 214800 4051870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[77\] ( padframe mprj_io_dm[77] ) ( gpio_control_in\[25\] pad_gpio_dm[2] )
+ ROUTED met3 ( 207600 4003770 ) ( 214560 4003770 )
NEW met2 ( 207600 4003770 ) ( 207600 4052610 )
NEW met3 ( 207600 4052610 ) ( 207840 4052610 )
NEW met3 ( 207840 4052610 ) ( 207840 4054830 0 )
NEW met2 ( 210960 3947900 0 ) ( 210960 3949565 )
NEW met1 ( 210960 3949565 ) ( 214320 3949565 )
NEW met2 ( 214320 3949565 ) ( 214320 3959370 )
NEW met3 ( 214320 3959370 ) ( 214560 3959370 )
NEW met4 ( 214560 3959370 ) ( 214560 4003770 )
NEW met3 ( 214560 4003770 ) M3M4_PR_M
NEW met2 ( 207600 4003770 ) via2_FR
NEW met2 ( 207600 4052610 ) via2_FR
NEW met1 ( 210960 3949565 ) M1M2_PR
NEW met1 ( 214320 3949565 ) M1M2_PR
NEW met2 ( 214320 3959370 ) via2_FR
NEW met3 ( 214560 3959370 ) M3M4_PR_M
NEW met3 ( 214320 3959370 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[78\] ( padframe mprj_io_dm[78] ) ( gpio_control_in\[26\] pad_gpio_dm[0] )
+ ROUTED met1 ( 214800 3758645 ) ( 214800 3759015 )
NEW met1 ( 214800 3759015 ) ( 215760 3759015 )
NEW met2 ( 215760 3759015 ) ( 215760 3764750 )
NEW met2 ( 215760 3764750 ) ( 216240 3764750 )
NEW met2 ( 216240 3764750 ) ( 216240 3765490 )
NEW met2 ( 216240 3765490 ) ( 216720 3765490 )
NEW met2 ( 216720 3765490 ) ( 216720 3784915 )
NEW met1 ( 210480 3784915 ) ( 216720 3784915 )
NEW met2 ( 210480 3784915 ) ( 210480 3831350 )
NEW met3 ( 210480 3831350 ) ( 210720 3831350 )
NEW met3 ( 210720 3831350 ) ( 210720 3832830 0 )
NEW met2 ( 210480 3753650 0 ) ( 210480 3755685 )
NEW met1 ( 210480 3755685 ) ( 214800 3755685 )
NEW met2 ( 214800 3755685 ) ( 214800 3758645 )
NEW met1 ( 214800 3758645 ) M1M2_PR
NEW met1 ( 215760 3759015 ) M1M2_PR
NEW met1 ( 216720 3784915 ) M1M2_PR
NEW met1 ( 210480 3784915 ) M1M2_PR
NEW met2 ( 210480 3831350 ) via2_FR
NEW met1 ( 210480 3755685 ) M1M2_PR
NEW met1 ( 214800 3755685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[79\] ( padframe mprj_io_dm[79] ) ( gpio_control_in\[26\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210480 3762900 0 ) ( 210480 3765305 )
NEW met1 ( 210480 3765305 ) ( 215760 3765305 )
NEW met2 ( 215760 3765305 ) ( 215760 3788430 )
NEW met2 ( 215760 3788430 ) ( 216720 3788430 )
NEW met2 ( 216720 3788430 ) ( 216720 3790650 )
NEW met2 ( 215760 3790650 ) ( 216720 3790650 )
NEW met2 ( 215760 3790650 ) ( 215760 3835790 )
NEW met3 ( 212640 3835790 0 ) ( 215760 3835790 )
NEW met1 ( 210480 3765305 ) M1M2_PR
NEW met1 ( 215760 3765305 ) M1M2_PR
NEW met2 ( 215760 3835790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[7\] ( padframe mprj_io_dm[7] ) ( gpio_control_in\[2\] pad_gpio_dm[1] )
+ ROUTED met2 ( 3378960 974210 0 ) ( 3378960 976430 )
NEW met3 ( 3378960 976430 ) ( 3382560 976430 )
NEW met3 ( 3382560 1051170 ) ( 3384720 1051170 )
NEW met2 ( 3384720 1051170 ) ( 3384720 1080030 )
NEW met3 ( 3384480 1080030 ) ( 3384720 1080030 )
NEW met3 ( 3384480 1080030 ) ( 3384480 1080770 0 )
NEW met4 ( 3382560 976430 ) ( 3382560 1051170 )
NEW met2 ( 3378960 976430 ) via2_FR
NEW met3 ( 3382560 976430 ) M3M4_PR_M
NEW met3 ( 3382560 1051170 ) M3M4_PR_M
NEW met2 ( 3384720 1051170 ) via2_FR
NEW met2 ( 3384720 1080030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[80\] ( padframe mprj_io_dm[80] ) ( gpio_control_in\[26\] pad_gpio_dm[2] )
+ ROUTED met1 ( 208080 3786765 ) ( 217680 3786765 )
NEW met2 ( 208080 3786765 ) ( 208080 3837270 )
NEW met3 ( 207840 3837270 ) ( 208080 3837270 )
NEW met3 ( 207840 3837270 ) ( 207840 3838750 0 )
NEW met2 ( 210960 3732005 0 ) ( 210960 3734595 )
NEW met1 ( 210960 3734595 ) ( 210960 3734965 )
NEW met1 ( 210960 3734965 ) ( 215280 3734965 )
NEW met2 ( 215280 3734965 ) ( 215280 3751245 )
NEW met1 ( 215280 3751245 ) ( 217680 3751245 )
NEW met2 ( 217680 3751245 ) ( 217680 3786765 )
NEW met1 ( 217680 3786765 ) M1M2_PR
NEW met1 ( 208080 3786765 ) M1M2_PR
NEW met2 ( 208080 3837270 ) via2_FR
NEW met1 ( 210960 3734595 ) M1M2_PR
NEW met1 ( 215280 3734965 ) M1M2_PR
NEW met1 ( 215280 3751245 ) M1M2_PR
NEW met1 ( 217680 3751245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[81\] ( padframe mprj_io_dm[81] ) ( gpio_control_in\[27\] pad_gpio_dm[0] )
+ ROUTED met2 ( 209040 3537570 0 ) ( 209040 3539790 )
NEW met3 ( 205920 3539790 ) ( 209040 3539790 )
NEW met4 ( 205920 3539790 ) ( 205920 3615270 )
NEW met3 ( 205920 3615270 ) ( 205920 3617120 0 )
NEW met2 ( 209040 3539790 ) via2_FR
NEW met3 ( 205920 3539790 ) M3M4_PR_M
NEW met3 ( 205920 3615270 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[82\] ( padframe mprj_io_dm[82] ) ( gpio_control_in\[27\] pad_gpio_dm[1] )
+ ROUTED met1 ( 215760 3556995 ) ( 216240 3556995 )
NEW met1 ( 216240 3556995 ) ( 216240 3558105 )
NEW met2 ( 216240 3558105 ) ( 216240 3569205 )
NEW met1 ( 210000 3569205 ) ( 216240 3569205 )
NEW met2 ( 210000 3569205 ) ( 210000 3618230 )
NEW met3 ( 209760 3618230 ) ( 210000 3618230 )
NEW met3 ( 209760 3618230 ) ( 209760 3619710 0 )
NEW met2 ( 210960 3546820 0 ) ( 210960 3549595 )
NEW met1 ( 210960 3549595 ) ( 215760 3549595 )
NEW met2 ( 215760 3549595 ) ( 215760 3556995 )
NEW met1 ( 215760 3556995 ) M1M2_PR
NEW met1 ( 216240 3558105 ) M1M2_PR
NEW met1 ( 216240 3569205 ) M1M2_PR
NEW met1 ( 210000 3569205 ) M1M2_PR
NEW met2 ( 210000 3618230 ) via2_FR
NEW met1 ( 210960 3549595 ) M1M2_PR
NEW met1 ( 215760 3549595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[83\] ( padframe mprj_io_dm[83] ) ( gpio_control_in\[27\] pad_gpio_dm[2] )
+ ROUTED met3 ( 208800 3569390 ) ( 209040 3569390 )
NEW met2 ( 209040 3569390 ) ( 209040 3621190 )
NEW met3 ( 208800 3621190 ) ( 209040 3621190 )
NEW met3 ( 208800 3621190 ) ( 208800 3622670 0 )
NEW met3 ( 208800 3555330 ) ( 215280 3555330 )
NEW met2 ( 215280 3518515 ) ( 215280 3555330 )
NEW met1 ( 210480 3518515 ) ( 215280 3518515 )
NEW met2 ( 210480 3516110 0 ) ( 210480 3518515 )
NEW met4 ( 208800 3555330 ) ( 208800 3569390 )
NEW met3 ( 208800 3569390 ) M3M4_PR_M
NEW met2 ( 209040 3569390 ) via2_FR
NEW met2 ( 209040 3621190 ) via2_FR
NEW met3 ( 208800 3555330 ) M3M4_PR_M
NEW met2 ( 215280 3555330 ) via2_FR
NEW met1 ( 215280 3518515 ) M1M2_PR
NEW met1 ( 210480 3518515 ) M1M2_PR
NEW met3 ( 208800 3569390 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[84\] ( padframe mprj_io_dm[84] ) ( gpio_control_in\[28\] pad_gpio_dm[0] )
+ ROUTED met3 ( 203040 3399190 ) ( 203280 3399190 )
NEW met3 ( 203040 3399190 ) ( 203040 3401040 0 )
NEW met3 ( 203280 3354790 ) ( 204960 3354790 )
NEW met4 ( 204960 3322970 ) ( 204960 3354790 )
NEW met3 ( 204960 3322970 ) ( 209040 3322970 )
NEW met2 ( 209040 3321490 0 ) ( 209040 3322970 )
NEW met2 ( 203280 3354790 ) ( 203280 3399190 )
NEW met2 ( 203280 3399190 ) via2_FR
NEW met2 ( 203280 3354790 ) via2_FR
NEW met3 ( 204960 3354790 ) M3M4_PR_M
NEW met3 ( 204960 3322970 ) M3M4_PR_M
NEW met2 ( 209040 3322970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[85\] ( padframe mprj_io_dm[85] ) ( gpio_control_in\[28\] pad_gpio_dm[1] )
+ ROUTED met3 ( 212640 3403630 0 ) ( 215280 3403630 )
NEW met2 ( 210960 3330740 0 ) ( 210960 3333515 )
NEW met1 ( 210960 3333515 ) ( 214320 3333515 )
NEW met2 ( 214320 3333515 ) ( 214320 3342395 )
NEW met1 ( 214320 3342395 ) ( 216720 3342395 )
NEW met2 ( 216720 3342395 ) ( 216720 3351275 )
NEW met1 ( 215280 3351275 ) ( 216720 3351275 )
NEW met2 ( 215280 3351275 ) ( 215280 3403630 )
NEW met2 ( 215280 3403630 ) via2_FR
NEW met1 ( 210960 3333515 ) M1M2_PR
NEW met1 ( 214320 3333515 ) M1M2_PR
NEW met1 ( 214320 3342395 ) M1M2_PR
NEW met1 ( 216720 3342395 ) M1M2_PR
NEW met1 ( 216720 3351275 ) M1M2_PR
NEW met1 ( 215280 3351275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[86\] ( padframe mprj_io_dm[86] ) ( gpio_control_in\[28\] pad_gpio_dm[2] )
+ ROUTED met3 ( 202800 3355530 ) ( 203040 3355530 )
NEW met2 ( 202800 3355530 ) ( 202800 3405110 )
NEW met3 ( 202800 3405110 ) ( 203040 3405110 )
NEW met3 ( 203040 3405110 ) ( 203040 3406590 0 )
NEW met3 ( 203040 3301510 ) ( 209040 3301510 )
NEW met2 ( 209040 3300030 0 ) ( 209040 3301510 )
NEW met4 ( 203040 3301510 ) ( 203040 3355530 )
NEW met3 ( 203040 3355530 ) M3M4_PR_M
NEW met2 ( 202800 3355530 ) via2_FR
NEW met2 ( 202800 3405110 ) via2_FR
NEW met3 ( 203040 3301510 ) M3M4_PR_M
NEW met2 ( 209040 3301510 ) via2_FR
NEW met3 ( 203040 3355530 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[87\] ( padframe mprj_io_dm[87] ) ( gpio_control_in\[29\] pad_gpio_dm[0] )
+ ROUTED met3 ( 210480 3183850 ) ( 210720 3183850 )
NEW met3 ( 210720 3183850 ) ( 210720 3185330 0 )
NEW met1 ( 210480 3137045 ) ( 215760 3137045 )
NEW met2 ( 215760 3108185 ) ( 215760 3137045 )
NEW met1 ( 210960 3108185 ) ( 215760 3108185 )
NEW met2 ( 210960 3105625 0 ) ( 210960 3108185 )
NEW met2 ( 210480 3137045 ) ( 210480 3183850 )
NEW met2 ( 210480 3183850 ) via2_FR
NEW met1 ( 210480 3137045 ) M1M2_PR
NEW met1 ( 215760 3137045 ) M1M2_PR
NEW met1 ( 215760 3108185 ) M1M2_PR
NEW met1 ( 210960 3108185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[88\] ( padframe mprj_io_dm[88] ) ( gpio_control_in\[29\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 3114825 0 ) ( 210960 3117250 )
NEW met3 ( 210960 3117250 ) ( 214560 3117250 )
NEW met4 ( 214560 3117250 ) ( 214560 3186070 )
NEW met3 ( 212640 3186070 ) ( 214560 3186070 )
NEW met3 ( 212640 3186070 ) ( 212640 3187550 0 )
NEW met2 ( 210960 3117250 ) via2_FR
NEW met3 ( 214560 3117250 ) M3M4_PR_M
NEW met3 ( 214560 3186070 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[89\] ( padframe mprj_io_dm[89] ) ( gpio_control_in\[29\] pad_gpio_dm[2] )
+ ROUTED met3 ( 212640 3190510 0 ) ( 215760 3190510 )
NEW met2 ( 210960 3083950 0 ) ( 210960 3085985 )
NEW met1 ( 210960 3085985 ) ( 218640 3085985 )
NEW met2 ( 218640 3085985 ) ( 218640 3140005 )
NEW met1 ( 215760 3140005 ) ( 218640 3140005 )
NEW met2 ( 215760 3140005 ) ( 215760 3190510 )
NEW met2 ( 215760 3190510 ) via2_FR
NEW met1 ( 210960 3085985 ) M1M2_PR
NEW met1 ( 218640 3085985 ) M1M2_PR
NEW met1 ( 218640 3140005 ) M1M2_PR
NEW met1 ( 215760 3140005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[8\] ( padframe mprj_io_dm[8] ) ( gpio_control_in\[2\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3371280 1006585 ) ( 3377040 1006585 )
NEW met2 ( 3377040 1004920 0 ) ( 3377040 1006585 )
NEW met3 ( 3371280 1083730 ) ( 3373920 1083730 0 )
NEW met2 ( 3371280 1006585 ) ( 3371280 1083730 )
NEW met1 ( 3371280 1006585 ) M1M2_PR
NEW met1 ( 3377040 1006585 ) M1M2_PR
NEW met2 ( 3371280 1083730 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[90\] ( padframe mprj_io_dm[90] ) ( gpio_control_in\[30\] pad_gpio_dm[0] )
+ ROUTED met3 ( 210720 2967770 ) ( 210960 2967770 )
NEW met3 ( 210720 2967770 ) ( 210720 2969250 0 )
NEW met2 ( 210480 2889700 0 ) ( 210480 2892290 )
NEW met3 ( 210480 2892290 ) ( 214560 2892290 )
NEW met4 ( 214560 2892290 ) ( 214560 2921150 )
NEW met3 ( 210960 2921150 ) ( 214560 2921150 )
NEW met2 ( 210960 2921150 ) ( 210960 2967770 )
NEW met2 ( 210960 2967770 ) via2_FR
NEW met2 ( 210480 2892290 ) via2_FR
NEW met3 ( 214560 2892290 ) M3M4_PR_M
NEW met3 ( 214560 2921150 ) M3M4_PR_M
NEW met2 ( 210960 2921150 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[91\] ( padframe mprj_io_dm[91] ) ( gpio_control_in\[30\] pad_gpio_dm[1] )
+ ROUTED met2 ( 209040 2898950 0 ) ( 209040 2901170 )
NEW met3 ( 206880 2901170 ) ( 209040 2901170 )
NEW met4 ( 206880 2901170 ) ( 206880 2969990 )
NEW met3 ( 206880 2969990 ) ( 206880 2971840 0 )
NEW met2 ( 209040 2901170 ) via2_FR
NEW met3 ( 206880 2901170 ) M3M4_PR_M
NEW met3 ( 206880 2969990 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[92\] ( padframe mprj_io_dm[92] ) ( gpio_control_in\[30\] pad_gpio_dm[2] )
+ ROUTED met3 ( 208560 2972950 ) ( 208800 2972950 )
NEW met3 ( 208800 2972950 ) ( 208800 2974430 0 )
NEW met3 ( 208560 2922630 ) ( 208800 2922630 )
NEW met4 ( 208800 2870090 ) ( 208800 2922630 )
NEW met3 ( 208800 2870090 ) ( 209040 2870090 )
NEW met2 ( 209040 2867870 0 ) ( 209040 2870090 )
NEW met2 ( 208560 2922630 ) ( 208560 2972950 )
NEW met2 ( 208560 2972950 ) via2_FR
NEW met2 ( 208560 2922630 ) via2_FR
NEW met3 ( 208800 2922630 ) M3M4_PR_M
NEW met3 ( 208800 2870090 ) M3M4_PR_M
NEW met2 ( 209040 2870090 ) via2_FR
NEW met3 ( 208560 2922630 ) RECT ( -380 -150 0 150 )
NEW met3 ( 208800 2870090 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[93\] ( padframe mprj_io_dm[93] ) ( gpio_control_in\[31\] pad_gpio_dm[0] )
+ ROUTED met3 ( 210480 2751690 ) ( 210720 2751690 )
NEW met3 ( 210720 2751690 ) ( 210720 2753170 0 )
NEW met1 ( 210480 2705995 ) ( 216240 2705995 )
NEW met2 ( 216240 2693785 ) ( 216240 2705995 )
NEW met1 ( 214800 2693785 ) ( 216240 2693785 )
NEW met2 ( 214800 2675285 ) ( 214800 2693785 )
NEW met1 ( 210960 2675285 ) ( 214800 2675285 )
NEW met2 ( 210960 2673620 0 ) ( 210960 2675285 )
NEW met2 ( 210480 2705995 ) ( 210480 2751690 )
NEW met2 ( 210480 2751690 ) via2_FR
NEW met1 ( 210480 2705995 ) M1M2_PR
NEW met1 ( 216240 2705995 ) M1M2_PR
NEW met1 ( 216240 2693785 ) M1M2_PR
NEW met1 ( 214800 2693785 ) M1M2_PR
NEW met1 ( 214800 2675285 ) M1M2_PR
NEW met1 ( 210960 2675285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[94\] ( padframe mprj_io_dm[94] ) ( gpio_control_in\[31\] pad_gpio_dm[1] )
+ ROUTED met3 ( 212640 2753910 ) ( 215760 2753910 )
NEW met3 ( 212640 2753910 ) ( 212640 2755760 0 )
NEW met2 ( 210480 2682870 0 ) ( 210480 2685090 )
NEW met3 ( 210480 2685090 ) ( 214560 2685090 )
NEW met4 ( 214560 2685090 ) ( 214560 2708030 )
NEW met3 ( 214560 2708030 ) ( 215280 2708030 )
NEW met2 ( 215280 2708030 ) ( 215280 2745770 )
NEW met2 ( 215280 2745770 ) ( 215760 2745770 )
NEW met2 ( 215760 2745770 ) ( 215760 2753910 )
NEW met2 ( 215760 2753910 ) via2_FR
NEW met2 ( 210480 2685090 ) via2_FR
NEW met3 ( 214560 2685090 ) M3M4_PR_M
NEW met3 ( 214560 2708030 ) M3M4_PR_M
NEW met2 ( 215280 2708030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[95\] ( padframe mprj_io_dm[95] ) ( gpio_control_in\[31\] pad_gpio_dm[2] )
+ ROUTED met3 ( 208800 2756870 ) ( 209040 2756870 )
NEW met3 ( 208800 2756870 ) ( 208800 2758350 0 )
NEW met3 ( 209040 2705070 ) ( 211680 2705070 )
NEW met4 ( 211680 2690270 ) ( 211680 2705070 )
NEW met3 ( 211680 2690270 ) ( 215280 2690270 )
NEW met2 ( 215280 2654565 ) ( 215280 2690270 )
NEW met1 ( 210960 2654565 ) ( 215280 2654565 )
NEW met2 ( 210960 2652005 0 ) ( 210960 2654565 )
NEW met2 ( 209040 2705070 ) ( 209040 2756870 )
NEW met2 ( 209040 2756870 ) via2_FR
NEW met2 ( 209040 2705070 ) via2_FR
NEW met3 ( 211680 2705070 ) M3M4_PR_M
NEW met3 ( 211680 2690270 ) M3M4_PR_M
NEW met2 ( 215280 2690270 ) via2_FR
NEW met1 ( 215280 2654565 ) M1M2_PR
NEW met1 ( 210960 2654565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[96\] ( padframe mprj_io_dm[96] ) ( gpio_control_in\[32\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210480 2035740 0 ) ( 210480 2037405 )
NEW met1 ( 210480 2037405 ) ( 215760 2037405 )
NEW met2 ( 215760 2044990 ) ( 216240 2044990 )
NEW met2 ( 216240 2044990 ) ( 216240 2057015 )
NEW met1 ( 212400 2057015 ) ( 216240 2057015 )
NEW met2 ( 212400 2057015 ) ( 212400 2079585 )
NEW met1 ( 199920 2079585 ) ( 212400 2079585 )
NEW met2 ( 199920 2079585 ) ( 199920 2113810 )
NEW met3 ( 199920 2113810 ) ( 200160 2113810 )
NEW met3 ( 200160 2113810 ) ( 200160 2115290 0 )
NEW met2 ( 215760 2037405 ) ( 215760 2044990 )
NEW met1 ( 210480 2037405 ) M1M2_PR
NEW met1 ( 215760 2037405 ) M1M2_PR
NEW met1 ( 216240 2057015 ) M1M2_PR
NEW met1 ( 212400 2057015 ) M1M2_PR
NEW met1 ( 212400 2079585 ) M1M2_PR
NEW met1 ( 199920 2079585 ) M1M2_PR
NEW met2 ( 199920 2113810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[97\] ( padframe mprj_io_dm[97] ) ( gpio_control_in\[32\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 2044825 0 ) ( 210960 2047395 )
NEW met1 ( 210960 2047395 ) ( 211920 2047395 )
NEW met2 ( 211920 2047395 ) ( 211920 2116770 )
NEW met3 ( 211680 2116770 ) ( 211920 2116770 )
NEW met3 ( 211680 2116770 ) ( 211680 2117880 0 )
NEW met1 ( 210960 2047395 ) M1M2_PR
NEW met1 ( 211920 2047395 ) M1M2_PR
NEW met2 ( 211920 2116770 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[98\] ( padframe mprj_io_dm[98] ) ( gpio_control_in\[32\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 2013910 0 ) ( 210960 2015945 )
NEW met1 ( 210960 2015945 ) ( 215280 2015945 )
NEW met1 ( 215280 2045175 ) ( 215280 2045545 )
NEW met1 ( 215280 2045545 ) ( 216720 2045545 )
NEW met2 ( 216720 2045545 ) ( 216720 2077550 )
NEW met2 ( 215760 2077550 ) ( 216720 2077550 )
NEW met2 ( 215760 2077550 ) ( 215760 2120470 )
NEW met3 ( 212640 2120470 0 ) ( 215760 2120470 )
NEW met2 ( 215280 2015945 ) ( 215280 2045175 )
NEW met1 ( 210960 2015945 ) M1M2_PR
NEW met1 ( 215280 2015945 ) M1M2_PR
NEW met1 ( 215280 2045175 ) M1M2_PR
NEW met1 ( 216720 2045545 ) M1M2_PR
NEW met2 ( 215760 2120470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[99\] ( padframe mprj_io_dm[99] ) ( gpio_control_in\[33\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210480 1819660 0 ) ( 210480 1821325 )
NEW met1 ( 210480 1821325 ) ( 215280 1821325 )
NEW met3 ( 212640 1899210 0 ) ( 215280 1899210 )
NEW met2 ( 215280 1821325 ) ( 215280 1899210 )
NEW met1 ( 210480 1821325 ) M1M2_PR
NEW met1 ( 215280 1821325 ) M1M2_PR
NEW met2 ( 215280 1899210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[9\] ( padframe mprj_io_dm[9] ) ( gpio_control_in\[3\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3370800 1211935 ) ( 3377040 1211935 )
NEW met2 ( 3377040 1209375 0 ) ( 3377040 1211935 )
NEW met3 ( 3370800 1304250 ) ( 3373920 1304250 0 )
NEW met2 ( 3370800 1211935 ) ( 3370800 1304250 )
NEW met1 ( 3370800 1211935 ) M1M2_PR
NEW met1 ( 3377040 1211935 ) M1M2_PR
NEW met2 ( 3370800 1304250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_enh\[0\] ( por porb_h ) ( padframe porb_h ) ( padframe mprj_io_enh[9] ) ( padframe mprj_io_enh[8] )
( padframe mprj_io_enh[7] ) ( padframe mprj_io_enh[6] ) ( padframe mprj_io_enh[5] ) ( padframe mprj_io_enh[4] ) ( padframe mprj_io_enh[3] )
( padframe mprj_io_enh[37] ) ( padframe mprj_io_enh[36] ) ( padframe mprj_io_enh[35] ) ( padframe mprj_io_enh[34] ) ( padframe mprj_io_enh[33] )
( padframe mprj_io_enh[32] ) ( padframe mprj_io_enh[31] ) ( padframe mprj_io_enh[30] ) ( padframe mprj_io_enh[2] ) ( padframe mprj_io_enh[29] )
( padframe mprj_io_enh[28] ) ( padframe mprj_io_enh[27] ) ( padframe mprj_io_enh[26] ) ( padframe mprj_io_enh[25] ) ( padframe mprj_io_enh[24] )
( padframe mprj_io_enh[23] ) ( padframe mprj_io_enh[22] ) ( padframe mprj_io_enh[21] ) ( padframe mprj_io_enh[20] ) ( padframe mprj_io_enh[1] )
( padframe mprj_io_enh[19] ) ( padframe mprj_io_enh[18] ) ( padframe mprj_io_enh[17] ) ( padframe mprj_io_enh[16] ) ( padframe mprj_io_enh[15] )
( padframe mprj_io_enh[14] ) ( padframe mprj_io_enh[13] ) ( padframe mprj_io_enh[12] ) ( padframe mprj_io_enh[11] ) ( padframe mprj_io_enh[10] )
( padframe mprj_io_enh[0] )
+ ROUTED met2 ( 210960 4811850 ) ( 211440 4811850 )
NEW met3 ( 210960 4811850 ) ( 215520 4811850 )
NEW met2 ( 2061840 1230065 ) ( 2061840 1233950 )
NEW met2 ( 210960 4805930 ) ( 210960 4806300 0 )
NEW met2 ( 210960 4805930 ) ( 211440 4805930 )
NEW met2 ( 211440 4805930 ) ( 211440 4811850 )
NEW met2 ( 416160 4977610 0 ) ( 417360 4977610 )
NEW met2 ( 1187280 4974835 ) ( 1187280 4977610 0 )
NEW met1 ( 1182000 4978165 ) ( 1182000 4978905 )
NEW met1 ( 1182000 4978165 ) ( 1186320 4978165 )
NEW met2 ( 1186320 4977610 ) ( 1186320 4978165 )
NEW met2 ( 1186320 4977610 ) ( 1187280 4977610 0 )
NEW met3 ( 215520 4811850 ) ( 310320 4811850 )
NEW met2 ( 3376080 1221740 ) ( 3377040 1221740 0 )
NEW met2 ( 3376080 1194730 ) ( 3376080 1221740 )
NEW met3 ( 3376080 1194730 ) ( 3377760 1194730 )
NEW met3 ( 3370080 1226550 ) ( 3376080 1226550 )
NEW met2 ( 3376080 1221740 ) ( 3376080 1226550 )
NEW met2 ( 3377040 1446700 0 ) ( 3377040 1447255 )
NEW met1 ( 3369840 1447255 ) ( 3377040 1447255 )
NEW met2 ( 3369840 1447070 ) ( 3369840 1447255 )
NEW met2 ( 3369840 1447070 ) ( 3370190 1447070 0 )
NEW met3 ( 3369840 1423390 ) ( 3370080 1423390 )
NEW met2 ( 3369840 1423390 ) ( 3369840 1447070 )
NEW met3 ( 3369840 1447810 ) ( 3370080 1447810 )
NEW met2 ( 3369840 1447255 ) ( 3369840 1447810 )
NEW met2 ( 3377040 1671660 0 ) ( 3377040 1672030 )
NEW met2 ( 3376560 1672030 ) ( 3377040 1672030 )
NEW met2 ( 3376560 1671660 ) ( 3376560 1672030 )
NEW met2 ( 3375600 1671660 ) ( 3376560 1671660 )
NEW met2 ( 3375600 1655750 ) ( 3375600 1671660 )
NEW met3 ( 3370080 1655750 ) ( 3375600 1655750 )
NEW met3 ( 3377520 1672770 ) ( 3377760 1672770 )
NEW met2 ( 3377520 1671660 0 ) ( 3377520 1672770 )
NEW met2 ( 3377040 1671660 0 ) ( 3377520 1671660 0 )
NEW met1 ( 3361680 2822175 ) ( 3375600 2822175 )
NEW met2 ( 3377040 3009795 0 ) ( 3377040 3012355 )
NEW met1 ( 3375600 3012355 ) ( 3377040 3012355 )
NEW met1 ( 3361680 3012355 ) ( 3375600 3012355 )
NEW met1 ( 3374640 4278125 ) ( 3378000 4278125 )
NEW met2 ( 310320 4811850 ) ( 310320 4960590 )
NEW met2 ( 930240 4978350 0 ) ( 930960 4978350 )
NEW met2 ( 930960 4967990 ) ( 930960 4978350 )
NEW met3 ( 930960 4967990 ) ( 977520 4967990 )
NEW met3 ( 671520 4967990 ) ( 930960 4967990 )
NEW met2 ( 1130160 4978905 ) ( 1130160 4997405 )
NEW met1 ( 1130160 4978905 ) ( 1182000 4978905 )
NEW met2 ( 1954320 4973910 ) ( 1954320 4977610 0 )
NEW met3 ( 1886640 4973910 ) ( 1954320 4973910 )
NEW met2 ( 1886640 4971690 ) ( 1886640 4973910 )
NEW met3 ( 1977120 4972430 ) ( 1977120 4973910 )
NEW met3 ( 1954320 4973910 ) ( 1977120 4973910 )
NEW met2 ( 3165120 4977610 0 ) ( 3165360 4977610 )
NEW met2 ( 3165360 4918225 ) ( 3165360 4977610 )
NEW met2 ( 3375600 544795 ) ( 3377040 544795 0 )
NEW met2 ( 3375600 532615 ) ( 3375600 544795 )
NEW met3 ( 3377520 546490 ) ( 3377760 546490 )
NEW met2 ( 3377520 544795 0 ) ( 3377520 546490 )
NEW met2 ( 3377040 544795 0 ) ( 3377520 544795 0 )
NEW met4 ( 3370080 1447810 ) ( 3370080 1655750 )
NEW met1 ( 3375600 2785545 ) ( 3377520 2785545 )
NEW met2 ( 3377520 2783880 0 ) ( 3377520 2785545 )
NEW met2 ( 3375600 2785545 ) ( 3375600 2822175 )
NEW met2 ( 3361680 2822175 ) ( 3361680 3012355 )
NEW met2 ( 3377520 3910900 0 ) ( 3377520 3912565 )
NEW met1 ( 3375120 3912565 ) ( 3377520 3912565 )
NEW met2 ( 3375120 3912565 ) ( 3375120 3946235 )
NEW met1 ( 3375120 3946235 ) ( 3378000 3946235 )
NEW met1 ( 3362640 3912565 ) ( 3375120 3912565 )
NEW met2 ( 3378000 3946235 ) ( 3378000 4278125 )
NEW met2 ( 3377040 4356750 0 ) ( 3377040 4357305 )
NEW met1 ( 3376080 4357305 ) ( 3377040 4357305 )
NEW met2 ( 3376080 4357305 ) ( 3376080 4378210 )
NEW met3 ( 3372000 4378210 ) ( 3376080 4378210 )
NEW met1 ( 3374640 4357305 ) ( 3376080 4357305 )
NEW met2 ( 3374640 4278125 ) ( 3374640 4357305 )
NEW met2 ( 3375600 4802795 ) ( 3377040 4802795 0 )
NEW met2 ( 3375600 4796310 ) ( 3375600 4802795 )
NEW met3 ( 3372000 4796310 ) ( 3375600 4796310 )
NEW met3 ( 3370800 4796310 ) ( 3372000 4796310 )
NEW met4 ( 3372000 4378210 ) ( 3372000 4796310 )
NEW met1 ( 3165360 4918225 ) ( 3370800 4918225 )
NEW met2 ( 3370800 4796310 ) ( 3370800 4918225 )
NEW met2 ( 210000 1158470 ) ( 210000 1159210 0 )
NEW met3 ( 209760 1158470 ) ( 210000 1158470 )
NEW met2 ( 210960 1591205 0 ) ( 210960 1592110 )
NEW met3 ( 210960 1592110 ) ( 212640 1592110 )
NEW met3 ( 208800 1592110 ) ( 210960 1592110 )
NEW met2 ( 210480 2021310 ) ( 210480 2023160 0 )
NEW met3 ( 210480 2021310 ) ( 210720 2021310 )
NEW met3 ( 209760 2044250 ) ( 212640 2044250 )
NEW met4 ( 212640 2026305 ) ( 212640 2044250 )
NEW met4 ( 210720 2026305 ) ( 212640 2026305 )
NEW met4 ( 210720 2021310 ) ( 210720 2026305 )
NEW met4 ( 210720 2649015 ) ( 211680 2649015 )
NEW met3 ( 533280 1233210 ) ( 533280 1233950 )
NEW met1 ( 977520 4998145 ) ( 1035600 4998145 )
NEW met1 ( 1035600 4997405 ) ( 1035600 4998145 )
NEW met2 ( 977520 4967990 ) ( 977520 4998145 )
NEW met1 ( 1035600 4997405 ) ( 1130160 4997405 )
NEW met2 ( 2399280 4972430 ) ( 2399280 4977610 0 )
NEW met3 ( 2061840 1233950 ) ( 3370080 1233950 )
NEW met2 ( 3377520 770710 0 ) ( 3377520 772190 )
NEW met3 ( 3377520 772190 ) ( 3377760 772190 )
NEW met4 ( 3377760 546490 ) ( 3377760 772190 )
NEW met2 ( 3377520 993450 ) ( 3377520 995670 0 )
NEW met3 ( 3377520 993450 ) ( 3377760 993450 )
NEW met2 ( 3377040 3232135 ) ( 3377040 3234910 0 )
NEW met1 ( 3377040 3231765 ) ( 3377040 3232135 )
NEW met1 ( 3374160 3231765 ) ( 3377040 3231765 )
NEW met2 ( 3374160 3191065 ) ( 3374160 3231765 )
NEW met1 ( 3374160 3191065 ) ( 3377520 3191065 )
NEW met2 ( 3373680 3231950 ) ( 3374160 3231950 )
NEW met2 ( 3374160 3231765 ) ( 3374160 3231950 )
NEW met3 ( 209760 2044990 ) ( 212640 2044990 )
NEW met4 ( 209760 2044250 ) ( 209760 2044990 )
NEW met2 ( 210480 2661205 0 ) ( 210480 2663630 )
NEW met3 ( 210480 2663630 ) ( 210720 2663630 )
NEW met4 ( 210720 2649015 ) ( 210720 2663630 )
NEW met2 ( 209520 3306690 ) ( 209520 3309280 0 )
NEW met3 ( 209520 3306690 ) ( 209760 3306690 )
NEW met2 ( 209520 3523510 ) ( 209520 3525205 0 )
NEW met3 ( 209520 3523510 ) ( 209760 3523510 )
NEW met4 ( 209760 3306690 ) ( 209760 3523510 )
NEW met2 ( 210480 3955670 ) ( 210480 3957150 0 )
NEW met3 ( 210480 3955670 ) ( 210720 3955670 )
NEW met3 ( 210720 3955670 ) ( 215520 3955670 )
NEW met4 ( 215520 3955670 ) ( 215520 4811850 )
NEW met3 ( 310320 4960590 ) ( 417360 4960590 )
NEW met2 ( 417360 4960590 ) ( 417360 4977610 )
NEW met2 ( 633360 4967990 ) ( 633360 4997035 )
NEW met3 ( 633360 4967990 ) ( 671520 4967990 )
NEW met4 ( 3377760 772190 ) ( 3377760 993450 )
NEW met4 ( 3377760 993450 ) ( 3377760 1194730 )
NEW met4 ( 3370080 1226550 ) ( 3370080 1423390 )
NEW met3 ( 3376080 1870350 ) ( 3377760 1870350 )
NEW met2 ( 3376080 1870350 ) ( 3376080 1897730 )
NEW met2 ( 3376080 1897730 ) ( 3377040 1897730 0 )
NEW met4 ( 3377760 1672770 ) ( 3377760 1870350 )
NEW met1 ( 3375600 3060825 ) ( 3377520 3060825 )
NEW met2 ( 3375600 3012355 ) ( 3375600 3060825 )
NEW met2 ( 3377520 3060825 ) ( 3377520 3191065 )
NEW met3 ( 3373680 3268210 ) ( 3381600 3268210 )
NEW met2 ( 3373680 3231950 ) ( 3373680 3268210 )
NEW met2 ( 3377040 3460795 0 ) ( 3377040 3463385 )
NEW met1 ( 3373200 3463385 ) ( 3377040 3463385 )
NEW met2 ( 3373200 3463385 ) ( 3373200 3546635 )
NEW met1 ( 3372240 3546635 ) ( 3373200 3546635 )
NEW met3 ( 3378960 3459870 ) ( 3381600 3459870 )
NEW met2 ( 3378960 3459870 ) ( 3378960 3460795 0 )
NEW met2 ( 3377040 3460795 0 ) ( 3378960 3460795 0 )
NEW met4 ( 3381600 3268210 ) ( 3381600 3459870 )
NEW met2 ( 3377040 3685795 0 ) ( 3377040 3688345 )
NEW met1 ( 3373680 3688345 ) ( 3377040 3688345 )
NEW met2 ( 3373680 3688345 ) ( 3373680 3700925 )
NEW met1 ( 3362640 3700925 ) ( 3373680 3700925 )
NEW met2 ( 3372240 3687790 ) ( 3373680 3687790 )
NEW met2 ( 3373680 3687790 ) ( 3373680 3688345 )
NEW met2 ( 3362640 3700925 ) ( 3362640 3912565 )
NEW met2 ( 3372240 3546635 ) ( 3372240 3687790 )
NEW met1 ( 463440 4997035 ) ( 633360 4997035 )
NEW met3 ( 671520 4968730 ) ( 671760 4968730 )
NEW met2 ( 671760 4968730 ) ( 671760 4977610 )
NEW met2 ( 671760 4977610 ) ( 673200 4977610 0 )
NEW met3 ( 671520 4967990 ) ( 671520 4968730 )
NEW met2 ( 1050960 1230435 ) ( 1050960 1233950 )
NEW met2 ( 1252560 4968175 ) ( 1252560 4974835 )
NEW met1 ( 1187280 4974835 ) ( 1252560 4974835 )
NEW met2 ( 1445280 4977610 0 ) ( 1446480 4977610 )
NEW met2 ( 1446480 4974095 ) ( 1446480 4977610 )
NEW met1 ( 1446480 4974095 ) ( 1483440 4974095 )
NEW met2 ( 1483440 4971690 ) ( 1483440 4974095 )
NEW met2 ( 1446480 4968175 ) ( 1446480 4974095 )
NEW met1 ( 1252560 4968175 ) ( 1446480 4968175 )
NEW met3 ( 1483440 4971690 ) ( 1886640 4971690 )
NEW met3 ( 1977120 4972430 ) ( 2399280 4972430 )
NEW met2 ( 2656080 4972430 ) ( 2656080 4977610 0 )
NEW met3 ( 2399280 4972430 ) ( 3165360 4972430 )
NEW met3 ( 417360 4967990 ) ( 463440 4967990 )
NEW met2 ( 463440 4967990 ) ( 463440 4997035 )
NEW met2 ( 3341040 532430 ) ( 3341040 532615 )
NEW met3 ( 3326880 532430 ) ( 3341040 532430 )
NEW met3 ( 3326880 530410 0 ) ( 3326880 532430 )
NEW met1 ( 3341040 532615 ) ( 3375600 532615 )
NEW met3 ( 209760 1214710 ) ( 214560 1214710 )
NEW met3 ( 242400 1232470 ) ( 242400 1233210 )
NEW met3 ( 214560 1232470 ) ( 242400 1232470 )
NEW met4 ( 209760 1158470 ) ( 209760 1214710 )
NEW met4 ( 210720 2266065 ) ( 211680 2266065 )
NEW met3 ( 210720 2446810 ) ( 210720 2448290 )
NEW met3 ( 210720 2446810 ) ( 211680 2446810 )
NEW met2 ( 209040 3092090 ) ( 209040 3093200 0 )
NEW met3 ( 207840 3092090 ) ( 209040 3092090 )
NEW met4 ( 207840 3024010 ) ( 207840 3092090 )
NEW met3 ( 207840 3024010 ) ( 210720 3024010 )
NEW met3 ( 210720 3124650 ) ( 213600 3124650 )
NEW met4 ( 213600 3092090 ) ( 213600 3124650 )
NEW met3 ( 209040 3092090 ) ( 213600 3092090 )
NEW met3 ( 833760 1233950 ) ( 833760 1235430 )
NEW met3 ( 833760 1233950 ) ( 1050960 1233950 )
NEW met2 ( 1232400 1228215 ) ( 1232400 1233950 )
NEW met3 ( 1232160 1233950 ) ( 1232400 1233950 )
NEW met3 ( 1232160 1233950 ) ( 1232160 1234690 )
NEW met3 ( 209760 945350 ) ( 210000 945350 )
NEW met2 ( 210000 943130 0 ) ( 210000 945350 )
NEW met4 ( 209760 945350 ) ( 209760 1158470 )
NEW met2 ( 210960 1373070 ) ( 210960 1375290 0 )
NEW met3 ( 210960 1373070 ) ( 214560 1373070 )
NEW met3 ( 208800 1373070 ) ( 210960 1373070 )
NEW met4 ( 214560 1214710 ) ( 214560 1373070 )
NEW met4 ( 210720 1966365 ) ( 212640 1966365 )
NEW met4 ( 212640 1916415 ) ( 212640 1966365 )
NEW met4 ( 211680 1916415 ) ( 212640 1916415 )
NEW met4 ( 210720 1966365 ) ( 210720 2021310 )
NEW met4 ( 211680 2146185 ) ( 212880 2146185 )
NEW met4 ( 212880 2143410 ) ( 212880 2146185 )
NEW met4 ( 212640 2143410 ) ( 212880 2143410 )
NEW met4 ( 211680 2146185 ) ( 211680 2266065 )
NEW met4 ( 212640 2044990 ) ( 212640 2143410 )
NEW met3 ( 210720 2318790 ) ( 210720 2319530 )
NEW met3 ( 210480 2319530 ) ( 210720 2319530 )
NEW met2 ( 210480 2319530 ) ( 210480 2418690 )
NEW met3 ( 210480 2418690 ) ( 211680 2418690 )
NEW met4 ( 210720 2266065 ) ( 210720 2318790 )
NEW met4 ( 211680 2418690 ) ( 211680 2446810 )
NEW met3 ( 210720 2520070 ) ( 210960 2520070 )
NEW met2 ( 210960 2520070 ) ( 210960 2549670 )
NEW met3 ( 210960 2549670 ) ( 211680 2549670 )
NEW met4 ( 210720 2448290 ) ( 210720 2520070 )
NEW met4 ( 211680 2549670 ) ( 211680 2649015 )
NEW met3 ( 210720 2722090 ) ( 210720 2722830 )
NEW met3 ( 210720 2722830 ) ( 213600 2722830 )
NEW met4 ( 210720 2663630 ) ( 210720 2722090 )
NEW met4 ( 209760 3188475 ) ( 213600 3188475 )
NEW met4 ( 213600 3128350 ) ( 213600 3188475 )
NEW met3 ( 210720 3128350 ) ( 213600 3128350 )
NEW met4 ( 209760 3188475 ) ( 209760 3306690 )
NEW met4 ( 210720 3124650 ) ( 210720 3128350 )
NEW met3 ( 732960 1233950 ) ( 732960 1235430 )
NEW met3 ( 732960 1235430 ) ( 733920 1235430 )
NEW met3 ( 733920 1235245 ) ( 733920 1235430 )
NEW met3 ( 733920 1235245 ) ( 734880 1235245 )
NEW met3 ( 734880 1235245 ) ( 734880 1235430 )
NEW met3 ( 533280 1233950 ) ( 732960 1233950 )
NEW met3 ( 734880 1235430 ) ( 833760 1235430 )
NEW met2 ( 1141680 1230435 ) ( 1141680 1233950 )
NEW met3 ( 1141680 1233950 ) ( 1141920 1233950 )
NEW met3 ( 1141920 1233950 ) ( 1141920 1234690 )
NEW met1 ( 1050960 1230435 ) ( 1141680 1230435 )
NEW met3 ( 1141920 1234690 ) ( 1232160 1234690 )
NEW met2 ( 1539600 1230805 ) ( 1539600 1233950 )
NEW met3 ( 1539600 1233950 ) ( 1539600 1234690 )
NEW met2 ( 210960 1807080 0 ) ( 210960 1809670 )
NEW met3 ( 210960 1809670 ) ( 214560 1809670 )
NEW met4 ( 214560 1809670 ) ( 214560 1900690 )
NEW met3 ( 211680 1900690 ) ( 214560 1900690 )
NEW met4 ( 211680 1803195 ) ( 212640 1803195 )
NEW met4 ( 211680 1803195 ) ( 211680 1809670 )
NEW met4 ( 211680 1900690 ) ( 211680 1916415 )
NEW met4 ( 212640 1592110 ) ( 212640 1803195 )
NEW met2 ( 210480 2874530 ) ( 210480 2877120 0 )
NEW met3 ( 210480 2874530 ) ( 213600 2874530 )
NEW met4 ( 213600 2722830 ) ( 213600 2874530 )
NEW met2 ( 402480 1233210 ) ( 402480 1234135 )
NEW met1 ( 402480 1234135 ) ( 432720 1234135 )
NEW met2 ( 432720 1233210 ) ( 432720 1234135 )
NEW met3 ( 242400 1233210 ) ( 402480 1233210 )
NEW met3 ( 432720 1233210 ) ( 533280 1233210 )
NEW met2 ( 1640880 1230805 ) ( 1640880 1231730 )
NEW met2 ( 1640880 1231730 ) ( 1641840 1231730 )
NEW met2 ( 1641840 1231175 ) ( 1641840 1231730 )
NEW met1 ( 1539600 1230805 ) ( 1640880 1230805 )
NEW met2 ( 1699920 1230990 ) ( 1699920 1231175 )
NEW met2 ( 1699920 1230990 ) ( 1700880 1230990 )
NEW met2 ( 1700880 1230990 ) ( 1700880 1231175 )
NEW met1 ( 1700880 1231175 ) ( 1741680 1231175 )
NEW met1 ( 1741680 1230805 ) ( 1741680 1231175 )
NEW met1 ( 1741680 1230805 ) ( 1742160 1230805 )
NEW met2 ( 1742160 1230805 ) ( 1742640 1230805 )
NEW met1 ( 1742640 1230805 ) ( 1743120 1230805 )
NEW met1 ( 1743120 1230805 ) ( 1743120 1231175 )
NEW met1 ( 1641840 1231175 ) ( 1699920 1231175 )
NEW met2 ( 1956240 1230065 ) ( 1956240 1231175 )
NEW met1 ( 1743120 1231175 ) ( 1956240 1231175 )
NEW met1 ( 1956240 1230065 ) ( 2061840 1230065 )
NEW met4 ( 208800 1373070 ) ( 208800 1592110 )
NEW met3 ( 210720 2995150 ) ( 215520 2995150 )
NEW met4 ( 215520 2894510 ) ( 215520 2995150 )
NEW met3 ( 213600 2894510 ) ( 215520 2894510 )
NEW met4 ( 210720 2995150 ) ( 210720 3024010 )
NEW met4 ( 213600 2874530 ) ( 213600 2894510 )
NEW met4 ( 210720 3863910 ) ( 211680 3863910 )
NEW met4 ( 210720 3863910 ) ( 210720 3955670 )
NEW met2 ( 1426320 1219335 ) ( 1426320 1233950 )
NEW met3 ( 1426320 1233950 ) ( 1427040 1233950 )
NEW met3 ( 1427040 1233950 ) ( 1427040 1234690 )
NEW met3 ( 1427040 1234690 ) ( 1539600 1234690 )
NEW met2 ( 1337520 1228215 ) ( 1337520 1228585 )
NEW met2 ( 1337520 1228585 ) ( 1339440 1228585 )
NEW met2 ( 1339440 1220815 ) ( 1339440 1228585 )
NEW met2 ( 1339440 1220815 ) ( 1340880 1220815 )
NEW met2 ( 1340880 1219335 ) ( 1340880 1220815 )
NEW met1 ( 1232400 1228215 ) ( 1337520 1228215 )
NEW met1 ( 1340880 1219335 ) ( 1426320 1219335 )
NEW met2 ( 210000 3738850 ) ( 210000 3741070 0 )
NEW met3 ( 209760 3738850 ) ( 210000 3738850 )
NEW met3 ( 210000 3738850 ) ( 211680 3738850 )
NEW met4 ( 209760 3523510 ) ( 209760 3738850 )
NEW met4 ( 211680 3738850 ) ( 211680 3863910 )
NEW met3 ( 215520 4811850 ) M3M4_PR_M
NEW met2 ( 210960 4811850 ) via2_FR
NEW met1 ( 2061840 1230065 ) M1M2_PR
NEW met2 ( 2061840 1233950 ) via2_FR
NEW met1 ( 1187280 4974835 ) M1M2_PR
NEW met1 ( 1186320 4978165 ) M1M2_PR
NEW met2 ( 310320 4811850 ) via2_FR
NEW met2 ( 3376080 1194730 ) via2_FR
NEW met3 ( 3377760 1194730 ) M3M4_PR_M
NEW met3 ( 3370080 1226550 ) M3M4_PR_M
NEW met2 ( 3376080 1226550 ) via2_FR
NEW met3 ( 3370080 1233950 ) M3M4_PR_M
NEW met1 ( 3377040 1447255 ) M1M2_PR
NEW met1 ( 3369840 1447255 ) M1M2_PR
NEW met3 ( 3370080 1423390 ) M3M4_PR_M
NEW met2 ( 3369840 1423390 ) via2_FR
NEW met3 ( 3370080 1447810 ) M3M4_PR_M
NEW met2 ( 3369840 1447810 ) via2_FR
NEW met2 ( 3375600 1655750 ) via2_FR
NEW met3 ( 3370080 1655750 ) M3M4_PR_M
NEW met3 ( 3377760 1672770 ) M3M4_PR_M
NEW met2 ( 3377520 1672770 ) via2_FR
NEW met1 ( 3361680 2822175 ) M1M2_PR
NEW met1 ( 3375600 2822175 ) M1M2_PR
NEW met1 ( 3377040 3012355 ) M1M2_PR
NEW met1 ( 3375600 3012355 ) M1M2_PR
NEW met1 ( 3361680 3012355 ) M1M2_PR
NEW met1 ( 3374640 4278125 ) M1M2_PR
NEW met1 ( 3378000 4278125 ) M1M2_PR
NEW met2 ( 310320 4960590 ) via2_FR
NEW met2 ( 930960 4967990 ) via2_FR
NEW met2 ( 977520 4967990 ) via2_FR
NEW met1 ( 1130160 4997405 ) M1M2_PR
NEW met1 ( 1130160 4978905 ) M1M2_PR
NEW met2 ( 1954320 4973910 ) via2_FR
NEW met2 ( 1886640 4973910 ) via2_FR
NEW met2 ( 1886640 4971690 ) via2_FR
NEW met1 ( 3165360 4918225 ) M1M2_PR
NEW met2 ( 3165360 4972430 ) via2_FR
NEW met1 ( 3375600 532615 ) M1M2_PR
NEW met3 ( 3377760 546490 ) M3M4_PR_M
NEW met2 ( 3377520 546490 ) via2_FR
NEW met1 ( 3375600 2785545 ) M1M2_PR
NEW met1 ( 3377520 2785545 ) M1M2_PR
NEW met1 ( 3377520 3912565 ) M1M2_PR
NEW met1 ( 3375120 3912565 ) M1M2_PR
NEW met1 ( 3375120 3946235 ) M1M2_PR
NEW met1 ( 3378000 3946235 ) M1M2_PR
NEW met1 ( 3362640 3912565 ) M1M2_PR
NEW met1 ( 3377040 4357305 ) M1M2_PR
NEW met1 ( 3376080 4357305 ) M1M2_PR
NEW met2 ( 3376080 4378210 ) via2_FR
NEW met3 ( 3372000 4378210 ) M3M4_PR_M
NEW met1 ( 3374640 4357305 ) M1M2_PR
NEW met2 ( 3375600 4796310 ) via2_FR
NEW met3 ( 3372000 4796310 ) M3M4_PR_M
NEW met2 ( 3370800 4796310 ) via2_FR
NEW met1 ( 3370800 4918225 ) M1M2_PR
NEW met2 ( 210000 1158470 ) via2_FR
NEW met3 ( 209760 1158470 ) M3M4_PR_M
NEW met2 ( 210960 1592110 ) via2_FR
NEW met3 ( 212640 1592110 ) M3M4_PR_M
NEW met3 ( 208800 1592110 ) M3M4_PR_M
NEW met2 ( 210480 2021310 ) via2_FR
NEW met3 ( 210720 2021310 ) M3M4_PR_M
NEW met3 ( 209760 2044250 ) M3M4_PR_M
NEW met3 ( 212640 2044250 ) M3M4_PR_M
NEW met1 ( 633360 4997035 ) M1M2_PR
NEW met1 ( 977520 4998145 ) M1M2_PR
NEW met2 ( 2399280 4972430 ) via2_FR
NEW met2 ( 3377520 772190 ) via2_FR
NEW met3 ( 3377760 772190 ) M3M4_PR_M
NEW met2 ( 3377520 993450 ) via2_FR
NEW met3 ( 3377760 993450 ) M3M4_PR_M
NEW met1 ( 3377040 3232135 ) M1M2_PR
NEW met1 ( 3374160 3231765 ) M1M2_PR
NEW met1 ( 3374160 3191065 ) M1M2_PR
NEW met1 ( 3377520 3191065 ) M1M2_PR
NEW met3 ( 209760 2044990 ) M3M4_PR_M
NEW met3 ( 212640 2044990 ) M3M4_PR_M
NEW met2 ( 210480 2663630 ) via2_FR
NEW met3 ( 210720 2663630 ) M3M4_PR_M
NEW met2 ( 209520 3306690 ) via2_FR
NEW met3 ( 209760 3306690 ) M3M4_PR_M
NEW met2 ( 209520 3523510 ) via2_FR
NEW met3 ( 209760 3523510 ) M3M4_PR_M
NEW met2 ( 210480 3955670 ) via2_FR
NEW met3 ( 210720 3955670 ) M3M4_PR_M
NEW met3 ( 215520 3955670 ) M3M4_PR_M
NEW met2 ( 417360 4960590 ) via2_FR
NEW met2 ( 417360 4967990 ) via2_FR
NEW met2 ( 633360 4967990 ) via2_FR
NEW met3 ( 3377760 1870350 ) M3M4_PR_M
NEW met2 ( 3376080 1870350 ) via2_FR
NEW met1 ( 3375600 3060825 ) M1M2_PR
NEW met1 ( 3377520 3060825 ) M1M2_PR
NEW met2 ( 3373680 3268210 ) via2_FR
NEW met3 ( 3381600 3268210 ) M3M4_PR_M
NEW met1 ( 3377040 3463385 ) M1M2_PR
NEW met1 ( 3373200 3463385 ) M1M2_PR
NEW met1 ( 3373200 3546635 ) M1M2_PR
NEW met1 ( 3372240 3546635 ) M1M2_PR
NEW met3 ( 3381600 3459870 ) M3M4_PR_M
NEW met2 ( 3378960 3459870 ) via2_FR
NEW met1 ( 3377040 3688345 ) M1M2_PR
NEW met1 ( 3373680 3688345 ) M1M2_PR
NEW met1 ( 3373680 3700925 ) M1M2_PR
NEW met1 ( 3362640 3700925 ) M1M2_PR
NEW met1 ( 463440 4997035 ) M1M2_PR
NEW met2 ( 671760 4968730 ) via2_FR
NEW met1 ( 1050960 1230435 ) M1M2_PR
NEW met2 ( 1050960 1233950 ) via2_FR
NEW met1 ( 1252560 4974835 ) M1M2_PR
NEW met1 ( 1252560 4968175 ) M1M2_PR
NEW met1 ( 1446480 4974095 ) M1M2_PR
NEW met1 ( 1483440 4974095 ) M1M2_PR
NEW met2 ( 1483440 4971690 ) via2_FR
NEW met1 ( 1446480 4968175 ) M1M2_PR
NEW met2 ( 2656080 4972430 ) via2_FR
NEW met2 ( 463440 4967990 ) via2_FR
NEW met1 ( 3341040 532615 ) M1M2_PR
NEW met2 ( 3341040 532430 ) via2_FR
NEW met3 ( 209760 1214710 ) M3M4_PR_M
NEW met3 ( 214560 1214710 ) M3M4_PR_M
NEW met3 ( 214560 1232470 ) M3M4_PR_M
NEW met3 ( 210720 2448290 ) M3M4_PR_M
NEW met3 ( 211680 2446810 ) M3M4_PR_M
NEW met2 ( 209040 3092090 ) via2_FR
NEW met3 ( 207840 3092090 ) M3M4_PR_M
NEW met3 ( 207840 3024010 ) M3M4_PR_M
NEW met3 ( 210720 3024010 ) M3M4_PR_M
NEW met3 ( 210720 3124650 ) M3M4_PR_M
NEW met3 ( 213600 3124650 ) M3M4_PR_M
NEW met3 ( 213600 3092090 ) M3M4_PR_M
NEW met1 ( 1232400 1228215 ) M1M2_PR
NEW met2 ( 1232400 1233950 ) via2_FR
NEW met3 ( 209760 945350 ) M3M4_PR_M
NEW met2 ( 210000 945350 ) via2_FR
NEW met2 ( 210960 1373070 ) via2_FR
NEW met3 ( 214560 1373070 ) M3M4_PR_M
NEW met3 ( 208800 1373070 ) M3M4_PR_M
NEW met3 ( 210720 2318790 ) M3M4_PR_M
NEW met2 ( 210480 2319530 ) via2_FR
NEW met2 ( 210480 2418690 ) via2_FR
NEW met3 ( 211680 2418690 ) M3M4_PR_M
NEW met3 ( 210720 2520070 ) M3M4_PR_M
NEW met2 ( 210960 2520070 ) via2_FR
NEW met2 ( 210960 2549670 ) via2_FR
NEW met3 ( 211680 2549670 ) M3M4_PR_M
NEW met3 ( 210720 2722090 ) M3M4_PR_M
NEW met3 ( 213600 2722830 ) M3M4_PR_M
NEW met3 ( 213600 3128350 ) M3M4_PR_M
NEW met3 ( 210720 3128350 ) M3M4_PR_M
NEW met1 ( 1141680 1230435 ) M1M2_PR
NEW met2 ( 1141680 1233950 ) via2_FR
NEW met1 ( 1539600 1230805 ) M1M2_PR
NEW met2 ( 1539600 1233950 ) via2_FR
NEW met2 ( 210960 1809670 ) via2_FR
NEW met3 ( 214560 1809670 ) M3M4_PR_M
NEW met3 ( 214560 1900690 ) M3M4_PR_M
NEW met3 ( 211680 1900690 ) M3M4_PR_M
NEW met3 ( 211680 1809670 ) M3M4_PR_M
NEW met2 ( 210480 2874530 ) via2_FR
NEW met3 ( 213600 2874530 ) M3M4_PR_M
NEW met2 ( 402480 1233210 ) via2_FR
NEW met1 ( 402480 1234135 ) M1M2_PR
NEW met1 ( 432720 1234135 ) M1M2_PR
NEW met2 ( 432720 1233210 ) via2_FR
NEW met1 ( 1640880 1230805 ) M1M2_PR
NEW met1 ( 1641840 1231175 ) M1M2_PR
NEW met1 ( 1699920 1231175 ) M1M2_PR
NEW met1 ( 1700880 1231175 ) M1M2_PR
NEW met1 ( 1742160 1230805 ) M1M2_PR
NEW met1 ( 1742640 1230805 ) M1M2_PR
NEW met1 ( 1956240 1231175 ) M1M2_PR
NEW met1 ( 1956240 1230065 ) M1M2_PR
NEW met3 ( 210720 2995150 ) M3M4_PR_M
NEW met3 ( 215520 2995150 ) M3M4_PR_M
NEW met3 ( 215520 2894510 ) M3M4_PR_M
NEW met3 ( 213600 2894510 ) M3M4_PR_M
NEW met1 ( 1426320 1219335 ) M1M2_PR
NEW met2 ( 1426320 1233950 ) via2_FR
NEW met1 ( 1337520 1228215 ) M1M2_PR
NEW met1 ( 1340880 1219335 ) M1M2_PR
NEW met2 ( 210000 3738850 ) via2_FR
NEW met3 ( 209760 3738850 ) M3M4_PR_M
NEW met3 ( 211680 3738850 ) M3M4_PR_M
NEW met4 ( 3370080 1233950 ) RECT ( -150 -800 150 0 )
NEW met3 ( 3370080 1423390 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3370080 1447810 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3377760 1672770 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3165360 4972430 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3377760 546490 ) RECT ( 0 -150 380 150 )
NEW met3 ( 210000 1158470 ) RECT ( 0 -150 380 150 )
NEW met3 ( 210480 2021310 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3377520 772190 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3377520 993450 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210480 2663630 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209520 3306690 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209520 3523510 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210480 3955670 ) RECT ( -380 -150 0 150 )
NEW met2 ( 417360 4967990 ) RECT ( -70 -485 70 0 )
NEW met3 ( 2656080 4972430 ) RECT ( -800 -150 0 150 )
NEW met4 ( 214560 1232470 ) RECT ( -150 -800 150 0 )
NEW met3 ( 209760 945350 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210720 2520070 ) RECT ( -380 -150 0 150 )
NEW met3 ( 211680 1809670 ) RECT ( -800 -150 0 150 )
NEW met3 ( 210000 3738850 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[0\] ( padframe mprj_io_holdover[0] ) ( gpio_control_bidir\[0\] pad_gpio_holdover )
+ ROUTED met3 ( 3371760 635290 ) ( 3373920 635290 0 )
NEW met1 ( 3371760 559255 ) ( 3375600 559255 )
NEW met2 ( 3375600 557220 ) ( 3375600 559255 )
NEW met2 ( 3375600 557220 ) ( 3377040 557220 0 )
NEW met2 ( 3371760 559255 ) ( 3371760 635290 )
NEW met2 ( 3371760 635290 ) via2_FR
NEW met1 ( 3371760 559255 ) M1M2_PR
NEW met1 ( 3375600 559255 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[10\] ( padframe mprj_io_holdover[10] ) ( gpio_control_in\[10\] pad_gpio_holdover )
+ ROUTED met3 ( 3372960 3101710 ) ( 3373920 3101710 )
NEW met3 ( 3373920 3100230 0 ) ( 3373920 3101710 )
NEW met3 ( 3372960 3471710 ) ( 3377520 3471710 )
NEW met2 ( 3377520 3471710 ) ( 3377520 3473190 0 )
NEW met4 ( 3372960 3101710 ) ( 3372960 3471710 )
NEW met3 ( 3372960 3101710 ) M3M4_PR_M
NEW met3 ( 3372960 3471710 ) M3M4_PR_M
NEW met2 ( 3377520 3471710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[11\] ( padframe mprj_io_holdover[11] ) ( gpio_control_in\[11\] pad_gpio_holdover )
+ ROUTED met3 ( 3374880 3325190 0 ) ( 3374880 3326670 )
NEW met3 ( 3374880 3695930 ) ( 3377520 3695930 )
NEW met2 ( 3377520 3695930 ) ( 3377520 3698150 0 )
NEW met4 ( 3374880 3326670 ) ( 3374880 3695930 )
NEW met3 ( 3374880 3326670 ) M3M4_PR_M
NEW met3 ( 3374880 3695930 ) M3M4_PR_M
NEW met2 ( 3377520 3695930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[12\] ( padframe mprj_io_holdover[12] ) ( gpio_control_in\[12\] pad_gpio_holdover )
+ ROUTED met3 ( 3378480 3920890 ) ( 3378720 3920890 )
NEW met2 ( 3378480 3920890 ) ( 3378480 3923110 0 )
NEW met3 ( 3378720 3551260 0 ) ( 3378720 3553110 )
NEW met4 ( 3378720 3553110 ) ( 3378720 3920890 )
NEW met3 ( 3378720 3920890 ) M3M4_PR_M
NEW met2 ( 3378480 3920890 ) via2_FR
NEW met3 ( 3378720 3553110 ) M3M4_PR_M
NEW met3 ( 3378720 3920890 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[13\] ( padframe mprj_io_holdover[13] ) ( gpio_control_in\[13\] pad_gpio_holdover )
+ ROUTED met3 ( 3372000 4367850 ) ( 3377040 4367850 )
NEW met2 ( 3377040 4367850 ) ( 3377040 4369330 0 )
NEW met3 ( 3372000 3776220 ) ( 3373920 3776220 0 )
NEW met4 ( 3372000 3776220 ) ( 3372000 4367850 )
NEW met3 ( 3372000 4367850 ) M3M4_PR_M
NEW met2 ( 3377040 4367850 ) via2_FR
NEW met3 ( 3372000 3776220 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[14\] ( padframe mprj_io_holdover[14] ) ( gpio_control_in\[14\] pad_gpio_holdover )
+ ROUTED met3 ( 3378720 4668290 0 ) ( 3378720 4669030 )
NEW met3 ( 3378480 4812590 ) ( 3378720 4812590 )
NEW met2 ( 3378480 4812590 ) ( 3378480 4815180 0 )
NEW met4 ( 3378720 4669030 ) ( 3378720 4812590 )
NEW met3 ( 3378720 4669030 ) M3M4_PR_M
NEW met3 ( 3378720 4812590 ) M3M4_PR_M
NEW met2 ( 3378480 4812590 ) via2_FR
NEW met3 ( 3378720 4812590 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[15\] ( padframe mprj_io_holdover[15] ) ( gpio_control_in\[15\] pad_gpio_holdover )
+ ROUTED met2 ( 2863920 4989635 ) ( 2863920 5015165 )
NEW met2 ( 3021360 4989635 ) ( 3021360 4998145 )
NEW met1 ( 2863920 4989635 ) ( 3021360 4989635 )
NEW met2 ( 3150960 4977610 ) ( 3152880 4977610 0 )
NEW met2 ( 3150960 4977610 ) ( 3150960 4977795 )
NEW met1 ( 3128400 4977795 ) ( 3150960 4977795 )
NEW met2 ( 3128400 4977795 ) ( 3128400 4998145 )
NEW met1 ( 3021360 4998145 ) ( 3128400 4998145 )
NEW met2 ( 2777040 5015165 ) ( 2777040 5015350 )
NEW met3 ( 2776320 5015350 0 ) ( 2777040 5015350 )
NEW met1 ( 2777040 5015165 ) ( 2863920 5015165 )
NEW met1 ( 2863920 5015165 ) M1M2_PR
NEW met1 ( 2863920 4989635 ) M1M2_PR
NEW met1 ( 3021360 4989635 ) M1M2_PR
NEW met1 ( 3021360 4998145 ) M1M2_PR
NEW met1 ( 3150960 4977795 ) M1M2_PR
NEW met1 ( 3128400 4977795 ) M1M2_PR
NEW met1 ( 3128400 4998145 ) M1M2_PR
NEW met1 ( 2777040 5015165 ) M1M2_PR
NEW met2 ( 2777040 5015350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[16\] ( padframe mprj_io_holdover[16] ) ( gpio_control_in\[16\] pad_gpio_holdover )
+ ROUTED met2 ( 2642160 4979090 ) ( 2643840 4979090 0 )
NEW met3 ( 2618640 4979090 ) ( 2642160 4979090 )
NEW met2 ( 2618640 4979090 ) ( 2618640 4999810 )
NEW met3 ( 2561520 4999810 ) ( 2618640 4999810 )
NEW met2 ( 2561520 4998515 ) ( 2561520 4999810 )
NEW met2 ( 2518320 4998330 ) ( 2518320 4998515 )
NEW met3 ( 2518320 4998330 ) ( 2519520 4998330 0 )
NEW met1 ( 2518320 4998515 ) ( 2561520 4998515 )
NEW met2 ( 2642160 4979090 ) via2_FR
NEW met2 ( 2618640 4979090 ) via2_FR
NEW met2 ( 2618640 4999810 ) via2_FR
NEW met2 ( 2561520 4999810 ) via2_FR
NEW met1 ( 2561520 4998515 ) M1M2_PR
NEW met1 ( 2518320 4998515 ) M1M2_PR
NEW met2 ( 2518320 4998330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[17\] ( padframe mprj_io_holdover[17] ) ( gpio_control_in\[17\] pad_gpio_holdover )
+ ROUTED met2 ( 2328240 4977610 ) ( 2328240 5031630 )
NEW met2 ( 2176560 5012205 ) ( 2176560 5031630 )
NEW met3 ( 2176560 5031630 ) ( 2328240 5031630 )
NEW met2 ( 2385360 4977610 ) ( 2386800 4977610 0 )
NEW met3 ( 2328240 4977610 ) ( 2385360 4977610 )
NEW met2 ( 2135760 5012205 ) ( 2135760 5012390 )
NEW met3 ( 2134560 5012390 0 ) ( 2135760 5012390 )
NEW met1 ( 2135760 5012205 ) ( 2176560 5012205 )
NEW met2 ( 2328240 5031630 ) via2_FR
NEW met2 ( 2328240 4977610 ) via2_FR
NEW met1 ( 2176560 5012205 ) M1M2_PR
NEW met2 ( 2176560 5031630 ) via2_FR
NEW met2 ( 2385360 4977610 ) via2_FR
NEW met1 ( 2135760 5012205 ) M1M2_PR
NEW met2 ( 2135760 5012390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[18\] ( padframe mprj_io_holdover[18] ) ( gpio_control_in\[18\] pad_gpio_holdover )
+ ROUTED met2 ( 1940400 4978350 ) ( 1941840 4978350 0 )
NEW met2 ( 1940400 4978165 ) ( 1940400 4978350 )
NEW met1 ( 1916400 4978165 ) ( 1940400 4978165 )
NEW met2 ( 1916400 4978165 ) ( 1916400 5004065 )
NEW met2 ( 1798320 5004065 ) ( 1798320 5004250 )
NEW met3 ( 1797120 5004250 0 ) ( 1798320 5004250 )
NEW met1 ( 1798320 5004065 ) ( 1916400 5004065 )
NEW met1 ( 1940400 4978165 ) M1M2_PR
NEW met1 ( 1916400 4978165 ) M1M2_PR
NEW met1 ( 1916400 5004065 ) M1M2_PR
NEW met1 ( 1798320 5004065 ) M1M2_PR
NEW met2 ( 1798320 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[19\] ( padframe mprj_io_holdover[19] ) ( gpio_control_in\[19\] pad_gpio_holdover )
+ ROUTED met2 ( 1432800 4978350 0 ) ( 1434000 4978350 )
NEW met2 ( 1544400 5014425 ) ( 1544400 5014610 )
NEW met3 ( 1544400 5014610 ) ( 1545120 5014610 0 )
NEW met3 ( 1490400 4978350 ) ( 1490400 4979090 )
NEW met3 ( 1490400 4979090 ) ( 1496880 4979090 )
NEW met2 ( 1496880 4979090 ) ( 1496880 5014425 )
NEW met3 ( 1434000 4978350 ) ( 1490400 4978350 )
NEW met1 ( 1496880 5014425 ) ( 1544400 5014425 )
NEW met2 ( 1434000 4978350 ) via2_FR
NEW met1 ( 1544400 5014425 ) M1M2_PR
NEW met2 ( 1544400 5014610 ) via2_FR
NEW met2 ( 1496880 4979090 ) via2_FR
NEW met1 ( 1496880 5014425 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[1\] ( padframe mprj_io_holdover[1] ) ( gpio_control_bidir\[1\] pad_gpio_holdover )
+ ROUTED met2 ( 3375600 783290 ) ( 3376560 783290 )
NEW met2 ( 3376560 782550 ) ( 3376560 783290 )
NEW met2 ( 3376560 782550 ) ( 3377040 782550 )
NEW met2 ( 3377040 782550 ) ( 3377040 783290 0 )
NEW met3 ( 3375600 860250 ) ( 3375840 860250 )
NEW met3 ( 3375840 860250 ) ( 3375840 860990 0 )
NEW met2 ( 3375600 783290 ) ( 3375600 860250 )
NEW met2 ( 3375600 860250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[20\] ( padframe mprj_io_holdover[20] ) ( gpio_control_in\[20\] pad_gpio_holdover )
+ ROUTED met2 ( 1174800 4969655 ) ( 1174800 4977610 0 )
NEW met2 ( 1252080 4969655 ) ( 1252080 4978535 )
NEW met1 ( 1252080 4978535 ) ( 1285680 4978535 )
NEW met2 ( 1285680 4978535 ) ( 1285680 4979090 )
NEW met3 ( 1285680 4979090 ) ( 1287360 4979090 0 )
NEW met1 ( 1174800 4969655 ) ( 1252080 4969655 )
NEW met1 ( 1174800 4969655 ) M1M2_PR
NEW met1 ( 1252080 4969655 ) M1M2_PR
NEW met1 ( 1252080 4978535 ) M1M2_PR
NEW met1 ( 1285680 4978535 ) M1M2_PR
NEW met2 ( 1285680 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[21\] ( padframe mprj_io_holdover[21] ) ( gpio_control_in\[21\] pad_gpio_holdover )
+ ROUTED met2 ( 917760 4979090 0 ) ( 918960 4979090 )
NEW met3 ( 946080 4976870 ) ( 946080 4979090 )
NEW met3 ( 946080 4976870 ) ( 1029600 4976870 )
NEW met3 ( 1029600 4976870 ) ( 1029600 4979090 )
NEW met3 ( 1029600 4979090 ) ( 1030560 4979090 0 )
NEW met3 ( 918960 4979090 ) ( 946080 4979090 )
NEW met2 ( 918960 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[22\] ( padframe mprj_io_holdover[22] ) ( gpio_control_in\[22\] pad_gpio_holdover )
+ ROUTED met3 ( 773280 4976130 ) ( 773280 4979090 0 )
NEW met2 ( 734160 4976130 ) ( 734160 4976315 )
NEW met1 ( 660720 4976315 ) ( 734160 4976315 )
NEW met2 ( 660720 4976315 ) ( 660720 4977610 0 )
NEW met3 ( 734160 4976130 ) ( 773280 4976130 )
NEW met2 ( 734160 4976130 ) via2_FR
NEW met1 ( 734160 4976315 ) M1M2_PR
NEW met1 ( 660720 4976315 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[23\] ( padframe mprj_io_holdover[23] ) ( gpio_control_in\[23\] pad_gpio_holdover )
+ ROUTED met2 ( 403920 4979090 0 ) ( 405360 4979090 )
NEW met2 ( 474960 4979090 ) ( 474960 4979645 )
NEW met1 ( 474960 4979645 ) ( 514320 4979645 )
NEW met2 ( 514320 4979645 ) ( 514320 4979830 )
NEW met3 ( 514320 4979830 ) ( 516000 4979830 0 )
NEW met3 ( 405360 4979090 ) ( 474960 4979090 )
NEW met2 ( 405360 4979090 ) via2_FR
NEW met2 ( 474960 4979090 ) via2_FR
NEW met1 ( 474960 4979645 ) M1M2_PR
NEW met1 ( 514320 4979645 ) M1M2_PR
NEW met2 ( 514320 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[24\] ( padframe mprj_io_holdover[24] ) ( gpio_control_in\[24\] pad_gpio_holdover )
+ ROUTED met3 ( 203040 4686420 0 ) ( 203040 4688270 )
NEW met3 ( 203040 4792610 ) ( 209040 4792610 )
NEW met2 ( 209040 4792610 ) ( 209040 4793720 0 )
NEW met4 ( 203040 4688270 ) ( 203040 4792610 )
NEW met3 ( 203040 4688270 ) M3M4_PR_M
NEW met3 ( 203040 4792610 ) M3M4_PR_M
NEW met2 ( 209040 4792610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[25\] ( padframe mprj_io_holdover[25] ) ( gpio_control_in\[25\] pad_gpio_holdover )
+ ROUTED met3 ( 212640 4057050 0 ) ( 215760 4057050 )
NEW met2 ( 210960 3944785 0 ) ( 210960 3947345 )
NEW met1 ( 210960 3947345 ) ( 217200 3947345 )
NEW met2 ( 217200 3947345 ) ( 217200 4012835 )
NEW met1 ( 215760 4012835 ) ( 217200 4012835 )
NEW met2 ( 215760 4012835 ) ( 215760 4057050 )
NEW met2 ( 215760 4057050 ) via2_FR
NEW met1 ( 210960 3947345 ) M1M2_PR
NEW met1 ( 217200 3947345 ) M1M2_PR
NEW met1 ( 217200 4012835 ) M1M2_PR
NEW met1 ( 215760 4012835 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[26\] ( padframe mprj_io_holdover[26] ) ( gpio_control_in\[26\] pad_gpio_holdover )
+ ROUTED met3 ( 210480 3731450 ) ( 212640 3731450 )
NEW met2 ( 210480 3728860 0 ) ( 210480 3731450 )
NEW met3 ( 212640 3839490 ) ( 212640 3840970 0 )
NEW met4 ( 212640 3731450 ) ( 212640 3839490 )
NEW met3 ( 212640 3731450 ) M3M4_PR_M
NEW met2 ( 210480 3731450 ) via2_FR
NEW met3 ( 212640 3839490 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[27\] ( padframe mprj_io_holdover[27] ) ( gpio_control_in\[27\] pad_gpio_holdover )
+ ROUTED met1 ( 216720 3556995 ) ( 216720 3558105 )
NEW met1 ( 216720 3558105 ) ( 217200 3558105 )
NEW met2 ( 217200 3558105 ) ( 217200 3570315 )
NEW met1 ( 208560 3570315 ) ( 217200 3570315 )
NEW met2 ( 208560 3570315 ) ( 208560 3623410 )
NEW met3 ( 208560 3623410 ) ( 208800 3623410 )
NEW met3 ( 208800 3623410 ) ( 208800 3625260 0 )
NEW met2 ( 210960 3512780 0 ) ( 210960 3514445 )
NEW met1 ( 210960 3514445 ) ( 215760 3514445 )
NEW met2 ( 215760 3514445 ) ( 215760 3532390 )
NEW met2 ( 215760 3532390 ) ( 216720 3532390 )
NEW met2 ( 216720 3532390 ) ( 216720 3556995 )
NEW met1 ( 216720 3556995 ) M1M2_PR
NEW met1 ( 217200 3558105 ) M1M2_PR
NEW met1 ( 217200 3570315 ) M1M2_PR
NEW met1 ( 208560 3570315 ) M1M2_PR
NEW met2 ( 208560 3623410 ) via2_FR
NEW met1 ( 210960 3514445 ) M1M2_PR
NEW met1 ( 215760 3514445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[28\] ( padframe mprj_io_holdover[28] ) ( gpio_control_in\[28\] pad_gpio_holdover )
+ ROUTED met3 ( 210720 3407330 ) ( 210960 3407330 )
NEW met3 ( 210720 3407330 ) ( 210720 3409550 0 )
NEW met2 ( 210960 3296700 0 ) ( 210960 3299475 )
NEW met1 ( 210960 3299475 ) ( 213840 3299475 )
NEW met2 ( 213840 3299475 ) ( 213840 3316865 )
NEW met1 ( 213840 3316865 ) ( 218640 3316865 )
NEW met2 ( 218640 3316865 ) ( 218640 3354975 )
NEW met1 ( 210960 3354975 ) ( 218640 3354975 )
NEW met2 ( 210960 3354975 ) ( 210960 3407330 )
NEW met2 ( 210960 3407330 ) via2_FR
NEW met1 ( 210960 3299475 ) M1M2_PR
NEW met1 ( 213840 3299475 ) M1M2_PR
NEW met1 ( 213840 3316865 ) M1M2_PR
NEW met1 ( 218640 3316865 ) M1M2_PR
NEW met1 ( 218640 3354975 ) M1M2_PR
NEW met1 ( 210960 3354975 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[29\] ( padframe mprj_io_holdover[29] ) ( gpio_control_in\[29\] pad_gpio_holdover )
+ ROUTED met3 ( 206640 3191990 ) ( 206880 3191990 )
NEW met3 ( 206880 3191990 ) ( 206880 3193470 0 )
NEW met3 ( 206640 3138710 ) ( 206880 3138710 )
NEW met4 ( 206880 3083210 ) ( 206880 3138710 )
NEW met3 ( 206880 3083210 ) ( 209040 3083210 )
NEW met2 ( 209040 3080785 0 ) ( 209040 3083210 )
NEW met2 ( 206640 3138710 ) ( 206640 3191990 )
NEW met2 ( 206640 3191990 ) via2_FR
NEW met2 ( 206640 3138710 ) via2_FR
NEW met3 ( 206880 3138710 ) M3M4_PR_M
NEW met3 ( 206880 3083210 ) M3M4_PR_M
NEW met2 ( 209040 3083210 ) via2_FR
NEW met3 ( 206640 3138710 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[2\] ( padframe mprj_io_holdover[2] ) ( gpio_control_in\[2\] pad_gpio_holdover )
+ ROUTED met2 ( 3376560 1008250 ) ( 3377040 1008250 0 )
NEW met2 ( 3376560 1008250 ) ( 3376560 1010285 )
NEW met1 ( 3375600 1010285 ) ( 3376560 1010285 )
NEW met2 ( 3375600 1010285 ) ( 3375600 1084470 )
NEW met3 ( 3375600 1084470 ) ( 3375840 1084470 )
NEW met3 ( 3375840 1084470 ) ( 3375840 1086320 0 )
NEW met1 ( 3376560 1010285 ) M1M2_PR
NEW met1 ( 3375600 1010285 ) M1M2_PR
NEW met2 ( 3375600 1084470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[30\] ( padframe mprj_io_holdover[30] ) ( gpio_control_in\[30\] pad_gpio_holdover )
+ ROUTED met3 ( 208800 2975910 ) ( 209040 2975910 )
NEW met3 ( 208800 2975910 ) ( 208800 2977390 0 )
NEW met1 ( 209040 2921335 ) ( 217200 2921335 )
NEW met2 ( 217200 2894325 ) ( 217200 2921335 )
NEW met1 ( 215280 2894325 ) ( 217200 2894325 )
NEW met2 ( 215280 2867315 ) ( 215280 2894325 )
NEW met1 ( 210960 2867315 ) ( 215280 2867315 )
NEW met2 ( 210960 2866390 ) ( 210960 2867315 )
NEW met2 ( 210480 2866390 ) ( 210960 2866390 )
NEW met2 ( 210480 2864910 0 ) ( 210480 2866390 )
NEW met2 ( 209040 2921335 ) ( 209040 2975910 )
NEW met2 ( 209040 2975910 ) via2_FR
NEW met1 ( 209040 2921335 ) M1M2_PR
NEW met1 ( 217200 2921335 ) M1M2_PR
NEW met1 ( 217200 2894325 ) M1M2_PR
NEW met1 ( 215280 2894325 ) M1M2_PR
NEW met1 ( 215280 2867315 ) M1M2_PR
NEW met1 ( 210960 2867315 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[31\] ( padframe mprj_io_holdover[31] ) ( gpio_control_in\[31\] pad_gpio_holdover )
+ ROUTED met3 ( 209760 2759830 ) ( 210000 2759830 )
NEW met3 ( 209760 2759830 ) ( 209760 2761310 0 )
NEW met1 ( 210000 2704885 ) ( 212400 2704885 )
NEW met2 ( 212400 2704330 ) ( 212400 2704885 )
NEW met2 ( 212400 2704330 ) ( 212880 2704330 )
NEW met2 ( 212880 2666590 ) ( 212880 2704330 )
NEW met2 ( 212400 2666590 ) ( 212880 2666590 )
NEW met2 ( 212400 2651235 ) ( 212400 2666590 )
NEW met1 ( 210480 2651235 ) ( 212400 2651235 )
NEW met2 ( 210000 2704885 ) ( 210000 2759830 )
NEW met2 ( 210480 2648830 0 ) ( 210480 2651235 )
NEW met2 ( 210000 2759830 ) via2_FR
NEW met1 ( 210000 2704885 ) M1M2_PR
NEW met1 ( 212400 2704885 ) M1M2_PR
NEW met1 ( 212400 2651235 ) M1M2_PR
NEW met1 ( 210480 2651235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[32\] ( padframe mprj_io_holdover[32] ) ( gpio_control_in\[32\] pad_gpio_holdover )
+ ROUTED met3 ( 207840 2013170 ) ( 209040 2013170 )
NEW met2 ( 209040 2010785 0 ) ( 209040 2013170 )
NEW met3 ( 207840 2121950 ) ( 207840 2123430 0 )
NEW met4 ( 207840 2013170 ) ( 207840 2121950 )
NEW met3 ( 207840 2013170 ) M3M4_PR_M
NEW met2 ( 209040 2013170 ) via2_FR
NEW met3 ( 207840 2121950 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[33\] ( padframe mprj_io_holdover[33] ) ( gpio_control_in\[33\] pad_gpio_holdover )
+ ROUTED met3 ( 210480 1797090 ) ( 210720 1797090 )
NEW met2 ( 210480 1794870 0 ) ( 210480 1797090 )
NEW met3 ( 210720 1905870 ) ( 210720 1907350 0 )
NEW met3 ( 210720 1842230 ) ( 210720 1843710 )
NEW met4 ( 210720 1797090 ) ( 210720 1842230 )
NEW met4 ( 210720 1843710 ) ( 210720 1905870 )
NEW met3 ( 210720 1797090 ) M3M4_PR_M
NEW met2 ( 210480 1797090 ) via2_FR
NEW met3 ( 210720 1905870 ) M3M4_PR_M
NEW met3 ( 210720 1842230 ) M3M4_PR_M
NEW met3 ( 210720 1843710 ) M3M4_PR_M
NEW met3 ( 210720 1797090 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[34\] ( padframe mprj_io_holdover[34] ) ( gpio_control_in\[34\] pad_gpio_holdover )
+ ROUTED met3 ( 207840 1581010 ) ( 209040 1581010 )
NEW met2 ( 209040 1578790 0 ) ( 209040 1581010 )
NEW met3 ( 207840 1689790 ) ( 207840 1691270 0 )
NEW met4 ( 207840 1581010 ) ( 207840 1689790 )
NEW met3 ( 207840 1581010 ) M3M4_PR_M
NEW met2 ( 209040 1581010 ) via2_FR
NEW met3 ( 207840 1689790 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[35\] ( padframe mprj_io_holdover[35] ) ( gpio_control_in\[35\] pad_gpio_holdover )
+ ROUTED met3 ( 207840 1364930 ) ( 209040 1364930 )
NEW met2 ( 209040 1362710 0 ) ( 209040 1364930 )
NEW met3 ( 207840 1473710 ) ( 207840 1475190 0 )
NEW met4 ( 207840 1364930 ) ( 207840 1473710 )
NEW met3 ( 207840 1364930 ) M3M4_PR_M
NEW met2 ( 209040 1364930 ) via2_FR
NEW met3 ( 207840 1473710 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[36\] ( padframe mprj_io_holdover[36] ) ( gpio_control_in\[36\] pad_gpio_holdover )
+ ROUTED met3 ( 205920 1148110 ) ( 209040 1148110 )
NEW met2 ( 209040 1146785 0 ) ( 209040 1148110 )
NEW met3 ( 205920 1257630 ) ( 205920 1259110 0 )
NEW met4 ( 205920 1148110 ) ( 205920 1257630 )
NEW met3 ( 205920 1148110 ) M3M4_PR_M
NEW met2 ( 209040 1148110 ) via2_FR
NEW met3 ( 205920 1257630 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[37\] ( padframe mprj_io_holdover[37] ) ( gpio_control_in\[37\] pad_gpio_holdover )
+ ROUTED met3 ( 205920 1041550 ) ( 205920 1043030 0 )
NEW met3 ( 205920 933510 ) ( 209040 933510 )
NEW met2 ( 209040 930920 0 ) ( 209040 933510 )
NEW met4 ( 205920 933510 ) ( 205920 1041550 )
NEW met3 ( 205920 1041550 ) M3M4_PR_M
NEW met3 ( 205920 933510 ) M3M4_PR_M
NEW met2 ( 209040 933510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[3\] ( padframe mprj_io_holdover[3] ) ( gpio_control_in\[3\] pad_gpio_holdover )
+ ROUTED met1 ( 3371760 1236355 ) ( 3376080 1236355 )
NEW met2 ( 3376080 1234320 ) ( 3376080 1236355 )
NEW met2 ( 3376080 1234320 ) ( 3376560 1234320 )
NEW met2 ( 3376560 1233950 ) ( 3376560 1234320 )
NEW met2 ( 3376560 1233950 ) ( 3377040 1233950 )
NEW met2 ( 3377040 1233950 ) ( 3377040 1234320 0 )
NEW met3 ( 3371760 1312390 ) ( 3373920 1312390 0 )
NEW met2 ( 3371760 1236355 ) ( 3371760 1312390 )
NEW met1 ( 3371760 1236355 ) M1M2_PR
NEW met1 ( 3376080 1236355 ) M1M2_PR
NEW met2 ( 3371760 1312390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[4\] ( padframe mprj_io_holdover[4] ) ( gpio_control_in\[4\] pad_gpio_holdover )
+ ROUTED met1 ( 3374160 1467605 ) ( 3375600 1467605 )
NEW met2 ( 3375600 1459280 ) ( 3375600 1467605 )
NEW met2 ( 3375600 1459280 ) ( 3377040 1459280 0 )
NEW met3 ( 3373920 1535870 ) ( 3374160 1535870 )
NEW met3 ( 3373920 1535870 ) ( 3373920 1537350 0 )
NEW met2 ( 3374160 1467605 ) ( 3374160 1535870 )
NEW met1 ( 3374160 1467605 ) M1M2_PR
NEW met1 ( 3375600 1467605 ) M1M2_PR
NEW met2 ( 3374160 1535870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[5\] ( padframe mprj_io_holdover[5] ) ( gpio_control_in\[5\] pad_gpio_holdover )
+ ROUTED met2 ( 3375600 1684240 ) ( 3377040 1684240 0 )
NEW met3 ( 3375600 1760830 ) ( 3375840 1760830 )
NEW met3 ( 3375840 1760830 ) ( 3375840 1762310 0 )
NEW met2 ( 3375600 1684240 ) ( 3375600 1760830 )
NEW met2 ( 3375600 1760830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[6\] ( padframe mprj_io_holdover[6] ) ( gpio_control_in\[6\] pad_gpio_holdover )
+ ROUTED met3 ( 3371280 1988010 ) ( 3373920 1988010 0 )
NEW met1 ( 3371280 1912345 ) ( 3377520 1912345 )
NEW met2 ( 3377520 1910310 0 ) ( 3377520 1912345 )
NEW met2 ( 3371280 1912345 ) ( 3371280 1988010 )
NEW met2 ( 3371280 1988010 ) via2_FR
NEW met1 ( 3371280 1912345 ) M1M2_PR
NEW met1 ( 3377520 1912345 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[7\] ( padframe mprj_io_holdover[7] ) ( gpio_control_in\[7\] pad_gpio_holdover )
+ ROUTED met3 ( 3374880 2429050 0 ) ( 3374880 2430530 )
NEW met3 ( 3374880 2794610 ) ( 3377520 2794610 )
NEW met2 ( 3377520 2794610 ) ( 3377520 2796090 0 )
NEW met4 ( 3374880 2430530 ) ( 3374880 2794610 )
NEW met3 ( 3374880 2430530 ) M3M4_PR_M
NEW met3 ( 3374880 2794610 ) M3M4_PR_M
NEW met2 ( 3377520 2794610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[8\] ( padframe mprj_io_holdover[8] ) ( gpio_control_in\[8\] pad_gpio_holdover )
+ ROUTED met1 ( 3359280 3020495 ) ( 3377520 3020495 )
NEW met2 ( 3377520 3020495 ) ( 3377520 3022160 0 )
NEW met1 ( 3359280 2649385 ) ( 3368400 2649385 )
NEW met2 ( 3368400 2649385 ) ( 3368400 2649570 )
NEW met3 ( 3368400 2649570 ) ( 3373920 2649570 )
NEW met3 ( 3373920 2649200 0 ) ( 3373920 2649570 )
NEW met2 ( 3359280 2649385 ) ( 3359280 3020495 )
NEW met1 ( 3359280 3020495 ) M1M2_PR
NEW met1 ( 3377520 3020495 ) M1M2_PR
NEW met1 ( 3359280 2649385 ) M1M2_PR
NEW met1 ( 3368400 2649385 ) M1M2_PR
NEW met2 ( 3368400 2649570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[9\] ( padframe mprj_io_holdover[9] ) ( gpio_control_in\[9\] pad_gpio_holdover )
+ ROUTED met3 ( 3374880 2874530 0 ) ( 3374880 2876010 )
NEW met3 ( 3374880 3244530 ) ( 3377520 3244530 )
NEW met2 ( 3377520 3244530 ) ( 3377520 3247120 0 )
NEW met4 ( 3374880 2876010 ) ( 3374880 3244530 )
NEW met3 ( 3374880 2876010 ) M3M4_PR_M
NEW met3 ( 3374880 3244530 ) M3M4_PR_M
NEW met2 ( 3377520 3244530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[0\] ( padframe mprj_io_ib_mode_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3374160 603470 ) ( 3374640 603470 )
NEW met2 ( 3374640 603470 ) ( 3374640 636770 )
NEW met3 ( 3374640 636770 ) ( 3374880 636770 )
NEW met3 ( 3374880 636770 ) ( 3374880 638250 0 )
NEW met1 ( 3374160 574055 ) ( 3377040 574055 )
NEW met2 ( 3377040 572390 0 ) ( 3377040 574055 )
NEW met2 ( 3374160 574055 ) ( 3374160 603470 )
NEW met2 ( 3374640 636770 ) via2_FR
NEW met1 ( 3374160 574055 ) M1M2_PR
NEW met1 ( 3377040 574055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[10\] ( padframe mprj_io_ib_mode_sel[10] ) ( gpio_control_in\[10\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3383520 3103190 0 ) ( 3383520 3104670 )
NEW met3 ( 3378960 3486510 ) ( 3383520 3486510 )
NEW met2 ( 3378960 3486510 ) ( 3378960 3488360 0 )
NEW met4 ( 3383520 3104670 ) ( 3383520 3486510 )
NEW met3 ( 3383520 3104670 ) M3M4_PR_M
NEW met3 ( 3383520 3486510 ) M3M4_PR_M
NEW met2 ( 3378960 3486510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[11\] ( padframe mprj_io_ib_mode_sel[11] ) ( gpio_control_in\[11\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3377760 3328150 0 ) ( 3377760 3329630 )
NEW met3 ( 3377520 3710730 ) ( 3377760 3710730 )
NEW met2 ( 3377520 3710730 ) ( 3377520 3713320 0 )
NEW met4 ( 3377760 3329630 ) ( 3377760 3710730 )
NEW met3 ( 3377760 3329630 ) M3M4_PR_M
NEW met3 ( 3377760 3710730 ) M3M4_PR_M
NEW met2 ( 3377520 3710730 ) via2_FR
NEW met3 ( 3377760 3710730 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[12\] ( padframe mprj_io_ib_mode_sel[12] ) ( gpio_control_in\[12\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3376800 3935690 ) ( 3377520 3935690 )
NEW met2 ( 3377520 3935690 ) ( 3377520 3938280 0 )
NEW met3 ( 3376800 3553850 0 ) ( 3376800 3555330 )
NEW met4 ( 3376800 3555330 ) ( 3376800 3935690 )
NEW met3 ( 3376800 3935690 ) M3M4_PR_M
NEW met2 ( 3377520 3935690 ) via2_FR
NEW met3 ( 3376800 3555330 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[13\] ( padframe mprj_io_ib_mode_sel[13] ) ( gpio_control_in\[13\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3378960 4382650 ) ( 3380640 4382650 )
NEW met2 ( 3378960 4382650 ) ( 3378960 4384500 0 )
NEW met3 ( 3380640 3778810 0 ) ( 3380640 3780290 )
NEW met4 ( 3380640 3780290 ) ( 3380640 4382650 )
NEW met3 ( 3380640 4382650 ) M3M4_PR_M
NEW met2 ( 3378960 4382650 ) via2_FR
NEW met3 ( 3380640 3780290 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[14\] ( padframe mprj_io_ib_mode_sel[14] ) ( gpio_control_in\[14\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3383520 4671250 0 ) ( 3383520 4671990 )
NEW met3 ( 3378960 4828870 ) ( 3383520 4828870 )
NEW met2 ( 3378960 4828870 ) ( 3378960 4830350 0 )
NEW met4 ( 3383520 4671990 ) ( 3383520 4828870 )
NEW met3 ( 3383520 4671990 ) M3M4_PR_M
NEW met3 ( 3383520 4828870 ) M3M4_PR_M
NEW met2 ( 3378960 4828870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[15\] ( padframe mprj_io_ib_mode_sel[15] ) ( gpio_control_in\[15\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 2966640 4989265 ) ( 2966640 4997035 )
NEW met2 ( 3135600 4978350 ) ( 3137520 4978350 0 )
NEW met2 ( 3135600 4978165 ) ( 3135600 4978350 )
NEW met1 ( 3128880 4978165 ) ( 3135600 4978165 )
NEW met2 ( 3128880 4978165 ) ( 3128880 4997035 )
NEW met1 ( 2966640 4997035 ) ( 3128880 4997035 )
NEW met2 ( 2779920 4989265 ) ( 2779920 4989450 )
NEW met3 ( 2778720 4989450 0 ) ( 2779920 4989450 )
NEW met1 ( 2779920 4989265 ) ( 2966640 4989265 )
NEW met1 ( 2966640 4989265 ) M1M2_PR
NEW met1 ( 2966640 4997035 ) M1M2_PR
NEW met1 ( 3135600 4978165 ) M1M2_PR
NEW met1 ( 3128880 4978165 ) M1M2_PR
NEW met1 ( 3128880 4997035 ) M1M2_PR
NEW met1 ( 2779920 4989265 ) M1M2_PR
NEW met2 ( 2779920 4989450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[16\] ( padframe mprj_io_ib_mode_sel[16] ) ( gpio_control_in\[16\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 2626800 4978350 ) ( 2628720 4978350 0 )
NEW met2 ( 2626800 4978350 ) ( 2626800 4978535 )
NEW met1 ( 2620080 4978535 ) ( 2626800 4978535 )
NEW met2 ( 2620080 4978535 ) ( 2620080 4999070 )
NEW met3 ( 2560560 4999070 ) ( 2620080 4999070 )
NEW met2 ( 2560560 4999070 ) ( 2560560 4999255 )
NEW met2 ( 2523600 4999070 ) ( 2523600 4999255 )
NEW met3 ( 2521920 4999070 0 ) ( 2523600 4999070 )
NEW met1 ( 2523600 4999255 ) ( 2560560 4999255 )
NEW met1 ( 2626800 4978535 ) M1M2_PR
NEW met1 ( 2620080 4978535 ) M1M2_PR
NEW met2 ( 2620080 4999070 ) via2_FR
NEW met2 ( 2560560 4999070 ) via2_FR
NEW met1 ( 2560560 4999255 ) M1M2_PR
NEW met1 ( 2523600 4999255 ) M1M2_PR
NEW met2 ( 2523600 4999070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[17\] ( padframe mprj_io_ib_mode_sel[17] ) ( gpio_control_in\[17\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 2326320 5005175 ) ( 2326320 5029410 )
NEW met2 ( 2175600 5013315 ) ( 2175600 5029410 )
NEW met3 ( 2175600 5029410 ) ( 2326320 5029410 )
NEW met2 ( 2370480 4978350 ) ( 2371680 4978350 0 )
NEW met2 ( 2370480 4978350 ) ( 2370480 4978535 )
NEW met1 ( 2362800 4978535 ) ( 2370480 4978535 )
NEW met2 ( 2362800 4978535 ) ( 2362800 5005175 )
NEW met1 ( 2326320 5005175 ) ( 2362800 5005175 )
NEW met2 ( 2138160 5013130 ) ( 2138160 5013315 )
NEW met3 ( 2136960 5013130 0 ) ( 2138160 5013130 )
NEW met1 ( 2138160 5013315 ) ( 2175600 5013315 )
NEW met2 ( 2326320 5029410 ) via2_FR
NEW met1 ( 2326320 5005175 ) M1M2_PR
NEW met1 ( 2175600 5013315 ) M1M2_PR
NEW met2 ( 2175600 5029410 ) via2_FR
NEW met1 ( 2370480 4978535 ) M1M2_PR
NEW met1 ( 2362800 4978535 ) M1M2_PR
NEW met1 ( 2362800 5005175 ) M1M2_PR
NEW met1 ( 2138160 5013315 ) M1M2_PR
NEW met2 ( 2138160 5013130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[18\] ( padframe mprj_io_ib_mode_sel[18] ) ( gpio_control_in\[18\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1925040 4978350 ) ( 1926480 4978350 0 )
NEW met2 ( 1925040 4978350 ) ( 1925040 4978535 )
NEW met1 ( 1917360 4978535 ) ( 1925040 4978535 )
NEW met2 ( 1917360 4978535 ) ( 1917360 5004435 )
NEW met2 ( 1801200 5004250 ) ( 1801200 5004435 )
NEW met3 ( 1800000 5004250 0 ) ( 1801200 5004250 )
NEW met1 ( 1801200 5004435 ) ( 1917360 5004435 )
NEW met1 ( 1925040 4978535 ) M1M2_PR
NEW met1 ( 1917360 4978535 ) M1M2_PR
NEW met1 ( 1917360 5004435 ) M1M2_PR
NEW met1 ( 1801200 5004435 ) M1M2_PR
NEW met2 ( 1801200 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[19\] ( padframe mprj_io_ib_mode_sel[19] ) ( gpio_control_in\[19\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1417680 4979090 0 ) ( 1418640 4979090 )
NEW met2 ( 1418640 4978905 ) ( 1418640 4979090 )
NEW met1 ( 1418640 4978905 ) ( 1418640 4979645 )
NEW met2 ( 1546800 5013870 ) ( 1546800 5014055 )
NEW met3 ( 1546800 5013870 ) ( 1548000 5013870 0 )
NEW met2 ( 1497360 4979645 ) ( 1497360 5014055 )
NEW met1 ( 1418640 4979645 ) ( 1497360 4979645 )
NEW met1 ( 1497360 5014055 ) ( 1546800 5014055 )
NEW met1 ( 1418640 4978905 ) M1M2_PR
NEW met1 ( 1546800 5014055 ) M1M2_PR
NEW met2 ( 1546800 5013870 ) via2_FR
NEW met1 ( 1497360 4979645 ) M1M2_PR
NEW met1 ( 1497360 5014055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[1\] ( padframe mprj_io_ib_mode_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3378960 798460 0 ) ( 3378960 800125 )
NEW met1 ( 3378960 800125 ) ( 3378960 804935 )
NEW met3 ( 3378720 863210 ) ( 3378960 863210 )
NEW met3 ( 3378720 863210 ) ( 3378720 863950 0 )
NEW met2 ( 3378960 804935 ) ( 3378960 863210 )
NEW met1 ( 3378960 800125 ) M1M2_PR
NEW met1 ( 3378960 804935 ) M1M2_PR
NEW met2 ( 3378960 863210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[20\] ( padframe mprj_io_ib_mode_sel[20] ) ( gpio_control_in\[20\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1237680 4972430 ) ( 1237680 4980385 )
NEW met2 ( 1160880 4972430 ) ( 1160880 4977610 )
NEW met2 ( 1159680 4977610 0 ) ( 1160880 4977610 )
NEW met3 ( 1160880 4972430 ) ( 1237680 4972430 )
NEW met2 ( 1289040 4980385 ) ( 1289040 4980570 )
NEW met3 ( 1289040 4980570 ) ( 1289760 4980570 0 )
NEW met1 ( 1237680 4980385 ) ( 1289040 4980385 )
NEW met2 ( 1237680 4972430 ) via2_FR
NEW met1 ( 1237680 4980385 ) M1M2_PR
NEW met2 ( 1160880 4972430 ) via2_FR
NEW met1 ( 1289040 4980385 ) M1M2_PR
NEW met2 ( 1289040 4980570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[21\] ( padframe mprj_io_ib_mode_sel[21] ) ( gpio_control_in\[21\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 902640 4969285 ) ( 902640 4977610 0 )
NEW met2 ( 993360 4969285 ) ( 993360 4976130 )
NEW met3 ( 993360 4976130 ) ( 1032960 4976130 )
NEW met3 ( 1032960 4976130 ) ( 1032960 4979090 0 )
NEW met1 ( 902640 4969285 ) ( 993360 4969285 )
NEW met1 ( 902640 4969285 ) M1M2_PR
NEW met1 ( 993360 4969285 ) M1M2_PR
NEW met2 ( 993360 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[22\] ( padframe mprj_io_ib_mode_sel[22] ) ( gpio_control_in\[22\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 748080 4968915 ) ( 748080 4978905 )
NEW met1 ( 748080 4978905 ) ( 774480 4978905 )
NEW met2 ( 774480 4978905 ) ( 774480 4979090 )
NEW met3 ( 774480 4979090 ) ( 776160 4979090 0 )
NEW met2 ( 646800 4968915 ) ( 646800 4977610 )
NEW met2 ( 645600 4977610 0 ) ( 646800 4977610 )
NEW met1 ( 646800 4968915 ) ( 748080 4968915 )
NEW met1 ( 748080 4968915 ) M1M2_PR
NEW met1 ( 748080 4978905 ) M1M2_PR
NEW met1 ( 774480 4978905 ) M1M2_PR
NEW met2 ( 774480 4979090 ) via2_FR
NEW met1 ( 646800 4968915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[23\] ( padframe mprj_io_ib_mode_sel[23] ) ( gpio_control_in\[23\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 388560 4968915 ) ( 388560 4977610 0 )
NEW met2 ( 475440 4968915 ) ( 475440 4978165 )
NEW met1 ( 475440 4978165 ) ( 511920 4978165 )
NEW met2 ( 511920 4978165 ) ( 511920 4978350 )
NEW met3 ( 511920 4978350 ) ( 518880 4978350 )
NEW met3 ( 518880 4978350 ) ( 518880 4979090 0 )
NEW met1 ( 388560 4968915 ) ( 475440 4968915 )
NEW met1 ( 388560 4968915 ) M1M2_PR
NEW met1 ( 475440 4968915 ) M1M2_PR
NEW met1 ( 475440 4978165 ) M1M2_PR
NEW met1 ( 511920 4978165 ) M1M2_PR
NEW met2 ( 511920 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[24\] ( padframe mprj_io_ib_mode_sel[24] ) ( gpio_control_in\[24\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 212640 4689010 0 ) ( 214320 4689010 )
NEW met1 ( 210480 4776145 ) ( 214320 4776145 )
NEW met2 ( 210480 4776145 ) ( 210480 4778550 0 )
NEW met2 ( 214320 4689010 ) ( 214320 4776145 )
NEW met2 ( 214320 4689010 ) via2_FR
NEW met1 ( 214320 4776145 ) M1M2_PR
NEW met1 ( 210480 4776145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[25\] ( padframe mprj_io_ib_mode_sel[25] ) ( gpio_control_in\[25\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204960 3980090 ) ( 209760 3980090 )
NEW met4 ( 204960 3980090 ) ( 204960 4009690 )
NEW met3 ( 200880 4009690 ) ( 204960 4009690 )
NEW met2 ( 200880 4009690 ) ( 200880 4058530 )
NEW met3 ( 200880 4058530 ) ( 201120 4058530 )
NEW met3 ( 201120 4058530 ) ( 201120 4060010 0 )
NEW met3 ( 209760 3946050 ) ( 213840 3946050 )
NEW met2 ( 213840 3932175 ) ( 213840 3946050 )
NEW met1 ( 210960 3932175 ) ( 213840 3932175 )
NEW met2 ( 210960 3929605 0 ) ( 210960 3932175 )
NEW met4 ( 209760 3946050 ) ( 209760 3980090 )
NEW met3 ( 209760 3980090 ) M3M4_PR_M
NEW met3 ( 204960 3980090 ) M3M4_PR_M
NEW met3 ( 204960 4009690 ) M3M4_PR_M
NEW met2 ( 200880 4009690 ) via2_FR
NEW met2 ( 200880 4058530 ) via2_FR
NEW met3 ( 209760 3946050 ) M3M4_PR_M
NEW met2 ( 213840 3946050 ) via2_FR
NEW met1 ( 213840 3932175 ) M1M2_PR
NEW met1 ( 210960 3932175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[26\] ( padframe mprj_io_ib_mode_sel[26] ) ( gpio_control_in\[26\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204960 3843190 ) ( 204960 3843930 0 )
NEW met3 ( 204960 3735150 ) ( 215760 3735150 )
NEW met2 ( 215760 3716095 ) ( 215760 3735150 )
NEW met1 ( 210480 3716095 ) ( 215760 3716095 )
NEW met2 ( 210480 3713690 0 ) ( 210480 3716095 )
NEW met4 ( 204960 3735150 ) ( 204960 3843190 )
NEW met3 ( 204960 3843190 ) M3M4_PR_M
NEW met3 ( 204960 3735150 ) M3M4_PR_M
NEW met2 ( 215760 3735150 ) via2_FR
NEW met1 ( 215760 3716095 ) M1M2_PR
NEW met1 ( 210480 3716095 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[27\] ( padframe mprj_io_ib_mode_sel[27] ) ( gpio_control_in\[27\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 207840 3626370 ) ( 207840 3627850 0 )
NEW met3 ( 207840 3527210 ) ( 212400 3527210 )
NEW met2 ( 212400 3500385 ) ( 212400 3527210 )
NEW met1 ( 210960 3500385 ) ( 212400 3500385 )
NEW met2 ( 210960 3497610 0 ) ( 210960 3500385 )
NEW met4 ( 207840 3527210 ) ( 207840 3626370 )
NEW met3 ( 207840 3626370 ) M3M4_PR_M
NEW met3 ( 207840 3527210 ) M3M4_PR_M
NEW met2 ( 212400 3527210 ) via2_FR
NEW met1 ( 212400 3500385 ) M1M2_PR
NEW met1 ( 210960 3500385 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[28\] ( padframe mprj_io_ib_mode_sel[28] ) ( gpio_control_in\[28\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 212640 3411770 0 ) ( 214560 3411770 )
NEW met2 ( 210960 3281530 0 ) ( 210960 3283195 )
NEW met1 ( 210960 3283195 ) ( 215760 3283195 )
NEW met2 ( 215760 3283195 ) ( 215760 3295590 )
NEW met3 ( 215520 3295590 ) ( 215760 3295590 )
NEW met3 ( 215520 3295590 ) ( 215520 3297810 )
NEW met3 ( 214560 3297810 ) ( 215520 3297810 )
NEW met4 ( 214560 3297810 ) ( 214560 3411770 )
NEW met3 ( 214560 3411770 ) M3M4_PR_M
NEW met1 ( 210960 3283195 ) M1M2_PR
NEW met1 ( 215760 3283195 ) M1M2_PR
NEW met2 ( 215760 3295590 ) via2_FR
NEW met3 ( 214560 3297810 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[29\] ( padframe mprj_io_ib_mode_sel[29] ) ( gpio_control_in\[29\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 201120 3194210 ) ( 201360 3194210 )
NEW met3 ( 201120 3194210 ) ( 201120 3195690 0 )
NEW met3 ( 201360 3140190 ) ( 211680 3140190 )
NEW met4 ( 211680 3067670 ) ( 211680 3140190 )
NEW met3 ( 210960 3067670 ) ( 211680 3067670 )
NEW met2 ( 210960 3065605 0 ) ( 210960 3067670 )
NEW met2 ( 201360 3140190 ) ( 201360 3194210 )
NEW met2 ( 201360 3194210 ) via2_FR
NEW met2 ( 201360 3140190 ) via2_FR
NEW met3 ( 211680 3140190 ) M3M4_PR_M
NEW met3 ( 211680 3067670 ) M3M4_PR_M
NEW met2 ( 210960 3067670 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[2\] ( padframe mprj_io_ib_mode_sel[2] ) ( gpio_control_in\[2\] pad_gpio_ib_mode_sel )
+ ROUTED met1 ( 3376080 1025085 ) ( 3377520 1025085 )
NEW met2 ( 3377520 1023420 0 ) ( 3377520 1025085 )
NEW met3 ( 3375840 1088170 ) ( 3376080 1088170 )
NEW met3 ( 3375840 1088170 ) ( 3375840 1088910 0 )
NEW met2 ( 3376080 1025085 ) ( 3376080 1088170 )
NEW met1 ( 3376080 1025085 ) M1M2_PR
NEW met1 ( 3377520 1025085 ) M1M2_PR
NEW met2 ( 3376080 1088170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[30\] ( padframe mprj_io_ib_mode_sel[30] ) ( gpio_control_in\[30\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204000 2978130 ) ( 204000 2979980 0 )
NEW met3 ( 204000 2852330 ) ( 209040 2852330 )
NEW met2 ( 209040 2849740 0 ) ( 209040 2852330 )
NEW met4 ( 204000 2852330 ) ( 204000 2978130 )
NEW met3 ( 204000 2978130 ) M3M4_PR_M
NEW met3 ( 204000 2852330 ) M3M4_PR_M
NEW met2 ( 209040 2852330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[31\] ( padframe mprj_io_ib_mode_sel[31] ) ( gpio_control_in\[31\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204960 2762050 ) ( 204960 2764270 0 )
NEW met2 ( 210480 2633660 0 ) ( 210480 2635325 )
NEW met1 ( 210480 2635325 ) ( 215760 2635325 )
NEW met2 ( 215760 2635325 ) ( 215760 2646795 )
NEW met1 ( 214800 2646795 ) ( 215760 2646795 )
NEW met3 ( 204960 2662890 ) ( 214800 2662890 )
NEW met4 ( 204960 2662890 ) ( 204960 2762050 )
NEW met2 ( 214800 2646795 ) ( 214800 2662890 )
NEW met3 ( 204960 2762050 ) M3M4_PR_M
NEW met1 ( 210480 2635325 ) M1M2_PR
NEW met1 ( 215760 2635325 ) M1M2_PR
NEW met1 ( 215760 2646795 ) M1M2_PR
NEW met1 ( 214800 2646795 ) M1M2_PR
NEW met3 ( 204960 2662890 ) M3M4_PR_M
NEW met2 ( 214800 2662890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[32\] ( padframe mprj_io_ib_mode_sel[32] ) ( gpio_control_in\[32\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1997630 ) ( 209040 1997630 )
NEW met2 ( 209040 1995605 0 ) ( 209040 1997630 )
NEW met3 ( 205920 2124170 ) ( 205920 2126020 0 )
NEW met4 ( 205920 1997630 ) ( 205920 2124170 )
NEW met3 ( 205920 1997630 ) M3M4_PR_M
NEW met2 ( 209040 1997630 ) via2_FR
NEW met3 ( 205920 2124170 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[33\] ( padframe mprj_io_ib_mode_sel[33] ) ( gpio_control_in\[33\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1782290 ) ( 209040 1782290 )
NEW met2 ( 209040 1779700 0 ) ( 209040 1782290 )
NEW met3 ( 205920 1908090 ) ( 205920 1909940 0 )
NEW met4 ( 205920 1782290 ) ( 205920 1908090 )
NEW met3 ( 205920 1782290 ) M3M4_PR_M
NEW met2 ( 209040 1782290 ) via2_FR
NEW met3 ( 205920 1908090 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[34\] ( padframe mprj_io_ib_mode_sel[34] ) ( gpio_control_in\[34\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1566210 ) ( 209040 1566210 )
NEW met2 ( 209040 1563620 0 ) ( 209040 1566210 )
NEW met3 ( 205920 1692750 ) ( 205920 1694230 0 )
NEW met4 ( 205920 1566210 ) ( 205920 1692750 )
NEW met3 ( 205920 1566210 ) M3M4_PR_M
NEW met2 ( 209040 1566210 ) via2_FR
NEW met3 ( 205920 1692750 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[35\] ( padframe mprj_io_ib_mode_sel[35] ) ( gpio_control_in\[35\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1350130 ) ( 209040 1350130 )
NEW met2 ( 209040 1347540 0 ) ( 209040 1350130 )
NEW met3 ( 205920 1476670 ) ( 205920 1478150 0 )
NEW met4 ( 205920 1350130 ) ( 205920 1476670 )
NEW met3 ( 205920 1350130 ) M3M4_PR_M
NEW met2 ( 209040 1350130 ) via2_FR
NEW met3 ( 205920 1476670 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[36\] ( padframe mprj_io_ib_mode_sel[36] ) ( gpio_control_in\[36\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204960 1134050 ) ( 209040 1134050 )
NEW met2 ( 209040 1131605 0 ) ( 209040 1134050 )
NEW met3 ( 204960 1260590 ) ( 204960 1262070 0 )
NEW met4 ( 204960 1134050 ) ( 204960 1260590 )
NEW met3 ( 204960 1134050 ) M3M4_PR_M
NEW met2 ( 209040 1134050 ) via2_FR
NEW met3 ( 204960 1260590 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[37\] ( padframe mprj_io_ib_mode_sel[37] ) ( gpio_control_in\[37\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204000 1044510 ) ( 204000 1045990 0 )
NEW met3 ( 204000 917970 ) ( 209040 917970 )
NEW met2 ( 209040 915605 0 ) ( 209040 917970 )
NEW met4 ( 204000 917970 ) ( 204000 1044510 )
NEW met3 ( 204000 1044510 ) M3M4_PR_M
NEW met3 ( 204000 917970 ) M3M4_PR_M
NEW met2 ( 209040 917970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[3\] ( padframe mprj_io_ib_mode_sel[3] ) ( gpio_control_in\[3\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3377520 1249490 0 ) ( 3377520 1250970 )
NEW met2 ( 3376560 1250970 ) ( 3377520 1250970 )
NEW met2 ( 3376560 1250970 ) ( 3376560 1313870 )
NEW met3 ( 3376560 1313870 ) ( 3376800 1313870 )
NEW met3 ( 3376800 1313870 ) ( 3376800 1314980 0 )
NEW met2 ( 3376560 1313870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[4\] ( padframe mprj_io_ib_mode_sel[4] ) ( gpio_control_in\[4\] pad_gpio_ib_mode_sel )
+ ROUTED met1 ( 3374640 1477225 ) ( 3375600 1477225 )
NEW met2 ( 3375600 1474450 ) ( 3375600 1477225 )
NEW met2 ( 3375600 1474450 ) ( 3377040 1474450 0 )
NEW met3 ( 3374640 1538830 ) ( 3374880 1538830 )
NEW met3 ( 3374880 1538830 ) ( 3374880 1539940 0 )
NEW met2 ( 3374640 1477225 ) ( 3374640 1538830 )
NEW met1 ( 3374640 1477225 ) M1M2_PR
NEW met1 ( 3375600 1477225 ) M1M2_PR
NEW met2 ( 3374640 1538830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[5\] ( padframe mprj_io_ib_mode_sel[5] ) ( gpio_control_in\[5\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3376560 1699410 ) ( 3377040 1699410 0 )
NEW met2 ( 3376560 1699410 ) ( 3376560 1763790 )
NEW met3 ( 3376560 1763790 ) ( 3376800 1763790 )
NEW met3 ( 3376800 1763790 ) ( 3376800 1765270 0 )
NEW met2 ( 3376560 1763790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[6\] ( padframe mprj_io_ib_mode_sel[6] ) ( gpio_control_in\[6\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3375840 1989490 ) ( 3376080 1989490 )
NEW met3 ( 3375840 1989490 ) ( 3375840 1990970 0 )
NEW met1 ( 3376080 1927145 ) ( 3377520 1927145 )
NEW met2 ( 3377520 1925480 0 ) ( 3377520 1927145 )
NEW met2 ( 3376080 1927145 ) ( 3376080 1989490 )
NEW met2 ( 3376080 1989490 ) via2_FR
NEW met1 ( 3376080 1927145 ) M1M2_PR
NEW met1 ( 3377520 1927145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[7\] ( padframe mprj_io_ib_mode_sel[7] ) ( gpio_control_in\[7\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3377760 2432010 0 ) ( 3377760 2433490 )
NEW met3 ( 3377520 2808670 ) ( 3377760 2808670 )
NEW met2 ( 3377520 2808670 ) ( 3377520 2811260 0 )
NEW met4 ( 3377760 2433490 ) ( 3377760 2808670 )
NEW met3 ( 3377760 2433490 ) M3M4_PR_M
NEW met3 ( 3377760 2808670 ) M3M4_PR_M
NEW met2 ( 3377520 2808670 ) via2_FR
NEW met3 ( 3377760 2808670 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[8\] ( padframe mprj_io_ib_mode_sel[8] ) ( gpio_control_in\[8\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3373920 2651790 0 ) ( 3373920 2653270 )
NEW met3 ( 3373920 3035850 ) ( 3377520 3035850 )
NEW met2 ( 3377520 3035850 ) ( 3377520 3037330 0 )
NEW met4 ( 3373920 2653270 ) ( 3373920 3035850 )
NEW met3 ( 3373920 2653270 ) M3M4_PR_M
NEW met3 ( 3373920 3035850 ) M3M4_PR_M
NEW met2 ( 3377520 3035850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[9\] ( padframe mprj_io_ib_mode_sel[9] ) ( gpio_control_in\[9\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3372000 2876750 ) ( 3373920 2876750 0 )
NEW met3 ( 3372000 3260810 ) ( 3377520 3260810 )
NEW met2 ( 3377520 3260810 ) ( 3377520 3262290 0 )
NEW met4 ( 3372000 2876750 ) ( 3372000 3260810 )
NEW met3 ( 3372000 2876750 ) M3M4_PR_M
NEW met3 ( 3372000 3260810 ) M3M4_PR_M
NEW met2 ( 3377520 3260810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[0\] ( padframe mprj_io_in[0] ) ( gpio_control_bidir\[0\] pad_gpio_in )
+ ROUTED met3 ( 3383520 590150 ) ( 3385200 590150 )
NEW met2 ( 3385200 590150 ) ( 3385200 638990 )
NEW met3 ( 3385200 638990 ) ( 3385440 638990 )
NEW met3 ( 3385440 638990 ) ( 3385440 640470 0 )
NEW met2 ( 3378960 504680 0 ) ( 3378960 507270 )
NEW met3 ( 3378960 507270 ) ( 3383520 507270 )
NEW met4 ( 3383520 507270 ) ( 3383520 590150 )
NEW met3 ( 3383520 590150 ) M3M4_PR_M
NEW met2 ( 3385200 590150 ) via2_FR
NEW met2 ( 3385200 638990 ) via2_FR
NEW met2 ( 3378960 507270 ) via2_FR
NEW met3 ( 3383520 507270 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[10\] ( padframe mprj_io_in[10] ) ( gpio_control_in\[10\] pad_gpio_in )
+ ROUTED met1 ( 3377520 3416765 ) ( 3377520 3418245 )
NEW met2 ( 3377520 3418245 ) ( 3377520 3420650 0 )
NEW met1 ( 3372240 3139265 ) ( 3375600 3139265 )
NEW met2 ( 3375600 3106890 ) ( 3375600 3139265 )
NEW met3 ( 3375600 3106890 ) ( 3375840 3106890 )
NEW met3 ( 3375840 3105410 0 ) ( 3375840 3106890 )
NEW met1 ( 3372240 3292445 ) ( 3377520 3292445 )
NEW met2 ( 3372240 3139265 ) ( 3372240 3292445 )
NEW met2 ( 3377520 3292445 ) ( 3377520 3416765 )
NEW met1 ( 3377520 3416765 ) M1M2_PR
NEW met1 ( 3377520 3418245 ) M1M2_PR
NEW met1 ( 3372240 3139265 ) M1M2_PR
NEW met1 ( 3375600 3139265 ) M1M2_PR
NEW met2 ( 3375600 3106890 ) via2_FR
NEW met1 ( 3372240 3292445 ) M1M2_PR
NEW met1 ( 3377520 3292445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[11\] ( padframe mprj_io_in[11] ) ( gpio_control_in\[11\] pad_gpio_in )
+ ROUTED met2 ( 3370320 3428050 ) ( 3371280 3428050 )
NEW met1 ( 3370320 3643205 ) ( 3377520 3643205 )
NEW met2 ( 3377520 3643205 ) ( 3377520 3645775 0 )
NEW met3 ( 3371280 3330740 ) ( 3373920 3330740 0 )
NEW met2 ( 3371280 3330740 ) ( 3371280 3428050 )
NEW met2 ( 3370320 3428050 ) ( 3370320 3643205 )
NEW met1 ( 3370320 3643205 ) M1M2_PR
NEW met1 ( 3377520 3643205 ) M1M2_PR
NEW met2 ( 3371280 3330740 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[12\] ( padframe mprj_io_in[12] ) ( gpio_control_in\[12\] pad_gpio_in )
+ ROUTED met2 ( 3378960 3868350 ) ( 3378960 3870775 0 )
NEW met3 ( 3378960 3868350 ) ( 3383520 3868350 )
NEW met3 ( 3389280 3556810 0 ) ( 3389280 3558290 )
NEW met3 ( 3383520 3707030 ) ( 3383520 3708510 )
NEW met4 ( 3383520 3640430 ) ( 3383520 3707030 )
NEW met3 ( 3383520 3640430 ) ( 3389280 3640430 )
NEW met4 ( 3383520 3708510 ) ( 3383520 3868350 )
NEW met4 ( 3389280 3558290 ) ( 3389280 3640430 )
NEW met2 ( 3378960 3868350 ) via2_FR
NEW met3 ( 3383520 3868350 ) M3M4_PR_M
NEW met3 ( 3389280 3558290 ) M3M4_PR_M
NEW met3 ( 3383520 3708510 ) M3M4_PR_M
NEW met3 ( 3383520 3707030 ) M3M4_PR_M
NEW met3 ( 3383520 3640430 ) M3M4_PR_M
NEW met3 ( 3389280 3640430 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[13\] ( padframe mprj_io_in[13] ) ( gpio_control_in\[13\] pad_gpio_in )
+ ROUTED met3 ( 3372720 3877970 ) ( 3385440 3877970 )
NEW met4 ( 3385440 3877785 ) ( 3385440 3877970 )
NEW met4 ( 3385440 3877785 ) ( 3386400 3877785 )
NEW met4 ( 3386400 3864465 ) ( 3386400 3877785 )
NEW met4 ( 3384480 3864465 ) ( 3386400 3864465 )
NEW met1 ( 3372720 4314755 ) ( 3377040 4314755 )
NEW met2 ( 3377040 4314755 ) ( 3377040 4316790 0 )
NEW met2 ( 3372720 3877970 ) ( 3372720 4314755 )
NEW met4 ( 3384480 3821175 ) ( 3386400 3821175 )
NEW met4 ( 3386400 3783250 ) ( 3386400 3821175 )
NEW met3 ( 3386400 3781770 0 ) ( 3386400 3783250 )
NEW met4 ( 3384480 3821175 ) ( 3384480 3864465 )
NEW met2 ( 3372720 3877970 ) via2_FR
NEW met3 ( 3385440 3877970 ) M3M4_PR_M
NEW met1 ( 3372720 4314755 ) M1M2_PR
NEW met1 ( 3377040 4314755 ) M1M2_PR
NEW met3 ( 3386400 3783250 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[14\] ( padframe mprj_io_in[14] ) ( gpio_control_in\[14\] pad_gpio_in )
+ ROUTED met3 ( 3370320 4673470 ) ( 3373920 4673470 0 )
NEW met1 ( 3370320 4760235 ) ( 3377520 4760235 )
NEW met2 ( 3377520 4760235 ) ( 3377520 4762640 0 )
NEW met2 ( 3370320 4673470 ) ( 3370320 4760235 )
NEW met2 ( 3370320 4673470 ) via2_FR
NEW met1 ( 3370320 4760235 ) M1M2_PR
NEW met1 ( 3377520 4760235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[15\] ( padframe mprj_io_in[15] ) ( gpio_control_in\[15\] pad_gpio_in )
+ ROUTED met2 ( 3205200 4969285 ) ( 3205200 4977610 0 )
NEW met2 ( 2816400 4969285 ) ( 2816400 4978905 )
NEW met1 ( 2782800 4978905 ) ( 2816400 4978905 )
NEW met2 ( 2782800 4978905 ) ( 2782800 4979090 )
NEW met3 ( 2781600 4979090 0 ) ( 2782800 4979090 )
NEW met1 ( 2816400 4969285 ) ( 3205200 4969285 )
NEW met1 ( 3205200 4969285 ) M1M2_PR
NEW met1 ( 2816400 4969285 ) M1M2_PR
NEW met1 ( 2816400 4978905 ) M1M2_PR
NEW met1 ( 2782800 4978905 ) M1M2_PR
NEW met2 ( 2782800 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[16\] ( padframe mprj_io_in[16] ) ( gpio_control_in\[16\] pad_gpio_in )
+ ROUTED met2 ( 2607120 4968175 ) ( 2607120 4977795 )
NEW met1 ( 2551440 4977795 ) ( 2607120 4977795 )
NEW met2 ( 2551440 4977610 ) ( 2551440 4977795 )
NEW met3 ( 2524800 4977610 ) ( 2524800 4979090 0 )
NEW met3 ( 2524800 4977610 ) ( 2551440 4977610 )
NEW met2 ( 2694960 4968175 ) ( 2694960 4977610 )
NEW met2 ( 2694960 4977610 ) ( 2696160 4977610 0 )
NEW met1 ( 2607120 4968175 ) ( 2694960 4968175 )
NEW met1 ( 2607120 4968175 ) M1M2_PR
NEW met1 ( 2607120 4977795 ) M1M2_PR
NEW met1 ( 2551440 4977795 ) M1M2_PR
NEW met2 ( 2551440 4977610 ) via2_FR
NEW met1 ( 2694960 4968175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[17\] ( padframe mprj_io_in[17] ) ( gpio_control_in\[17\] pad_gpio_in )
+ ROUTED met2 ( 2145840 5011835 ) ( 2145840 5015165 )
NEW met1 ( 2145840 5011835 ) ( 2217840 5011835 )
NEW met2 ( 2217840 4968175 ) ( 2217840 5011835 )
NEW met2 ( 2439120 4968175 ) ( 2439120 4977610 0 )
NEW met1 ( 2217840 4968175 ) ( 2439120 4968175 )
NEW met2 ( 2141520 5015165 ) ( 2141520 5015350 )
NEW met3 ( 2139840 5015350 0 ) ( 2141520 5015350 )
NEW met1 ( 2141520 5015165 ) ( 2145840 5015165 )
NEW met1 ( 2145840 5015165 ) M1M2_PR
NEW met1 ( 2145840 5011835 ) M1M2_PR
NEW met1 ( 2217840 5011835 ) M1M2_PR
NEW met1 ( 2217840 4968175 ) M1M2_PR
NEW met1 ( 2439120 4968175 ) M1M2_PR
NEW met1 ( 2141520 5015165 ) M1M2_PR
NEW met2 ( 2141520 5015350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[18\] ( padframe mprj_io_in[18] ) ( gpio_control_in\[18\] pad_gpio_in )
+ ROUTED met2 ( 1994160 4968175 ) ( 1994160 4977610 0 )
NEW met2 ( 1829040 4968175 ) ( 1829040 4978905 )
NEW met1 ( 1804560 4978905 ) ( 1829040 4978905 )
NEW met2 ( 1804560 4978905 ) ( 1804560 4979090 )
NEW met3 ( 1802400 4979090 0 ) ( 1804560 4979090 )
NEW met1 ( 1829040 4968175 ) ( 1994160 4968175 )
NEW met1 ( 1994160 4968175 ) M1M2_PR
NEW met1 ( 1829040 4968175 ) M1M2_PR
NEW met1 ( 1829040 4978905 ) M1M2_PR
NEW met1 ( 1804560 4978905 ) M1M2_PR
NEW met2 ( 1804560 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[19\] ( padframe mprj_io_in[19] ) ( gpio_control_in\[19\] pad_gpio_in )
+ ROUTED met2 ( 1549680 4996850 ) ( 1549680 4997035 )
NEW met3 ( 1549680 4996850 ) ( 1550880 4996850 0 )
NEW met2 ( 1485360 4978350 0 ) ( 1486800 4978350 )
NEW met2 ( 1486800 4978165 ) ( 1486800 4978350 )
NEW met1 ( 1486800 4978165 ) ( 1491120 4978165 )
NEW met2 ( 1491120 4978165 ) ( 1491120 4997035 )
NEW met1 ( 1491120 4997035 ) ( 1549680 4997035 )
NEW met1 ( 1549680 4997035 ) M1M2_PR
NEW met2 ( 1549680 4996850 ) via2_FR
NEW met1 ( 1486800 4978165 ) M1M2_PR
NEW met1 ( 1491120 4978165 ) M1M2_PR
NEW met1 ( 1491120 4997035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[1\] ( padframe mprj_io_in[1] ) ( gpio_control_bidir\[1\] pad_gpio_in )
+ ROUTED met2 ( 3378960 730750 0 ) ( 3378960 732970 )
NEW met3 ( 3378960 732970 ) ( 3383520 732970 )
NEW met3 ( 3383520 806970 ) ( 3384240 806970 )
NEW met4 ( 3383520 732970 ) ( 3383520 806970 )
NEW met3 ( 3384240 865430 ) ( 3384480 865430 )
NEW met3 ( 3384480 865430 ) ( 3384480 866910 0 )
NEW met2 ( 3384240 806970 ) ( 3384240 865430 )
NEW met2 ( 3378960 732970 ) via2_FR
NEW met3 ( 3383520 732970 ) M3M4_PR_M
NEW met3 ( 3383520 806970 ) M3M4_PR_M
NEW met2 ( 3384240 806970 ) via2_FR
NEW met2 ( 3384240 865430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[20\] ( padframe mprj_io_in[20] ) ( gpio_control_in\[20\] pad_gpio_in )
+ ROUTED met2 ( 1227120 4978350 0 ) ( 1228560 4978350 )
NEW met2 ( 1228560 4978350 ) ( 1228560 4978535 )
NEW met1 ( 1228560 4978535 ) ( 1232880 4978535 )
NEW met2 ( 1232880 4978535 ) ( 1232880 4997035 )
NEW met2 ( 1291920 4996850 ) ( 1291920 4997035 )
NEW met3 ( 1291920 4996850 ) ( 1292640 4996850 0 )
NEW met1 ( 1232880 4997035 ) ( 1291920 4997035 )
NEW met1 ( 1228560 4978535 ) M1M2_PR
NEW met1 ( 1232880 4978535 ) M1M2_PR
NEW met1 ( 1232880 4997035 ) M1M2_PR
NEW met1 ( 1291920 4997035 ) M1M2_PR
NEW met2 ( 1291920 4996850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[21\] ( padframe mprj_io_in[21] ) ( gpio_control_in\[21\] pad_gpio_in )
+ ROUTED met2 ( 970320 4978350 0 ) ( 972240 4978350 )
NEW met2 ( 972240 4978165 ) ( 972240 4978350 )
NEW met1 ( 972240 4978165 ) ( 975600 4978165 )
NEW met2 ( 975600 4978165 ) ( 975600 4997035 )
NEW met1 ( 975600 4997035 ) ( 1034640 4997035 )
NEW met2 ( 1034640 4996850 ) ( 1034640 4997035 )
NEW met3 ( 1034640 4996850 ) ( 1035840 4996850 0 )
NEW met1 ( 972240 4978165 ) M1M2_PR
NEW met1 ( 975600 4978165 ) M1M2_PR
NEW met1 ( 975600 4997035 ) M1M2_PR
NEW met1 ( 1034640 4997035 ) M1M2_PR
NEW met2 ( 1034640 4996850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[22\] ( padframe mprj_io_in[22] ) ( gpio_control_in\[22\] pad_gpio_in )
+ ROUTED met2 ( 777840 4996850 ) ( 777840 4997035 )
NEW met3 ( 777840 4996850 ) ( 778560 4996850 0 )
NEW met2 ( 713280 4979090 0 ) ( 714960 4979090 )
NEW met2 ( 714960 4978905 ) ( 714960 4979090 )
NEW met1 ( 714960 4978905 ) ( 718800 4978905 )
NEW met2 ( 718800 4978905 ) ( 718800 4997035 )
NEW met1 ( 718800 4997035 ) ( 777840 4997035 )
NEW met1 ( 777840 4997035 ) M1M2_PR
NEW met2 ( 777840 4996850 ) via2_FR
NEW met1 ( 714960 4978905 ) M1M2_PR
NEW met1 ( 718800 4978905 ) M1M2_PR
NEW met1 ( 718800 4997035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[23\] ( padframe mprj_io_in[23] ) ( gpio_control_in\[23\] pad_gpio_in )
+ ROUTED met2 ( 456240 4979090 0 ) ( 457680 4979090 )
NEW met2 ( 457680 4978905 ) ( 457680 4979090 )
NEW met1 ( 457680 4978905 ) ( 520080 4978905 )
NEW met2 ( 520080 4978905 ) ( 520080 4979090 )
NEW met3 ( 520080 4979090 ) ( 521760 4979090 0 )
NEW met1 ( 457680 4978905 ) M1M2_PR
NEW met1 ( 520080 4978905 ) M1M2_PR
NEW met2 ( 520080 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[24\] ( padframe mprj_io_in[24] ) ( gpio_control_in\[24\] pad_gpio_in )
+ ROUTED met3 ( 211680 4691970 0 ) ( 211680 4693450 )
NEW met3 ( 210960 4843670 ) ( 211680 4843670 )
NEW met2 ( 210960 4843670 ) ( 210960 4846260 0 )
NEW met4 ( 211680 4693450 ) ( 211680 4843670 )
NEW met3 ( 211680 4693450 ) M3M4_PR_M
NEW met3 ( 211680 4843670 ) M3M4_PR_M
NEW met2 ( 210960 4843670 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[25\] ( padframe mprj_io_in[25] ) ( gpio_control_in\[25\] pad_gpio_in )
+ ROUTED met3 ( 209520 4061490 ) ( 209760 4061490 )
NEW met3 ( 209760 4061490 ) ( 209760 4062970 0 )
NEW met1 ( 209520 3999885 ) ( 209520 4000995 )
NEW met2 ( 209520 3997110 0 ) ( 209520 3999885 )
NEW met2 ( 209520 4000995 ) ( 209520 4061490 )
NEW met2 ( 209520 4061490 ) via2_FR
NEW met1 ( 209520 4000995 ) M1M2_PR
NEW met1 ( 209520 3999885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[26\] ( padframe mprj_io_in[26] ) ( gpio_control_in\[26\] pad_gpio_in )
+ ROUTED met2 ( 209520 3781225 0 ) ( 209520 3783805 )
NEW met1 ( 209520 3783805 ) ( 209520 3784915 )
NEW met2 ( 209520 3784915 ) ( 209520 3845410 )
NEW met3 ( 209520 3845410 ) ( 209760 3845410 )
NEW met3 ( 209760 3845410 ) ( 209760 3846890 0 )
NEW met1 ( 209520 3783805 ) M1M2_PR
NEW met1 ( 209520 3784915 ) M1M2_PR
NEW met2 ( 209520 3845410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[27\] ( padframe mprj_io_in[27] ) ( gpio_control_in\[27\] pad_gpio_in )
+ ROUTED met2 ( 209520 3565320 0 ) ( 209520 3567725 )
NEW met1 ( 209520 3567725 ) ( 209520 3569205 )
NEW met2 ( 209520 3569205 ) ( 209520 3629330 )
NEW met3 ( 209520 3629330 ) ( 209760 3629330 )
NEW met3 ( 209760 3629330 ) ( 209760 3630810 0 )
NEW met1 ( 209520 3567725 ) M1M2_PR
NEW met1 ( 209520 3569205 ) M1M2_PR
NEW met2 ( 209520 3629330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[28\] ( padframe mprj_io_in[28] ) ( gpio_control_in\[28\] pad_gpio_in )
+ ROUTED met3 ( 209760 3413250 ) ( 210000 3413250 )
NEW met3 ( 209760 3413250 ) ( 209760 3414730 0 )
NEW met1 ( 210000 3351645 ) ( 210000 3353125 )
NEW met2 ( 210000 3349240 0 ) ( 210000 3351645 )
NEW met2 ( 210000 3353125 ) ( 210000 3413250 )
NEW met2 ( 210000 3413250 ) via2_FR
NEW met1 ( 210000 3353125 ) M1M2_PR
NEW met1 ( 210000 3351645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[29\] ( padframe mprj_io_in[29] ) ( gpio_control_in\[29\] pad_gpio_in )
+ ROUTED met3 ( 209520 3197170 ) ( 209760 3197170 )
NEW met3 ( 209760 3197170 ) ( 209760 3198650 0 )
NEW met1 ( 209520 3135935 ) ( 209520 3137045 )
NEW met2 ( 209520 3133160 0 ) ( 209520 3135935 )
NEW met2 ( 209520 3137045 ) ( 209520 3197170 )
NEW met2 ( 209520 3197170 ) via2_FR
NEW met1 ( 209520 3137045 ) M1M2_PR
NEW met1 ( 209520 3135935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[2\] ( padframe mprj_io_in[2] ) ( gpio_control_in\[2\] pad_gpio_in )
+ ROUTED met2 ( 3378960 955710 0 ) ( 3378960 957930 )
NEW met3 ( 3378960 957930 ) ( 3383520 957930 )
NEW met3 ( 3383520 1050430 ) ( 3385680 1050430 )
NEW met2 ( 3385680 1050430 ) ( 3385680 1090390 )
NEW met3 ( 3385440 1090390 ) ( 3385680 1090390 )
NEW met3 ( 3385440 1090390 ) ( 3385440 1091870 0 )
NEW met4 ( 3383520 957930 ) ( 3383520 1050430 )
NEW met2 ( 3378960 957930 ) via2_FR
NEW met3 ( 3383520 957930 ) M3M4_PR_M
NEW met3 ( 3383520 1050430 ) M3M4_PR_M
NEW met2 ( 3385680 1050430 ) via2_FR
NEW met2 ( 3385680 1090390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[30\] ( padframe mprj_io_in[30] ) ( gpio_control_in\[30\] pad_gpio_in )
+ ROUTED met3 ( 209520 2981090 ) ( 209760 2981090 )
NEW met3 ( 209760 2981090 ) ( 209760 2982570 0 )
NEW met1 ( 209520 2919855 ) ( 209520 2920965 )
NEW met2 ( 209520 2917225 0 ) ( 209520 2919855 )
NEW met2 ( 209520 2920965 ) ( 209520 2981090 )
NEW met2 ( 209520 2981090 ) via2_FR
NEW met1 ( 209520 2920965 ) M1M2_PR
NEW met1 ( 209520 2919855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[31\] ( padframe mprj_io_in[31] ) ( gpio_control_in\[31\] pad_gpio_in )
+ ROUTED met3 ( 212400 2765010 ) ( 212640 2765010 )
NEW met3 ( 212640 2765010 ) ( 212640 2766490 0 )
NEW met2 ( 210960 2701225 0 ) ( 210960 2703775 )
NEW met1 ( 210960 2703775 ) ( 212880 2703775 )
NEW met1 ( 212880 2703775 ) ( 212880 2705625 )
NEW met1 ( 212400 2705625 ) ( 212880 2705625 )
NEW met2 ( 212400 2705625 ) ( 212400 2765010 )
NEW met2 ( 212400 2765010 ) via2_FR
NEW met1 ( 210960 2703775 ) M1M2_PR
NEW met1 ( 212400 2705625 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[32\] ( padframe mprj_io_in[32] ) ( gpio_control_in\[32\] pad_gpio_in )
+ ROUTED met2 ( 209040 2063120 0 ) ( 209040 2065895 )
NEW met1 ( 209040 2065895 ) ( 209040 2067005 )
NEW met2 ( 209040 2067005 ) ( 209040 2102525 )
NEW met1 ( 201360 2102525 ) ( 209040 2102525 )
NEW met2 ( 201360 2102525 ) ( 201360 2127130 )
NEW met3 ( 201120 2127130 ) ( 201360 2127130 )
NEW met3 ( 201120 2127130 ) ( 201120 2128610 0 )
NEW met1 ( 209040 2065895 ) M1M2_PR
NEW met1 ( 209040 2067005 ) M1M2_PR
NEW met1 ( 209040 2102525 ) M1M2_PR
NEW met1 ( 201360 2102525 ) M1M2_PR
NEW met2 ( 201360 2127130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[33\] ( padframe mprj_io_in[33] ) ( gpio_control_in\[33\] pad_gpio_in )
+ ROUTED met2 ( 210960 1847225 0 ) ( 210960 1849815 )
NEW met1 ( 210960 1849815 ) ( 211920 1849815 )
NEW met2 ( 211920 1849815 ) ( 211920 1911050 )
NEW met3 ( 211680 1911050 ) ( 211920 1911050 )
NEW met3 ( 211680 1911050 ) ( 211680 1912530 0 )
NEW met1 ( 210960 1849815 ) M1M2_PR
NEW met1 ( 211920 1849815 ) M1M2_PR
NEW met2 ( 211920 1911050 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[34\] ( padframe mprj_io_in[34] ) ( gpio_control_in\[34\] pad_gpio_in )
+ ROUTED met1 ( 209040 1633735 ) ( 209040 1635215 )
NEW met2 ( 209040 1631330 0 ) ( 209040 1633735 )
NEW met3 ( 208800 1694970 ) ( 209040 1694970 )
NEW met3 ( 208800 1694970 ) ( 208800 1696450 0 )
NEW met2 ( 209040 1635215 ) ( 209040 1694970 )
NEW met1 ( 209040 1635215 ) M1M2_PR
NEW met1 ( 209040 1633735 ) M1M2_PR
NEW met2 ( 209040 1694970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[35\] ( padframe mprj_io_in[35] ) ( gpio_control_in\[35\] pad_gpio_in )
+ ROUTED met2 ( 210960 1414695 ) ( 210960 1415250 0 )
NEW met1 ( 210960 1414695 ) ( 212400 1414695 )
NEW met2 ( 212400 1414695 ) ( 212400 1423390 )
NEW met2 ( 211440 1423390 ) ( 212400 1423390 )
NEW met3 ( 211440 1478890 ) ( 211680 1478890 )
NEW met3 ( 211680 1478890 ) ( 211680 1480740 0 )
NEW met2 ( 211440 1423390 ) ( 211440 1478890 )
NEW met1 ( 210960 1414695 ) M1M2_PR
NEW met1 ( 212400 1414695 ) M1M2_PR
NEW met2 ( 211440 1478890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[36\] ( padframe mprj_io_in[36] ) ( gpio_control_in\[36\] pad_gpio_in )
+ ROUTED met1 ( 209040 1201945 ) ( 209040 1203055 )
NEW met2 ( 209040 1199170 0 ) ( 209040 1201945 )
NEW met3 ( 208800 1262810 ) ( 209040 1262810 )
NEW met3 ( 208800 1262810 ) ( 208800 1264660 0 )
NEW met2 ( 209040 1203055 ) ( 209040 1262810 )
NEW met1 ( 209040 1203055 ) M1M2_PR
NEW met1 ( 209040 1201945 ) M1M2_PR
NEW met2 ( 209040 1262810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[37\] ( padframe mprj_io_in[37] ) ( gpio_control_in\[37\] pad_gpio_in )
+ ROUTED met3 ( 201120 1047470 ) ( 201360 1047470 )
NEW met3 ( 201120 1047470 ) ( 201120 1048950 0 )
NEW met1 ( 201360 1033965 ) ( 209040 1033965 )
NEW met2 ( 201360 1033965 ) ( 201360 1047470 )
NEW met1 ( 209040 985865 ) ( 209040 986975 )
NEW met2 ( 209040 983090 0 ) ( 209040 985865 )
NEW met2 ( 209040 986975 ) ( 209040 1033965 )
NEW met2 ( 201360 1047470 ) via2_FR
NEW met1 ( 201360 1033965 ) M1M2_PR
NEW met1 ( 209040 1033965 ) M1M2_PR
NEW met1 ( 209040 986975 ) M1M2_PR
NEW met1 ( 209040 985865 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[3\] ( padframe mprj_io_in[3] ) ( gpio_control_in\[3\] pad_gpio_in )
+ ROUTED met2 ( 3378960 1181780 0 ) ( 3378960 1184370 )
NEW met3 ( 3378720 1184370 ) ( 3378960 1184370 )
NEW met3 ( 3378720 1266510 ) ( 3384720 1266510 )
NEW met2 ( 3384720 1266510 ) ( 3384720 1316090 )
NEW met3 ( 3384480 1316090 ) ( 3384720 1316090 )
NEW met3 ( 3384480 1316090 ) ( 3384480 1317570 0 )
NEW met4 ( 3378720 1184370 ) ( 3378720 1266510 )
NEW met2 ( 3378960 1184370 ) via2_FR
NEW met3 ( 3378720 1184370 ) M3M4_PR_M
NEW met3 ( 3378720 1266510 ) M3M4_PR_M
NEW met2 ( 3384720 1266510 ) via2_FR
NEW met2 ( 3384720 1316090 ) via2_FR
NEW met3 ( 3378960 1184370 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_in\[4\] ( padframe mprj_io_in[4] ) ( gpio_control_in\[4\] pad_gpio_in )
+ ROUTED met2 ( 3378960 1406740 0 ) ( 3378960 1409330 )
NEW met3 ( 3378960 1409330 ) ( 3383520 1409330 )
NEW met3 ( 3383520 1496650 ) ( 3385200 1496650 )
NEW met2 ( 3385200 1496650 ) ( 3385200 1541050 )
NEW met3 ( 3385200 1541050 ) ( 3385440 1541050 )
NEW met3 ( 3385440 1541050 ) ( 3385440 1542530 0 )
NEW met4 ( 3383520 1409330 ) ( 3383520 1496650 )
NEW met2 ( 3378960 1409330 ) via2_FR
NEW met3 ( 3383520 1409330 ) M3M4_PR_M
NEW met3 ( 3383520 1496650 ) M3M4_PR_M
NEW met2 ( 3385200 1496650 ) via2_FR
NEW met2 ( 3385200 1541050 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[5\] ( padframe mprj_io_in[5] ) ( gpio_control_in\[5\] pad_gpio_in )
+ ROUTED met2 ( 3378960 1631700 0 ) ( 3378960 1634290 )
NEW met3 ( 3378960 1634290 ) ( 3383520 1634290 )
NEW met3 ( 3383520 1726050 ) ( 3385680 1726050 )
NEW met2 ( 3385680 1726050 ) ( 3385680 1766010 )
NEW met3 ( 3385440 1766010 ) ( 3385680 1766010 )
NEW met3 ( 3385440 1766010 ) ( 3385440 1767490 0 )
NEW met4 ( 3383520 1634290 ) ( 3383520 1726050 )
NEW met2 ( 3378960 1634290 ) via2_FR
NEW met3 ( 3383520 1634290 ) M3M4_PR_M
NEW met3 ( 3383520 1726050 ) M3M4_PR_M
NEW met2 ( 3385680 1726050 ) via2_FR
NEW met2 ( 3385680 1766010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[6\] ( padframe mprj_io_in[6] ) ( gpio_control_in\[6\] pad_gpio_in )
+ ROUTED met3 ( 3371040 1993930 ) ( 3373920 1993930 0 )
NEW met3 ( 3371040 1859990 ) ( 3377040 1859990 )
NEW met2 ( 3377040 1857770 0 ) ( 3377040 1859990 )
NEW met4 ( 3371040 1859990 ) ( 3371040 1993930 )
NEW met3 ( 3371040 1993930 ) M3M4_PR_M
NEW met3 ( 3371040 1859990 ) M3M4_PR_M
NEW met2 ( 3377040 1859990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[7\] ( padframe mprj_io_in[7] ) ( gpio_control_in\[7\] pad_gpio_in )
+ ROUTED met3 ( 3373200 2435710 ) ( 3373920 2435710 )
NEW met3 ( 3373920 2434970 0 ) ( 3373920 2435710 )
NEW met1 ( 3373200 2741145 ) ( 3377520 2741145 )
NEW met2 ( 3377520 2741145 ) ( 3377520 2743775 0 )
NEW met2 ( 3373200 2435710 ) ( 3373200 2741145 )
NEW met2 ( 3373200 2435710 ) via2_FR
NEW met1 ( 3373200 2741145 ) M1M2_PR
NEW met1 ( 3377520 2741145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[8\] ( padframe mprj_io_in[8] ) ( gpio_control_in\[8\] pad_gpio_in )
+ ROUTED met3 ( 3382560 2654750 0 ) ( 3382560 2656230 )
NEW met2 ( 3378960 2967030 ) ( 3378960 2969775 0 )
NEW met3 ( 3378960 2967030 ) ( 3382560 2967030 )
NEW met4 ( 3382560 2656230 ) ( 3382560 2967030 )
NEW met3 ( 3382560 2656230 ) M3M4_PR_M
NEW met2 ( 3378960 2967030 ) via2_FR
NEW met3 ( 3382560 2967030 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[9\] ( padframe mprj_io_in[9] ) ( gpio_control_in\[9\] pad_gpio_in )
+ ROUTED met3 ( 3380640 2879710 0 ) ( 3380640 2881190 )
NEW met2 ( 3378960 3192730 ) ( 3378960 3194775 0 )
NEW met3 ( 3378960 3192730 ) ( 3380640 3192730 )
NEW met4 ( 3380640 2881190 ) ( 3380640 3192730 )
NEW met3 ( 3380640 2881190 ) M3M4_PR_M
NEW met2 ( 3378960 3192730 ) via2_FR
NEW met3 ( 3380640 3192730 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[0\] ( padframe mprj_io_inp_dis[0] ) ( gpio_control_bidir\[0\] pad_gpio_inenb )
+ ROUTED met3 ( 3370080 643430 ) ( 3373920 643430 0 )
NEW met3 ( 3370080 540570 ) ( 3377040 540570 )
NEW met2 ( 3377040 538350 0 ) ( 3377040 540570 )
NEW met4 ( 3370080 540570 ) ( 3370080 643430 )
NEW met3 ( 3370080 643430 ) M3M4_PR_M
NEW met3 ( 3370080 540570 ) M3M4_PR_M
NEW met2 ( 3377040 540570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[10\] ( padframe mprj_io_inp_dis[10] ) ( gpio_control_in\[10\] pad_gpio_inenb )
+ ROUTED met1 ( 3372720 3229545 ) ( 3375120 3229545 )
NEW met1 ( 3374160 3453395 ) ( 3377520 3453395 )
NEW met2 ( 3377520 3453395 ) ( 3377520 3454320 0 )
NEW met3 ( 3372720 3109850 ) ( 3373920 3109850 )
NEW met3 ( 3373920 3108370 0 ) ( 3373920 3109850 )
NEW met2 ( 3372720 3109850 ) ( 3372720 3229545 )
NEW met2 ( 3373680 3381430 ) ( 3374160 3381430 )
NEW met2 ( 3373680 3365335 ) ( 3373680 3381430 )
NEW met1 ( 3373680 3365335 ) ( 3375120 3365335 )
NEW met2 ( 3374160 3381430 ) ( 3374160 3453395 )
NEW met2 ( 3375120 3229545 ) ( 3375120 3365335 )
NEW met1 ( 3372720 3229545 ) M1M2_PR
NEW met1 ( 3375120 3229545 ) M1M2_PR
NEW met1 ( 3374160 3453395 ) M1M2_PR
NEW met1 ( 3377520 3453395 ) M1M2_PR
NEW met2 ( 3372720 3109850 ) via2_FR
NEW met1 ( 3373680 3365335 ) M1M2_PR
NEW met1 ( 3375120 3365335 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[11\] ( padframe mprj_io_inp_dis[11] ) ( gpio_control_in\[11\] pad_gpio_inenb )
+ ROUTED met2 ( 3372240 3405850 ) ( 3373200 3405850 )
NEW met3 ( 3372240 3333330 ) ( 3373920 3333330 0 )
NEW met2 ( 3372240 3333330 ) ( 3372240 3405850 )
NEW met2 ( 3373200 3462830 ) ( 3375120 3462830 )
NEW met2 ( 3373200 3405850 ) ( 3373200 3462830 )
NEW met1 ( 3373200 3657635 ) ( 3374160 3657635 )
NEW met2 ( 3373200 3657635 ) ( 3373200 3677245 )
NEW met1 ( 3373200 3677245 ) ( 3377520 3677245 )
NEW met2 ( 3377520 3677245 ) ( 3377520 3679280 0 )
NEW met1 ( 3373200 3578455 ) ( 3374160 3578455 )
NEW met2 ( 3373200 3556625 ) ( 3373200 3578455 )
NEW met2 ( 3373200 3556625 ) ( 3373680 3556625 )
NEW met2 ( 3373680 3528135 ) ( 3373680 3556625 )
NEW met1 ( 3373680 3528135 ) ( 3375120 3528135 )
NEW met2 ( 3374160 3578455 ) ( 3374160 3657635 )
NEW met2 ( 3375120 3462830 ) ( 3375120 3528135 )
NEW met2 ( 3372240 3333330 ) via2_FR
NEW met1 ( 3374160 3657635 ) M1M2_PR
NEW met1 ( 3373200 3657635 ) M1M2_PR
NEW met1 ( 3373200 3677245 ) M1M2_PR
NEW met1 ( 3377520 3677245 ) M1M2_PR
NEW met1 ( 3374160 3578455 ) M1M2_PR
NEW met1 ( 3373200 3578455 ) M1M2_PR
NEW met1 ( 3373680 3528135 ) M1M2_PR
NEW met1 ( 3375120 3528135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[12\] ( padframe mprj_io_inp_dis[12] ) ( gpio_control_in\[12\] pad_gpio_inenb )
+ ROUTED met3 ( 3383280 3865390 ) ( 3383520 3865390 )
NEW met3 ( 3383520 3865390 ) ( 3383520 3866130 )
NEW met3 ( 3383520 3866130 ) ( 3384480 3866130 )
NEW met3 ( 3384480 3866130 ) ( 3384480 3869090 )
NEW met3 ( 3383520 3869090 ) ( 3384480 3869090 )
NEW met3 ( 3378960 3903130 ) ( 3383520 3903130 )
NEW met2 ( 3378960 3903130 ) ( 3378960 3904240 0 )
NEW met4 ( 3383520 3869090 ) ( 3383520 3903130 )
NEW met3 ( 3382560 3559400 0 ) ( 3382560 3561250 )
NEW met3 ( 3375840 3791390 ) ( 3383280 3791390 )
NEW met2 ( 3383280 3791390 ) ( 3383280 3865390 )
NEW met3 ( 3375840 3707770 ) ( 3382560 3707770 )
NEW met4 ( 3375840 3707770 ) ( 3375840 3791390 )
NEW met4 ( 3382560 3561250 ) ( 3382560 3707770 )
NEW met2 ( 3383280 3865390 ) via2_FR
NEW met3 ( 3383520 3869090 ) M3M4_PR_M
NEW met3 ( 3383520 3903130 ) M3M4_PR_M
NEW met2 ( 3378960 3903130 ) via2_FR
NEW met3 ( 3382560 3561250 ) M3M4_PR_M
NEW met3 ( 3375840 3791390 ) M3M4_PR_M
NEW met2 ( 3383280 3791390 ) via2_FR
NEW met3 ( 3375840 3707770 ) M3M4_PR_M
NEW met3 ( 3382560 3707770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[13\] ( padframe mprj_io_inp_dis[13] ) ( gpio_control_in\[13\] pad_gpio_inenb )
+ ROUTED met3 ( 3374880 4349350 ) ( 3377040 4349350 )
NEW met2 ( 3377040 4349350 ) ( 3377040 4350460 0 )
NEW met4 ( 3373920 3857805 ) ( 3374880 3857805 )
NEW met4 ( 3373920 3785470 ) ( 3373920 3857805 )
NEW met3 ( 3373920 3784360 0 ) ( 3373920 3785470 )
NEW met4 ( 3374880 3857805 ) ( 3374880 4349350 )
NEW met3 ( 3374880 4349350 ) M3M4_PR_M
NEW met2 ( 3377040 4349350 ) via2_FR
NEW met3 ( 3373920 3785470 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[14\] ( padframe mprj_io_inp_dis[14] ) ( gpio_control_in\[14\] pad_gpio_inenb )
+ ROUTED met3 ( 3373920 4676430 0 ) ( 3373920 4677910 )
NEW met3 ( 3373920 4795570 ) ( 3377520 4795570 )
NEW met2 ( 3377520 4795570 ) ( 3377520 4796310 0 )
NEW met4 ( 3373920 4677910 ) ( 3373920 4795570 )
NEW met3 ( 3373920 4677910 ) M3M4_PR_M
NEW met3 ( 3373920 4795570 ) M3M4_PR_M
NEW met2 ( 3377520 4795570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[15\] ( padframe mprj_io_inp_dis[15] ) ( gpio_control_in\[15\] pad_gpio_inenb )
+ ROUTED met2 ( 2869680 4973910 ) ( 2869680 4994075 )
NEW met2 ( 3171600 4973910 ) ( 3171600 4977610 0 )
NEW met3 ( 2869680 4973910 ) ( 3171600 4973910 )
NEW met2 ( 2786160 4993890 ) ( 2786160 4994075 )
NEW met3 ( 2784480 4993890 0 ) ( 2786160 4993890 )
NEW met1 ( 2786160 4994075 ) ( 2869680 4994075 )
NEW met1 ( 2869680 4994075 ) M1M2_PR
NEW met2 ( 2869680 4973910 ) via2_FR
NEW met2 ( 3171600 4973910 ) via2_FR
NEW met1 ( 2786160 4994075 ) M1M2_PR
NEW met2 ( 2786160 4993890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[16\] ( padframe mprj_io_inp_dis[16] ) ( gpio_control_in\[16\] pad_gpio_inenb )
+ ROUTED met2 ( 2596080 4977055 ) ( 2596080 4982605 )
NEW met1 ( 2596080 4977055 ) ( 2631120 4977055 )
NEW met1 ( 2631120 4977055 ) ( 2631120 4977425 )
NEW met2 ( 2528880 4982605 ) ( 2528880 4982790 )
NEW met3 ( 2527200 4982790 0 ) ( 2528880 4982790 )
NEW met1 ( 2528880 4982605 ) ( 2596080 4982605 )
NEW met2 ( 2661360 4977425 ) ( 2661360 4977610 )
NEW met2 ( 2661360 4977610 ) ( 2662560 4977610 0 )
NEW met1 ( 2631120 4977425 ) ( 2661360 4977425 )
NEW met1 ( 2596080 4982605 ) M1M2_PR
NEW met1 ( 2596080 4977055 ) M1M2_PR
NEW met1 ( 2528880 4982605 ) M1M2_PR
NEW met2 ( 2528880 4982790 ) via2_FR
NEW met1 ( 2661360 4977425 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[17\] ( padframe mprj_io_inp_dis[17] ) ( gpio_control_in\[17\] pad_gpio_inenb )
+ ROUTED met2 ( 2173200 5016275 ) ( 2173200 5027375 )
NEW met2 ( 2405040 4977610 ) ( 2405520 4977610 0 )
NEW met2 ( 2405040 4977055 ) ( 2405040 4977610 )
NEW met1 ( 2359440 4977055 ) ( 2405040 4977055 )
NEW met2 ( 2359440 4977055 ) ( 2359440 5027375 )
NEW met1 ( 2173200 5027375 ) ( 2359440 5027375 )
NEW met1 ( 2143440 5015905 ) ( 2143440 5016275 )
NEW met2 ( 2143440 5015905 ) ( 2143440 5016090 )
NEW met3 ( 2142240 5016090 0 ) ( 2143440 5016090 )
NEW met1 ( 2143440 5016275 ) ( 2173200 5016275 )
NEW met1 ( 2173200 5016275 ) M1M2_PR
NEW met1 ( 2173200 5027375 ) M1M2_PR
NEW met1 ( 2405040 4977055 ) M1M2_PR
NEW met1 ( 2359440 4977055 ) M1M2_PR
NEW met1 ( 2359440 5027375 ) M1M2_PR
NEW met1 ( 2143440 5015905 ) M1M2_PR
NEW met2 ( 2143440 5016090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[18\] ( padframe mprj_io_inp_dis[18] ) ( gpio_control_in\[18\] pad_gpio_inenb )
+ ROUTED met2 ( 1959120 4978350 ) ( 1960560 4978350 0 )
NEW met3 ( 1915440 4978350 ) ( 1959120 4978350 )
NEW met2 ( 1915440 4978350 ) ( 1915440 4994445 )
NEW met1 ( 1900080 4994445 ) ( 1915440 4994445 )
NEW met2 ( 1900080 4994445 ) ( 1900080 5011095 )
NEW met2 ( 1806960 5010910 ) ( 1806960 5011095 )
NEW met3 ( 1805280 5010910 0 ) ( 1806960 5010910 )
NEW met1 ( 1806960 5011095 ) ( 1900080 5011095 )
NEW met2 ( 1959120 4978350 ) via2_FR
NEW met2 ( 1915440 4978350 ) via2_FR
NEW met1 ( 1915440 4994445 ) M1M2_PR
NEW met1 ( 1900080 4994445 ) M1M2_PR
NEW met1 ( 1900080 5011095 ) M1M2_PR
NEW met1 ( 1806960 5011095 ) M1M2_PR
NEW met2 ( 1806960 5010910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[19\] ( padframe mprj_io_inp_dis[19] ) ( gpio_control_in\[19\] pad_gpio_inenb )
+ ROUTED met2 ( 1551600 4979275 ) ( 1551600 4979830 )
NEW met3 ( 1551600 4979830 ) ( 1553280 4979830 0 )
NEW met2 ( 1451760 4979090 0 ) ( 1453200 4979090 )
NEW met2 ( 1453200 4978905 ) ( 1453200 4979090 )
NEW met1 ( 1453200 4978905 ) ( 1453200 4979275 )
NEW met1 ( 1453200 4979275 ) ( 1551600 4979275 )
NEW met1 ( 1551600 4979275 ) M1M2_PR
NEW met2 ( 1551600 4979830 ) via2_FR
NEW met1 ( 1453200 4978905 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[1\] ( padframe mprj_io_inp_dis[1] ) ( gpio_control_bidir\[1\] pad_gpio_inenb )
+ ROUTED met3 ( 3372000 767010 ) ( 3377520 767010 )
NEW met2 ( 3377520 764420 0 ) ( 3377520 767010 )
NEW met3 ( 3372000 869130 ) ( 3373920 869130 0 )
NEW met4 ( 3372000 767010 ) ( 3372000 869130 )
NEW met3 ( 3372000 767010 ) M3M4_PR_M
NEW met2 ( 3377520 767010 ) via2_FR
NEW met3 ( 3372000 869130 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[20\] ( padframe mprj_io_inp_dis[20] ) ( gpio_control_in\[20\] pad_gpio_inenb )
+ ROUTED met2 ( 1193520 4969285 ) ( 1193520 4977610 0 )
NEW met2 ( 1295280 4969285 ) ( 1295280 4977610 )
NEW met3 ( 1295280 4977610 ) ( 1295520 4977610 )
NEW met3 ( 1295520 4977610 ) ( 1295520 4979090 0 )
NEW met1 ( 1193520 4969285 ) ( 1295280 4969285 )
NEW met1 ( 1193520 4969285 ) M1M2_PR
NEW met1 ( 1295280 4969285 ) M1M2_PR
NEW met2 ( 1295280 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[21\] ( padframe mprj_io_inp_dis[21] ) ( gpio_control_in\[21\] pad_gpio_inenb )
+ ROUTED met3 ( 1038240 4970210 ) ( 1038240 4978350 )
NEW met3 ( 1038240 4978350 ) ( 1039200 4978350 )
NEW met3 ( 1039200 4978350 ) ( 1039200 4979090 )
NEW met3 ( 1038240 4979090 0 ) ( 1039200 4979090 )
NEW met2 ( 936720 4970210 ) ( 936720 4977610 0 )
NEW met3 ( 936720 4970210 ) ( 1038240 4970210 )
NEW met2 ( 936720 4970210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[22\] ( padframe mprj_io_inp_dis[22] ) ( gpio_control_in\[22\] pad_gpio_inenb )
+ ROUTED met3 ( 781440 4970950 ) ( 781440 4979090 0 )
NEW met2 ( 680880 4970950 ) ( 680880 4977610 )
NEW met2 ( 679680 4977610 0 ) ( 680880 4977610 )
NEW met3 ( 680880 4970950 ) ( 781440 4970950 )
NEW met2 ( 680880 4970950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[23\] ( padframe mprj_io_inp_dis[23] ) ( gpio_control_in\[23\] pad_gpio_inenb )
+ ROUTED met2 ( 424080 4976870 ) ( 424080 4977610 )
NEW met2 ( 422640 4977610 0 ) ( 424080 4977610 )
NEW met3 ( 433440 4975390 ) ( 433440 4976870 )
NEW met3 ( 433440 4975390 ) ( 489840 4975390 )
NEW met2 ( 489840 4975390 ) ( 489840 4982605 )
NEW met1 ( 489840 4982605 ) ( 523440 4982605 )
NEW met2 ( 523440 4982605 ) ( 523440 4982790 )
NEW met3 ( 523440 4982790 ) ( 524640 4982790 0 )
NEW met3 ( 424080 4976870 ) ( 433440 4976870 )
NEW met2 ( 424080 4976870 ) via2_FR
NEW met2 ( 489840 4975390 ) via2_FR
NEW met1 ( 489840 4982605 ) M1M2_PR
NEW met1 ( 523440 4982605 ) M1M2_PR
NEW met2 ( 523440 4982790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[24\] ( padframe mprj_io_inp_dis[24] ) ( gpio_control_in\[24\] pad_gpio_inenb )
+ ROUTED met3 ( 199920 4695670 ) ( 200160 4695670 )
NEW met3 ( 200160 4694560 0 ) ( 200160 4695670 )
NEW met3 ( 202080 4811110 ) ( 209520 4811110 )
NEW met2 ( 209520 4811110 ) ( 209520 4812590 0 )
NEW met3 ( 199920 4708990 ) ( 202080 4708990 )
NEW met2 ( 199920 4695670 ) ( 199920 4708990 )
NEW met4 ( 202080 4708990 ) ( 202080 4811110 )
NEW met2 ( 199920 4695670 ) via2_FR
NEW met3 ( 202080 4811110 ) M3M4_PR_M
NEW met2 ( 209520 4811110 ) via2_FR
NEW met2 ( 199920 4708990 ) via2_FR
NEW met3 ( 202080 4708990 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[25\] ( padframe mprj_io_inp_dis[25] ) ( gpio_control_in\[25\] pad_gpio_inenb )
+ ROUTED met3 ( 210480 4063710 ) ( 210720 4063710 )
NEW met3 ( 210720 4063710 ) ( 210720 4065190 0 )
NEW met1 ( 210480 4012095 ) ( 215760 4012095 )
NEW met2 ( 215760 3965845 ) ( 215760 4012095 )
NEW met1 ( 210960 3965845 ) ( 215760 3965845 )
NEW met2 ( 210960 3963645 0 ) ( 210960 3965845 )
NEW met2 ( 210480 4012095 ) ( 210480 4063710 )
NEW met2 ( 210480 4063710 ) via2_FR
NEW met1 ( 210480 4012095 ) M1M2_PR
NEW met1 ( 215760 4012095 ) M1M2_PR
NEW met1 ( 215760 3965845 ) M1M2_PR
NEW met1 ( 210960 3965845 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[26\] ( padframe mprj_io_inp_dis[26] ) ( gpio_control_in\[26\] pad_gpio_inenb )
+ ROUTED met3 ( 209040 3786210 ) ( 210720 3786210 )
NEW met2 ( 209040 3786210 ) ( 209040 3847630 )
NEW met3 ( 208800 3847630 ) ( 209040 3847630 )
NEW met3 ( 208800 3847630 ) ( 208800 3849480 0 )
NEW met3 ( 210480 3749210 ) ( 210720 3749210 )
NEW met2 ( 210480 3747730 0 ) ( 210480 3749210 )
NEW met4 ( 210720 3749210 ) ( 210720 3786210 )
NEW met3 ( 210720 3786210 ) M3M4_PR_M
NEW met2 ( 209040 3786210 ) via2_FR
NEW met2 ( 209040 3847630 ) via2_FR
NEW met3 ( 210720 3749210 ) M3M4_PR_M
NEW met2 ( 210480 3749210 ) via2_FR
NEW met3 ( 210720 3749210 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[27\] ( padframe mprj_io_inp_dis[27] ) ( gpio_control_in\[27\] pad_gpio_inenb )
+ ROUTED met3 ( 212640 3631550 ) ( 215760 3631550 )
NEW met3 ( 212640 3631550 ) ( 212640 3633400 0 )
NEW met2 ( 210960 3531650 0 ) ( 210960 3533685 )
NEW met1 ( 210960 3533685 ) ( 219120 3533685 )
NEW met2 ( 219120 3533685 ) ( 219120 3586225 )
NEW met1 ( 215760 3586225 ) ( 219120 3586225 )
NEW met2 ( 215760 3586225 ) ( 215760 3631550 )
NEW met2 ( 215760 3631550 ) via2_FR
NEW met1 ( 210960 3533685 ) M1M2_PR
NEW met1 ( 219120 3533685 ) M1M2_PR
NEW met1 ( 219120 3586225 ) M1M2_PR
NEW met1 ( 215760 3586225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[28\] ( padframe mprj_io_inp_dis[28] ) ( gpio_control_in\[28\] pad_gpio_inenb )
+ ROUTED met3 ( 207840 3415470 ) ( 208080 3415470 )
NEW met3 ( 207840 3415470 ) ( 207840 3417690 0 )
NEW met3 ( 208080 3354790 ) ( 208800 3354790 )
NEW met4 ( 208800 3342950 ) ( 208800 3354790 )
NEW met3 ( 208800 3342950 ) ( 213840 3342950 )
NEW met2 ( 213840 3317605 ) ( 213840 3342950 )
NEW met1 ( 213840 3317235 ) ( 213840 3317605 )
NEW met1 ( 212880 3317235 ) ( 213840 3317235 )
NEW met1 ( 212880 3316865 ) ( 212880 3317235 )
NEW met1 ( 210960 3316865 ) ( 212880 3316865 )
NEW met2 ( 210960 3315570 0 ) ( 210960 3316865 )
NEW met2 ( 208080 3354790 ) ( 208080 3415470 )
NEW met2 ( 208080 3415470 ) via2_FR
NEW met2 ( 208080 3354790 ) via2_FR
NEW met3 ( 208800 3354790 ) M3M4_PR_M
NEW met3 ( 208800 3342950 ) M3M4_PR_M
NEW met2 ( 213840 3342950 ) via2_FR
NEW met1 ( 213840 3317605 ) M1M2_PR
NEW met1 ( 210960 3316865 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[29\] ( padframe mprj_io_inp_dis[29] ) ( gpio_control_in\[29\] pad_gpio_inenb )
+ ROUTED met3 ( 208560 3200130 ) ( 208800 3200130 )
NEW met3 ( 208800 3200130 ) ( 208800 3201610 0 )
NEW met1 ( 208560 3138525 ) ( 215280 3138525 )
NEW met2 ( 215280 3101895 ) ( 215280 3138525 )
NEW met1 ( 210960 3101895 ) ( 215280 3101895 )
NEW met2 ( 210960 3099645 0 ) ( 210960 3101895 )
NEW met2 ( 208560 3138525 ) ( 208560 3200130 )
NEW met2 ( 208560 3200130 ) via2_FR
NEW met1 ( 208560 3138525 ) M1M2_PR
NEW met1 ( 215280 3138525 ) M1M2_PR
NEW met1 ( 215280 3101895 ) M1M2_PR
NEW met1 ( 210960 3101895 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[2\] ( padframe mprj_io_inp_dis[2] ) ( gpio_control_in\[2\] pad_gpio_inenb )
+ ROUTED met3 ( 3371040 991970 ) ( 3377520 991970 )
NEW met2 ( 3377520 989380 0 ) ( 3377520 991970 )
NEW met3 ( 3371040 1094460 ) ( 3373920 1094460 0 )
NEW met4 ( 3371040 991970 ) ( 3371040 1094460 )
NEW met3 ( 3371040 991970 ) M3M4_PR_M
NEW met2 ( 3377520 991970 ) via2_FR
NEW met3 ( 3371040 1094460 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[30\] ( padframe mprj_io_inp_dis[30] ) ( gpio_control_in\[30\] pad_gpio_inenb )
+ ROUTED met3 ( 207600 2984050 ) ( 207840 2984050 )
NEW met3 ( 207840 2984050 ) ( 207840 2985530 0 )
NEW met1 ( 207600 2922445 ) ( 216240 2922445 )
NEW met2 ( 216240 2906350 ) ( 216240 2922445 )
NEW met2 ( 215760 2906350 ) ( 216240 2906350 )
NEW met2 ( 215760 2895250 ) ( 215760 2906350 )
NEW met2 ( 215760 2895250 ) ( 216240 2895250 )
NEW met2 ( 216240 2893770 ) ( 216240 2895250 )
NEW met2 ( 215760 2893770 ) ( 216240 2893770 )
NEW met2 ( 215760 2885815 ) ( 215760 2893770 )
NEW met1 ( 210960 2885815 ) ( 215760 2885815 )
NEW met2 ( 210960 2884890 ) ( 210960 2885815 )
NEW met2 ( 210480 2884890 ) ( 210960 2884890 )
NEW met2 ( 210480 2883780 0 ) ( 210480 2884890 )
NEW met2 ( 207600 2922445 ) ( 207600 2984050 )
NEW met2 ( 207600 2984050 ) via2_FR
NEW met1 ( 207600 2922445 ) M1M2_PR
NEW met1 ( 216240 2922445 ) M1M2_PR
NEW met1 ( 215760 2885815 ) M1M2_PR
NEW met1 ( 210960 2885815 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[31\] ( padframe mprj_io_inp_dis[31] ) ( gpio_control_in\[31\] pad_gpio_inenb )
+ ROUTED met3 ( 210720 2767970 ) ( 210960 2767970 )
NEW met3 ( 210720 2767970 ) ( 210720 2769450 0 )
NEW met2 ( 210000 2667700 0 ) ( 210000 2668810 )
NEW met3 ( 209760 2668810 ) ( 210000 2668810 )
NEW met4 ( 209760 2668810 ) ( 209760 2705810 )
NEW met3 ( 209760 2705810 ) ( 210960 2705810 )
NEW met2 ( 210960 2705810 ) ( 210960 2767970 )
NEW met2 ( 210960 2767970 ) via2_FR
NEW met2 ( 210000 2668810 ) via2_FR
NEW met3 ( 209760 2668810 ) M3M4_PR_M
NEW met3 ( 209760 2705810 ) M3M4_PR_M
NEW met2 ( 210960 2705810 ) via2_FR
NEW met3 ( 210000 2668810 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[32\] ( padframe mprj_io_inp_dis[32] ) ( gpio_control_in\[32\] pad_gpio_inenb )
+ ROUTED met3 ( 210480 2030190 ) ( 210720 2030190 )
NEW met2 ( 210480 2029645 0 ) ( 210480 2030190 )
NEW met3 ( 210720 2130090 ) ( 210720 2131570 0 )
NEW met4 ( 210720 2030190 ) ( 210720 2130090 )
NEW met3 ( 210720 2030190 ) M3M4_PR_M
NEW met2 ( 210480 2030190 ) via2_FR
NEW met3 ( 210720 2130090 ) M3M4_PR_M
NEW met3 ( 210720 2030190 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[33\] ( padframe mprj_io_inp_dis[33] ) ( gpio_control_in\[33\] pad_gpio_inenb )
+ ROUTED met3 ( 207840 1814110 ) ( 209040 1814110 )
NEW met2 ( 209040 1813740 0 ) ( 209040 1814110 )
NEW met3 ( 207840 1914010 ) ( 207840 1915490 0 )
NEW met4 ( 207840 1814110 ) ( 207840 1914010 )
NEW met3 ( 207840 1814110 ) M3M4_PR_M
NEW met2 ( 209040 1814110 ) via2_FR
NEW met3 ( 207840 1914010 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[34\] ( padframe mprj_io_inp_dis[34] ) ( gpio_control_in\[34\] pad_gpio_inenb )
+ ROUTED met3 ( 210480 1598770 ) ( 210720 1598770 )
NEW met2 ( 210480 1597660 0 ) ( 210480 1598770 )
NEW met3 ( 210720 1697930 ) ( 210720 1699410 0 )
NEW met4 ( 210720 1598770 ) ( 210720 1697930 )
NEW met3 ( 210720 1598770 ) M3M4_PR_M
NEW met2 ( 210480 1598770 ) via2_FR
NEW met3 ( 210720 1697930 ) M3M4_PR_M
NEW met3 ( 210720 1598770 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[35\] ( padframe mprj_io_inp_dis[35] ) ( gpio_control_in\[35\] pad_gpio_inenb )
+ ROUTED met3 ( 210480 1382690 ) ( 210720 1382690 )
NEW met2 ( 210480 1381580 0 ) ( 210480 1382690 )
NEW met3 ( 210720 1482590 ) ( 210720 1483330 0 )
NEW met4 ( 210720 1382690 ) ( 210720 1482590 )
NEW met3 ( 210720 1382690 ) M3M4_PR_M
NEW met2 ( 210480 1382690 ) via2_FR
NEW met3 ( 210720 1482590 ) M3M4_PR_M
NEW met3 ( 210720 1382690 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[36\] ( padframe mprj_io_inp_dis[36] ) ( gpio_control_in\[36\] pad_gpio_inenb )
+ ROUTED met3 ( 206880 1166610 ) ( 209040 1166610 )
NEW met2 ( 209040 1165645 0 ) ( 209040 1166610 )
NEW met3 ( 206880 1266510 ) ( 206880 1267250 0 )
NEW met4 ( 206880 1166610 ) ( 206880 1266510 )
NEW met3 ( 206880 1166610 ) M3M4_PR_M
NEW met2 ( 209040 1166610 ) via2_FR
NEW met3 ( 206880 1266510 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[37\] ( padframe mprj_io_inp_dis[37] ) ( gpio_control_in\[37\] pad_gpio_inenb )
+ ROUTED met3 ( 211680 1049690 ) ( 211920 1049690 )
NEW met3 ( 211680 1049690 ) ( 211680 1051170 0 )
NEW met2 ( 210480 949645 0 ) ( 210480 951270 )
NEW met3 ( 210480 951270 ) ( 210720 951270 )
NEW met4 ( 210720 951270 ) ( 210720 1006030 )
NEW met3 ( 210720 1006030 ) ( 211920 1006030 )
NEW met2 ( 211920 1006030 ) ( 211920 1049690 )
NEW met2 ( 211920 1049690 ) via2_FR
NEW met2 ( 210480 951270 ) via2_FR
NEW met3 ( 210720 951270 ) M3M4_PR_M
NEW met3 ( 210720 1006030 ) M3M4_PR_M
NEW met2 ( 211920 1006030 ) via2_FR
NEW met3 ( 210480 951270 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[3\] ( padframe mprj_io_inp_dis[3] ) ( gpio_control_in\[3\] pad_gpio_inenb )
+ ROUTED met3 ( 3371040 1217670 ) ( 3377520 1217670 )
NEW met2 ( 3377520 1215450 0 ) ( 3377520 1217670 )
NEW met3 ( 3371040 1320530 ) ( 3373920 1320530 0 )
NEW met4 ( 3371040 1217670 ) ( 3371040 1320530 )
NEW met3 ( 3371040 1217670 ) M3M4_PR_M
NEW met2 ( 3377520 1217670 ) via2_FR
NEW met3 ( 3371040 1320530 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[4\] ( padframe mprj_io_inp_dis[4] ) ( gpio_control_in\[4\] pad_gpio_inenb )
+ ROUTED met1 ( 3375120 1442815 ) ( 3377520 1442815 )
NEW met2 ( 3377520 1440410 0 ) ( 3377520 1442815 )
NEW met3 ( 3374880 1544010 ) ( 3375120 1544010 )
NEW met3 ( 3374880 1544010 ) ( 3374880 1545490 0 )
NEW met2 ( 3375120 1442815 ) ( 3375120 1544010 )
NEW met1 ( 3375120 1442815 ) M1M2_PR
NEW met1 ( 3377520 1442815 ) M1M2_PR
NEW met2 ( 3375120 1544010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[5\] ( padframe mprj_io_inp_dis[5] ) ( gpio_control_in\[5\] pad_gpio_inenb )
+ ROUTED met1 ( 3369840 1667775 ) ( 3377040 1667775 )
NEW met2 ( 3377040 1665370 0 ) ( 3377040 1667775 )
NEW met3 ( 3369840 1770450 ) ( 3373920 1770450 0 )
NEW met2 ( 3369840 1667775 ) ( 3369840 1770450 )
NEW met1 ( 3369840 1667775 ) M1M2_PR
NEW met1 ( 3377040 1667775 ) M1M2_PR
NEW met2 ( 3369840 1770450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[6\] ( padframe mprj_io_inp_dis[6] ) ( gpio_control_in\[6\] pad_gpio_inenb )
+ ROUTED met3 ( 3372000 1996150 ) ( 3373920 1996150 0 )
NEW met3 ( 3372000 1894030 ) ( 3377520 1894030 )
NEW met2 ( 3377520 1891440 0 ) ( 3377520 1894030 )
NEW met4 ( 3372000 1894030 ) ( 3372000 1996150 )
NEW met3 ( 3372000 1996150 ) M3M4_PR_M
NEW met3 ( 3372000 1894030 ) M3M4_PR_M
NEW met2 ( 3377520 1894030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[7\] ( padframe mprj_io_inp_dis[7] ) ( gpio_control_in\[7\] pad_gpio_inenb )
+ ROUTED met3 ( 3372240 2437190 ) ( 3373920 2437190 0 )
NEW met1 ( 3372240 2776295 ) ( 3377520 2776295 )
NEW met2 ( 3377520 2776295 ) ( 3377520 2777220 0 )
NEW met2 ( 3372240 2437190 ) ( 3372240 2776295 )
NEW met2 ( 3372240 2437190 ) via2_FR
NEW met1 ( 3372240 2776295 ) M1M2_PR
NEW met1 ( 3377520 2776295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[8\] ( padframe mprj_io_inp_dis[8] ) ( gpio_control_in\[8\] pad_gpio_inenb )
+ ROUTED met3 ( 3379680 2657710 0 ) ( 3379680 2659190 )
NEW met3 ( 3378960 3001070 ) ( 3379680 3001070 )
NEW met2 ( 3378960 3001070 ) ( 3378960 3003290 0 )
NEW met4 ( 3379680 2659190 ) ( 3379680 3001070 )
NEW met3 ( 3379680 2659190 ) M3M4_PR_M
NEW met3 ( 3379680 3001070 ) M3M4_PR_M
NEW met2 ( 3378960 3001070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[9\] ( padframe mprj_io_inp_dis[9] ) ( gpio_control_in\[9\] pad_gpio_inenb )
+ ROUTED met3 ( 3370080 2882670 ) ( 3373920 2882670 0 )
NEW met3 ( 3370080 3226770 ) ( 3377520 3226770 )
NEW met2 ( 3377520 3226770 ) ( 3377520 3228250 0 )
NEW met4 ( 3370080 2882670 ) ( 3370080 3226770 )
NEW met3 ( 3370080 2882670 ) M3M4_PR_M
NEW met3 ( 3370080 3226770 ) M3M4_PR_M
NEW met2 ( 3377520 3226770 ) via2_FR
+ USE SIGNAL ;
- mprj_io_loader_clock ( soc mprj_io_loader_clock ) ( gpio_control_in\[9\] serial_clock ) ( gpio_control_in\[8\] serial_clock ) ( gpio_control_in\[7\] serial_clock )
( gpio_control_in\[6\] serial_clock ) ( gpio_control_in\[5\] serial_clock ) ( gpio_control_in\[4\] serial_clock ) ( gpio_control_in\[3\] serial_clock ) ( gpio_control_in\[37\] serial_clock )
( gpio_control_in\[36\] serial_clock ) ( gpio_control_in\[35\] serial_clock ) ( gpio_control_in\[34\] serial_clock ) ( gpio_control_in\[33\] serial_clock ) ( gpio_control_in\[32\] serial_clock )
( gpio_control_in\[31\] serial_clock ) ( gpio_control_in\[30\] serial_clock ) ( gpio_control_in\[2\] serial_clock ) ( gpio_control_in\[29\] serial_clock ) ( gpio_control_in\[28\] serial_clock )
( gpio_control_in\[27\] serial_clock ) ( gpio_control_in\[26\] serial_clock ) ( gpio_control_in\[25\] serial_clock ) ( gpio_control_in\[24\] serial_clock ) ( gpio_control_in\[23\] serial_clock )
( gpio_control_in\[22\] serial_clock ) ( gpio_control_in\[21\] serial_clock ) ( gpio_control_in\[20\] serial_clock ) ( gpio_control_in\[19\] serial_clock ) ( gpio_control_in\[18\] serial_clock )
( gpio_control_in\[17\] serial_clock ) ( gpio_control_in\[16\] serial_clock ) ( gpio_control_in\[15\] serial_clock ) ( gpio_control_in\[14\] serial_clock ) ( gpio_control_in\[13\] serial_clock )
( gpio_control_in\[12\] serial_clock ) ( gpio_control_in\[11\] serial_clock ) ( gpio_control_in\[10\] serial_clock ) ( gpio_control_bidir\[1\] serial_clock ) ( gpio_control_bidir\[0\] serial_clock )
+ ROUTED met3 ( 212640 1067450 0 ) ( 212640 1068190 )
NEW met3 ( 212640 1068190 ) ( 217200 1068190 )
NEW met3 ( 205920 4079990 ) ( 205920 4081470 0 )
NEW met3 ( 201840 4083690 ) ( 205920 4083690 )
NEW met3 ( 205920 4081470 0 ) ( 205920 4083690 )
NEW met3 ( 212640 2785730 0 ) ( 217200 2785730 )
NEW met3 ( 217200 2785730 ) ( 219120 2785730 )
NEW met3 ( 212640 4710840 0 ) ( 212640 4711950 )
NEW met3 ( 212640 4711950 ) ( 212880 4711950 )
NEW met2 ( 212880 4711950 ) ( 212880 4714355 )
NEW met1 ( 212880 4714355 ) ( 238800 4714355 )
NEW met1 ( 201840 4711765 ) ( 212880 4711765 )
NEW met2 ( 212880 4711765 ) ( 212880 4711950 )
NEW met2 ( 201840 4083690 ) ( 201840 4711765 )
NEW met2 ( 238800 4714355 ) ( 238800 4933025 )
NEW met3 ( 1053360 4979830 ) ( 1054560 4979830 0 )
NEW met2 ( 1053360 4979830 ) ( 1053360 4980015 )
NEW met1 ( 1053360 4980015 ) ( 1053360 4980755 )
NEW met1 ( 1232400 4980755 ) ( 1232400 4981125 )
NEW met2 ( 1641840 4988895 ) ( 1641840 4996665 )
NEW met2 ( 3290160 4681425 ) ( 3290160 4932285 )
NEW met3 ( 3387360 659710 0 ) ( 3387360 661190 )
NEW met3 ( 3386400 1788210 ) ( 3387360 1788210 )
NEW met3 ( 3386400 1786730 0 ) ( 3386400 1788210 )
NEW met3 ( 3384480 1786730 0 ) ( 3386400 1786730 0 )
NEW met2 ( 3384240 4681425 ) ( 3384240 4691230 )
NEW met3 ( 3384240 4691230 ) ( 3384480 4691230 )
NEW met3 ( 3384480 4691230 ) ( 3384480 4692710 0 )
NEW met1 ( 3290160 4681425 ) ( 3384240 4681425 )
NEW met1 ( 1053360 4980755 ) ( 1232400 4980755 )
NEW met2 ( 1353360 4981865 ) ( 1353360 4985750 )
NEW met2 ( 1902000 4980385 ) ( 1902000 4996665 )
NEW met2 ( 2528160 1167350 0 ) ( 2529360 1167350 )
NEW met2 ( 2529360 1167350 ) ( 2529360 1230435 )
NEW met1 ( 2822640 4932285 ) ( 3290160 4932285 )
NEW met3 ( 3387360 1560290 ) ( 3387360 1561770 0 )
NEW met3 ( 3384480 1785250 ) ( 3384480 1786730 0 )
NEW met4 ( 3387360 1560290 ) ( 3387360 1788210 )
NEW met3 ( 3360240 2898950 ) ( 3373920 2898950 0 )
NEW met3 ( 3386400 2897470 ) ( 3386400 2898950 0 )
NEW met3 ( 3373920 2898950 0 ) ( 3386400 2898950 0 )
NEW met3 ( 212640 2147850 0 ) ( 212640 2148590 )
NEW met3 ( 212640 2148590 ) ( 215760 2148590 )
NEW met2 ( 215760 2148590 ) ( 217200 2148590 )
NEW met3 ( 202080 2146370 ) ( 202080 2147850 0 )
NEW met3 ( 202080 2147850 0 ) ( 212640 2147850 0 )
NEW met3 ( 212640 3001810 0 ) ( 219120 3001810 )
NEW met3 ( 212640 3217890 0 ) ( 219120 3217890 )
NEW met3 ( 210720 3235650 ) ( 219120 3235650 )
NEW met2 ( 219120 3217890 ) ( 219120 3235650 )
NEW met3 ( 210720 3432490 ) ( 210720 3433970 0 )
NEW met3 ( 206880 3433970 0 ) ( 206880 3434710 )
NEW met3 ( 206880 3433970 0 ) ( 210720 3433970 0 )
NEW met3 ( 206880 3647830 ) ( 206880 3649680 0 )
NEW met4 ( 206880 3648015 ) ( 207840 3648015 )
NEW met4 ( 206880 3647830 ) ( 206880 3648015 )
NEW met1 ( 533040 1230065 ) ( 533040 1230435 )
NEW met3 ( 539760 4979090 ) ( 540960 4979090 0 )
NEW met2 ( 539760 4979090 ) ( 539760 4980385 )
NEW met1 ( 802800 4980015 ) ( 802800 4980385 )
NEW met1 ( 798960 4980385 ) ( 802800 4980385 )
NEW met2 ( 798960 4980385 ) ( 798960 4980570 )
NEW met3 ( 797760 4980570 0 ) ( 798960 4980570 )
NEW met1 ( 802800 4980015 ) ( 1053360 4980015 )
NEW met1 ( 1389360 1230065 ) ( 1389360 1230435 )
NEW met2 ( 1568880 4985565 ) ( 1568880 4985750 )
NEW met3 ( 1568880 4985750 ) ( 1569600 4985750 0 )
NEW met2 ( 1568880 4985750 ) ( 1568880 4996665 )
NEW met1 ( 1568880 4996665 ) ( 1641840 4996665 )
NEW met2 ( 1822800 4996665 ) ( 1822800 4996850 )
NEW met3 ( 1821600 4996850 0 ) ( 1822800 4996850 )
NEW met2 ( 1822800 4988895 ) ( 1822800 4996665 )
NEW met1 ( 1641840 4988895 ) ( 1822800 4988895 )
NEW met1 ( 1822800 4996665 ) ( 1902000 4996665 )
NEW met3 ( 2158560 5013870 0 ) ( 2160240 5013870 )
NEW met2 ( 2160240 5013870 ) ( 2160240 5014055 )
NEW met1 ( 2160240 5014055 ) ( 2175120 5014055 )
NEW met2 ( 2175120 5014055 ) ( 2175120 5040325 )
NEW met2 ( 2175120 4980385 ) ( 2175120 5014055 )
NEW met2 ( 2358000 4980385 ) ( 2358000 5040325 )
NEW met1 ( 2175120 5040325 ) ( 2358000 5040325 )
NEW met1 ( 2801040 4977795 ) ( 2822640 4977795 )
NEW met2 ( 2801040 4977795 ) ( 2801040 4978350 )
NEW met3 ( 2800800 4978350 ) ( 2801040 4978350 )
NEW met3 ( 2800800 4978350 ) ( 2800800 4979090 0 )
NEW met2 ( 2801040 4978350 ) ( 2801040 4980385 )
NEW met2 ( 2822640 4932285 ) ( 2822640 4977795 )
NEW met3 ( 2529360 1188070 ) ( 3384480 1188070 )
NEW met3 ( 3384480 1031930 ) ( 3388320 1031930 )
NEW met3 ( 3386160 2013910 ) ( 3386400 2013910 )
NEW met3 ( 3386400 2012800 0 ) ( 3386400 2013910 )
NEW met1 ( 3368880 2001885 ) ( 3386160 2001885 )
NEW met2 ( 3386160 2001885 ) ( 3386160 2013910 )
NEW met3 ( 3385440 3798790 ) ( 3385440 3801010 0 )
NEW met3 ( 202080 1498870 ) ( 202080 1499610 0 )
NEW met3 ( 202080 1714950 ) ( 202080 1715690 0 )
NEW met4 ( 202080 1498870 ) ( 202080 1714950 )
NEW met3 ( 202080 1930290 ) ( 202080 1931770 0 )
NEW met4 ( 202080 1714950 ) ( 202080 1930290 )
NEW met4 ( 202080 1930290 ) ( 202080 2146370 )
NEW met2 ( 217200 2148590 ) ( 217200 2785730 )
NEW met2 ( 219120 2785730 ) ( 219120 3001810 )
NEW met2 ( 219120 3001810 ) ( 219120 3217890 )
NEW met4 ( 210720 3235650 ) ( 210720 3432490 )
NEW met4 ( 206880 3434710 ) ( 206880 3647830 )
NEW met3 ( 205920 3865760 0 ) ( 205920 3867610 )
NEW met3 ( 207840 3864650 ) ( 207840 3865760 0 )
NEW met3 ( 205920 3865760 0 ) ( 207840 3865760 0 )
NEW met4 ( 205920 3867610 ) ( 205920 4079990 )
NEW met4 ( 207840 3648015 ) ( 207840 3864650 )
NEW met2 ( 539760 4933025 ) ( 539760 4979090 )
NEW met3 ( 3384480 885780 0 ) ( 3384480 886890 )
NEW met3 ( 3387360 884670 ) ( 3387360 885780 0 )
NEW met3 ( 3384480 885780 0 ) ( 3387360 885780 0 )
NEW met4 ( 3384480 886890 ) ( 3384480 1031930 )
NEW met4 ( 3387360 661190 ) ( 3387360 884670 )
NEW met3 ( 3384480 1110740 0 ) ( 3384480 1111850 )
NEW met3 ( 3388320 1108890 ) ( 3388320 1110740 0 )
NEW met3 ( 3384480 1110740 0 ) ( 3388320 1110740 0 )
NEW met4 ( 3388320 1031930 ) ( 3388320 1108890 )
NEW met3 ( 3387360 1336810 0 ) ( 3387360 1337550 )
NEW met3 ( 3384480 1335330 ) ( 3384480 1336810 0 )
NEW met3 ( 3384480 1336810 0 ) ( 3387360 1336810 0 )
NEW met4 ( 3384480 1111850 ) ( 3384480 1335330 )
NEW met4 ( 3387360 1337550 ) ( 3387360 1560290 )
NEW met3 ( 3368880 1871830 ) ( 3384480 1871830 )
NEW met2 ( 3368880 1871830 ) ( 3368880 2001885 )
NEW met4 ( 3384480 1785250 ) ( 3384480 1871830 )
NEW met3 ( 3386160 2452730 ) ( 3386400 2452730 )
NEW met3 ( 3386400 2452730 ) ( 3386400 2453470 0 )
NEW met2 ( 3386160 2013910 ) ( 3386160 2452730 )
NEW met3 ( 3384480 3123910 ) ( 3384480 3124650 0 )
NEW met1 ( 3360240 3110405 ) ( 3384240 3110405 )
NEW met2 ( 3384240 3110405 ) ( 3384240 3123910 )
NEW met3 ( 3384240 3123910 ) ( 3384480 3123910 )
NEW met2 ( 3360240 2898950 ) ( 3360240 3110405 )
NEW met3 ( 3385440 3349610 0 ) ( 3385440 3351090 )
NEW met4 ( 3384480 3318345 ) ( 3385440 3318345 )
NEW met4 ( 3385440 3318345 ) ( 3385440 3351090 )
NEW met4 ( 3384480 3123910 ) ( 3384480 3318345 )
NEW met1 ( 539760 4980385 ) ( 798960 4980385 )
NEW met2 ( 1312560 4981865 ) ( 1312560 4982050 )
NEW met3 ( 1311840 4982050 0 ) ( 1312560 4982050 )
NEW met1 ( 1312560 4981125 ) ( 1312560 4981865 )
NEW met1 ( 1232400 4981125 ) ( 1312560 4981125 )
NEW met1 ( 1312560 4981865 ) ( 1353360 4981865 )
NEW met2 ( 1490640 4985565 ) ( 1490640 4985750 )
NEW met3 ( 1353360 4985750 ) ( 1490640 4985750 )
NEW met1 ( 1490640 4985565 ) ( 1568880 4985565 )
NEW met1 ( 1902000 4980385 ) ( 2175120 4980385 )
NEW met2 ( 2544720 4980385 ) ( 2544720 4980570 )
NEW met3 ( 2543520 4980570 0 ) ( 2544720 4980570 )
NEW met1 ( 2358000 4980385 ) ( 2544720 4980385 )
NEW met1 ( 2544720 4980385 ) ( 2801040 4980385 )
NEW met1 ( 238800 4933025 ) ( 539760 4933025 )
NEW met3 ( 212640 1283530 0 ) ( 217200 1283530 )
NEW met2 ( 217200 1230435 ) ( 217200 1283530 )
NEW met3 ( 202080 1283530 0 ) ( 202080 1285010 )
NEW met3 ( 202080 1283530 0 ) ( 212640 1283530 0 )
NEW met4 ( 202080 1285010 ) ( 202080 1498870 )
NEW met2 ( 217200 1068190 ) ( 217200 1230435 )
NEW met1 ( 1859280 1229695 ) ( 1859280 1230435 )
NEW met3 ( 3387360 2672510 ) ( 3387360 2673990 0 )
NEW met3 ( 3387120 2672510 ) ( 3387360 2672510 )
NEW met2 ( 3387120 2629775 ) ( 3387120 2672510 )
NEW met1 ( 3386160 2629775 ) ( 3387120 2629775 )
NEW met3 ( 3386400 2673990 0 ) ( 3386400 2674730 )
NEW met3 ( 3386400 2673990 0 ) ( 3387360 2673990 0 )
NEW met2 ( 3386160 2452730 ) ( 3386160 2629775 )
NEW met4 ( 3386400 2674730 ) ( 3386400 2897470 )
NEW met4 ( 3385440 3710730 ) ( 3386400 3710730 )
NEW met4 ( 3385440 3710730 ) ( 3385440 3798790 )
NEW met3 ( 3386400 3575680 0 ) ( 3386400 3577530 )
NEW met3 ( 3385440 3528690 ) ( 3385680 3528690 )
NEW met2 ( 3385680 3528690 ) ( 3385680 3573830 )
NEW met3 ( 3385680 3573830 ) ( 3386400 3573830 )
NEW met3 ( 3386400 3573830 ) ( 3386400 3575680 0 )
NEW met4 ( 3385440 3351090 ) ( 3385440 3528690 )
NEW met4 ( 3386400 3577530 ) ( 3386400 3710730 )
NEW met1 ( 402000 1229695 ) ( 402000 1230435 )
NEW met1 ( 402000 1229695 ) ( 432240 1229695 )
NEW met1 ( 432240 1229695 ) ( 432240 1230065 )
NEW met1 ( 217200 1230435 ) ( 402000 1230435 )
NEW met1 ( 432240 1230065 ) ( 533040 1230065 )
NEW met1 ( 1043760 1230065 ) ( 1043760 1230435 )
NEW met2 ( 1295760 1230065 ) ( 1295760 1232285 )
NEW met1 ( 1295760 1230065 ) ( 1389360 1230065 )
NEW met1 ( 1641360 1230435 ) ( 1641360 1230805 )
NEW met1 ( 1641360 1230805 ) ( 1645200 1230805 )
NEW met1 ( 1645200 1230435 ) ( 1645200 1230805 )
NEW met1 ( 1389360 1230435 ) ( 1641360 1230435 )
NEW met1 ( 1645200 1230435 ) ( 1859280 1230435 )
NEW met1 ( 741840 1230065 ) ( 741840 1230435 )
NEW met1 ( 533040 1230435 ) ( 741840 1230435 )
NEW met1 ( 1950960 1229695 ) ( 1950960 1230805 )
NEW met1 ( 1950960 1230805 ) ( 1958160 1230805 )
NEW met1 ( 1958160 1230435 ) ( 1958160 1230805 )
NEW met1 ( 1859280 1229695 ) ( 1950960 1229695 )
NEW met1 ( 1958160 1230435 ) ( 2529360 1230435 )
NEW met2 ( 777840 1228955 ) ( 777840 1230065 )
NEW met1 ( 777840 1228955 ) ( 807600 1228955 )
NEW met2 ( 807600 1228955 ) ( 807600 1230435 )
NEW met1 ( 741840 1230065 ) ( 777840 1230065 )
NEW met1 ( 807600 1230435 ) ( 1043760 1230435 )
NEW met2 ( 1181040 1227845 ) ( 1181040 1230065 )
NEW met1 ( 1181040 1227845 ) ( 1245360 1227845 )
NEW met2 ( 1245360 1227845 ) ( 1245360 1232285 )
NEW met1 ( 1043760 1230065 ) ( 1181040 1230065 )
NEW met1 ( 1245360 1232285 ) ( 1295760 1232285 )
NEW met2 ( 217200 1068190 ) via2_FR
NEW met3 ( 205920 4079990 ) M3M4_PR_M
NEW met2 ( 201840 4083690 ) via2_FR
NEW met1 ( 3290160 4681425 ) M1M2_PR
NEW met2 ( 217200 2785730 ) via2_FR
NEW met2 ( 219120 2785730 ) via2_FR
NEW met2 ( 212880 4711950 ) via2_FR
NEW met1 ( 212880 4714355 ) M1M2_PR
NEW met1 ( 238800 4714355 ) M1M2_PR
NEW met1 ( 201840 4711765 ) M1M2_PR
NEW met1 ( 212880 4711765 ) M1M2_PR
NEW met1 ( 238800 4933025 ) M1M2_PR
NEW met2 ( 1053360 4979830 ) via2_FR
NEW met1 ( 1053360 4980015 ) M1M2_PR
NEW met1 ( 1641840 4996665 ) M1M2_PR
NEW met1 ( 1641840 4988895 ) M1M2_PR
NEW met1 ( 2822640 4932285 ) M1M2_PR
NEW met1 ( 3290160 4932285 ) M1M2_PR
NEW met1 ( 2529360 1230435 ) M1M2_PR
NEW met2 ( 2529360 1188070 ) via2_FR
NEW met3 ( 3387360 661190 ) M3M4_PR_M
NEW met3 ( 3384480 1188070 ) M3M4_PR_M
NEW met3 ( 3387360 1788210 ) M3M4_PR_M
NEW met1 ( 3384240 4681425 ) M1M2_PR
NEW met2 ( 3384240 4691230 ) via2_FR
NEW met1 ( 1353360 4981865 ) M1M2_PR
NEW met2 ( 1353360 4985750 ) via2_FR
NEW met1 ( 1902000 4996665 ) M1M2_PR
NEW met1 ( 1902000 4980385 ) M1M2_PR
NEW met3 ( 3387360 1560290 ) M3M4_PR_M
NEW met3 ( 3384480 1785250 ) M3M4_PR_M
NEW met2 ( 3360240 2898950 ) via2_FR
NEW met3 ( 3386400 2897470 ) M3M4_PR_M
NEW met2 ( 215760 2148590 ) via2_FR
NEW met3 ( 202080 2146370 ) M3M4_PR_M
NEW met2 ( 219120 3001810 ) via2_FR
NEW met2 ( 219120 3217890 ) via2_FR
NEW met3 ( 210720 3235650 ) M3M4_PR_M
NEW met2 ( 219120 3235650 ) via2_FR
NEW met3 ( 210720 3432490 ) M3M4_PR_M
NEW met3 ( 206880 3434710 ) M3M4_PR_M
NEW met3 ( 206880 3647830 ) M3M4_PR_M
NEW met2 ( 539760 4979090 ) via2_FR
NEW met1 ( 539760 4980385 ) M1M2_PR
NEW met1 ( 798960 4980385 ) M1M2_PR
NEW met2 ( 798960 4980570 ) via2_FR
NEW met1 ( 1568880 4985565 ) M1M2_PR
NEW met2 ( 1568880 4985750 ) via2_FR
NEW met1 ( 1568880 4996665 ) M1M2_PR
NEW met1 ( 1822800 4996665 ) M1M2_PR
NEW met2 ( 1822800 4996850 ) via2_FR
NEW met1 ( 1822800 4988895 ) M1M2_PR
NEW met2 ( 2160240 5013870 ) via2_FR
NEW met1 ( 2160240 5014055 ) M1M2_PR
NEW met1 ( 2175120 5014055 ) M1M2_PR
NEW met1 ( 2175120 5040325 ) M1M2_PR
NEW met1 ( 2175120 4980385 ) M1M2_PR
NEW met1 ( 2358000 5040325 ) M1M2_PR
NEW met1 ( 2358000 4980385 ) M1M2_PR
NEW met1 ( 2822640 4977795 ) M1M2_PR
NEW met1 ( 2801040 4977795 ) M1M2_PR
NEW met2 ( 2801040 4978350 ) via2_FR
NEW met1 ( 2801040 4980385 ) M1M2_PR
NEW met3 ( 3384480 1031930 ) M3M4_PR_M
NEW met3 ( 3388320 1031930 ) M3M4_PR_M
NEW met2 ( 3386160 2013910 ) via2_FR
NEW met1 ( 3368880 2001885 ) M1M2_PR
NEW met1 ( 3386160 2001885 ) M1M2_PR
NEW met3 ( 3385440 3798790 ) M3M4_PR_M
NEW met3 ( 202080 1498870 ) M3M4_PR_M
NEW met3 ( 202080 1714950 ) M3M4_PR_M
NEW met3 ( 202080 1930290 ) M3M4_PR_M
NEW met3 ( 205920 3867610 ) M3M4_PR_M
NEW met3 ( 207840 3864650 ) M3M4_PR_M
NEW met1 ( 539760 4933025 ) M1M2_PR
NEW met3 ( 3384480 886890 ) M3M4_PR_M
NEW met3 ( 3387360 884670 ) M3M4_PR_M
NEW met3 ( 3384480 1111850 ) M3M4_PR_M
NEW met3 ( 3388320 1108890 ) M3M4_PR_M
NEW met3 ( 3387360 1337550 ) M3M4_PR_M
NEW met3 ( 3384480 1335330 ) M3M4_PR_M
NEW met2 ( 3368880 1871830 ) via2_FR
NEW met3 ( 3384480 1871830 ) M3M4_PR_M
NEW met2 ( 3386160 2452730 ) via2_FR
NEW met3 ( 3384480 3123910 ) M3M4_PR_M
NEW met1 ( 3360240 3110405 ) M1M2_PR
NEW met1 ( 3384240 3110405 ) M1M2_PR
NEW met2 ( 3384240 3123910 ) via2_FR
NEW met3 ( 3385440 3351090 ) M3M4_PR_M
NEW met1 ( 1312560 4981865 ) M1M2_PR
NEW met2 ( 1312560 4982050 ) via2_FR
NEW met1 ( 1490640 4985565 ) M1M2_PR
NEW met2 ( 1490640 4985750 ) via2_FR
NEW met1 ( 2544720 4980385 ) M1M2_PR
NEW met2 ( 2544720 4980570 ) via2_FR
NEW met1 ( 217200 1230435 ) M1M2_PR
NEW met2 ( 217200 1283530 ) via2_FR
NEW met3 ( 202080 1285010 ) M3M4_PR_M
NEW met2 ( 3387120 2672510 ) via2_FR
NEW met1 ( 3387120 2629775 ) M1M2_PR
NEW met1 ( 3386160 2629775 ) M1M2_PR
NEW met3 ( 3386400 2674730 ) M3M4_PR_M
NEW met3 ( 3386400 3577530 ) M3M4_PR_M
NEW met3 ( 3385440 3528690 ) M3M4_PR_M
NEW met2 ( 3385680 3528690 ) via2_FR
NEW met2 ( 3385680 3573830 ) via2_FR
NEW met1 ( 1295760 1232285 ) M1M2_PR
NEW met1 ( 1295760 1230065 ) M1M2_PR
NEW met1 ( 777840 1230065 ) M1M2_PR
NEW met1 ( 777840 1228955 ) M1M2_PR
NEW met1 ( 807600 1228955 ) M1M2_PR
NEW met1 ( 807600 1230435 ) M1M2_PR
NEW met1 ( 1181040 1230065 ) M1M2_PR
NEW met1 ( 1181040 1227845 ) M1M2_PR
NEW met1 ( 1245360 1227845 ) M1M2_PR
NEW met1 ( 1245360 1232285 ) M1M2_PR
NEW met2 ( 212880 4711765 ) RECT ( -70 -300 70 0 )
NEW met2 ( 2529360 1188070 ) RECT ( -70 -485 70 0 )
NEW met4 ( 3384480 1188070 ) RECT ( -150 -800 150 0 )
NEW met3 ( 3384240 3123910 ) RECT ( -560 -150 0 150 )
NEW met3 ( 3385440 3528690 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_loader_resetn ( soc mprj_io_loader_resetn ) ( gpio_control_in\[9\] resetn ) ( gpio_control_in\[8\] resetn ) ( gpio_control_in\[7\] resetn )
( gpio_control_in\[6\] resetn ) ( gpio_control_in\[5\] resetn ) ( gpio_control_in\[4\] resetn ) ( gpio_control_in\[3\] resetn ) ( gpio_control_in\[37\] resetn )
( gpio_control_in\[36\] resetn ) ( gpio_control_in\[35\] resetn ) ( gpio_control_in\[34\] resetn ) ( gpio_control_in\[33\] resetn ) ( gpio_control_in\[32\] resetn )
( gpio_control_in\[31\] resetn ) ( gpio_control_in\[30\] resetn ) ( gpio_control_in\[2\] resetn ) ( gpio_control_in\[29\] resetn ) ( gpio_control_in\[28\] resetn )
( gpio_control_in\[27\] resetn ) ( gpio_control_in\[26\] resetn ) ( gpio_control_in\[25\] resetn ) ( gpio_control_in\[24\] resetn ) ( gpio_control_in\[23\] resetn )
( gpio_control_in\[22\] resetn ) ( gpio_control_in\[21\] resetn ) ( gpio_control_in\[20\] resetn ) ( gpio_control_in\[19\] resetn ) ( gpio_control_in\[18\] resetn )
( gpio_control_in\[17\] resetn ) ( gpio_control_in\[16\] resetn ) ( gpio_control_in\[15\] resetn ) ( gpio_control_in\[14\] resetn ) ( gpio_control_in\[13\] resetn )
( gpio_control_in\[12\] resetn ) ( gpio_control_in\[11\] resetn ) ( gpio_control_in\[10\] resetn ) ( gpio_control_bidir\[1\] resetn ) ( gpio_control_bidir\[0\] resetn )
+ ROUTED met3 ( 212640 1065230 0 ) ( 216720 1065230 )
NEW met3 ( 201120 2795350 ) ( 217680 2795350 )
NEW met3 ( 206880 4077770 ) ( 206880 4079250 0 )
NEW met3 ( 202080 4079250 0 ) ( 202080 4079990 )
NEW met3 ( 202080 4079250 0 ) ( 206880 4079250 0 )
NEW met3 ( 202080 4706770 ) ( 202080 4708250 0 )
NEW met3 ( 202080 4708250 0 ) ( 237360 4708250 )
NEW met3 ( 201120 2782770 0 ) ( 201120 2784250 )
NEW met4 ( 201120 2784250 ) ( 201120 2795350 )
NEW met4 ( 202080 4079990 ) ( 202080 4706770 )
NEW met2 ( 237360 4708250 ) ( 237360 4932655 )
NEW met3 ( 1050960 4980570 ) ( 1052160 4980570 0 )
NEW met2 ( 1050960 4980385 ) ( 1050960 4980570 )
NEW met1 ( 1050960 4980385 ) ( 1050960 4981865 )
NEW met1 ( 1232880 4980385 ) ( 1232880 4980755 )
NEW met3 ( 3385440 656750 0 ) ( 3385440 658970 )
NEW met3 ( 3384480 2669550 ) ( 3384480 2671030 0 )
NEW met3 ( 3384480 2669550 ) ( 3384720 2669550 )
NEW met3 ( 3385440 2671030 0 ) ( 3385440 2672510 )
NEW met3 ( 3384480 2671030 0 ) ( 3385440 2671030 0 )
NEW met1 ( 3304560 4689935 ) ( 3372240 4689935 )
NEW met2 ( 3372240 4689750 ) ( 3372240 4689935 )
NEW met3 ( 3372240 4689750 ) ( 3373920 4689750 0 )
NEW met2 ( 1126320 4980385 ) ( 1126320 4981865 )
NEW met1 ( 1050960 4981865 ) ( 1126320 4981865 )
NEW met1 ( 1126320 4980385 ) ( 1232880 4980385 )
NEW met2 ( 2551920 1167350 0 ) ( 2555760 1167350 )
NEW met2 ( 2555760 1167350 ) ( 2555760 1212490 )
NEW met3 ( 3385440 1557330 ) ( 3385440 1558810 0 )
NEW met4 ( 3385440 1563435 ) ( 3386400 1563435 )
NEW met4 ( 3385440 1557330 ) ( 3385440 1563435 )
NEW met3 ( 3386400 1783030 ) ( 3386400 1783770 0 )
NEW met3 ( 3384480 2895990 0 ) ( 3384480 2897470 )
NEW met3 ( 3384240 2897470 ) ( 3384480 2897470 )
NEW met2 ( 3384240 2897470 ) ( 3384240 2937615 )
NEW met1 ( 3359760 2937615 ) ( 3384240 2937615 )
NEW met3 ( 3384480 2897470 ) ( 3385440 2897470 )
NEW met4 ( 3385440 2672510 ) ( 3385440 2897470 )
NEW met1 ( 2823120 4931915 ) ( 3304560 4931915 )
NEW met2 ( 3304560 4689935 ) ( 3304560 4931915 )
NEW met3 ( 212640 2998850 0 ) ( 218160 2998850 )
NEW met3 ( 212640 3214930 0 ) ( 218160 3214930 )
NEW met3 ( 204000 3234910 ) ( 218160 3234910 )
NEW met2 ( 218160 3214930 ) ( 218160 3234910 )
NEW met3 ( 204000 3429530 ) ( 204000 3431010 0 )
NEW met3 ( 204000 3450990 ) ( 210720 3450990 )
NEW met4 ( 204000 3429530 ) ( 204000 3450990 )
NEW met3 ( 210720 3645610 ) ( 210720 3647090 0 )
NEW met3 ( 537840 4978350 ) ( 538080 4978350 )
NEW met3 ( 538080 4978350 ) ( 538080 4979090 0 )
NEW met2 ( 537840 4978350 ) ( 537840 4981495 )
NEW met1 ( 803280 4980385 ) ( 803280 4981125 )
NEW met1 ( 796080 4981125 ) ( 803280 4981125 )
NEW met2 ( 796080 4981125 ) ( 796080 4981310 )
NEW met3 ( 794880 4981310 0 ) ( 796080 4981310 )
NEW met1 ( 796080 4981125 ) ( 796080 4981495 )
NEW met1 ( 803280 4980385 ) ( 1050960 4980385 )
NEW met4 ( 1340640 1208790 ) ( 1340640 1210455 )
NEW met3 ( 1340640 1208790 ) ( 1439520 1208790 )
NEW met4 ( 1439520 1208790 ) ( 1439520 1210455 )
NEW met2 ( 1566000 4980385 ) ( 1566000 4980570 )
NEW met3 ( 1566000 4980570 ) ( 1567200 4980570 0 )
NEW met2 ( 1566000 4980570 ) ( 1566000 4982235 )
NEW met2 ( 1819920 4979830 ) ( 1819920 4980015 )
NEW met3 ( 1818720 4979830 0 ) ( 1819920 4979830 )
NEW met2 ( 1819920 4980015 ) ( 1819920 4982235 )
NEW met1 ( 1566000 4982235 ) ( 1819920 4982235 )
NEW met2 ( 2154960 4979830 ) ( 2154960 4980015 )
NEW met3 ( 2154960 4979830 ) ( 2156160 4979830 0 )
NEW met2 ( 2187600 4980015 ) ( 2187600 5026265 )
NEW met1 ( 2154960 4980015 ) ( 2187600 4980015 )
NEW met2 ( 2350800 4980015 ) ( 2350800 5026265 )
NEW met1 ( 2187600 5026265 ) ( 2350800 5026265 )
NEW met1 ( 2798160 4978165 ) ( 2823120 4978165 )
NEW met2 ( 2798160 4978165 ) ( 2798160 4978350 )
NEW met3 ( 2797920 4978350 ) ( 2798160 4978350 )
NEW met3 ( 2797920 4978350 ) ( 2797920 4979090 0 )
NEW met2 ( 2798160 4978350 ) ( 2798160 4980015 )
NEW met2 ( 2823120 4931915 ) ( 2823120 4978165 )
NEW met3 ( 2555760 1188810 ) ( 3385440 1188810 )
NEW met3 ( 3384480 2010950 ) ( 3384720 2010950 )
NEW met3 ( 3384480 2010210 0 ) ( 3384480 2010950 )
NEW met1 ( 3366960 2002255 ) ( 3384720 2002255 )
NEW met2 ( 3384720 2002255 ) ( 3384720 2010950 )
NEW met3 ( 3384480 3796570 ) ( 3384480 3798050 0 )
NEW met3 ( 211680 1497020 0 ) ( 211680 1498130 )
NEW met3 ( 203040 1498130 ) ( 211680 1498130 )
NEW met3 ( 203040 1712730 0 ) ( 203040 1714210 )
NEW met4 ( 203040 1498130 ) ( 203040 1714210 )
NEW met3 ( 203040 1928810 0 ) ( 203040 1930290 )
NEW met4 ( 203040 1714210 ) ( 203040 1930290 )
NEW met3 ( 203040 2143410 ) ( 203040 2144890 0 )
NEW met3 ( 203040 2144890 0 ) ( 217680 2144890 )
NEW met4 ( 203040 1930290 ) ( 203040 2143410 )
NEW met2 ( 217680 2887850 ) ( 218160 2887850 )
NEW met2 ( 217680 2144890 ) ( 217680 2887850 )
NEW met2 ( 218160 2887850 ) ( 218160 2998850 )
NEW met2 ( 218160 2998850 ) ( 218160 3214930 )
NEW met4 ( 204000 3234910 ) ( 204000 3429530 )
NEW met4 ( 210720 3450990 ) ( 210720 3645610 )
NEW met3 ( 206880 3671510 ) ( 210720 3671510 )
NEW met4 ( 210720 3645610 ) ( 210720 3671510 )
NEW met3 ( 206880 3863170 0 ) ( 206880 3863910 )
NEW met4 ( 206880 3671510 ) ( 206880 3863910 )
NEW met4 ( 206880 3863910 ) ( 206880 4077770 )
NEW met2 ( 537840 4932655 ) ( 537840 4978350 )
NEW met3 ( 3385440 881710 ) ( 3385440 883190 0 )
NEW met4 ( 3385440 658970 ) ( 3385440 881710 )
NEW met3 ( 3385440 1108150 0 ) ( 3385440 1108890 )
NEW met4 ( 3385440 881710 ) ( 3385440 1108890 )
NEW met3 ( 3385440 1333850 0 ) ( 3385440 1335330 )
NEW met4 ( 3385440 1108890 ) ( 3385440 1335330 )
NEW met4 ( 3385440 1335330 ) ( 3385440 1557330 )
NEW met3 ( 3366960 1866650 ) ( 3385440 1866650 )
NEW met4 ( 3385440 1866465 ) ( 3385440 1866650 )
NEW met4 ( 3385440 1866465 ) ( 3386400 1866465 )
NEW met2 ( 3366960 1866650 ) ( 3366960 2002255 )
NEW met4 ( 3386400 1563435 ) ( 3386400 1866465 )
NEW met3 ( 3384480 2449770 ) ( 3384720 2449770 )
NEW met3 ( 3384480 2449770 ) ( 3384480 2451250 0 )
NEW met2 ( 3384720 2010950 ) ( 3384720 2449770 )
NEW met2 ( 3384720 2449770 ) ( 3384720 2669550 )
NEW met3 ( 3385440 3122060 0 ) ( 3385440 3123170 )
NEW met1 ( 3359760 3110775 ) ( 3384720 3110775 )
NEW met2 ( 3384720 3110775 ) ( 3384720 3120210 )
NEW met3 ( 3384720 3120210 ) ( 3385440 3120210 )
NEW met3 ( 3385440 3120210 ) ( 3385440 3122060 0 )
NEW met2 ( 3359760 2937615 ) ( 3359760 3110775 )
NEW met3 ( 3384480 3347020 0 ) ( 3384480 3348130 )
NEW met3 ( 3385440 3294110 ) ( 3389280 3294110 )
NEW met4 ( 3389280 3294110 ) ( 3389280 3345170 )
NEW met3 ( 3384480 3345170 ) ( 3389280 3345170 )
NEW met3 ( 3384480 3345170 ) ( 3384480 3347020 0 )
NEW met4 ( 3385440 3123170 ) ( 3385440 3294110 )
NEW met2 ( 633840 4977795 ) ( 633840 4981495 )
NEW met1 ( 633840 4977795 ) ( 718800 4977795 )
NEW met1 ( 718800 4977795 ) ( 718800 4978165 )
NEW met1 ( 718800 4978165 ) ( 721200 4978165 )
NEW met2 ( 721200 4978165 ) ( 721200 4981495 )
NEW met1 ( 537840 4981495 ) ( 633840 4981495 )
NEW met1 ( 721200 4981495 ) ( 796080 4981495 )
NEW met4 ( 1337760 1207310 ) ( 1337760 1210455 )
NEW met3 ( 1238880 1207310 ) ( 1337760 1207310 )
NEW met4 ( 1337760 1210455 ) ( 1340640 1210455 )
NEW met2 ( 1310160 4980385 ) ( 1310160 4980570 )
NEW met3 ( 1308960 4980570 0 ) ( 1310160 4980570 )
NEW met1 ( 1310160 4980385 ) ( 1310160 4980755 )
NEW met1 ( 1232880 4980755 ) ( 1310160 4980755 )
NEW met4 ( 1440480 1210455 ) ( 1440480 1215450 )
NEW met3 ( 1440480 1215450 ) ( 1489680 1215450 )
NEW met2 ( 1489680 1215450 ) ( 1489680 1216190 )
NEW met2 ( 1489680 1216190 ) ( 1491120 1216190 )
NEW met3 ( 1491120 1216190 ) ( 1539600 1216190 )
NEW met2 ( 1539600 1212490 ) ( 1539600 1216190 )
NEW met4 ( 1439520 1210455 ) ( 1440480 1210455 )
NEW met1 ( 1310160 4980385 ) ( 1566000 4980385 )
NEW met1 ( 1819920 4980015 ) ( 2154960 4980015 )
NEW met2 ( 2541840 4979830 ) ( 2541840 4980015 )
NEW met3 ( 2541120 4979830 0 ) ( 2541840 4979830 )
NEW met1 ( 2350800 4980015 ) ( 2541840 4980015 )
NEW met1 ( 2541840 4980015 ) ( 2798160 4980015 )
NEW met1 ( 237360 4932655 ) ( 537840 4932655 )
NEW met3 ( 212640 1279090 ) ( 212640 1281310 0 )
NEW met3 ( 212640 1279090 ) ( 216720 1279090 )
NEW met2 ( 216720 1213970 ) ( 216720 1279090 )
NEW met3 ( 211680 1281310 0 ) ( 211680 1282050 )
NEW met3 ( 211680 1281310 0 ) ( 212640 1281310 0 )
NEW met4 ( 211680 1282050 ) ( 211680 1498130 )
NEW met2 ( 216720 1065230 ) ( 216720 1213970 )
NEW met2 ( 1236720 1212490 ) ( 1236720 1212675 )
NEW met3 ( 1236720 1212490 ) ( 1236960 1212490 )
NEW met4 ( 1236960 1210455 ) ( 1236960 1212490 )
NEW met4 ( 1236960 1210455 ) ( 1238880 1210455 )
NEW met4 ( 1238880 1207310 ) ( 1238880 1210455 )
NEW met2 ( 2217840 1212490 ) ( 2217840 1212675 )
NEW met1 ( 2217840 1212675 ) ( 2318160 1212675 )
NEW met2 ( 2318160 1212490 ) ( 2318160 1212675 )
NEW met3 ( 1539600 1212490 ) ( 2217840 1212490 )
NEW met2 ( 2419440 1212490 ) ( 2419440 1212675 )
NEW met1 ( 2419440 1212675 ) ( 2519760 1212675 )
NEW met2 ( 2519760 1212490 ) ( 2519760 1212675 )
NEW met3 ( 2318160 1212490 ) ( 2419440 1212490 )
NEW met3 ( 2519760 1212490 ) ( 2555760 1212490 )
NEW met2 ( 1120080 1212490 ) ( 1120080 1212675 )
NEW met1 ( 1120080 1212675 ) ( 1236720 1212675 )
NEW met3 ( 3384480 3707030 ) ( 3384480 3708510 )
NEW met4 ( 3384480 3708510 ) ( 3384480 3796570 )
NEW met3 ( 3384480 3573090 0 ) ( 3384480 3574570 )
NEW met4 ( 3384480 3348130 ) ( 3384480 3574570 )
NEW met4 ( 3384480 3574570 ) ( 3384480 3707030 )
NEW met2 ( 691440 1212305 ) ( 691440 1213230 )
NEW met4 ( 576480 1212490 ) ( 576480 1213230 )
NEW met3 ( 576480 1213230 ) ( 633360 1213230 )
NEW met2 ( 633360 1213230 ) ( 634320 1213230 )
NEW met3 ( 634320 1213230 ) ( 691440 1213230 )
NEW met4 ( 877920 1211750 ) ( 877920 1213230 )
NEW met3 ( 857040 1213230 ) ( 877920 1213230 )
NEW met2 ( 857040 1212305 ) ( 857040 1213230 )
NEW met1 ( 691440 1212305 ) ( 857040 1212305 )
NEW met2 ( 273840 1213970 ) ( 273840 1214710 )
NEW met2 ( 273840 1214710 ) ( 274320 1214710 )
NEW met2 ( 274320 1212490 ) ( 274320 1214710 )
NEW met3 ( 216720 1213970 ) ( 273840 1213970 )
NEW met2 ( 475440 1212305 ) ( 475440 1212490 )
NEW met1 ( 475440 1212305 ) ( 533040 1212305 )
NEW met2 ( 533040 1212305 ) ( 533040 1213230 )
NEW met3 ( 533040 1213230 ) ( 575520 1213230 )
NEW met3 ( 575520 1212490 ) ( 575520 1213230 )
NEW met3 ( 274320 1212490 ) ( 475440 1212490 )
NEW met3 ( 575520 1212490 ) ( 576480 1212490 )
NEW met2 ( 878640 1211750 ) ( 878640 1211935 )
NEW met1 ( 878640 1211935 ) ( 978960 1211935 )
NEW met2 ( 978960 1211935 ) ( 978960 1212490 )
NEW met3 ( 877920 1211750 ) ( 878640 1211750 )
NEW met3 ( 978960 1212490 ) ( 1120080 1212490 )
NEW met2 ( 216720 1065230 ) via2_FR
NEW met3 ( 201120 2795350 ) M3M4_PR_M
NEW met2 ( 217680 2795350 ) via2_FR
NEW met3 ( 206880 4077770 ) M3M4_PR_M
NEW met3 ( 202080 4079990 ) M3M4_PR_M
NEW met3 ( 202080 4706770 ) M3M4_PR_M
NEW met2 ( 237360 4708250 ) via2_FR
NEW met3 ( 201120 2784250 ) M3M4_PR_M
NEW met1 ( 237360 4932655 ) M1M2_PR
NEW met2 ( 1050960 4980570 ) via2_FR
NEW met1 ( 1050960 4980385 ) M1M2_PR
NEW met1 ( 2823120 4931915 ) M1M2_PR
NEW met2 ( 2555760 1212490 ) via2_FR
NEW met2 ( 2555760 1188810 ) via2_FR
NEW met3 ( 3385440 658970 ) M3M4_PR_M
NEW met3 ( 3385440 1188810 ) M3M4_PR_M
NEW met2 ( 3384720 2669550 ) via2_FR
NEW met3 ( 3385440 2672510 ) M3M4_PR_M
NEW met1 ( 3304560 4689935 ) M1M2_PR
NEW met1 ( 3372240 4689935 ) M1M2_PR
NEW met2 ( 3372240 4689750 ) via2_FR
NEW met1 ( 1126320 4981865 ) M1M2_PR
NEW met1 ( 1126320 4980385 ) M1M2_PR
NEW met3 ( 3385440 1557330 ) M3M4_PR_M
NEW met3 ( 3386400 1783030 ) M3M4_PR_M
NEW met2 ( 3384240 2897470 ) via2_FR
NEW met1 ( 3384240 2937615 ) M1M2_PR
NEW met1 ( 3359760 2937615 ) M1M2_PR
NEW met3 ( 3385440 2897470 ) M3M4_PR_M
NEW met1 ( 3304560 4931915 ) M1M2_PR
NEW met2 ( 218160 2998850 ) via2_FR
NEW met2 ( 218160 3214930 ) via2_FR
NEW met3 ( 204000 3234910 ) M3M4_PR_M
NEW met2 ( 218160 3234910 ) via2_FR
NEW met3 ( 204000 3429530 ) M3M4_PR_M
NEW met3 ( 210720 3450990 ) M3M4_PR_M
NEW met3 ( 204000 3450990 ) M3M4_PR_M
NEW met3 ( 210720 3645610 ) M3M4_PR_M
NEW met2 ( 537840 4978350 ) via2_FR
NEW met1 ( 537840 4981495 ) M1M2_PR
NEW met1 ( 796080 4981125 ) M1M2_PR
NEW met2 ( 796080 4981310 ) via2_FR
NEW met3 ( 1340640 1208790 ) M3M4_PR_M
NEW met3 ( 1439520 1208790 ) M3M4_PR_M
NEW met1 ( 1566000 4980385 ) M1M2_PR
NEW met2 ( 1566000 4980570 ) via2_FR
NEW met1 ( 1566000 4982235 ) M1M2_PR
NEW met1 ( 1819920 4980015 ) M1M2_PR
NEW met2 ( 1819920 4979830 ) via2_FR
NEW met1 ( 1819920 4982235 ) M1M2_PR
NEW met1 ( 2154960 4980015 ) M1M2_PR
NEW met2 ( 2154960 4979830 ) via2_FR
NEW met1 ( 2187600 5026265 ) M1M2_PR
NEW met1 ( 2187600 4980015 ) M1M2_PR
NEW met1 ( 2350800 5026265 ) M1M2_PR
NEW met1 ( 2350800 4980015 ) M1M2_PR
NEW met1 ( 2823120 4978165 ) M1M2_PR
NEW met1 ( 2798160 4978165 ) M1M2_PR
NEW met2 ( 2798160 4978350 ) via2_FR
NEW met1 ( 2798160 4980015 ) M1M2_PR
NEW met2 ( 3384720 2010950 ) via2_FR
NEW met1 ( 3366960 2002255 ) M1M2_PR
NEW met1 ( 3384720 2002255 ) M1M2_PR
NEW met3 ( 3384480 3796570 ) M3M4_PR_M
NEW met3 ( 211680 1498130 ) M3M4_PR_M
NEW met3 ( 203040 1498130 ) M3M4_PR_M
NEW met3 ( 203040 1714210 ) M3M4_PR_M
NEW met3 ( 203040 1930290 ) M3M4_PR_M
NEW met3 ( 203040 2143410 ) M3M4_PR_M
NEW met2 ( 217680 2144890 ) via2_FR
NEW met3 ( 206880 3671510 ) M3M4_PR_M
NEW met3 ( 210720 3671510 ) M3M4_PR_M
NEW met3 ( 206880 3863910 ) M3M4_PR_M
NEW met1 ( 537840 4932655 ) M1M2_PR
NEW met3 ( 3385440 881710 ) M3M4_PR_M
NEW met3 ( 3385440 1108890 ) M3M4_PR_M
NEW met3 ( 3385440 1335330 ) M3M4_PR_M
NEW met2 ( 3366960 1866650 ) via2_FR
NEW met3 ( 3385440 1866650 ) M3M4_PR_M
NEW met2 ( 3384720 2449770 ) via2_FR
NEW met3 ( 3385440 3123170 ) M3M4_PR_M
NEW met1 ( 3359760 3110775 ) M1M2_PR
NEW met1 ( 3384720 3110775 ) M1M2_PR
NEW met2 ( 3384720 3120210 ) via2_FR
NEW met3 ( 3384480 3348130 ) M3M4_PR_M
NEW met3 ( 3385440 3294110 ) M3M4_PR_M
NEW met3 ( 3389280 3294110 ) M3M4_PR_M
NEW met3 ( 3389280 3345170 ) M3M4_PR_M
NEW met1 ( 633840 4981495 ) M1M2_PR
NEW met1 ( 633840 4977795 ) M1M2_PR
NEW met1 ( 721200 4978165 ) M1M2_PR
NEW met1 ( 721200 4981495 ) M1M2_PR
NEW met3 ( 1337760 1207310 ) M3M4_PR_M
NEW met3 ( 1238880 1207310 ) M3M4_PR_M
NEW met1 ( 1310160 4980385 ) M1M2_PR
NEW met2 ( 1310160 4980570 ) via2_FR
NEW met3 ( 1440480 1215450 ) M3M4_PR_M
NEW met2 ( 1489680 1215450 ) via2_FR
NEW met2 ( 1491120 1216190 ) via2_FR
NEW met2 ( 1539600 1216190 ) via2_FR
NEW met2 ( 1539600 1212490 ) via2_FR
NEW met1 ( 2541840 4980015 ) M1M2_PR
NEW met2 ( 2541840 4979830 ) via2_FR
NEW met2 ( 216720 1213970 ) via2_FR
NEW met2 ( 216720 1279090 ) via2_FR
NEW met3 ( 211680 1282050 ) M3M4_PR_M
NEW met1 ( 1236720 1212675 ) M1M2_PR
NEW met2 ( 1236720 1212490 ) via2_FR
NEW met3 ( 1236960 1212490 ) M3M4_PR_M
NEW met2 ( 2217840 1212490 ) via2_FR
NEW met1 ( 2217840 1212675 ) M1M2_PR
NEW met1 ( 2318160 1212675 ) M1M2_PR
NEW met2 ( 2318160 1212490 ) via2_FR
NEW met2 ( 2419440 1212490 ) via2_FR
NEW met1 ( 2419440 1212675 ) M1M2_PR
NEW met1 ( 2519760 1212675 ) M1M2_PR
NEW met2 ( 2519760 1212490 ) via2_FR
NEW met2 ( 1120080 1212490 ) via2_FR
NEW met1 ( 1120080 1212675 ) M1M2_PR
NEW met3 ( 3384480 3707030 ) M3M4_PR_M
NEW met3 ( 3384480 3708510 ) M3M4_PR_M
NEW met3 ( 3384480 3574570 ) M3M4_PR_M
NEW met2 ( 691440 1213230 ) via2_FR
NEW met1 ( 691440 1212305 ) M1M2_PR
NEW met3 ( 576480 1212490 ) M3M4_PR_M
NEW met3 ( 576480 1213230 ) M3M4_PR_M
NEW met2 ( 633360 1213230 ) via2_FR
NEW met2 ( 634320 1213230 ) via2_FR
NEW met3 ( 877920 1211750 ) M3M4_PR_M
NEW met3 ( 877920 1213230 ) M3M4_PR_M
NEW met2 ( 857040 1213230 ) via2_FR
NEW met1 ( 857040 1212305 ) M1M2_PR
NEW met2 ( 273840 1213970 ) via2_FR
NEW met2 ( 274320 1212490 ) via2_FR
NEW met2 ( 475440 1212490 ) via2_FR
NEW met1 ( 475440 1212305 ) M1M2_PR
NEW met1 ( 533040 1212305 ) M1M2_PR
NEW met2 ( 533040 1213230 ) via2_FR
NEW met2 ( 878640 1211750 ) via2_FR
NEW met1 ( 878640 1211935 ) M1M2_PR
NEW met1 ( 978960 1211935 ) M1M2_PR
NEW met2 ( 978960 1212490 ) via2_FR
NEW met2 ( 217680 2795350 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2555760 1188810 ) RECT ( -70 -485 70 0 )
NEW met4 ( 3385440 1188810 ) RECT ( -150 -800 150 0 )
NEW met4 ( 3386400 1783030 ) RECT ( -150 -800 150 0 )
NEW met3 ( 1236720 1212490 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_oeb\[0\] ( padframe mprj_io_oeb[0] ) ( gpio_control_bidir\[0\] pad_gpio_outenb )
+ ROUTED met1 ( 3376080 577015 ) ( 3377520 577015 )
NEW met2 ( 3376080 577015 ) ( 3376080 647870 )
NEW met3 ( 3375840 647870 ) ( 3376080 647870 )
NEW met3 ( 3375840 647870 ) ( 3375840 648610 0 )
NEW met2 ( 3377520 575720 0 ) ( 3377520 577015 )
NEW met1 ( 3377520 577015 ) M1M2_PR
NEW met1 ( 3376080 577015 ) M1M2_PR
NEW met2 ( 3376080 647870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[10\] ( padframe mprj_io_oeb[10] ) ( gpio_control_in\[10\] pad_gpio_outenb )
+ ROUTED met3 ( 3373920 3113920 0 ) ( 3373920 3115770 )
NEW met3 ( 3373920 3489470 ) ( 3377040 3489470 )
NEW met2 ( 3377040 3489470 ) ( 3377040 3491690 0 )
NEW met4 ( 3373920 3115770 ) ( 3373920 3489470 )
NEW met3 ( 3373920 3115770 ) M3M4_PR_M
NEW met3 ( 3373920 3489470 ) M3M4_PR_M
NEW met2 ( 3377040 3489470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[11\] ( padframe mprj_io_oeb[11] ) ( gpio_control_in\[11\] pad_gpio_outenb )
+ ROUTED met3 ( 3371040 3338880 ) ( 3373920 3338880 0 )
NEW met3 ( 3371040 3715910 ) ( 3377040 3715910 )
NEW met2 ( 3377040 3715910 ) ( 3377040 3716650 0 )
NEW met4 ( 3371040 3338880 ) ( 3371040 3715910 )
NEW met3 ( 3371040 3338880 ) M3M4_PR_M
NEW met3 ( 3371040 3715910 ) M3M4_PR_M
NEW met2 ( 3377040 3715910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[12\] ( padframe mprj_io_oeb[12] ) ( gpio_control_in\[12\] pad_gpio_outenb )
+ ROUTED met3 ( 3370080 3940130 ) ( 3377040 3940130 )
NEW met2 ( 3377040 3940130 ) ( 3377040 3941610 0 )
NEW met3 ( 3370080 3564950 ) ( 3373920 3564950 0 )
NEW met4 ( 3370080 3564950 ) ( 3370080 3940130 )
NEW met3 ( 3370080 3940130 ) M3M4_PR_M
NEW met2 ( 3377040 3940130 ) via2_FR
NEW met3 ( 3370080 3564950 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[13\] ( padframe mprj_io_oeb[13] ) ( gpio_control_in\[13\] pad_gpio_outenb )
+ ROUTED met3 ( 3371040 4384870 ) ( 3377040 4384870 )
NEW met2 ( 3377040 4384870 ) ( 3377040 4387615 0 )
NEW met3 ( 3371040 3789910 ) ( 3373920 3789910 0 )
NEW met4 ( 3371040 3789910 ) ( 3371040 4384870 )
NEW met3 ( 3371040 4384870 ) M3M4_PR_M
NEW met2 ( 3377040 4384870 ) via2_FR
NEW met3 ( 3371040 3789910 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[14\] ( padframe mprj_io_oeb[14] ) ( gpio_control_in\[14\] pad_gpio_outenb )
+ ROUTED met3 ( 3385440 4681610 0 ) ( 3385440 4683090 )
NEW met2 ( 3375600 4833680 ) ( 3377040 4833680 0 )
NEW met2 ( 3374640 4803710 ) ( 3375600 4803710 )
NEW met2 ( 3374640 4767450 ) ( 3374640 4803710 )
NEW met3 ( 3374640 4767450 ) ( 3385440 4767450 )
NEW met2 ( 3375600 4803710 ) ( 3375600 4833680 )
NEW met4 ( 3385440 4683090 ) ( 3385440 4767450 )
NEW met3 ( 3385440 4683090 ) M3M4_PR_M
NEW met2 ( 3374640 4767450 ) via2_FR
NEW met3 ( 3385440 4767450 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[15\] ( padframe mprj_io_oeb[15] ) ( gpio_control_in\[15\] pad_gpio_outenb )
+ ROUTED met2 ( 2981040 4988895 ) ( 2981040 4997405 )
NEW met2 ( 3132720 4978350 ) ( 3134400 4978350 0 )
NEW met2 ( 3132720 4978350 ) ( 3132720 4978535 )
NEW met1 ( 3129360 4978535 ) ( 3132720 4978535 )
NEW met2 ( 3129360 4978535 ) ( 3129360 4997405 )
NEW met1 ( 2981040 4997405 ) ( 3129360 4997405 )
NEW met2 ( 2790960 4988710 ) ( 2790960 4988895 )
NEW met3 ( 2789760 4988710 0 ) ( 2790960 4988710 )
NEW met1 ( 2790960 4988895 ) ( 2981040 4988895 )
NEW met1 ( 2981040 4988895 ) M1M2_PR
NEW met1 ( 2981040 4997405 ) M1M2_PR
NEW met1 ( 3132720 4978535 ) M1M2_PR
NEW met1 ( 3129360 4978535 ) M1M2_PR
NEW met1 ( 3129360 4997405 ) M1M2_PR
NEW met1 ( 2790960 4988895 ) M1M2_PR
NEW met2 ( 2790960 4988710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[16\] ( padframe mprj_io_oeb[16] ) ( gpio_control_in\[16\] pad_gpio_outenb )
+ ROUTED met2 ( 2623920 4978350 ) ( 2625360 4978350 0 )
NEW met2 ( 2623920 4978165 ) ( 2623920 4978350 )
NEW met1 ( 2619600 4978165 ) ( 2623920 4978165 )
NEW met2 ( 2619600 4978165 ) ( 2619600 4997590 )
NEW met3 ( 2561040 4997590 ) ( 2619600 4997590 )
NEW met2 ( 2561040 4997590 ) ( 2561040 5003325 )
NEW met2 ( 2534640 5003325 ) ( 2534640 5003510 )
NEW met3 ( 2532960 5003510 0 ) ( 2534640 5003510 )
NEW met1 ( 2534640 5003325 ) ( 2561040 5003325 )
NEW met1 ( 2623920 4978165 ) M1M2_PR
NEW met1 ( 2619600 4978165 ) M1M2_PR
NEW met2 ( 2619600 4997590 ) via2_FR
NEW met2 ( 2561040 4997590 ) via2_FR
NEW met1 ( 2561040 5003325 ) M1M2_PR
NEW met1 ( 2534640 5003325 ) M1M2_PR
NEW met2 ( 2534640 5003510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[17\] ( padframe mprj_io_oeb[17] ) ( gpio_control_in\[17\] pad_gpio_outenb )
+ ROUTED met2 ( 2149680 5004065 ) ( 2149680 5004250 )
NEW met3 ( 2148000 5004250 0 ) ( 2149680 5004250 )
NEW met2 ( 2366640 4979090 ) ( 2368320 4979090 0 )
NEW met2 ( 2366640 4978905 ) ( 2366640 4979090 )
NEW met1 ( 2363280 4978905 ) ( 2366640 4978905 )
NEW met2 ( 2363280 4978905 ) ( 2363280 5004065 )
NEW met1 ( 2149680 5004065 ) ( 2363280 5004065 )
NEW met1 ( 2149680 5004065 ) M1M2_PR
NEW met2 ( 2149680 5004250 ) via2_FR
NEW met1 ( 2366640 4978905 ) M1M2_PR
NEW met1 ( 2363280 4978905 ) M1M2_PR
NEW met1 ( 2363280 5004065 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[18\] ( padframe mprj_io_oeb[18] ) ( gpio_control_in\[18\] pad_gpio_outenb )
+ ROUTED met2 ( 1922160 4979090 ) ( 1923360 4979090 0 )
NEW met2 ( 1922160 4978905 ) ( 1922160 4979090 )
NEW met1 ( 1918320 4978905 ) ( 1922160 4978905 )
NEW met2 ( 1918320 4978905 ) ( 1918320 5027375 )
NEW met2 ( 1836240 5015905 ) ( 1836240 5027375 )
NEW met1 ( 1812720 5015905 ) ( 1836240 5015905 )
NEW met2 ( 1812720 5015905 ) ( 1812720 5016090 )
NEW met3 ( 1811040 5016090 0 ) ( 1812720 5016090 )
NEW met1 ( 1836240 5027375 ) ( 1918320 5027375 )
NEW met1 ( 1918320 5027375 ) M1M2_PR
NEW met1 ( 1922160 4978905 ) M1M2_PR
NEW met1 ( 1918320 4978905 ) M1M2_PR
NEW met1 ( 1836240 5027375 ) M1M2_PR
NEW met1 ( 1836240 5015905 ) M1M2_PR
NEW met1 ( 1812720 5015905 ) M1M2_PR
NEW met2 ( 1812720 5016090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[19\] ( padframe mprj_io_oeb[19] ) ( gpio_control_in\[19\] pad_gpio_outenb )
+ ROUTED met2 ( 1414320 4968915 ) ( 1414320 4977610 0 )
NEW met2 ( 1549680 4968915 ) ( 1549680 4982605 )
NEW met1 ( 1549680 4982605 ) ( 1557840 4982605 )
NEW met2 ( 1557840 4982605 ) ( 1557840 4982790 )
NEW met3 ( 1557840 4982790 ) ( 1558560 4982790 0 )
NEW met1 ( 1414320 4968915 ) ( 1549680 4968915 )
NEW met1 ( 1414320 4968915 ) M1M2_PR
NEW met1 ( 1549680 4968915 ) M1M2_PR
NEW met1 ( 1549680 4982605 ) M1M2_PR
NEW met1 ( 1557840 4982605 ) M1M2_PR
NEW met2 ( 1557840 4982790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[1\] ( padframe mprj_io_oeb[1] ) ( gpio_control_bidir\[1\] pad_gpio_outenb )
+ ROUTED met3 ( 3377760 873570 ) ( 3378000 873570 )
NEW met3 ( 3377760 873570 ) ( 3377760 875050 0 )
NEW met1 ( 3378000 803825 ) ( 3378000 804935 )
NEW met2 ( 3378000 801615 0 ) ( 3378000 803825 )
NEW met2 ( 3378000 804935 ) ( 3378000 873570 )
NEW met2 ( 3378000 873570 ) via2_FR
NEW met1 ( 3378000 804935 ) M1M2_PR
NEW met1 ( 3378000 803825 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[20\] ( padframe mprj_io_oeb[20] ) ( gpio_control_in\[20\] pad_gpio_outenb )
+ ROUTED met2 ( 1157520 4968915 ) ( 1157520 4977610 )
NEW met2 ( 1156320 4977610 0 ) ( 1157520 4977610 )
NEW met2 ( 1300560 4968915 ) ( 1300560 4976130 )
NEW met3 ( 1300560 4976130 ) ( 1300800 4976130 )
NEW met3 ( 1300800 4976130 ) ( 1300800 4979090 0 )
NEW met1 ( 1157520 4968915 ) ( 1300560 4968915 )
NEW met1 ( 1157520 4968915 ) M1M2_PR
NEW met1 ( 1300560 4968915 ) M1M2_PR
NEW met2 ( 1300560 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[21\] ( padframe mprj_io_oeb[21] ) ( gpio_control_in\[21\] pad_gpio_outenb )
+ ROUTED met2 ( 1043760 4968545 ) ( 1043760 4976870 )
NEW met3 ( 1043760 4976870 ) ( 1044000 4976870 )
NEW met3 ( 1044000 4976870 ) ( 1044000 4979090 0 )
NEW met2 ( 899280 4968545 ) ( 899280 4977610 0 )
NEW met1 ( 899280 4968545 ) ( 1043760 4968545 )
NEW met1 ( 1043760 4968545 ) M1M2_PR
NEW met2 ( 1043760 4976870 ) via2_FR
NEW met1 ( 899280 4968545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[22\] ( padframe mprj_io_oeb[22] ) ( gpio_control_in\[22\] pad_gpio_outenb )
+ ROUTED met2 ( 786480 4968175 ) ( 786480 4976870 )
NEW met3 ( 786480 4976870 ) ( 786720 4976870 )
NEW met3 ( 786720 4976870 ) ( 786720 4979090 0 )
NEW met2 ( 642480 4968175 ) ( 642480 4977610 0 )
NEW met1 ( 642480 4968175 ) ( 786480 4968175 )
NEW met1 ( 786480 4968175 ) M1M2_PR
NEW met2 ( 786480 4976870 ) via2_FR
NEW met1 ( 642480 4968175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[23\] ( padframe mprj_io_oeb[23] ) ( gpio_control_in\[23\] pad_gpio_outenb )
+ ROUTED met2 ( 386640 4968175 ) ( 386640 4977610 )
NEW met2 ( 385440 4977610 0 ) ( 386640 4977610 )
NEW met2 ( 529680 4968175 ) ( 529680 4978350 )
NEW met3 ( 529680 4978350 ) ( 529920 4978350 )
NEW met3 ( 529920 4978350 ) ( 529920 4979090 0 )
NEW met1 ( 386640 4968175 ) ( 529680 4968175 )
NEW met1 ( 386640 4968175 ) M1M2_PR
NEW met1 ( 529680 4968175 ) M1M2_PR
NEW met2 ( 529680 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[24\] ( padframe mprj_io_oeb[24] ) ( gpio_control_in\[24\] pad_gpio_outenb )
+ ROUTED met3 ( 200160 4701590 ) ( 200400 4701590 )
NEW met3 ( 200160 4700110 0 ) ( 200160 4701590 )
NEW met3 ( 200400 4709730 ) ( 209040 4709730 )
NEW met2 ( 209040 4709730 ) ( 209040 4772075 )
NEW met1 ( 209040 4772075 ) ( 209040 4773185 )
NEW met2 ( 209040 4773185 ) ( 209040 4775385 0 )
NEW met2 ( 200400 4701590 ) ( 200400 4709730 )
NEW met2 ( 200400 4701590 ) via2_FR
NEW met2 ( 200400 4709730 ) via2_FR
NEW met2 ( 209040 4709730 ) via2_FR
NEW met1 ( 209040 4772075 ) M1M2_PR
NEW met1 ( 209040 4773185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[25\] ( padframe mprj_io_oeb[25] ) ( gpio_control_in\[25\] pad_gpio_outenb )
+ ROUTED met3 ( 201120 4069630 ) ( 201360 4069630 )
NEW met3 ( 201120 4069630 ) ( 201120 4071110 0 )
NEW met3 ( 201360 4010430 ) ( 207840 4010430 )
NEW met2 ( 201360 4010430 ) ( 201360 4069630 )
NEW met3 ( 207840 3946790 ) ( 214320 3946790 )
NEW met2 ( 214320 3928105 ) ( 214320 3946790 )
NEW met1 ( 210480 3928105 ) ( 214320 3928105 )
NEW met2 ( 210480 3926440 0 ) ( 210480 3928105 )
NEW met4 ( 207840 3946790 ) ( 207840 4010430 )
NEW met2 ( 201360 4069630 ) via2_FR
NEW met2 ( 201360 4010430 ) via2_FR
NEW met3 ( 207840 4010430 ) M3M4_PR_M
NEW met3 ( 207840 3946790 ) M3M4_PR_M
NEW met2 ( 214320 3946790 ) via2_FR
NEW met1 ( 214320 3928105 ) M1M2_PR
NEW met1 ( 210480 3928105 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[26\] ( padframe mprj_io_oeb[26] ) ( gpio_control_in\[26\] pad_gpio_outenb )
+ ROUTED met3 ( 201360 3788430 ) ( 205920 3788430 )
NEW met2 ( 201360 3788430 ) ( 201360 3853550 )
NEW met3 ( 201120 3853550 ) ( 201360 3853550 )
NEW met3 ( 201120 3853550 ) ( 201120 3855030 0 )
NEW met3 ( 205920 3734410 ) ( 215280 3734410 )
NEW met2 ( 215280 3713135 ) ( 215280 3734410 )
NEW met1 ( 210960 3713135 ) ( 215280 3713135 )
NEW met2 ( 210960 3710360 0 ) ( 210960 3713135 )
NEW met4 ( 205920 3734410 ) ( 205920 3788430 )
NEW met3 ( 205920 3788430 ) M3M4_PR_M
NEW met2 ( 201360 3788430 ) via2_FR
NEW met2 ( 201360 3853550 ) via2_FR
NEW met3 ( 205920 3734410 ) M3M4_PR_M
NEW met2 ( 215280 3734410 ) via2_FR
NEW met1 ( 215280 3713135 ) M1M2_PR
NEW met1 ( 210960 3713135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[27\] ( padframe mprj_io_oeb[27] ) ( gpio_control_in\[27\] pad_gpio_outenb )
+ ROUTED met3 ( 212640 3638950 0 ) ( 215520 3638950 )
NEW met2 ( 210960 3494280 0 ) ( 210960 3495945 )
NEW met1 ( 210960 3495945 ) ( 215280 3495945 )
NEW met2 ( 215280 3495945 ) ( 215280 3517590 )
NEW met3 ( 215280 3517590 ) ( 215520 3517590 )
NEW met4 ( 215520 3517590 ) ( 215520 3638950 )
NEW met3 ( 215520 3638950 ) M3M4_PR_M
NEW met1 ( 210960 3495945 ) M1M2_PR
NEW met1 ( 215280 3495945 ) M1M2_PR
NEW met2 ( 215280 3517590 ) via2_FR
NEW met3 ( 215520 3517590 ) M3M4_PR_M
NEW met3 ( 215280 3517590 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_oeb\[28\] ( padframe mprj_io_oeb[28] ) ( gpio_control_in\[28\] pad_gpio_outenb )
+ ROUTED met3 ( 201360 3366630 ) ( 215520 3366630 )
NEW met2 ( 201360 3366630 ) ( 201360 3421390 )
NEW met3 ( 201120 3421390 ) ( 201360 3421390 )
NEW met3 ( 201120 3421390 ) ( 201120 3422870 0 )
NEW met2 ( 210960 3278385 0 ) ( 210960 3280790 )
NEW met3 ( 210960 3280790 ) ( 211680 3280790 )
NEW met4 ( 211680 3280790 ) ( 211680 3342210 )
NEW met3 ( 211680 3342210 ) ( 216480 3342210 )
NEW met4 ( 216480 3342210 ) ( 216480 3354975 )
NEW met4 ( 215520 3354975 ) ( 216480 3354975 )
NEW met4 ( 215520 3354975 ) ( 215520 3366630 )
NEW met3 ( 215520 3366630 ) M3M4_PR_M
NEW met2 ( 201360 3366630 ) via2_FR
NEW met2 ( 201360 3421390 ) via2_FR
NEW met2 ( 210960 3280790 ) via2_FR
NEW met3 ( 211680 3280790 ) M3M4_PR_M
NEW met3 ( 211680 3342210 ) M3M4_PR_M
NEW met3 ( 216480 3342210 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[29\] ( padframe mprj_io_oeb[29] ) ( gpio_control_in\[29\] pad_gpio_outenb )
+ ROUTED met3 ( 199200 3205310 ) ( 199440 3205310 )
NEW met3 ( 199200 3205310 ) ( 199200 3206790 0 )
NEW met3 ( 199440 3139450 ) ( 204000 3139450 )
NEW met4 ( 204000 3063970 ) ( 204000 3139450 )
NEW met3 ( 204000 3063970 ) ( 209040 3063970 )
NEW met2 ( 209040 3062490 0 ) ( 209040 3063970 )
NEW met2 ( 199440 3139450 ) ( 199440 3205310 )
NEW met2 ( 199440 3205310 ) via2_FR
NEW met2 ( 199440 3139450 ) via2_FR
NEW met3 ( 204000 3139450 ) M3M4_PR_M
NEW met3 ( 204000 3063970 ) M3M4_PR_M
NEW met2 ( 209040 3063970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[2\] ( padframe mprj_io_oeb[2] ) ( gpio_control_in\[2\] pad_gpio_outenb )
+ ROUTED met2 ( 3377520 1026750 0 ) ( 3377520 1028785 )
NEW met1 ( 3377520 1028785 ) ( 3377520 1029895 )
NEW met2 ( 3377520 1029895 ) ( 3377520 1098530 )
NEW met3 ( 3377520 1098530 ) ( 3377760 1098530 )
NEW met3 ( 3377760 1098530 ) ( 3377760 1100010 0 )
NEW met1 ( 3377520 1028785 ) M1M2_PR
NEW met1 ( 3377520 1029895 ) M1M2_PR
NEW met2 ( 3377520 1098530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[30\] ( padframe mprj_io_oeb[30] ) ( gpio_control_in\[30\] pad_gpio_outenb )
+ ROUTED met1 ( 210480 2848445 ) ( 215760 2848445 )
NEW met2 ( 210480 2846410 0 ) ( 210480 2848445 )
NEW met3 ( 203040 2989230 ) ( 203040 2990710 0 )
NEW met3 ( 203040 2865650 ) ( 216240 2865650 )
NEW met2 ( 216240 2854550 ) ( 216240 2865650 )
NEW met2 ( 215760 2854550 ) ( 216240 2854550 )
NEW met4 ( 203040 2865650 ) ( 203040 2989230 )
NEW met2 ( 215760 2848445 ) ( 215760 2854550 )
NEW met1 ( 215760 2848445 ) M1M2_PR
NEW met1 ( 210480 2848445 ) M1M2_PR
NEW met3 ( 203040 2989230 ) M3M4_PR_M
NEW met3 ( 203040 2865650 ) M3M4_PR_M
NEW met2 ( 216240 2865650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[31\] ( padframe mprj_io_oeb[31] ) ( gpio_control_in\[31\] pad_gpio_outenb )
+ ROUTED met3 ( 204000 2773150 ) ( 204000 2774630 0 )
NEW met2 ( 210960 2630330 0 ) ( 210960 2632365 )
NEW met1 ( 210960 2632365 ) ( 214320 2632365 )
NEW met2 ( 214320 2632365 ) ( 214320 2644575 )
NEW met1 ( 214320 2644575 ) ( 216240 2644575 )
NEW met1 ( 216240 2644575 ) ( 216240 2647165 )
NEW met1 ( 215760 2647165 ) ( 216240 2647165 )
NEW met1 ( 215760 2647165 ) ( 215760 2647535 )
NEW met3 ( 204000 2650310 ) ( 215280 2650310 )
NEW met2 ( 215280 2650310 ) ( 215760 2650310 )
NEW met4 ( 204000 2650310 ) ( 204000 2773150 )
NEW met2 ( 215760 2647535 ) ( 215760 2650310 )
NEW met3 ( 204000 2773150 ) M3M4_PR_M
NEW met1 ( 210960 2632365 ) M1M2_PR
NEW met1 ( 214320 2632365 ) M1M2_PR
NEW met1 ( 214320 2644575 ) M1M2_PR
NEW met1 ( 215760 2647535 ) M1M2_PR
NEW met3 ( 204000 2650310 ) M3M4_PR_M
NEW met2 ( 215280 2650310 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[32\] ( padframe mprj_io_oeb[32] ) ( gpio_control_in\[32\] pad_gpio_outenb )
+ ROUTED met3 ( 204960 1993930 ) ( 209040 1993930 )
NEW met2 ( 209040 1992450 0 ) ( 209040 1993930 )
NEW met3 ( 204960 2135270 ) ( 204960 2136750 0 )
NEW met4 ( 204960 1993930 ) ( 204960 2135270 )
NEW met3 ( 204960 1993930 ) M3M4_PR_M
NEW met2 ( 209040 1993930 ) via2_FR
NEW met3 ( 204960 2135270 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[33\] ( padframe mprj_io_oeb[33] ) ( gpio_control_in\[33\] pad_gpio_outenb )
+ ROUTED met3 ( 204960 1777850 ) ( 209040 1777850 )
NEW met2 ( 209040 1776370 0 ) ( 209040 1777850 )
NEW met3 ( 204960 1919190 ) ( 204960 1920670 0 )
NEW met4 ( 204960 1777850 ) ( 204960 1919190 )
NEW met3 ( 204960 1777850 ) M3M4_PR_M
NEW met2 ( 209040 1777850 ) via2_FR
NEW met3 ( 204960 1919190 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[34\] ( padframe mprj_io_oeb[34] ) ( gpio_control_in\[34\] pad_gpio_outenb )
+ ROUTED met3 ( 204960 1561770 ) ( 209040 1561770 )
NEW met2 ( 209040 1560290 0 ) ( 209040 1561770 )
NEW met3 ( 204960 1703110 ) ( 204960 1704590 0 )
NEW met4 ( 204960 1561770 ) ( 204960 1703110 )
NEW met3 ( 204960 1561770 ) M3M4_PR_M
NEW met2 ( 209040 1561770 ) via2_FR
NEW met3 ( 204960 1703110 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[35\] ( padframe mprj_io_oeb[35] ) ( gpio_control_in\[35\] pad_gpio_outenb )
+ ROUTED met3 ( 204000 1345690 ) ( 209040 1345690 )
NEW met2 ( 209040 1344385 0 ) ( 209040 1345690 )
NEW met3 ( 204000 1487030 ) ( 204000 1488880 0 )
NEW met4 ( 204000 1345690 ) ( 204000 1487030 )
NEW met3 ( 204000 1345690 ) M3M4_PR_M
NEW met2 ( 209040 1345690 ) via2_FR
NEW met3 ( 204000 1487030 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[36\] ( padframe mprj_io_oeb[36] ) ( gpio_control_in\[36\] pad_gpio_outenb )
+ ROUTED met3 ( 202080 1129610 ) ( 209040 1129610 )
NEW met2 ( 209040 1128500 0 ) ( 209040 1129610 )
NEW met3 ( 202080 1270950 ) ( 202080 1272800 0 )
NEW met4 ( 202080 1129610 ) ( 202080 1270950 )
NEW met3 ( 202080 1129610 ) M3M4_PR_M
NEW met2 ( 209040 1129610 ) via2_FR
NEW met3 ( 202080 1270950 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[37\] ( padframe mprj_io_oeb[37] ) ( gpio_control_in\[37\] pad_gpio_outenb )
+ ROUTED met3 ( 202080 1055610 ) ( 202080 1057090 0 )
NEW met3 ( 202080 914270 ) ( 209040 914270 )
NEW met2 ( 209040 912420 0 ) ( 209040 914270 )
NEW met4 ( 202080 914270 ) ( 202080 1055610 )
NEW met3 ( 202080 1055610 ) M3M4_PR_M
NEW met3 ( 202080 914270 ) M3M4_PR_M
NEW met2 ( 209040 914270 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[3\] ( padframe mprj_io_oeb[3] ) ( gpio_control_in\[3\] pad_gpio_outenb )
+ ROUTED met2 ( 3377040 1252615 0 ) ( 3377040 1254855 )
NEW met1 ( 3376080 1254855 ) ( 3377040 1254855 )
NEW met2 ( 3376080 1254855 ) ( 3376080 1324970 )
NEW met3 ( 3375840 1324970 ) ( 3376080 1324970 )
NEW met3 ( 3375840 1324970 ) ( 3375840 1325710 0 )
NEW met1 ( 3377040 1254855 ) M1M2_PR
NEW met1 ( 3376080 1254855 ) M1M2_PR
NEW met2 ( 3376080 1324970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[4\] ( padframe mprj_io_oeb[4] ) ( gpio_control_in\[4\] pad_gpio_outenb )
+ ROUTED met2 ( 3375600 1477615 ) ( 3377040 1477615 0 )
NEW met3 ( 3375600 1549930 ) ( 3375840 1549930 )
NEW met3 ( 3375840 1549930 ) ( 3375840 1550670 0 )
NEW met2 ( 3375600 1477615 ) ( 3375600 1549930 )
NEW met2 ( 3375600 1549930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[5\] ( padframe mprj_io_oeb[5] ) ( gpio_control_in\[5\] pad_gpio_outenb )
+ ROUTED met2 ( 3377520 1702740 0 ) ( 3377520 1704775 )
NEW met1 ( 3376080 1704775 ) ( 3377520 1704775 )
NEW met2 ( 3376080 1704775 ) ( 3376080 1774890 )
NEW met3 ( 3375840 1774890 ) ( 3376080 1774890 )
NEW met3 ( 3375840 1774890 ) ( 3375840 1775630 0 )
NEW met1 ( 3377520 1704775 ) M1M2_PR
NEW met1 ( 3376080 1704775 ) M1M2_PR
NEW met2 ( 3376080 1774890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[6\] ( padframe mprj_io_oeb[6] ) ( gpio_control_in\[6\] pad_gpio_outenb )
+ ROUTED met2 ( 3377040 1928615 0 ) ( 3377040 1930845 )
NEW met1 ( 3377040 1930845 ) ( 3377040 1931955 )
NEW met2 ( 3377040 1931955 ) ( 3377040 2000590 )
NEW met3 ( 3376800 2000590 ) ( 3377040 2000590 )
NEW met3 ( 3376800 2000590 ) ( 3376800 2002070 0 )
NEW met1 ( 3377040 1930845 ) M1M2_PR
NEW met1 ( 3377040 1931955 ) M1M2_PR
NEW met2 ( 3377040 2000590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[7\] ( padframe mprj_io_oeb[7] ) ( gpio_control_in\[7\] pad_gpio_outenb )
+ ROUTED met3 ( 3372000 2443110 ) ( 3373920 2443110 0 )
NEW met3 ( 3372000 2813110 ) ( 3377520 2813110 )
NEW met2 ( 3377520 2813110 ) ( 3377520 2814590 0 )
NEW met4 ( 3372000 2443110 ) ( 3372000 2813110 )
NEW met3 ( 3372000 2443110 ) M3M4_PR_M
NEW met3 ( 3372000 2813110 ) M3M4_PR_M
NEW met2 ( 3377520 2813110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[8\] ( padframe mprj_io_oeb[8] ) ( gpio_control_in\[8\] pad_gpio_outenb )
+ ROUTED met3 ( 3371040 2662890 ) ( 3373920 2662890 0 )
NEW met3 ( 3371040 3038810 ) ( 3377040 3038810 )
NEW met2 ( 3377040 3038810 ) ( 3377040 3040660 0 )
NEW met4 ( 3371040 2662890 ) ( 3371040 3038810 )
NEW met3 ( 3371040 2662890 ) M3M4_PR_M
NEW met3 ( 3371040 3038810 ) M3M4_PR_M
NEW met2 ( 3377040 3038810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[9\] ( padframe mprj_io_oeb[9] ) ( gpio_control_in\[9\] pad_gpio_outenb )
+ ROUTED met3 ( 3375840 2887850 0 ) ( 3375840 2889330 )
NEW met3 ( 3375840 3263030 ) ( 3377040 3263030 )
NEW met2 ( 3377040 3263030 ) ( 3377040 3265620 0 )
NEW met4 ( 3375840 2889330 ) ( 3375840 3263030 )
NEW met3 ( 3375840 2889330 ) M3M4_PR_M
NEW met3 ( 3375840 3263030 ) M3M4_PR_M
NEW met2 ( 3377040 3263030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[0\] ( padframe mprj_io_out[0] ) ( gpio_control_bidir\[0\] pad_gpio_out )
+ ROUTED met3 ( 3375600 644910 ) ( 3375840 644910 )
NEW met3 ( 3375840 644910 ) ( 3375840 646390 0 )
NEW met2 ( 3375600 559975 ) ( 3377040 559975 0 )
NEW met2 ( 3375600 559975 ) ( 3375600 644910 )
NEW met2 ( 3375600 644910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[10\] ( padframe mprj_io_out[10] ) ( gpio_control_in\[10\] pad_gpio_out )
+ ROUTED met3 ( 3379680 3111330 0 ) ( 3379680 3112810 )
NEW met2 ( 3378960 3473930 ) ( 3378960 3475975 0 )
NEW met3 ( 3378960 3473930 ) ( 3379680 3473930 )
NEW met4 ( 3379680 3112810 ) ( 3379680 3473930 )
NEW met3 ( 3379680 3112810 ) M3M4_PR_M
NEW met2 ( 3378960 3473930 ) via2_FR
NEW met3 ( 3379680 3473930 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[11\] ( padframe mprj_io_out[11] ) ( gpio_control_in\[11\] pad_gpio_out )
+ ROUTED met3 ( 3375840 3336290 0 ) ( 3375840 3337770 )
NEW met3 ( 3375840 3700370 ) ( 3377040 3700370 )
NEW met2 ( 3377040 3700370 ) ( 3377040 3701110 0 )
NEW met4 ( 3375840 3337770 ) ( 3375840 3700370 )
NEW met3 ( 3375840 3337770 ) M3M4_PR_M
NEW met3 ( 3375840 3700370 ) M3M4_PR_M
NEW met2 ( 3377040 3700370 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[12\] ( padframe mprj_io_out[12] ) ( gpio_control_in\[12\] pad_gpio_out )
+ ROUTED met3 ( 3378960 3924590 ) ( 3381600 3924590 )
NEW met2 ( 3378960 3924590 ) ( 3378960 3926070 0 )
NEW met3 ( 3381600 3561990 0 ) ( 3381600 3563470 )
NEW met4 ( 3381600 3563470 ) ( 3381600 3924590 )
NEW met3 ( 3381600 3924590 ) M3M4_PR_M
NEW met2 ( 3378960 3924590 ) via2_FR
NEW met3 ( 3381600 3563470 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[13\] ( padframe mprj_io_out[13] ) ( gpio_control_in\[13\] pad_gpio_out )
+ ROUTED met3 ( 3372960 4370070 ) ( 3377520 4370070 )
NEW met2 ( 3377520 4370070 ) ( 3377520 4371920 0 )
NEW met3 ( 3372960 3786210 ) ( 3373920 3786210 )
NEW met3 ( 3373920 3786210 ) ( 3373920 3786950 0 )
NEW met4 ( 3372960 3786210 ) ( 3372960 4370070 )
NEW met3 ( 3372960 4370070 ) M3M4_PR_M
NEW met2 ( 3377520 4370070 ) via2_FR
NEW met3 ( 3372960 3786210 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[14\] ( padframe mprj_io_out[14] ) ( gpio_control_in\[14\] pad_gpio_out )
+ ROUTED met3 ( 3379680 4679390 0 ) ( 3379680 4680870 )
NEW met2 ( 3378960 4817030 ) ( 3378960 4817975 0 )
NEW met3 ( 3378960 4817030 ) ( 3379680 4817030 )
NEW met4 ( 3379680 4680870 ) ( 3379680 4817030 )
NEW met3 ( 3379680 4680870 ) M3M4_PR_M
NEW met2 ( 3378960 4817030 ) via2_FR
NEW met3 ( 3379680 4817030 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[15\] ( padframe mprj_io_out[15] ) ( gpio_control_in\[15\] pad_gpio_out )
+ ROUTED met2 ( 3067440 4988155 ) ( 3067440 4998885 )
NEW met2 ( 3148080 4979090 ) ( 3150000 4979090 0 )
NEW met3 ( 3129840 4979090 ) ( 3148080 4979090 )
NEW met2 ( 3129840 4979090 ) ( 3129840 4998330 )
NEW met2 ( 3129360 4998330 ) ( 3129840 4998330 )
NEW met2 ( 3129360 4998330 ) ( 3129360 4998885 )
NEW met1 ( 3067440 4998885 ) ( 3129360 4998885 )
NEW met2 ( 2789040 4987970 ) ( 2789040 4988155 )
NEW met3 ( 2787360 4987970 0 ) ( 2789040 4987970 )
NEW met1 ( 2789040 4988155 ) ( 3067440 4988155 )
NEW met1 ( 3067440 4988155 ) M1M2_PR
NEW met1 ( 3067440 4998885 ) M1M2_PR
NEW met2 ( 3148080 4979090 ) via2_FR
NEW met2 ( 3129840 4979090 ) via2_FR
NEW met1 ( 3129360 4998885 ) M1M2_PR
NEW met1 ( 2789040 4988155 ) M1M2_PR
NEW met2 ( 2789040 4987970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[16\] ( padframe mprj_io_out[16] ) ( gpio_control_in\[16\] pad_gpio_out )
+ ROUTED met2 ( 2639280 4978350 ) ( 2640960 4978350 0 )
NEW met3 ( 2617680 4978350 ) ( 2639280 4978350 )
NEW met2 ( 2617680 4978350 ) ( 2617680 5001290 )
NEW met3 ( 2577840 5001290 ) ( 2617680 5001290 )
NEW met2 ( 2577840 5001290 ) ( 2577840 5004805 )
NEW met2 ( 2531760 5004805 ) ( 2531760 5004990 )
NEW met3 ( 2530080 5004990 0 ) ( 2531760 5004990 )
NEW met1 ( 2531760 5004805 ) ( 2577840 5004805 )
NEW met2 ( 2639280 4978350 ) via2_FR
NEW met2 ( 2617680 4978350 ) via2_FR
NEW met2 ( 2617680 5001290 ) via2_FR
NEW met2 ( 2577840 5001290 ) via2_FR
NEW met1 ( 2577840 5004805 ) M1M2_PR
NEW met1 ( 2531760 5004805 ) M1M2_PR
NEW met2 ( 2531760 5004990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[17\] ( padframe mprj_io_out[17] ) ( gpio_control_in\[17\] pad_gpio_out )
+ ROUTED met2 ( 2261040 5039955 ) ( 2261040 5040695 )
NEW met2 ( 2146320 5012945 ) ( 2146320 5013130 )
NEW met1 ( 2146320 5012945 ) ( 2173680 5012945 )
NEW met2 ( 2173680 5012945 ) ( 2173680 5039955 )
NEW met1 ( 2173680 5039955 ) ( 2261040 5039955 )
NEW met2 ( 2382000 4977610 ) ( 2383920 4977610 0 )
NEW met2 ( 2382000 4977610 ) ( 2382000 4977795 )
NEW met1 ( 2360880 4977795 ) ( 2382000 4977795 )
NEW met2 ( 2360880 4977795 ) ( 2360880 5040695 )
NEW met1 ( 2261040 5040695 ) ( 2360880 5040695 )
NEW met3 ( 2145120 5013130 0 ) ( 2146320 5013130 )
NEW met1 ( 2261040 5039955 ) M1M2_PR
NEW met1 ( 2261040 5040695 ) M1M2_PR
NEW met2 ( 2146320 5013130 ) via2_FR
NEW met1 ( 2146320 5012945 ) M1M2_PR
NEW met1 ( 2173680 5012945 ) M1M2_PR
NEW met1 ( 2173680 5039955 ) M1M2_PR
NEW met1 ( 2382000 4977795 ) M1M2_PR
NEW met1 ( 2360880 4977795 ) M1M2_PR
NEW met1 ( 2360880 5040695 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[18\] ( padframe mprj_io_out[18] ) ( gpio_control_in\[18\] pad_gpio_out )
+ ROUTED met2 ( 1857840 4997405 ) ( 1857840 5012575 )
NEW met2 ( 1937520 4979090 ) ( 1938960 4979090 0 )
NEW met3 ( 1917840 4979090 ) ( 1937520 4979090 )
NEW met2 ( 1917840 4979090 ) ( 1917840 4996665 )
NEW met1 ( 1902480 4996665 ) ( 1917840 4996665 )
NEW met1 ( 1902480 4996665 ) ( 1902480 4997035 )
NEW met1 ( 1900080 4997035 ) ( 1902480 4997035 )
NEW met1 ( 1900080 4997035 ) ( 1900080 4997405 )
NEW met1 ( 1857840 4997405 ) ( 1900080 4997405 )
NEW met2 ( 1809360 5012390 ) ( 1809360 5012575 )
NEW met3 ( 1808160 5012390 0 ) ( 1809360 5012390 )
NEW met1 ( 1809360 5012575 ) ( 1857840 5012575 )
NEW met1 ( 1857840 5012575 ) M1M2_PR
NEW met1 ( 1857840 4997405 ) M1M2_PR
NEW met2 ( 1937520 4979090 ) via2_FR
NEW met2 ( 1917840 4979090 ) via2_FR
NEW met1 ( 1917840 4996665 ) M1M2_PR
NEW met1 ( 1809360 5012575 ) M1M2_PR
NEW met2 ( 1809360 5012390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[19\] ( padframe mprj_io_out[19] ) ( gpio_control_in\[19\] pad_gpio_out )
+ ROUTED met2 ( 1430160 4972430 ) ( 1430160 4977610 0 )
NEW met3 ( 1556160 4972430 ) ( 1556160 4979090 0 )
NEW met3 ( 1430160 4972430 ) ( 1556160 4972430 )
NEW met2 ( 1430160 4972430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[1\] ( padframe mprj_io_out[1] ) ( gpio_control_bidir\[1\] pad_gpio_out )
+ ROUTED met1 ( 3374160 788655 ) ( 3377520 788655 )
NEW met2 ( 3377520 785880 0 ) ( 3377520 788655 )
NEW met3 ( 3373920 871350 ) ( 3374160 871350 )
NEW met3 ( 3373920 871350 ) ( 3373920 872090 0 )
NEW met2 ( 3374160 788655 ) ( 3374160 871350 )
NEW met1 ( 3374160 788655 ) M1M2_PR
NEW met1 ( 3377520 788655 ) M1M2_PR
NEW met2 ( 3374160 871350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[20\] ( padframe mprj_io_out[20] ) ( gpio_control_in\[20\] pad_gpio_out )
+ ROUTED met2 ( 1171920 4970950 ) ( 1171920 4977610 0 )
NEW met2 ( 1296240 4970950 ) ( 1296240 4979090 )
NEW met3 ( 1296240 4979090 ) ( 1298400 4979090 0 )
NEW met3 ( 1171920 4970950 ) ( 1296240 4970950 )
NEW met2 ( 1171920 4970950 ) via2_FR
NEW met2 ( 1296240 4970950 ) via2_FR
NEW met2 ( 1296240 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[21\] ( padframe mprj_io_out[21] ) ( gpio_control_in\[21\] pad_gpio_out )
+ ROUTED met2 ( 1039920 4982605 ) ( 1039920 4982790 )
NEW met3 ( 1039920 4982790 ) ( 1041120 4982790 0 )
NEW met2 ( 915120 4975945 ) ( 915120 4977610 0 )
NEW met2 ( 984240 4975945 ) ( 984240 4983345 )
NEW met1 ( 984240 4983345 ) ( 1026480 4983345 )
NEW met1 ( 1026480 4982605 ) ( 1026480 4983345 )
NEW met1 ( 915120 4975945 ) ( 984240 4975945 )
NEW met1 ( 1026480 4982605 ) ( 1039920 4982605 )
NEW met1 ( 1039920 4982605 ) M1M2_PR
NEW met2 ( 1039920 4982790 ) via2_FR
NEW met1 ( 915120 4975945 ) M1M2_PR
NEW met1 ( 984240 4975945 ) M1M2_PR
NEW met1 ( 984240 4983345 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[22\] ( padframe mprj_io_out[22] ) ( gpio_control_in\[22\] pad_gpio_out )
+ ROUTED met2 ( 783120 4968545 ) ( 783120 4979830 )
NEW met3 ( 783120 4979830 ) ( 783840 4979830 0 )
NEW met2 ( 659280 4968545 ) ( 659280 4977610 )
NEW met2 ( 658080 4977610 0 ) ( 659280 4977610 )
NEW met1 ( 659280 4968545 ) ( 783120 4968545 )
NEW met1 ( 783120 4968545 ) M1M2_PR
NEW met2 ( 783120 4979830 ) via2_FR
NEW met1 ( 659280 4968545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[23\] ( padframe mprj_io_out[23] ) ( gpio_control_in\[23\] pad_gpio_out )
+ ROUTED met2 ( 401040 4968545 ) ( 401040 4977610 0 )
NEW met2 ( 526800 4968545 ) ( 526800 4978350 )
NEW met3 ( 526800 4978350 ) ( 527040 4978350 )
NEW met3 ( 527040 4978350 ) ( 527040 4979090 0 )
NEW met1 ( 401040 4968545 ) ( 526800 4968545 )
NEW met1 ( 401040 4968545 ) M1M2_PR
NEW met1 ( 526800 4968545 ) M1M2_PR
NEW met2 ( 526800 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[24\] ( padframe mprj_io_out[24] ) ( gpio_control_in\[24\] pad_gpio_out )
+ ROUTED met3 ( 212640 4697150 0 ) ( 213600 4697150 )
NEW met3 ( 210960 4788910 ) ( 213600 4788910 )
NEW met2 ( 210960 4788910 ) ( 210960 4791130 0 )
NEW met4 ( 213600 4697150 ) ( 213600 4788910 )
NEW met3 ( 213600 4697150 ) M3M4_PR_M
NEW met3 ( 213600 4788910 ) M3M4_PR_M
NEW met2 ( 210960 4788910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[25\] ( padframe mprj_io_out[25] ) ( gpio_control_in\[25\] pad_gpio_out )
+ ROUTED met3 ( 209760 4066670 ) ( 210000 4066670 )
NEW met3 ( 209760 4066670 ) ( 209760 4068150 0 )
NEW met1 ( 210000 4010245 ) ( 212400 4010245 )
NEW met2 ( 212400 4009690 ) ( 212400 4010245 )
NEW met2 ( 212400 4009690 ) ( 212880 4009690 )
NEW met2 ( 212880 3960850 ) ( 212880 4009690 )
NEW met2 ( 212400 3960850 ) ( 212880 3960850 )
NEW met3 ( 210720 3960850 ) ( 212400 3960850 )
NEW met2 ( 210000 4010245 ) ( 210000 4066670 )
NEW met3 ( 210720 3959370 ) ( 212400 3959370 )
NEW met2 ( 212400 3944015 ) ( 212400 3959370 )
NEW met1 ( 210960 3944015 ) ( 212400 3944015 )
NEW met2 ( 210960 3941980 0 ) ( 210960 3944015 )
NEW met4 ( 210720 3959370 ) ( 210720 3960850 )
NEW met2 ( 210000 4066670 ) via2_FR
NEW met1 ( 210000 4010245 ) M1M2_PR
NEW met1 ( 212400 4010245 ) M1M2_PR
NEW met2 ( 212400 3960850 ) via2_FR
NEW met3 ( 210720 3960850 ) M3M4_PR_M
NEW met3 ( 210720 3959370 ) M3M4_PR_M
NEW met2 ( 212400 3959370 ) via2_FR
NEW met1 ( 212400 3944015 ) M1M2_PR
NEW met1 ( 210960 3944015 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[26\] ( padframe mprj_io_out[26] ) ( gpio_control_in\[26\] pad_gpio_out )
+ ROUTED met3 ( 207600 3786950 ) ( 214560 3786950 )
NEW met2 ( 207600 3786950 ) ( 207600 3850590 )
NEW met3 ( 207600 3850590 ) ( 207840 3850590 )
NEW met3 ( 207840 3850590 ) ( 207840 3852070 0 )
NEW met2 ( 210960 3725900 0 ) ( 210960 3728305 )
NEW met1 ( 210960 3728305 ) ( 214800 3728305 )
NEW met2 ( 214800 3728305 ) ( 214800 3755130 )
NEW met3 ( 214560 3755130 ) ( 214800 3755130 )
NEW met4 ( 214560 3755130 ) ( 214560 3786950 )
NEW met3 ( 214560 3786950 ) M3M4_PR_M
NEW met2 ( 207600 3786950 ) via2_FR
NEW met2 ( 207600 3850590 ) via2_FR
NEW met1 ( 210960 3728305 ) M1M2_PR
NEW met1 ( 214800 3728305 ) M1M2_PR
NEW met2 ( 214800 3755130 ) via2_FR
NEW met3 ( 214560 3755130 ) M3M4_PR_M
NEW met3 ( 214800 3755130 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_out\[27\] ( padframe mprj_io_out[27] ) ( gpio_control_in\[27\] pad_gpio_out )
+ ROUTED met1 ( 208080 3570685 ) ( 218160 3570685 )
NEW met2 ( 208080 3570685 ) ( 208080 3634510 )
NEW met3 ( 207840 3634510 ) ( 208080 3634510 )
NEW met3 ( 207840 3634510 ) ( 207840 3635990 0 )
NEW met2 ( 210960 3510025 0 ) ( 210960 3511485 )
NEW met1 ( 210960 3511485 ) ( 213840 3511485 )
NEW met2 ( 213840 3511485 ) ( 213840 3520735 )
NEW met1 ( 213840 3520735 ) ( 218160 3520735 )
NEW met2 ( 218160 3520735 ) ( 218160 3570685 )
NEW met1 ( 218160 3570685 ) M1M2_PR
NEW met1 ( 208080 3570685 ) M1M2_PR
NEW met2 ( 208080 3634510 ) via2_FR
NEW met1 ( 210960 3511485 ) M1M2_PR
NEW met1 ( 213840 3511485 ) M1M2_PR
NEW met1 ( 213840 3520735 ) M1M2_PR
NEW met1 ( 218160 3520735 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[28\] ( padframe mprj_io_out[28] ) ( gpio_control_in\[28\] pad_gpio_out )
+ ROUTED met3 ( 208560 3418430 ) ( 208800 3418430 )
NEW met3 ( 208800 3418430 ) ( 208800 3419910 0 )
NEW met1 ( 208560 3354605 ) ( 208560 3354975 )
NEW met1 ( 208560 3354605 ) ( 217680 3354605 )
NEW met1 ( 217680 3353865 ) ( 217680 3354605 )
NEW met2 ( 217680 3326115 ) ( 217680 3353865 )
NEW met1 ( 215760 3326115 ) ( 217680 3326115 )
NEW met2 ( 215760 3296145 ) ( 215760 3326115 )
NEW met1 ( 210960 3296145 ) ( 215760 3296145 )
NEW met2 ( 210960 3295590 ) ( 210960 3296145 )
NEW met2 ( 210480 3295590 ) ( 210960 3295590 )
NEW met2 ( 210480 3294110 0 ) ( 210480 3295590 )
NEW met2 ( 208560 3354975 ) ( 208560 3418430 )
NEW met2 ( 208560 3418430 ) via2_FR
NEW met1 ( 208560 3354975 ) M1M2_PR
NEW met1 ( 217680 3353865 ) M1M2_PR
NEW met1 ( 217680 3326115 ) M1M2_PR
NEW met1 ( 215760 3326115 ) M1M2_PR
NEW met1 ( 215760 3296145 ) M1M2_PR
NEW met1 ( 210960 3296145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[29\] ( padframe mprj_io_out[29] ) ( gpio_control_in\[29\] pad_gpio_out )
+ ROUTED met3 ( 207840 3202350 ) ( 208080 3202350 )
NEW met3 ( 207840 3202350 ) ( 207840 3204200 0 )
NEW met3 ( 208080 3138710 ) ( 208800 3138710 )
NEW met4 ( 208800 3098010 ) ( 208800 3138710 )
NEW met3 ( 208800 3098010 ) ( 212400 3098010 )
NEW met2 ( 212400 3080065 ) ( 212400 3098010 )
NEW met1 ( 210960 3080065 ) ( 212400 3080065 )
NEW met2 ( 210960 3078030 0 ) ( 210960 3080065 )
NEW met2 ( 208080 3138710 ) ( 208080 3202350 )
NEW met2 ( 208080 3202350 ) via2_FR
NEW met2 ( 208080 3138710 ) via2_FR
NEW met3 ( 208800 3138710 ) M3M4_PR_M
NEW met3 ( 208800 3098010 ) M3M4_PR_M
NEW met2 ( 212400 3098010 ) via2_FR
NEW met1 ( 212400 3080065 ) M1M2_PR
NEW met1 ( 210960 3080065 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[2\] ( padframe mprj_io_out[2] ) ( gpio_control_in\[2\] pad_gpio_out )
+ ROUTED met1 ( 3375120 1011025 ) ( 3376560 1011025 )
NEW met2 ( 3376560 1011025 ) ( 3376560 1011210 )
NEW met2 ( 3376560 1011210 ) ( 3377040 1011210 )
NEW met2 ( 3377040 1010840 0 ) ( 3377040 1011210 )
NEW met3 ( 3374880 1096310 ) ( 3375120 1096310 )
NEW met3 ( 3374880 1096310 ) ( 3374880 1097050 0 )
NEW met2 ( 3375120 1011025 ) ( 3375120 1096310 )
NEW met1 ( 3375120 1011025 ) M1M2_PR
NEW met1 ( 3376560 1011025 ) M1M2_PR
NEW met2 ( 3375120 1096310 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[30\] ( padframe mprj_io_out[30] ) ( gpio_control_in\[30\] pad_gpio_out )
+ ROUTED met3 ( 207840 2986270 ) ( 208080 2986270 )
NEW met3 ( 207840 2986270 ) ( 207840 2988120 0 )
NEW met3 ( 208080 2923370 ) ( 211680 2923370 )
NEW met4 ( 211680 2891550 ) ( 211680 2923370 )
NEW met3 ( 211680 2891550 ) ( 214800 2891550 )
NEW met2 ( 214800 2863245 ) ( 214800 2891550 )
NEW met1 ( 210960 2863245 ) ( 214800 2863245 )
NEW met2 ( 210960 2861950 0 ) ( 210960 2863245 )
NEW met2 ( 208080 2923370 ) ( 208080 2986270 )
NEW met2 ( 208080 2986270 ) via2_FR
NEW met2 ( 208080 2923370 ) via2_FR
NEW met3 ( 211680 2923370 ) M3M4_PR_M
NEW met3 ( 211680 2891550 ) M3M4_PR_M
NEW met2 ( 214800 2891550 ) via2_FR
NEW met1 ( 214800 2863245 ) M1M2_PR
NEW met1 ( 210960 2863245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[31\] ( padframe mprj_io_out[31] ) ( gpio_control_in\[31\] pad_gpio_out )
+ ROUTED met3 ( 207600 2770190 ) ( 207840 2770190 )
NEW met3 ( 207840 2770190 ) ( 207840 2772410 0 )
NEW met2 ( 210960 2646025 0 ) ( 210960 2647350 )
NEW met3 ( 210960 2647350 ) ( 215520 2647350 )
NEW met3 ( 207600 2707290 ) ( 215520 2707290 )
NEW met2 ( 207600 2707290 ) ( 207600 2770190 )
NEW met4 ( 215520 2647350 ) ( 215520 2707290 )
NEW met2 ( 207600 2770190 ) via2_FR
NEW met2 ( 210960 2647350 ) via2_FR
NEW met3 ( 215520 2647350 ) M3M4_PR_M
NEW met2 ( 207600 2707290 ) via2_FR
NEW met3 ( 215520 2707290 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[32\] ( padframe mprj_io_out[32] ) ( gpio_control_in\[32\] pad_gpio_out )
+ ROUTED met3 ( 206880 2009470 ) ( 209040 2009470 )
NEW met2 ( 209040 2007990 0 ) ( 209040 2009470 )
NEW met3 ( 206880 2132310 ) ( 206880 2134160 0 )
NEW met4 ( 206880 2009470 ) ( 206880 2132310 )
NEW met3 ( 206880 2009470 ) M3M4_PR_M
NEW met2 ( 209040 2009470 ) via2_FR
NEW met3 ( 206880 2132310 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[33\] ( padframe mprj_io_out[33] ) ( gpio_control_in\[33\] pad_gpio_out )
+ ROUTED met3 ( 206880 1793390 ) ( 209040 1793390 )
NEW met2 ( 209040 1791910 0 ) ( 209040 1793390 )
NEW met3 ( 206880 1916230 ) ( 206880 1918080 0 )
NEW met4 ( 206880 1793390 ) ( 206880 1916230 )
NEW met3 ( 206880 1793390 ) M3M4_PR_M
NEW met2 ( 209040 1793390 ) via2_FR
NEW met3 ( 206880 1916230 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[34\] ( padframe mprj_io_out[34] ) ( gpio_control_in\[34\] pad_gpio_out )
+ ROUTED met3 ( 206880 1577310 ) ( 209040 1577310 )
NEW met2 ( 209040 1576025 0 ) ( 209040 1577310 )
NEW met3 ( 206880 1700890 ) ( 206880 1702370 0 )
NEW met4 ( 206880 1577310 ) ( 206880 1700890 )
NEW met3 ( 206880 1577310 ) M3M4_PR_M
NEW met2 ( 209040 1577310 ) via2_FR
NEW met3 ( 206880 1700890 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[35\] ( padframe mprj_io_out[35] ) ( gpio_control_in\[35\] pad_gpio_out )
+ ROUTED met3 ( 206880 1361230 ) ( 209040 1361230 )
NEW met2 ( 209040 1360120 0 ) ( 209040 1361230 )
NEW met3 ( 206880 1484810 ) ( 206880 1486290 0 )
NEW met4 ( 206880 1361230 ) ( 206880 1484810 )
NEW met3 ( 206880 1361230 ) M3M4_PR_M
NEW met2 ( 209040 1361230 ) via2_FR
NEW met3 ( 206880 1484810 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[36\] ( padframe mprj_io_out[36] ) ( gpio_control_in\[36\] pad_gpio_out )
+ ROUTED met3 ( 204000 1145150 ) ( 209040 1145150 )
NEW met2 ( 209040 1144040 0 ) ( 209040 1145150 )
NEW met3 ( 204000 1268730 ) ( 204000 1270210 0 )
NEW met4 ( 204000 1145150 ) ( 204000 1268730 )
NEW met3 ( 204000 1145150 ) M3M4_PR_M
NEW met2 ( 209040 1145150 ) via2_FR
NEW met3 ( 204000 1268730 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[37\] ( padframe mprj_io_out[37] ) ( gpio_control_in\[37\] pad_gpio_out )
+ ROUTED met3 ( 204960 1052650 ) ( 204960 1054130 0 )
NEW met3 ( 204960 929070 ) ( 209040 929070 )
NEW met2 ( 209040 927960 0 ) ( 209040 929070 )
NEW met4 ( 204960 929070 ) ( 204960 1052650 )
NEW met3 ( 204960 1052650 ) M3M4_PR_M
NEW met3 ( 204960 929070 ) M3M4_PR_M
NEW met2 ( 209040 929070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[3\] ( padframe mprj_io_out[3] ) ( gpio_control_in\[3\] pad_gpio_out )
+ ROUTED met2 ( 3375600 1236910 ) ( 3377040 1236910 0 )
NEW met3 ( 3375600 1322010 ) ( 3375840 1322010 )
NEW met3 ( 3375840 1322010 ) ( 3375840 1323120 0 )
NEW met2 ( 3375600 1236910 ) ( 3375600 1322010 )
NEW met2 ( 3375600 1322010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[4\] ( padframe mprj_io_out[4] ) ( gpio_control_in\[4\] pad_gpio_out )
+ ROUTED met1 ( 3371760 1464645 ) ( 3377040 1464645 )
NEW met2 ( 3377040 1461870 0 ) ( 3377040 1464645 )
NEW met3 ( 3371760 1548080 ) ( 3373920 1548080 0 )
NEW met2 ( 3371760 1464645 ) ( 3371760 1548080 )
NEW met1 ( 3371760 1464645 ) M1M2_PR
NEW met1 ( 3377040 1464645 ) M1M2_PR
NEW met2 ( 3371760 1548080 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[5\] ( padframe mprj_io_out[5] ) ( gpio_control_in\[5\] pad_gpio_out )
+ ROUTED met2 ( 3376080 1686975 ) ( 3377040 1686975 0 )
NEW met2 ( 3376080 1686975 ) ( 3376080 1704035 )
NEW met1 ( 3375120 1704035 ) ( 3376080 1704035 )
NEW met2 ( 3375120 1704035 ) ( 3375120 1771930 )
NEW met3 ( 3374880 1771930 ) ( 3375120 1771930 )
NEW met3 ( 3374880 1771930 ) ( 3374880 1773410 0 )
NEW met1 ( 3376080 1704035 ) M1M2_PR
NEW met1 ( 3375120 1704035 ) M1M2_PR
NEW met2 ( 3375120 1771930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[6\] ( padframe mprj_io_out[6] ) ( gpio_control_in\[6\] pad_gpio_out )
+ ROUTED met3 ( 3370800 1999110 ) ( 3373920 1999110 0 )
NEW met1 ( 3370800 1915675 ) ( 3376560 1915675 )
NEW met2 ( 3376560 1913270 ) ( 3376560 1915675 )
NEW met2 ( 3376560 1913270 ) ( 3377040 1913270 )
NEW met2 ( 3377040 1912900 0 ) ( 3377040 1913270 )
NEW met2 ( 3370800 1915675 ) ( 3370800 1999110 )
NEW met2 ( 3370800 1999110 ) via2_FR
NEW met1 ( 3370800 1915675 ) M1M2_PR
NEW met1 ( 3376560 1915675 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[7\] ( padframe mprj_io_out[7] ) ( gpio_control_in\[7\] pad_gpio_out )
+ ROUTED met3 ( 3371280 2440150 ) ( 3373920 2440150 0 )
NEW met1 ( 3371280 2797755 ) ( 3377040 2797755 )
NEW met2 ( 3377040 2797755 ) ( 3377040 2799050 0 )
NEW met2 ( 3371280 2440150 ) ( 3371280 2797755 )
NEW met2 ( 3371280 2440150 ) via2_FR
NEW met1 ( 3371280 2797755 ) M1M2_PR
NEW met1 ( 3377040 2797755 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[8\] ( padframe mprj_io_out[8] ) ( gpio_control_in\[8\] pad_gpio_out )
+ ROUTED met3 ( 3372960 2661410 ) ( 3373920 2661410 )
NEW met3 ( 3373920 2659930 0 ) ( 3373920 2661410 )
NEW met3 ( 3372960 3024010 ) ( 3377040 3024010 )
NEW met2 ( 3377040 3024010 ) ( 3377040 3024975 0 )
NEW met4 ( 3372960 2661410 ) ( 3372960 3024010 )
NEW met3 ( 3372960 2661410 ) M3M4_PR_M
NEW met3 ( 3372960 3024010 ) M3M4_PR_M
NEW met2 ( 3377040 3024010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[9\] ( padframe mprj_io_out[9] ) ( gpio_control_in\[9\] pad_gpio_out )
+ ROUTED met3 ( 3378720 2884890 0 ) ( 3378720 2886370 )
NEW met3 ( 3378480 3248970 ) ( 3378720 3248970 )
NEW met2 ( 3378480 3248970 ) ( 3378480 3250080 0 )
NEW met4 ( 3378720 2886370 ) ( 3378720 3248970 )
NEW met3 ( 3378720 2886370 ) M3M4_PR_M
NEW met3 ( 3378720 3248970 ) M3M4_PR_M
NEW met2 ( 3378480 3248970 ) via2_FR
NEW met3 ( 3378720 3248970 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[0\] ( padframe mprj_io_slow_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3379680 589410 ) ( 3385680 589410 )
NEW met2 ( 3385680 589410 ) ( 3385680 650090 )
NEW met3 ( 3385440 650090 ) ( 3385680 650090 )
NEW met3 ( 3385440 650090 ) ( 3385440 651570 0 )
NEW met2 ( 3378960 513930 0 ) ( 3378960 516150 )
NEW met3 ( 3378960 516150 ) ( 3379680 516150 )
NEW met4 ( 3379680 516150 ) ( 3379680 589410 )
NEW met3 ( 3379680 589410 ) M3M4_PR_M
NEW met2 ( 3385680 589410 ) via2_FR
NEW met2 ( 3385680 650090 ) via2_FR
NEW met2 ( 3378960 516150 ) via2_FR
NEW met3 ( 3379680 516150 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[10\] ( padframe mprj_io_slow_sel[10] ) ( gpio_control_in\[10\] pad_gpio_slow_sel )
+ ROUTED met1 ( 3369840 3427495 ) ( 3377520 3427495 )
NEW met2 ( 3377520 3427495 ) ( 3377520 3429900 0 )
NEW met3 ( 3369840 3211230 ) ( 3370080 3211230 )
NEW met3 ( 3370080 3211230 ) ( 3370080 3211415 )
NEW met3 ( 3370080 3211415 ) ( 3370800 3211415 )
NEW met2 ( 3369840 3211230 ) ( 3369840 3427495 )
NEW met1 ( 3369840 3210675 ) ( 3370800 3210675 )
NEW met2 ( 3369840 3116510 ) ( 3369840 3210675 )
NEW met3 ( 3369840 3116510 ) ( 3373920 3116510 0 )
NEW met2 ( 3370800 3210675 ) ( 3370800 3211415 )
NEW met1 ( 3369840 3427495 ) M1M2_PR
NEW met1 ( 3377520 3427495 ) M1M2_PR
NEW met2 ( 3369840 3211230 ) via2_FR
NEW met2 ( 3370800 3211415 ) via2_FR
NEW met1 ( 3370800 3210675 ) M1M2_PR
NEW met1 ( 3369840 3210675 ) M1M2_PR
NEW met2 ( 3369840 3116510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[11\] ( padframe mprj_io_slow_sel[11] ) ( gpio_control_in\[11\] pad_gpio_slow_sel )
+ ROUTED met1 ( 3372720 3652455 ) ( 3377520 3652455 )
NEW met2 ( 3377520 3652455 ) ( 3377520 3654860 0 )
NEW met1 ( 3371760 3354975 ) ( 3373680 3354975 )
NEW met2 ( 3373680 3342950 ) ( 3373680 3354975 )
NEW met3 ( 3373680 3342950 ) ( 3373920 3342950 )
NEW met3 ( 3373920 3341470 0 ) ( 3373920 3342950 )
NEW met1 ( 3371760 3556625 ) ( 3372720 3556625 )
NEW met2 ( 3371760 3354975 ) ( 3371760 3556625 )
NEW met2 ( 3372720 3556625 ) ( 3372720 3652455 )
NEW met1 ( 3372720 3652455 ) M1M2_PR
NEW met1 ( 3377520 3652455 ) M1M2_PR
NEW met1 ( 3371760 3354975 ) M1M2_PR
NEW met1 ( 3373680 3354975 ) M1M2_PR
NEW met2 ( 3373680 3342950 ) via2_FR
NEW met1 ( 3371760 3556625 ) M1M2_PR
NEW met1 ( 3372720 3556625 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[12\] ( padframe mprj_io_slow_sel[12] ) ( gpio_control_in\[12\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3373920 3877230 ) ( 3377040 3877230 )
NEW met2 ( 3377040 3877230 ) ( 3377040 3879975 0 )
NEW met3 ( 3373920 3567540 0 ) ( 3373920 3569390 )
NEW met3 ( 3373920 3772150 ) ( 3382560 3772150 )
NEW met4 ( 3382560 3772150 ) ( 3382560 3858730 )
NEW met3 ( 3373920 3858730 ) ( 3382560 3858730 )
NEW met4 ( 3373920 3858730 ) ( 3373920 3877230 )
NEW met4 ( 3373920 3569390 ) ( 3373920 3772150 )
NEW met3 ( 3373920 3877230 ) M3M4_PR_M
NEW met2 ( 3377040 3877230 ) via2_FR
NEW met3 ( 3373920 3569390 ) M3M4_PR_M
NEW met3 ( 3373920 3772150 ) M3M4_PR_M
NEW met3 ( 3382560 3772150 ) M3M4_PR_M
NEW met3 ( 3382560 3858730 ) M3M4_PR_M
NEW met3 ( 3373920 3858730 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[13\] ( padframe mprj_io_slow_sel[13] ) ( gpio_control_in\[13\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3375840 4323450 ) ( 3377520 4323450 )
NEW met2 ( 3377520 4323450 ) ( 3377520 4326040 0 )
NEW met3 ( 3375840 3792870 0 ) ( 3375840 3794350 )
NEW met4 ( 3375840 3794350 ) ( 3375840 4323450 )
NEW met3 ( 3375840 4323450 ) M3M4_PR_M
NEW met2 ( 3377520 4323450 ) via2_FR
NEW met3 ( 3375840 3794350 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[14\] ( padframe mprj_io_slow_sel[14] ) ( gpio_control_in\[14\] pad_gpio_slow_sel )
+ ROUTED met1 ( 3370800 4694745 ) ( 3384720 4694745 )
NEW met2 ( 3384720 4686050 ) ( 3384720 4694745 )
NEW met3 ( 3384480 4686050 ) ( 3384720 4686050 )
NEW met3 ( 3384480 4684570 0 ) ( 3384480 4686050 )
NEW met1 ( 3370800 4769855 ) ( 3377520 4769855 )
NEW met2 ( 3377520 4769855 ) ( 3377520 4771890 0 )
NEW met2 ( 3370800 4694745 ) ( 3370800 4769855 )
NEW met1 ( 3370800 4694745 ) M1M2_PR
NEW met1 ( 3384720 4694745 ) M1M2_PR
NEW met2 ( 3384720 4686050 ) via2_FR
NEW met1 ( 3370800 4769855 ) M1M2_PR
NEW met1 ( 3377520 4769855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[15\] ( padframe mprj_io_slow_sel[15] ) ( gpio_control_in\[15\] pad_gpio_slow_sel )
+ ROUTED met2 ( 2868720 4973170 ) ( 2868720 4996295 )
NEW met2 ( 3196080 4973170 ) ( 3196080 4977610 0 )
NEW met3 ( 2868720 4973170 ) ( 3196080 4973170 )
NEW met2 ( 2794320 4996110 ) ( 2794320 4996295 )
NEW met3 ( 2792640 4996110 0 ) ( 2794320 4996110 )
NEW met1 ( 2794320 4996295 ) ( 2868720 4996295 )
NEW met1 ( 2868720 4996295 ) M1M2_PR
NEW met2 ( 2868720 4973170 ) via2_FR
NEW met2 ( 3196080 4973170 ) via2_FR
NEW met1 ( 2794320 4996295 ) M1M2_PR
NEW met2 ( 2794320 4996110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[16\] ( padframe mprj_io_slow_sel[16] ) ( gpio_control_in\[16\] pad_gpio_slow_sel )
+ ROUTED met2 ( 2606640 4976685 ) ( 2606640 4982975 )
NEW met2 ( 2537520 4982790 ) ( 2537520 4982975 )
NEW met3 ( 2535840 4982790 0 ) ( 2537520 4982790 )
NEW met1 ( 2537520 4982975 ) ( 2606640 4982975 )
NEW met2 ( 2687040 4976685 ) ( 2687040 4977610 0 )
NEW met1 ( 2606640 4976685 ) ( 2687040 4976685 )
NEW met1 ( 2606640 4982975 ) M1M2_PR
NEW met1 ( 2606640 4976685 ) M1M2_PR
NEW met1 ( 2537520 4982975 ) M1M2_PR
NEW met2 ( 2537520 4982790 ) via2_FR
NEW met1 ( 2687040 4976685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[17\] ( padframe mprj_io_slow_sel[17] ) ( gpio_control_in\[17\] pad_gpio_slow_sel )
+ ROUTED met2 ( 2346960 4996850 ) ( 2346960 5026635 )
NEW met2 ( 2174640 5015165 ) ( 2174640 5026635 )
NEW met1 ( 2151600 5015165 ) ( 2174640 5015165 )
NEW met2 ( 2151600 5015165 ) ( 2151600 5015350 )
NEW met3 ( 2150880 5015350 0 ) ( 2151600 5015350 )
NEW met1 ( 2174640 5026635 ) ( 2346960 5026635 )
NEW met2 ( 2347440 4975945 ) ( 2347440 4996850 )
NEW met1 ( 2347440 4975945 ) ( 2430000 4975945 )
NEW met2 ( 2430000 4975945 ) ( 2430000 4977610 0 )
NEW met2 ( 2346960 4996850 ) ( 2347440 4996850 )
NEW met1 ( 2346960 5026635 ) M1M2_PR
NEW met1 ( 2174640 5026635 ) M1M2_PR
NEW met1 ( 2174640 5015165 ) M1M2_PR
NEW met1 ( 2151600 5015165 ) M1M2_PR
NEW met2 ( 2151600 5015350 ) via2_FR
NEW met1 ( 2347440 4975945 ) M1M2_PR
NEW met1 ( 2430000 4975945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[18\] ( padframe mprj_io_slow_sel[18] ) ( gpio_control_in\[18\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1858320 4997035 ) ( 1858320 5011835 )
NEW met2 ( 1983600 4979090 ) ( 1985040 4979090 0 )
NEW met2 ( 1983600 4978905 ) ( 1983600 4979090 )
NEW met1 ( 1983600 4978905 ) ( 1983600 4979645 )
NEW met1 ( 1914960 4979645 ) ( 1983600 4979645 )
NEW met2 ( 1914960 4979645 ) ( 1914960 4982605 )
NEW met1 ( 1899600 4982605 ) ( 1914960 4982605 )
NEW met2 ( 1899600 4982605 ) ( 1899600 4997035 )
NEW met1 ( 1858320 4997035 ) ( 1899600 4997035 )
NEW met2 ( 1814640 5011650 ) ( 1814640 5011835 )
NEW met3 ( 1813440 5011650 0 ) ( 1814640 5011650 )
NEW met1 ( 1814640 5011835 ) ( 1858320 5011835 )
NEW met1 ( 1858320 5011835 ) M1M2_PR
NEW met1 ( 1858320 4997035 ) M1M2_PR
NEW met1 ( 1983600 4978905 ) M1M2_PR
NEW met1 ( 1914960 4979645 ) M1M2_PR
NEW met1 ( 1914960 4982605 ) M1M2_PR
NEW met1 ( 1899600 4982605 ) M1M2_PR
NEW met1 ( 1899600 4997035 ) M1M2_PR
NEW met1 ( 1814640 5011835 ) M1M2_PR
NEW met2 ( 1814640 5011650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[19\] ( padframe mprj_io_slow_sel[19] ) ( gpio_control_in\[19\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1560720 5014610 ) ( 1560720 5014795 )
NEW met3 ( 1560720 5014610 ) ( 1561440 5014610 0 )
NEW met2 ( 1476000 4977610 0 ) ( 1477200 4977610 )
NEW met2 ( 1477200 4977610 ) ( 1477200 4977795 )
NEW met1 ( 1477200 4977795 ) ( 1491600 4977795 )
NEW met2 ( 1491600 4977795 ) ( 1491600 5014795 )
NEW met1 ( 1491600 5014795 ) ( 1560720 5014795 )
NEW met1 ( 1560720 5014795 ) M1M2_PR
NEW met2 ( 1560720 5014610 ) via2_FR
NEW met1 ( 1477200 4977795 ) M1M2_PR
NEW met1 ( 1491600 4977795 ) M1M2_PR
NEW met1 ( 1491600 5014795 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[1\] ( padframe mprj_io_slow_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_slow_sel )
+ ROUTED met2 ( 3378960 740000 0 ) ( 3378960 742590 )
NEW met3 ( 3378720 742590 ) ( 3378960 742590 )
NEW met4 ( 3378720 742590 ) ( 3378720 807710 )
NEW met3 ( 3378720 807710 ) ( 3384720 807710 )
NEW met3 ( 3384480 875790 ) ( 3384720 875790 )
NEW met3 ( 3384480 875790 ) ( 3384480 877640 0 )
NEW met2 ( 3384720 807710 ) ( 3384720 875790 )
NEW met2 ( 3378960 742590 ) via2_FR
NEW met3 ( 3378720 742590 ) M3M4_PR_M
NEW met3 ( 3378720 807710 ) M3M4_PR_M
NEW met2 ( 3384720 807710 ) via2_FR
NEW met2 ( 3384720 875790 ) via2_FR
NEW met3 ( 3378960 742590 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[20\] ( padframe mprj_io_slow_sel[20] ) ( gpio_control_in\[20\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1218000 4977610 0 ) ( 1219440 4977610 )
NEW met2 ( 1250640 4975390 ) ( 1250640 4977610 )
NEW met3 ( 1250640 4975390 ) ( 1303680 4975390 )
NEW met3 ( 1303680 4975390 ) ( 1303680 4979090 0 )
NEW met3 ( 1219440 4977610 ) ( 1250640 4977610 )
NEW met2 ( 1219440 4977610 ) via2_FR
NEW met2 ( 1250640 4977610 ) via2_FR
NEW met2 ( 1250640 4975390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[21\] ( padframe mprj_io_slow_sel[21] ) ( gpio_control_in\[21\] pad_gpio_slow_sel )
+ ROUTED met1 ( 1045680 5015905 ) ( 1045680 5016275 )
NEW met2 ( 1045680 5015905 ) ( 1045680 5016090 )
NEW met3 ( 1045680 5016090 ) ( 1046880 5016090 0 )
NEW met2 ( 960960 4979090 0 ) ( 962640 4979090 )
NEW met3 ( 962640 4979090 ) ( 976560 4979090 )
NEW met2 ( 976560 4979090 ) ( 976560 5016275 )
NEW met1 ( 976560 5016275 ) ( 1045680 5016275 )
NEW met1 ( 1045680 5015905 ) M1M2_PR
NEW met2 ( 1045680 5016090 ) via2_FR
NEW met2 ( 962640 4979090 ) via2_FR
NEW met2 ( 976560 4979090 ) via2_FR
NEW met1 ( 976560 5016275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[22\] ( padframe mprj_io_slow_sel[22] ) ( gpio_control_in\[22\] pad_gpio_slow_sel )
+ ROUTED met2 ( 780720 4971690 ) ( 780720 4976130 )
NEW met2 ( 780720 4976130 ) ( 782160 4976130 )
NEW met3 ( 782160 4976130 ) ( 789600 4976130 )
NEW met3 ( 789600 4976130 ) ( 789600 4979090 0 )
NEW met2 ( 703920 4971690 ) ( 703920 4977610 0 )
NEW met3 ( 703920 4971690 ) ( 780720 4971690 )
NEW met2 ( 780720 4971690 ) via2_FR
NEW met2 ( 782160 4976130 ) via2_FR
NEW met2 ( 703920 4971690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[23\] ( padframe mprj_io_slow_sel[23] ) ( gpio_control_in\[23\] pad_gpio_slow_sel )
+ ROUTED met3 ( 533280 4978350 ) ( 533280 4979090 )
NEW met3 ( 531360 4974650 ) ( 531360 4978350 )
NEW met3 ( 447120 4974650 ) ( 531360 4974650 )
NEW met2 ( 447120 4974650 ) ( 447120 4977610 0 )
NEW met3 ( 531360 4978350 ) ( 533280 4978350 )
NEW met3 ( 532320 4979090 0 ) ( 533280 4979090 )
NEW met2 ( 447120 4974650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[24\] ( padframe mprj_io_slow_sel[24] ) ( gpio_control_in\[24\] pad_gpio_slow_sel )
+ ROUTED met3 ( 208800 4702700 0 ) ( 208800 4704550 )
NEW met3 ( 208800 4835530 ) ( 209040 4835530 )
NEW met2 ( 209040 4835530 ) ( 209040 4837010 0 )
NEW met4 ( 208800 4704550 ) ( 208800 4835530 )
NEW met3 ( 208800 4704550 ) M3M4_PR_M
NEW met3 ( 208800 4835530 ) M3M4_PR_M
NEW met2 ( 209040 4835530 ) via2_FR
NEW met3 ( 208800 4835530 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[25\] ( padframe mprj_io_slow_sel[25] ) ( gpio_control_in\[25\] pad_gpio_slow_sel )
+ ROUTED met3 ( 212640 4071850 ) ( 212880 4071850 )
NEW met3 ( 212640 4071850 ) ( 212640 4073330 0 )
NEW met2 ( 210960 3988025 0 ) ( 210960 3990635 )
NEW met1 ( 210960 3990635 ) ( 214320 3990635 )
NEW met1 ( 214320 3990635 ) ( 214320 3991745 )
NEW met2 ( 214320 3991745 ) ( 214320 4037255 )
NEW met1 ( 212880 4037255 ) ( 214320 4037255 )
NEW met2 ( 212880 4037255 ) ( 212880 4071850 )
NEW met2 ( 212880 4071850 ) via2_FR
NEW met1 ( 210960 3990635 ) M1M2_PR
NEW met1 ( 214320 3991745 ) M1M2_PR
NEW met1 ( 214320 4037255 ) M1M2_PR
NEW met1 ( 212880 4037255 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[26\] ( padframe mprj_io_slow_sel[26] ) ( gpio_control_in\[26\] pad_gpio_slow_sel )
+ ROUTED met2 ( 209520 3772150 0 ) ( 209520 3774370 )
NEW met3 ( 209520 3774370 ) ( 209760 3774370 )
NEW met4 ( 209760 3774370 ) ( 209760 3785470 )
NEW met3 ( 209760 3785470 ) ( 210000 3785470 )
NEW met2 ( 210000 3785470 ) ( 210000 3855770 )
NEW met3 ( 209760 3855770 ) ( 210000 3855770 )
NEW met3 ( 209760 3855770 ) ( 209760 3857620 0 )
NEW met2 ( 209520 3774370 ) via2_FR
NEW met3 ( 209760 3774370 ) M3M4_PR_M
NEW met3 ( 209760 3785470 ) M3M4_PR_M
NEW met2 ( 210000 3785470 ) via2_FR
NEW met2 ( 210000 3855770 ) via2_FR
NEW met3 ( 209520 3774370 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209760 3785470 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[27\] ( padframe mprj_io_slow_sel[27] ) ( gpio_control_in\[27\] pad_gpio_slow_sel )
+ ROUTED met2 ( 212400 3639690 ) ( 212880 3639690 )
NEW met3 ( 212400 3639690 ) ( 212640 3639690 )
NEW met3 ( 212640 3639690 ) ( 212640 3641540 0 )
NEW met2 ( 210480 3556070 0 ) ( 210480 3558475 )
NEW met1 ( 210480 3558475 ) ( 216720 3558475 )
NEW met2 ( 216720 3558475 ) ( 216720 3610090 )
NEW met3 ( 212400 3610090 ) ( 216720 3610090 )
NEW met2 ( 212400 3610090 ) ( 212880 3610090 )
NEW met2 ( 212880 3610090 ) ( 212880 3639690 )
NEW met2 ( 212400 3639690 ) via2_FR
NEW met1 ( 210480 3558475 ) M1M2_PR
NEW met1 ( 216720 3558475 ) M1M2_PR
NEW met2 ( 216720 3610090 ) via2_FR
NEW met2 ( 212400 3610090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[28\] ( padframe mprj_io_slow_sel[28] ) ( gpio_control_in\[28\] pad_gpio_slow_sel )
+ ROUTED met3 ( 212400 3424350 ) ( 212640 3424350 )
NEW met3 ( 212640 3424350 ) ( 212640 3425830 0 )
NEW met2 ( 210960 3339990 0 ) ( 210960 3342765 )
NEW met1 ( 210960 3342765 ) ( 215280 3342765 )
NEW met1 ( 215280 3342765 ) ( 215280 3344615 )
NEW met2 ( 215280 3344615 ) ( 215280 3350535 )
NEW met1 ( 212400 3350535 ) ( 215280 3350535 )
NEW met2 ( 212400 3350535 ) ( 212400 3424350 )
NEW met2 ( 212400 3424350 ) via2_FR
NEW met1 ( 210960 3342765 ) M1M2_PR
NEW met1 ( 215280 3344615 ) M1M2_PR
NEW met1 ( 215280 3350535 ) M1M2_PR
NEW met1 ( 212400 3350535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[29\] ( padframe mprj_io_slow_sel[29] ) ( gpio_control_in\[29\] pad_gpio_slow_sel )
+ ROUTED met3 ( 212640 3209750 0 ) ( 214800 3209750 )
NEW met2 ( 210960 3123910 0 ) ( 210960 3126685 )
NEW met1 ( 210960 3126685 ) ( 214800 3126685 )
NEW met2 ( 214800 3126685 ) ( 214800 3209750 )
NEW met2 ( 214800 3209750 ) via2_FR
NEW met1 ( 210960 3126685 ) M1M2_PR
NEW met1 ( 214800 3126685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[2\] ( padframe mprj_io_slow_sel[2] ) ( gpio_control_in\[2\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3372000 967550 ) ( 3377040 967550 )
NEW met2 ( 3377040 964960 0 ) ( 3377040 967550 )
NEW met3 ( 3372000 1102600 ) ( 3373920 1102600 0 )
NEW met4 ( 3372000 967550 ) ( 3372000 1102600 )
NEW met3 ( 3372000 967550 ) M3M4_PR_M
NEW met2 ( 3377040 967550 ) via2_FR
NEW met3 ( 3372000 1102600 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[30\] ( padframe mprj_io_slow_sel[30] ) ( gpio_control_in\[30\] pad_gpio_slow_sel )
+ ROUTED met3 ( 209760 2992190 ) ( 210000 2992190 )
NEW met3 ( 209760 2992190 ) ( 209760 2993670 0 )
NEW met3 ( 209760 2921150 ) ( 210000 2921150 )
NEW met4 ( 209760 2910050 ) ( 209760 2921150 )
NEW met3 ( 209520 2910050 ) ( 209760 2910050 )
NEW met2 ( 209520 2908025 0 ) ( 209520 2910050 )
NEW met2 ( 210000 2921150 ) ( 210000 2992190 )
NEW met2 ( 210000 2992190 ) via2_FR
NEW met2 ( 210000 2921150 ) via2_FR
NEW met3 ( 209760 2921150 ) M3M4_PR_M
NEW met3 ( 209760 2910050 ) M3M4_PR_M
NEW met2 ( 209520 2910050 ) via2_FR
NEW met3 ( 210000 2921150 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209760 2910050 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[31\] ( padframe mprj_io_slow_sel[31] ) ( gpio_control_in\[31\] pad_gpio_slow_sel )
+ ROUTED met3 ( 209520 2776110 ) ( 209760 2776110 )
NEW met3 ( 209760 2776110 ) ( 209760 2777590 0 )
NEW met1 ( 209520 2721165 ) ( 214800 2721165 )
NEW met2 ( 214800 2694525 ) ( 214800 2721165 )
NEW met1 ( 210480 2694525 ) ( 214800 2694525 )
NEW met2 ( 210480 2692120 0 ) ( 210480 2694525 )
NEW met2 ( 209520 2721165 ) ( 209520 2776110 )
NEW met2 ( 209520 2776110 ) via2_FR
NEW met1 ( 209520 2721165 ) M1M2_PR
NEW met1 ( 214800 2721165 ) M1M2_PR
NEW met1 ( 214800 2694525 ) M1M2_PR
NEW met1 ( 210480 2694525 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[32\] ( padframe mprj_io_slow_sel[32] ) ( gpio_control_in\[32\] pad_gpio_slow_sel )
+ ROUTED met2 ( 210960 2054025 0 ) ( 210960 2054795 )
NEW met1 ( 210960 2054795 ) ( 212880 2054795 )
NEW met2 ( 212880 2054795 ) ( 212880 2056090 )
NEW met2 ( 212880 2056090 ) ( 213360 2056090 )
NEW met2 ( 213360 2056090 ) ( 213360 2138230 )
NEW met2 ( 212880 2138230 ) ( 213360 2138230 )
NEW met3 ( 212640 2138230 ) ( 212880 2138230 )
NEW met3 ( 212640 2138230 ) ( 212640 2139710 0 )
NEW met1 ( 210960 2054795 ) M1M2_PR
NEW met1 ( 212880 2054795 ) M1M2_PR
NEW met2 ( 212880 2138230 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[33\] ( padframe mprj_io_slow_sel[33] ) ( gpio_control_in\[33\] pad_gpio_slow_sel )
+ ROUTED met2 ( 210480 1838160 0 ) ( 210480 1840565 )
NEW met1 ( 210480 1840565 ) ( 215760 1840565 )
NEW met2 ( 215760 1846670 ) ( 216240 1846670 )
NEW met2 ( 216240 1846670 ) ( 216240 1852405 )
NEW met1 ( 213840 1852405 ) ( 216240 1852405 )
NEW met2 ( 213840 1852405 ) ( 213840 1923630 )
NEW met3 ( 212640 1923630 0 ) ( 213840 1923630 )
NEW met2 ( 215760 1840565 ) ( 215760 1846670 )
NEW met1 ( 210480 1840565 ) M1M2_PR
NEW met1 ( 215760 1840565 ) M1M2_PR
NEW met1 ( 216240 1852405 ) M1M2_PR
NEW met1 ( 213840 1852405 ) M1M2_PR
NEW met2 ( 213840 1923630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[34\] ( padframe mprj_io_slow_sel[34] ) ( gpio_control_in\[34\] pad_gpio_slow_sel )
+ ROUTED met2 ( 210480 1622080 0 ) ( 210480 1624485 )
NEW met1 ( 210480 1624485 ) ( 214320 1624485 )
NEW met3 ( 212640 1707550 0 ) ( 214320 1707550 )
NEW met2 ( 214320 1624485 ) ( 214320 1707550 )
NEW met1 ( 210480 1624485 ) M1M2_PR
NEW met1 ( 214320 1624485 ) M1M2_PR
NEW met2 ( 214320 1707550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[35\] ( padframe mprj_io_slow_sel[35] ) ( gpio_control_in\[35\] pad_gpio_slow_sel )
+ ROUTED met2 ( 209040 1422650 ) ( 211920 1422650 )
NEW met2 ( 211920 1408775 ) ( 211920 1422650 )
NEW met1 ( 210960 1408775 ) ( 211920 1408775 )
NEW met2 ( 210960 1406000 0 ) ( 210960 1408775 )
NEW met3 ( 208800 1489990 ) ( 209040 1489990 )
NEW met3 ( 208800 1489990 ) ( 208800 1491470 0 )
NEW met2 ( 209040 1422650 ) ( 209040 1489990 )
NEW met1 ( 211920 1408775 ) M1M2_PR
NEW met1 ( 210960 1408775 ) M1M2_PR
NEW met2 ( 209040 1489990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[36\] ( padframe mprj_io_slow_sel[36] ) ( gpio_control_in\[36\] pad_gpio_slow_sel )
+ ROUTED met2 ( 210960 1189920 0 ) ( 210960 1192695 )
NEW met1 ( 210960 1192695 ) ( 215280 1192695 )
NEW met3 ( 212640 1275390 0 ) ( 215280 1275390 )
NEW met2 ( 215280 1192695 ) ( 215280 1275390 )
NEW met1 ( 210960 1192695 ) M1M2_PR
NEW met1 ( 215280 1192695 ) M1M2_PR
NEW met2 ( 215280 1275390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[37\] ( padframe mprj_io_slow_sel[37] ) ( gpio_control_in\[37\] pad_gpio_slow_sel )
+ ROUTED met3 ( 209760 1057830 ) ( 210000 1057830 )
NEW met3 ( 209760 1057830 ) ( 209760 1059310 0 )
NEW met1 ( 210000 1005475 ) ( 211920 1005475 )
NEW met2 ( 211920 976615 ) ( 211920 1005475 )
NEW met1 ( 210960 976615 ) ( 211920 976615 )
NEW met2 ( 210960 974025 0 ) ( 210960 976615 )
NEW met2 ( 210000 1005475 ) ( 210000 1057830 )
NEW met2 ( 210000 1057830 ) via2_FR
NEW met1 ( 210000 1005475 ) M1M2_PR
NEW met1 ( 211920 1005475 ) M1M2_PR
NEW met1 ( 211920 976615 ) M1M2_PR
NEW met1 ( 210960 976615 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[3\] ( padframe mprj_io_slow_sel[3] ) ( gpio_control_in\[3\] pad_gpio_slow_sel )
+ ROUTED met2 ( 3378960 1191030 0 ) ( 3378960 1193250 )
NEW met3 ( 3378960 1193250 ) ( 3379680 1193250 )
NEW met3 ( 3379680 1265770 ) ( 3385680 1265770 )
NEW met2 ( 3385680 1265770 ) ( 3385680 1327190 )
NEW met3 ( 3385440 1327190 ) ( 3385680 1327190 )
NEW met3 ( 3385440 1327190 ) ( 3385440 1328670 0 )
NEW met4 ( 3379680 1193250 ) ( 3379680 1265770 )
NEW met2 ( 3378960 1193250 ) via2_FR
NEW met3 ( 3379680 1193250 ) M3M4_PR_M
NEW met3 ( 3379680 1265770 ) M3M4_PR_M
NEW met2 ( 3385680 1265770 ) via2_FR
NEW met2 ( 3385680 1327190 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[4\] ( padframe mprj_io_slow_sel[4] ) ( gpio_control_in\[4\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3371040 1418210 ) ( 3377040 1418210 )
NEW met2 ( 3377040 1415990 0 ) ( 3377040 1418210 )
NEW met3 ( 3371040 1553630 ) ( 3373920 1553630 0 )
NEW met4 ( 3371040 1418210 ) ( 3371040 1553630 )
NEW met3 ( 3371040 1418210 ) M3M4_PR_M
NEW met2 ( 3377040 1418210 ) via2_FR
NEW met3 ( 3371040 1553630 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[5\] ( padframe mprj_io_slow_sel[5] ) ( gpio_control_in\[5\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3371040 1641690 ) ( 3377040 1641690 )
NEW met2 ( 3377040 1640950 0 ) ( 3377040 1641690 )
NEW met3 ( 3371040 1778590 ) ( 3373920 1778590 0 )
NEW met4 ( 3371040 1641690 ) ( 3371040 1778590 )
NEW met3 ( 3371040 1641690 ) M3M4_PR_M
NEW met2 ( 3377040 1641690 ) via2_FR
NEW met3 ( 3371040 1778590 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[6\] ( padframe mprj_io_slow_sel[6] ) ( gpio_control_in\[6\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3372960 2002810 ) ( 3373920 2002810 )
NEW met3 ( 3373920 2002810 ) ( 3373920 2004290 0 )
NEW met3 ( 3372960 1869610 ) ( 3377520 1869610 )
NEW met2 ( 3377520 1867020 0 ) ( 3377520 1869610 )
NEW met4 ( 3372960 1869610 ) ( 3372960 2002810 )
NEW met3 ( 3372960 2002810 ) M3M4_PR_M
NEW met3 ( 3372960 1869610 ) M3M4_PR_M
NEW met2 ( 3377520 1869610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[7\] ( padframe mprj_io_slow_sel[7] ) ( gpio_control_in\[7\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3372720 2446810 ) ( 3373920 2446810 )
NEW met3 ( 3373920 2445330 0 ) ( 3373920 2446810 )
NEW met1 ( 3372720 2750395 ) ( 3377520 2750395 )
NEW met2 ( 3377520 2750395 ) ( 3377520 2752975 0 )
NEW met2 ( 3372720 2446810 ) ( 3372720 2750395 )
NEW met2 ( 3372720 2446810 ) via2_FR
NEW met1 ( 3372720 2750395 ) M1M2_PR
NEW met1 ( 3377520 2750395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[8\] ( padframe mprj_io_slow_sel[8] ) ( gpio_control_in\[8\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3383520 2665850 0 ) ( 3383520 2667330 )
NEW met3 ( 3378960 2976650 ) ( 3383520 2976650 )
NEW met2 ( 3378960 2976650 ) ( 3378960 2978870 0 )
NEW met4 ( 3383520 2667330 ) ( 3383520 2976650 )
NEW met3 ( 3383520 2667330 ) M3M4_PR_M
NEW met3 ( 3383520 2976650 ) M3M4_PR_M
NEW met2 ( 3378960 2976650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[9\] ( padframe mprj_io_slow_sel[9] ) ( gpio_control_in\[9\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3381600 2890810 0 ) ( 3381600 2892290 )
NEW met2 ( 3378960 3201610 ) ( 3378960 3203975 0 )
NEW met3 ( 3378960 3201610 ) ( 3381600 3201610 )
NEW met4 ( 3381600 2892290 ) ( 3381600 3201610 )
NEW met3 ( 3381600 2892290 ) M3M4_PR_M
NEW met2 ( 3378960 3201610 ) via2_FR
NEW met3 ( 3381600 3201610 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[0\] ( padframe mprj_io_vtrip_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3372720 653050 ) ( 3373920 653050 )
NEW met3 ( 3373920 653050 ) ( 3373920 654530 0 )
NEW met1 ( 3372720 570725 ) ( 3377040 570725 )
NEW met2 ( 3377040 569060 0 ) ( 3377040 570725 )
NEW met2 ( 3372720 570725 ) ( 3372720 653050 )
NEW met2 ( 3372720 653050 ) via2_FR
NEW met1 ( 3372720 570725 ) M1M2_PR
NEW met1 ( 3377040 570725 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[10\] ( padframe mprj_io_vtrip_sel[10] ) ( gpio_control_in\[10\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3376800 3119470 0 ) ( 3376800 3120950 )
NEW met3 ( 3376800 3484290 ) ( 3377040 3484290 )
NEW met2 ( 3377040 3484290 ) ( 3377040 3485175 0 )
NEW met4 ( 3376800 3120950 ) ( 3376800 3484290 )
NEW met3 ( 3376800 3120950 ) M3M4_PR_M
NEW met3 ( 3376800 3484290 ) M3M4_PR_M
NEW met2 ( 3377040 3484290 ) via2_FR
NEW met3 ( 3376800 3484290 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[11\] ( padframe mprj_io_vtrip_sel[11] ) ( gpio_control_in\[11\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3380640 3344430 0 ) ( 3380640 3345910 )
NEW met2 ( 3378960 3708510 ) ( 3378960 3710175 0 )
NEW met3 ( 3378960 3708510 ) ( 3380640 3708510 )
NEW met4 ( 3380640 3345910 ) ( 3380640 3708510 )
NEW met3 ( 3380640 3345910 ) M3M4_PR_M
NEW met2 ( 3378960 3708510 ) via2_FR
NEW met3 ( 3380640 3708510 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[12\] ( padframe mprj_io_vtrip_sel[12] ) ( gpio_control_in\[12\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 3378960 3933470 ) ( 3378960 3935175 0 )
NEW met3 ( 3378960 3933470 ) ( 3379680 3933470 )
NEW met3 ( 3379680 3570130 0 ) ( 3379680 3571610 )
NEW met4 ( 3379680 3571610 ) ( 3379680 3933470 )
NEW met2 ( 3378960 3933470 ) via2_FR
NEW met3 ( 3379680 3933470 ) M3M4_PR_M
NEW met3 ( 3379680 3571610 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[13\] ( padframe mprj_io_vtrip_sel[13] ) ( gpio_control_in\[13\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3377520 4378950 ) ( 3377760 4378950 )
NEW met2 ( 3377520 4378950 ) ( 3377520 4381170 0 )
NEW met3 ( 3377760 3795090 0 ) ( 3377760 3796570 )
NEW met4 ( 3377760 3796570 ) ( 3377760 4378950 )
NEW met3 ( 3377760 4378950 ) M3M4_PR_M
NEW met2 ( 3377520 4378950 ) via2_FR
NEW met3 ( 3377760 3796570 ) M3M4_PR_M
NEW met3 ( 3377760 4378950 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[14\] ( padframe mprj_io_vtrip_sel[14] ) ( gpio_control_in\[14\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3372960 4688270 ) ( 3373920 4688270 )
NEW met3 ( 3373920 4687530 0 ) ( 3373920 4688270 )
NEW met3 ( 3372960 4825170 ) ( 3377040 4825170 )
NEW met2 ( 3377040 4825170 ) ( 3377040 4827175 0 )
NEW met4 ( 3372960 4688270 ) ( 3372960 4825170 )
NEW met3 ( 3372960 4688270 ) M3M4_PR_M
NEW met3 ( 3372960 4825170 ) M3M4_PR_M
NEW met2 ( 3377040 4825170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[15\] ( padframe mprj_io_vtrip_sel[15] ) ( gpio_control_in\[15\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 3139440 4979090 ) ( 3140880 4979090 0 )
NEW met2 ( 3139440 4978905 ) ( 3139440 4979090 )
NEW met1 ( 3110160 4978905 ) ( 3139440 4978905 )
NEW met2 ( 3110160 4978905 ) ( 3110160 4987785 )
NEW met2 ( 2797200 4987785 ) ( 2797200 4987970 )
NEW met3 ( 2795040 4987970 0 ) ( 2797200 4987970 )
NEW met1 ( 2797200 4987785 ) ( 3110160 4987785 )
NEW met1 ( 3139440 4978905 ) M1M2_PR
NEW met1 ( 3110160 4978905 ) M1M2_PR
NEW met1 ( 3110160 4987785 ) M1M2_PR
NEW met1 ( 2797200 4987785 ) M1M2_PR
NEW met2 ( 2797200 4987970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[16\] ( padframe mprj_io_vtrip_sel[16] ) ( gpio_control_in\[16\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 2630640 4977610 ) ( 2631840 4977610 0 )
NEW met2 ( 2630640 4977425 ) ( 2630640 4977610 )
NEW met1 ( 2618160 4977425 ) ( 2630640 4977425 )
NEW met2 ( 2618160 4977425 ) ( 2618160 5000550 )
NEW met3 ( 2563440 5000550 ) ( 2618160 5000550 )
NEW met2 ( 2563440 5000550 ) ( 2563440 5004065 )
NEW met2 ( 2539440 5004065 ) ( 2539440 5004250 )
NEW met3 ( 2538240 5004250 0 ) ( 2539440 5004250 )
NEW met1 ( 2539440 5004065 ) ( 2563440 5004065 )
NEW met1 ( 2630640 4977425 ) M1M2_PR
NEW met1 ( 2618160 4977425 ) M1M2_PR
NEW met2 ( 2618160 5000550 ) via2_FR
NEW met2 ( 2563440 5000550 ) via2_FR
NEW met1 ( 2563440 5004065 ) M1M2_PR
NEW met1 ( 2539440 5004065 ) M1M2_PR
NEW met2 ( 2539440 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[17\] ( padframe mprj_io_vtrip_sel[17] ) ( gpio_control_in\[17\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 2154960 5004250 ) ( 2154960 5004435 )
NEW met3 ( 2153280 5004250 0 ) ( 2154960 5004250 )
NEW met2 ( 2373360 4978350 ) ( 2374800 4978350 0 )
NEW met2 ( 2373360 4978165 ) ( 2373360 4978350 )
NEW met1 ( 2362320 4978165 ) ( 2373360 4978165 )
NEW met2 ( 2362320 4978165 ) ( 2362320 5004435 )
NEW met1 ( 2154960 5004435 ) ( 2362320 5004435 )
NEW met1 ( 2154960 5004435 ) M1M2_PR
NEW met2 ( 2154960 5004250 ) via2_FR
NEW met1 ( 2373360 4978165 ) M1M2_PR
NEW met1 ( 2362320 4978165 ) M1M2_PR
NEW met1 ( 2362320 5004435 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[18\] ( padframe mprj_io_vtrip_sel[18] ) ( gpio_control_in\[18\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 1929840 4976130 ) ( 1929840 4977610 0 )
NEW met3 ( 1816320 4976130 ) ( 1816320 4979090 0 )
NEW met3 ( 1816320 4976130 ) ( 1929840 4976130 )
NEW met2 ( 1929840 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[19\] ( padframe mprj_io_vtrip_sel[19] ) ( gpio_control_in\[19\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 1422000 4968545 ) ( 1422000 4977610 )
NEW met2 ( 1420800 4977610 0 ) ( 1422000 4977610 )
NEW met2 ( 1564080 4968545 ) ( 1564080 4976870 )
NEW met3 ( 1564080 4976870 ) ( 1564320 4976870 )
NEW met3 ( 1564320 4976870 ) ( 1564320 4979090 0 )
NEW met1 ( 1422000 4968545 ) ( 1564080 4968545 )
NEW met1 ( 1422000 4968545 ) M1M2_PR
NEW met1 ( 1564080 4968545 ) M1M2_PR
NEW met2 ( 1564080 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[1\] ( padframe mprj_io_vtrip_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 3370320 797165 ) ( 3377040 797165 )
NEW met2 ( 3377040 795130 0 ) ( 3377040 797165 )
NEW met3 ( 3370320 880230 ) ( 3373920 880230 0 )
NEW met2 ( 3370320 797165 ) ( 3370320 880230 )
NEW met1 ( 3370320 797165 ) M1M2_PR
NEW met1 ( 3377040 797165 ) M1M2_PR
NEW met2 ( 3370320 880230 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[20\] ( padframe mprj_io_vtrip_sel[20] ) ( gpio_control_in\[20\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 1162800 4968545 ) ( 1162800 4977610 0 )
NEW met2 ( 1305360 4968545 ) ( 1305360 4979830 )
NEW met3 ( 1305360 4979830 ) ( 1306080 4979830 0 )
NEW met1 ( 1162800 4968545 ) ( 1305360 4968545 )
NEW met1 ( 1162800 4968545 ) M1M2_PR
NEW met1 ( 1305360 4968545 ) M1M2_PR
NEW met2 ( 1305360 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[21\] ( padframe mprj_io_vtrip_sel[21] ) ( gpio_control_in\[21\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 1049040 4968915 ) ( 1049040 4976130 )
NEW met3 ( 1049040 4976130 ) ( 1049280 4976130 )
NEW met3 ( 1049280 4976130 ) ( 1049280 4979090 0 )
NEW met2 ( 906960 4968915 ) ( 906960 4977610 )
NEW met2 ( 905760 4977610 0 ) ( 906960 4977610 )
NEW met1 ( 906960 4968915 ) ( 1049040 4968915 )
NEW met1 ( 1049040 4968915 ) M1M2_PR
NEW met2 ( 1049040 4976130 ) via2_FR
NEW met1 ( 906960 4968915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[22\] ( padframe mprj_io_vtrip_sel[22] ) ( gpio_control_in\[22\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 792480 4970210 ) ( 792480 4978350 )
NEW met3 ( 791520 4978350 ) ( 792480 4978350 )
NEW met3 ( 791520 4978350 ) ( 791520 4979090 )
NEW met3 ( 791520 4979090 ) ( 792480 4979090 0 )
NEW met2 ( 648720 4970210 ) ( 648720 4977610 0 )
NEW met3 ( 648720 4970210 ) ( 792480 4970210 )
NEW met2 ( 648720 4970210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[23\] ( padframe mprj_io_vtrip_sel[23] ) ( gpio_control_in\[23\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 391920 4968730 ) ( 391920 4977610 0 )
NEW met2 ( 534000 4968730 ) ( 534000 4976130 )
NEW met3 ( 534000 4976130 ) ( 535200 4976130 )
NEW met3 ( 535200 4976130 ) ( 535200 4979090 0 )
NEW met3 ( 391920 4968730 ) ( 534000 4968730 )
NEW met2 ( 391920 4968730 ) via2_FR
NEW met2 ( 534000 4968730 ) via2_FR
NEW met2 ( 534000 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[24\] ( padframe mprj_io_vtrip_sel[24] ) ( gpio_control_in\[24\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 210720 4705290 0 ) ( 210720 4706770 )
NEW met3 ( 210480 4780770 ) ( 210720 4780770 )
NEW met2 ( 210480 4780770 ) ( 210480 4781880 0 )
NEW met4 ( 210720 4706770 ) ( 210720 4780770 )
NEW met3 ( 210720 4706770 ) M3M4_PR_M
NEW met3 ( 210720 4780770 ) M3M4_PR_M
NEW met2 ( 210480 4780770 ) via2_FR
NEW met3 ( 210720 4780770 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[25\] ( padframe mprj_io_vtrip_sel[25] ) ( gpio_control_in\[25\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 208560 4074810 ) ( 208800 4074810 )
NEW met3 ( 208800 4074810 ) ( 208800 4076290 0 )
NEW met3 ( 208560 4002290 ) ( 212640 4002290 )
NEW met2 ( 208560 4002290 ) ( 208560 4074810 )
NEW met2 ( 210960 3932730 0 ) ( 210960 3935135 )
NEW met1 ( 210960 3935135 ) ( 214800 3935135 )
NEW met2 ( 214800 3935135 ) ( 214800 3958630 )
NEW met3 ( 212640 3958630 ) ( 214800 3958630 )
NEW met4 ( 212640 3958630 ) ( 212640 4002290 )
NEW met2 ( 208560 4074810 ) via2_FR
NEW met2 ( 208560 4002290 ) via2_FR
NEW met3 ( 212640 4002290 ) M3M4_PR_M
NEW met1 ( 210960 3935135 ) M1M2_PR
NEW met1 ( 214800 3935135 ) M1M2_PR
NEW met2 ( 214800 3958630 ) via2_FR
NEW met3 ( 212640 3958630 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[26\] ( padframe mprj_io_vtrip_sel[26] ) ( gpio_control_in\[26\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 214800 3759570 ) ( 215520 3759570 )
NEW met2 ( 210960 3716825 0 ) ( 210960 3719055 )
NEW met1 ( 210960 3719055 ) ( 214800 3719055 )
NEW met2 ( 214800 3719055 ) ( 214800 3727565 )
NEW met1 ( 212400 3727565 ) ( 214800 3727565 )
NEW met2 ( 212400 3727565 ) ( 212400 3746250 )
NEW met3 ( 212400 3746250 ) ( 215520 3746250 )
NEW met4 ( 215520 3746250 ) ( 215520 3759570 )
NEW met3 ( 212640 3860210 0 ) ( 214800 3860210 )
NEW met2 ( 214800 3759570 ) ( 214800 3860210 )
NEW met2 ( 214800 3759570 ) via2_FR
NEW met3 ( 215520 3759570 ) M3M4_PR_M
NEW met1 ( 210960 3719055 ) M1M2_PR
NEW met1 ( 214800 3719055 ) M1M2_PR
NEW met1 ( 214800 3727565 ) M1M2_PR
NEW met1 ( 212400 3727565 ) M1M2_PR
NEW met2 ( 212400 3746250 ) via2_FR
NEW met3 ( 215520 3746250 ) M3M4_PR_M
NEW met2 ( 214800 3860210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[27\] ( padframe mprj_io_vtrip_sel[27] ) ( gpio_control_in\[27\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 207600 3571055 ) ( 217680 3571055 )
NEW met2 ( 207600 3571055 ) ( 207600 3643390 )
NEW met3 ( 207600 3643390 ) ( 207840 3643390 )
NEW met3 ( 207840 3643390 ) ( 207840 3644130 0 )
NEW met2 ( 210480 3500940 0 ) ( 210480 3502235 )
NEW met1 ( 210480 3502235 ) ( 215760 3502235 )
NEW met2 ( 215760 3502235 ) ( 215760 3513705 )
NEW met1 ( 215760 3513705 ) ( 217680 3513705 )
NEW met2 ( 217680 3513705 ) ( 217680 3571055 )
NEW met1 ( 217680 3571055 ) M1M2_PR
NEW met1 ( 207600 3571055 ) M1M2_PR
NEW met2 ( 207600 3643390 ) via2_FR
NEW met1 ( 210480 3502235 ) M1M2_PR
NEW met1 ( 215760 3502235 ) M1M2_PR
NEW met1 ( 215760 3513705 ) M1M2_PR
NEW met1 ( 217680 3513705 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[28\] ( padframe mprj_io_vtrip_sel[28] ) ( gpio_control_in\[28\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 209520 3427310 ) ( 209760 3427310 )
NEW met3 ( 209760 3427310 ) ( 209760 3428050 0 )
NEW met2 ( 209040 3354050 ) ( 209520 3354050 )
NEW met2 ( 209040 3353310 ) ( 209040 3354050 )
NEW met3 ( 209040 3353310 ) ( 212640 3353310 )
NEW met4 ( 212640 3314090 ) ( 212640 3353310 )
NEW met3 ( 212400 3314090 ) ( 212640 3314090 )
NEW met2 ( 212400 3286895 ) ( 212400 3314090 )
NEW met1 ( 210480 3286895 ) ( 212400 3286895 )
NEW met2 ( 210480 3284860 0 ) ( 210480 3286895 )
NEW met2 ( 209520 3354050 ) ( 209520 3427310 )
NEW met2 ( 209520 3427310 ) via2_FR
NEW met2 ( 209040 3353310 ) via2_FR
NEW met3 ( 212640 3353310 ) M3M4_PR_M
NEW met3 ( 212640 3314090 ) M3M4_PR_M
NEW met2 ( 212400 3314090 ) via2_FR
NEW met1 ( 212400 3286895 ) M1M2_PR
NEW met1 ( 210480 3286895 ) M1M2_PR
NEW met3 ( 212640 3314090 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[29\] ( padframe mprj_io_vtrip_sel[29] ) ( gpio_control_in\[29\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 207600 3211230 ) ( 207840 3211230 )
NEW met3 ( 207840 3211230 ) ( 207840 3212340 0 )
NEW met3 ( 207600 3139450 ) ( 212640 3139450 )
NEW met4 ( 212640 3107630 ) ( 212640 3139450 )
NEW met3 ( 212640 3107630 ) ( 215760 3107630 )
NEW met2 ( 215760 3070815 ) ( 215760 3107630 )
NEW met1 ( 210960 3070815 ) ( 215760 3070815 )
NEW met2 ( 210960 3068780 0 ) ( 210960 3070815 )
NEW met2 ( 207600 3139450 ) ( 207600 3211230 )
NEW met2 ( 207600 3211230 ) via2_FR
NEW met2 ( 207600 3139450 ) via2_FR
NEW met3 ( 212640 3139450 ) M3M4_PR_M
NEW met3 ( 212640 3107630 ) M3M4_PR_M
NEW met2 ( 215760 3107630 ) via2_FR
NEW met1 ( 215760 3070815 ) M1M2_PR
NEW met1 ( 210960 3070815 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[2\] ( padframe mprj_io_vtrip_sel[2] ) ( gpio_control_in\[2\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 3374640 1022125 ) ( 3377040 1022125 )
NEW met2 ( 3377040 1020090 0 ) ( 3377040 1022125 )
NEW met3 ( 3374640 1104450 ) ( 3374880 1104450 )
NEW met3 ( 3374880 1104450 ) ( 3374880 1105190 0 )
NEW met2 ( 3374640 1022125 ) ( 3374640 1104450 )
NEW met1 ( 3374640 1022125 ) M1M2_PR
NEW met1 ( 3377040 1022125 ) M1M2_PR
NEW met2 ( 3374640 1104450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[30\] ( padframe mprj_io_vtrip_sel[30] ) ( gpio_control_in\[30\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 212640 2995890 ) ( 215760 2995890 )
NEW met3 ( 212640 2995890 ) ( 212640 2996260 0 )
NEW met2 ( 210960 2852700 0 ) ( 210960 2855105 )
NEW met1 ( 210960 2855105 ) ( 215760 2855105 )
NEW met2 ( 215760 2855105 ) ( 215760 2884890 )
NEW met2 ( 215760 2884890 ) ( 216240 2884890 )
NEW met2 ( 216240 2884890 ) ( 216240 2888590 )
NEW met2 ( 216240 2888590 ) ( 217680 2888590 )
NEW met2 ( 217680 2888590 ) ( 217680 2924110 )
NEW met2 ( 215760 2924110 ) ( 217680 2924110 )
NEW met2 ( 215760 2924110 ) ( 215760 2995890 )
NEW met2 ( 215760 2995890 ) via2_FR
NEW met1 ( 210960 2855105 ) M1M2_PR
NEW met1 ( 215760 2855105 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[31\] ( padframe mprj_io_vtrip_sel[31] ) ( gpio_control_in\[31\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 207840 2779070 ) ( 208080 2779070 )
NEW met3 ( 207840 2779070 ) ( 207840 2780550 0 )
NEW met2 ( 210960 2636825 0 ) ( 210960 2638285 )
NEW met1 ( 210960 2638285 ) ( 214800 2638285 )
NEW met2 ( 214800 2638285 ) ( 214800 2646055 )
NEW met1 ( 212400 2646055 ) ( 214800 2646055 )
NEW met1 ( 208080 2706365 ) ( 218160 2706365 )
NEW met2 ( 218160 2678245 ) ( 218160 2706365 )
NEW met1 ( 215760 2678245 ) ( 218160 2678245 )
NEW met2 ( 215760 2650865 ) ( 215760 2678245 )
NEW met1 ( 215760 2650495 ) ( 215760 2650865 )
NEW met1 ( 212400 2650495 ) ( 215760 2650495 )
NEW met2 ( 208080 2706365 ) ( 208080 2779070 )
NEW met2 ( 212400 2646055 ) ( 212400 2650495 )
NEW met2 ( 208080 2779070 ) via2_FR
NEW met1 ( 210960 2638285 ) M1M2_PR
NEW met1 ( 214800 2638285 ) M1M2_PR
NEW met1 ( 214800 2646055 ) M1M2_PR
NEW met1 ( 212400 2646055 ) M1M2_PR
NEW met1 ( 208080 2706365 ) M1M2_PR
NEW met1 ( 218160 2706365 ) M1M2_PR
NEW met1 ( 218160 2678245 ) M1M2_PR
NEW met1 ( 215760 2678245 ) M1M2_PR
NEW met1 ( 215760 2650865 ) M1M2_PR
NEW met1 ( 212400 2650495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[32\] ( padframe mprj_io_vtrip_sel[32] ) ( gpio_control_in\[32\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204000 2000590 ) ( 209040 2000590 )
NEW met2 ( 209040 1998740 0 ) ( 209040 2000590 )
NEW met3 ( 204000 2140450 ) ( 204000 2142300 0 )
NEW met4 ( 204000 2000590 ) ( 204000 2140450 )
NEW met3 ( 204000 2000590 ) M3M4_PR_M
NEW met2 ( 209040 2000590 ) via2_FR
NEW met3 ( 204000 2140450 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[33\] ( padframe mprj_io_vtrip_sel[33] ) ( gpio_control_in\[33\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204000 1784510 ) ( 209040 1784510 )
NEW met2 ( 209040 1782825 0 ) ( 209040 1784510 )
NEW met3 ( 204000 1924370 ) ( 204000 1926590 0 )
NEW met4 ( 204000 1784510 ) ( 204000 1924370 )
NEW met3 ( 204000 1784510 ) M3M4_PR_M
NEW met2 ( 209040 1784510 ) via2_FR
NEW met3 ( 204000 1924370 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[34\] ( padframe mprj_io_vtrip_sel[34] ) ( gpio_control_in\[34\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204000 1568430 ) ( 209520 1568430 )
NEW met2 ( 209520 1566950 0 ) ( 209520 1568430 )
NEW met3 ( 204000 1709030 ) ( 204000 1710510 0 )
NEW met4 ( 204000 1568430 ) ( 204000 1709030 )
NEW met3 ( 204000 1568430 ) M3M4_PR_M
NEW met2 ( 209520 1568430 ) via2_FR
NEW met3 ( 204000 1709030 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[35\] ( padframe mprj_io_vtrip_sel[35] ) ( gpio_control_in\[35\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204960 1353090 ) ( 209040 1353090 )
NEW met2 ( 209040 1350870 0 ) ( 209040 1353090 )
NEW met3 ( 204960 1492950 ) ( 204960 1494430 0 )
NEW met4 ( 204960 1353090 ) ( 204960 1492950 )
NEW met3 ( 204960 1353090 ) M3M4_PR_M
NEW met2 ( 209040 1353090 ) via2_FR
NEW met3 ( 204960 1492950 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[36\] ( padframe mprj_io_vtrip_sel[36] ) ( gpio_control_in\[36\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 203040 1137010 ) ( 209040 1137010 )
NEW met2 ( 209040 1134790 0 ) ( 209040 1137010 )
NEW met3 ( 203040 1276870 ) ( 203040 1278350 0 )
NEW met4 ( 203040 1137010 ) ( 203040 1276870 )
NEW met3 ( 203040 1137010 ) M3M4_PR_M
NEW met2 ( 209040 1137010 ) via2_FR
NEW met3 ( 203040 1276870 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[37\] ( padframe mprj_io_vtrip_sel[37] ) ( gpio_control_in\[37\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 203040 1060790 ) ( 203040 1062270 0 )
NEW met3 ( 203040 920930 ) ( 209040 920930 )
NEW met2 ( 209040 918710 0 ) ( 209040 920930 )
NEW met4 ( 203040 920930 ) ( 203040 1060790 )
NEW met3 ( 203040 1060790 ) M3M4_PR_M
NEW met3 ( 203040 920930 ) M3M4_PR_M
NEW met2 ( 209040 920930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[3\] ( padframe mprj_io_vtrip_sel[3] ) ( gpio_control_in\[3\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 3376080 1246160 ) ( 3377040 1246160 0 )
NEW met2 ( 3376080 1246160 ) ( 3376080 1251895 )
NEW met1 ( 3372720 1251895 ) ( 3376080 1251895 )
NEW met2 ( 3372720 1251895 ) ( 3372720 1330150 )
NEW met3 ( 3372720 1330150 ) ( 3373920 1330150 )
NEW met3 ( 3373920 1330150 ) ( 3373920 1331260 0 )
NEW met1 ( 3376080 1251895 ) M1M2_PR
NEW met1 ( 3372720 1251895 ) M1M2_PR
NEW met2 ( 3372720 1330150 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[4\] ( padframe mprj_io_vtrip_sel[4] ) ( gpio_control_in\[4\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 3370320 1473895 ) ( 3375600 1473895 )
NEW met2 ( 3375600 1471120 ) ( 3375600 1473895 )
NEW met2 ( 3375600 1471120 ) ( 3377040 1471120 0 )
NEW met3 ( 3370320 1556590 ) ( 3373920 1556590 0 )
NEW met2 ( 3370320 1473895 ) ( 3370320 1556590 )
NEW met1 ( 3370320 1473895 ) M1M2_PR
NEW met1 ( 3375600 1473895 ) M1M2_PR
NEW met2 ( 3370320 1556590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[5\] ( padframe mprj_io_vtrip_sel[5] ) ( gpio_control_in\[5\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 3377040 1696080 0 ) ( 3377040 1697745 )
NEW met1 ( 3374640 1697745 ) ( 3377040 1697745 )
NEW met2 ( 3374640 1697745 ) ( 3374640 1780070 )
NEW met3 ( 3374640 1780070 ) ( 3374880 1780070 )
NEW met3 ( 3374880 1780070 ) ( 3374880 1781550 0 )
NEW met1 ( 3377040 1697745 ) M1M2_PR
NEW met1 ( 3374640 1697745 ) M1M2_PR
NEW met2 ( 3374640 1780070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[6\] ( padframe mprj_io_vtrip_sel[6] ) ( gpio_control_in\[6\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3375600 2006510 ) ( 3375840 2006510 )
NEW met3 ( 3375840 2006510 ) ( 3375840 2007250 0 )
NEW met1 ( 3375600 1924185 ) ( 3377040 1924185 )
NEW met2 ( 3377040 1922150 0 ) ( 3377040 1924185 )
NEW met2 ( 3375600 1924185 ) ( 3375600 2006510 )
NEW met2 ( 3375600 2006510 ) via2_FR
NEW met1 ( 3375600 1924185 ) M1M2_PR
NEW met1 ( 3377040 1924185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[7\] ( padframe mprj_io_vtrip_sel[7] ) ( gpio_control_in\[7\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3375840 2807190 ) ( 3377040 2807190 )
NEW met2 ( 3377040 2807190 ) ( 3377040 2808300 0 )
NEW met3 ( 3375840 2448290 0 ) ( 3375840 2449770 )
NEW met4 ( 3375840 2449770 ) ( 3375840 2807190 )
NEW met3 ( 3375840 2807190 ) M3M4_PR_M
NEW met2 ( 3377040 2807190 ) via2_FR
NEW met3 ( 3375840 2449770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[8\] ( padframe mprj_io_vtrip_sel[8] ) ( gpio_control_in\[8\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3376800 2668070 0 ) ( 3376800 2669550 )
NEW met3 ( 3376800 3032150 ) ( 3377520 3032150 )
NEW met2 ( 3377520 3032150 ) ( 3377520 3034175 0 )
NEW met4 ( 3376800 2669550 ) ( 3376800 3032150 )
NEW met3 ( 3376800 2669550 ) M3M4_PR_M
NEW met3 ( 3376800 3032150 ) M3M4_PR_M
NEW met2 ( 3377520 3032150 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[9\] ( padframe mprj_io_vtrip_sel[9] ) ( gpio_control_in\[9\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3377760 2893030 0 ) ( 3377760 2894510 )
NEW met3 ( 3377520 3257110 ) ( 3377760 3257110 )
NEW met2 ( 3377520 3257110 ) ( 3377520 3259175 0 )
NEW met4 ( 3377760 2894510 ) ( 3377760 3257110 )
NEW met3 ( 3377760 2894510 ) M3M4_PR_M
NEW met3 ( 3377760 3257110 ) M3M4_PR_M
NEW met2 ( 3377520 3257110 ) via2_FR
NEW met3 ( 3377760 3257110 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_reset ( mprj wb_rst_i ) ( mgmt_buffers user_reset )
+ ROUTED met2 ( 1066320 1325710 0 ) ( 1066320 1360675 )
NEW met2 ( 335280 1360675 ) ( 335280 1389350 0 )
NEW met1 ( 335280 1360675 ) ( 1066320 1360675 )
NEW met1 ( 1066320 1360675 ) M1M2_PR
NEW met1 ( 335280 1360675 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_core\[0\] ( soc mprj_sel_o[0] ) ( mgmt_buffers mprj_sel_o_core[0] )
+ ROUTED met2 ( 1910640 1167350 ) ( 1911600 1167350 0 )
NEW met2 ( 1910640 1167350 ) ( 1910640 1232470 )
NEW met2 ( 1909440 1232470 0 ) ( 1910640 1232470 )
+ USE SIGNAL ;
- mprj_sel_o_core\[1\] ( soc mprj_sel_o[1] ) ( mgmt_buffers mprj_sel_o_core[1] )
+ ROUTED met2 ( 1913520 1167350 0 ) ( 1914960 1167350 )
NEW met2 ( 1914960 1167350 ) ( 1914960 1195470 )
NEW met2 ( 1915440 1232470 ) ( 1915920 1232470 0 )
NEW met3 ( 1915440 1195470 ) ( 1915680 1195470 )
NEW met3 ( 1915680 1195470 ) ( 1915680 1198430 )
NEW met3 ( 1915440 1198430 ) ( 1915680 1198430 )
NEW met2 ( 1914960 1195470 ) ( 1915440 1195470 )
NEW met2 ( 1915440 1198430 ) ( 1915440 1232470 )
NEW met2 ( 1915440 1195470 ) via2_FR
NEW met2 ( 1915440 1198430 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_core\[2\] ( soc mprj_sel_o[2] ) ( mgmt_buffers mprj_sel_o_core[2] )
+ ROUTED met2 ( 1915440 1167350 0 ) ( 1915440 1189365 )
NEW met1 ( 1915440 1189365 ) ( 1922160 1189365 )
NEW met2 ( 1922160 1189365 ) ( 1922160 1232470 0 )
NEW met1 ( 1915440 1189365 ) M1M2_PR
NEW met1 ( 1922160 1189365 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_core\[3\] ( soc mprj_sel_o[3] ) ( mgmt_buffers mprj_sel_o_core[3] )
+ ROUTED met2 ( 1917120 1167350 0 ) ( 1918320 1167350 )
NEW met2 ( 1918320 1167350 ) ( 1918320 1198615 )
NEW met1 ( 1918320 1198615 ) ( 1927920 1198615 )
NEW met2 ( 1927920 1198615 ) ( 1927920 1232470 )
NEW met2 ( 1927920 1232470 ) ( 1929120 1232470 0 )
NEW met1 ( 1918320 1198615 ) M1M2_PR
NEW met1 ( 1927920 1198615 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_user\[0\] ( mprj wbs_sel_i[0] ) ( mgmt_buffers mprj_sel_o_user[0] )
+ ROUTED met2 ( 382800 1363450 ) ( 382800 1389350 0 )
NEW met3 ( 1880160 1363450 ) ( 1880160 1364190 )
NEW met3 ( 1880160 1364190 ) ( 1916880 1364190 )
NEW met3 ( 382800 1363450 ) ( 1880160 1363450 )
NEW met2 ( 1916880 1325710 ) ( 1918080 1325710 0 )
NEW met2 ( 1916880 1325710 ) ( 1916880 1364190 )
NEW met2 ( 382800 1363450 ) via2_FR
NEW met2 ( 1916880 1364190 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_user\[1\] ( mprj wbs_sel_i[1] ) ( mgmt_buffers mprj_sel_o_user[1] )
+ ROUTED met2 ( 406800 1364930 ) ( 406800 1389350 0 )
NEW met2 ( 1866000 1364930 ) ( 1866000 1367890 )
NEW met3 ( 1866000 1367890 ) ( 1911120 1367890 )
NEW met2 ( 1911120 1362710 ) ( 1911120 1367890 )
NEW met3 ( 1911120 1362710 ) ( 1924560 1362710 )
NEW met3 ( 406800 1364930 ) ( 1866000 1364930 )
NEW met2 ( 1924560 1325710 0 ) ( 1924560 1362710 )
NEW met2 ( 406800 1364930 ) via2_FR
NEW met2 ( 1866000 1364930 ) via2_FR
NEW met2 ( 1866000 1367890 ) via2_FR
NEW met2 ( 1911120 1367890 ) via2_FR
NEW met2 ( 1911120 1362710 ) via2_FR
NEW met2 ( 1924560 1362710 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_user\[2\] ( mprj wbs_sel_i[2] ) ( mgmt_buffers mprj_sel_o_user[2] )
+ ROUTED met2 ( 430320 1365670 ) ( 430320 1389350 0 )
NEW met2 ( 1886640 1360490 ) ( 1886640 1365670 )
NEW met3 ( 1886640 1360490 ) ( 1900080 1360490 )
NEW met2 ( 1900080 1360490 ) ( 1900080 1361045 )
NEW met1 ( 1900080 1361045 ) ( 1907280 1361045 )
NEW met2 ( 1907280 1361045 ) ( 1907280 1361970 )
NEW met3 ( 1907280 1361970 ) ( 1929840 1361970 )
NEW met3 ( 430320 1365670 ) ( 1886640 1365670 )
NEW met2 ( 1929840 1325710 ) ( 1931040 1325710 0 )
NEW met2 ( 1929840 1325710 ) ( 1929840 1361970 )
NEW met2 ( 430320 1365670 ) via2_FR
NEW met2 ( 1886640 1365670 ) via2_FR
NEW met2 ( 1886640 1360490 ) via2_FR
NEW met2 ( 1900080 1360490 ) via2_FR
NEW met1 ( 1900080 1361045 ) M1M2_PR
NEW met1 ( 1907280 1361045 ) M1M2_PR
NEW met2 ( 1907280 1361970 ) via2_FR
NEW met2 ( 1929840 1361970 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_user\[3\] ( mprj wbs_sel_i[3] ) ( mgmt_buffers mprj_sel_o_user[3] )
+ ROUTED met2 ( 454320 1359750 ) ( 454320 1389350 0 )
NEW met2 ( 1845360 1355310 ) ( 1845360 1359750 )
NEW met3 ( 1845360 1355310 ) ( 1937520 1355310 )
NEW met3 ( 454320 1359750 ) ( 1845360 1359750 )
NEW met2 ( 1937520 1325710 ) ( 1938000 1325710 0 )
NEW met2 ( 1937520 1325710 ) ( 1937520 1355310 )
NEW met2 ( 454320 1359750 ) via2_FR
NEW met2 ( 1845360 1359750 ) via2_FR
NEW met2 ( 1845360 1355310 ) via2_FR
NEW met2 ( 1937520 1355310 ) via2_FR
+ USE SIGNAL ;
- mprj_stb_o_core ( soc mprj_stb_o ) ( mgmt_buffers mprj_stb_o_core )
+ ROUTED met2 ( 1900560 1197875 ) ( 1900560 1232470 0 )
NEW met2 ( 1919040 1167350 0 ) ( 1919040 1168830 )
NEW met2 ( 1918800 1168830 ) ( 1919040 1168830 )
NEW met2 ( 1918800 1168830 ) ( 1918800 1197875 )
NEW met1 ( 1900560 1197875 ) ( 1918800 1197875 )
NEW met1 ( 1900560 1197875 ) M1M2_PR
NEW met1 ( 1918800 1197875 ) M1M2_PR
+ USE SIGNAL ;
- mprj_stb_o_user ( mprj wbs_stb_i ) ( mgmt_buffers mprj_stb_o_user )
+ ROUTED met2 ( 353040 1362710 ) ( 353040 1389350 0 )
NEW met2 ( 1908240 1325710 ) ( 1908240 1356050 )
NEW met2 ( 1908240 1325710 ) ( 1909440 1325710 0 )
NEW met2 ( 1743600 1356050 ) ( 1743600 1362710 )
NEW met3 ( 353040 1362710 ) ( 1743600 1362710 )
NEW met3 ( 1743600 1356050 ) ( 1908240 1356050 )
NEW met2 ( 353040 1362710 ) via2_FR
NEW met2 ( 1908240 1356050 ) via2_FR
NEW met2 ( 1743600 1362710 ) via2_FR
NEW met2 ( 1743600 1356050 ) via2_FR
+ USE SIGNAL ;
- mprj_vcc_pwrgood ( soc mprj_vcc_pwrgood ) ( mgmt_buffers user1_vcc_powergood )
+ ROUTED met2 ( 2053200 1188625 ) ( 2053200 1232470 )
NEW met2 ( 2053200 1232470 ) ( 2053440 1232470 0 )
NEW met2 ( 1920960 1167350 0 ) ( 1922160 1167350 )
NEW met2 ( 1922160 1167350 ) ( 1922160 1188625 )
NEW met1 ( 1922160 1188625 ) ( 2053200 1188625 )
NEW met1 ( 2053200 1188625 ) M1M2_PR
NEW met1 ( 1922160 1188625 ) M1M2_PR
+ USE SIGNAL ;
- mprj_vdd_pwrgood ( soc mprj_vdd_pwrgood ) ( mgmt_buffers user1_vdd_powergood )
+ ROUTED met2 ( 2055120 1189365 ) ( 2055120 1232470 0 )
NEW met2 ( 1922640 1167350 0 ) ( 1922640 1189365 )
NEW met1 ( 1922640 1189365 ) ( 2055120 1189365 )
NEW met1 ( 2055120 1189365 ) M1M2_PR
NEW met1 ( 1922640 1189365 ) M1M2_PR
+ USE SIGNAL ;
- mprj_we_o_core ( soc mprj_we_o ) ( mgmt_buffers mprj_we_o_core )
+ ROUTED met2 ( 1924560 1167350 0 ) ( 1924560 1198985 )
NEW met1 ( 1902960 1198985 ) ( 1924560 1198985 )
NEW met2 ( 1902960 1198985 ) ( 1902960 1232470 0 )
NEW met1 ( 1924560 1198985 ) M1M2_PR
NEW met1 ( 1902960 1198985 ) M1M2_PR
+ USE SIGNAL ;
- mprj_we_o_user ( mprj wbs_we_i ) ( mgmt_buffers mprj_we_o_user )
+ ROUTED met2 ( 358800 1361970 ) ( 358800 1389350 0 )
NEW met3 ( 1792800 1361970 ) ( 1792800 1362710 )
NEW met3 ( 358800 1361970 ) ( 1792800 1361970 )
NEW met3 ( 1792800 1362710 ) ( 1910160 1362710 )
NEW met2 ( 1910160 1325710 ) ( 1911600 1325710 0 )
NEW met2 ( 1910160 1325710 ) ( 1910160 1362710 )
NEW met2 ( 358800 1361970 ) via2_FR
NEW met2 ( 1910160 1362710 ) via2_FR
+ USE SIGNAL ;
- one_loop\[10\] ( gpio_control_in\[10\] one ) ( gpio_control_in\[10\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 3076550 ) ( 3384480 3078770 0 )
NEW met3 ( 3384480 3076550 ) ( 3384720 3076550 )
NEW met2 ( 3384720 3074330 ) ( 3384720 3076550 )
NEW met3 ( 3384480 3074330 ) ( 3384720 3074330 )
NEW met3 ( 3384480 3072850 0 ) ( 3384480 3074330 )
NEW met2 ( 3384720 3076550 ) via2_FR
NEW met2 ( 3384720 3074330 ) via2_FR
+ USE SIGNAL ;
- one_loop\[11\] ( gpio_control_in\[11\] one ) ( gpio_control_in\[11\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3375840 3302250 ) ( 3375840 3303730 0 )
NEW met3 ( 3375600 3302250 ) ( 3375840 3302250 )
NEW met2 ( 3375600 3299290 ) ( 3375600 3302250 )
NEW met3 ( 3375600 3299290 ) ( 3375840 3299290 )
NEW met3 ( 3375840 3297810 0 ) ( 3375840 3299290 )
NEW met2 ( 3375600 3302250 ) via2_FR
NEW met2 ( 3375600 3299290 ) via2_FR
+ USE SIGNAL ;
- one_loop\[12\] ( gpio_control_in\[12\] one ) ( gpio_control_in\[12\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 3527950 ) ( 3384480 3529430 0 )
NEW met3 ( 3384240 3527950 ) ( 3384480 3527950 )
NEW met2 ( 3384240 3525730 ) ( 3384240 3527950 )
NEW met3 ( 3384240 3525730 ) ( 3384480 3525730 )
NEW met3 ( 3384480 3524250 0 ) ( 3384480 3525730 )
NEW met2 ( 3384240 3527950 ) via2_FR
NEW met2 ( 3384240 3525730 ) via2_FR
+ USE SIGNAL ;
- one_loop\[13\] ( gpio_control_in\[13\] one ) ( gpio_control_in\[13\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 3752910 ) ( 3384480 3754390 0 )
NEW met3 ( 3384240 3752910 ) ( 3384480 3752910 )
NEW met2 ( 3384240 3750690 ) ( 3384240 3752910 )
NEW met3 ( 3384240 3750690 ) ( 3384480 3750690 )
NEW met3 ( 3384480 3749210 0 ) ( 3384480 3750690 )
NEW met2 ( 3384240 3752910 ) via2_FR
NEW met2 ( 3384240 3750690 ) via2_FR
+ USE SIGNAL ;
- one_loop\[14\] ( gpio_control_in\[14\] one ) ( gpio_control_in\[14\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 4644610 ) ( 3384480 4646460 0 )
NEW met3 ( 3384240 4644610 ) ( 3384480 4644610 )
NEW met2 ( 3384240 4642390 ) ( 3384240 4644610 )
NEW met3 ( 3384240 4642390 ) ( 3384480 4642390 )
NEW met3 ( 3384480 4640910 0 ) ( 3384480 4642390 )
NEW met2 ( 3384240 4644610 ) via2_FR
NEW met2 ( 3384240 4642390 ) via2_FR
+ USE SIGNAL ;
- one_loop\[15\] ( gpio_control_in\[15\] one ) ( gpio_control_in\[15\] mgmt_gpio_oeb )
+ ROUTED met3 ( 2752560 4979090 ) ( 2754720 4979090 0 )
NEW met2 ( 2752560 4977610 ) ( 2752560 4979090 )
NEW met3 ( 2748960 4977610 ) ( 2752560 4977610 )
NEW met3 ( 2748960 4977610 ) ( 2748960 4979090 0 )
NEW met2 ( 2752560 4979090 ) via2_FR
NEW met2 ( 2752560 4977610 ) via2_FR
+ USE SIGNAL ;
- one_loop\[16\] ( gpio_control_in\[16\] one ) ( gpio_control_in\[16\] mgmt_gpio_oeb )
+ ROUTED met3 ( 2497440 4978350 ) ( 2497440 4979090 0 )
NEW met3 ( 2492160 4978350 ) ( 2497440 4978350 )
NEW met3 ( 2492160 4978350 ) ( 2492160 4979090 0 )
+ USE SIGNAL ;
- one_loop\[17\] ( gpio_control_in\[17\] one ) ( gpio_control_in\[17\] mgmt_gpio_oeb )
+ ROUTED met3 ( 2112480 4978350 ) ( 2112480 4979090 0 )
NEW met3 ( 2107200 4978350 ) ( 2112480 4978350 )
NEW met3 ( 2107200 4978350 ) ( 2107200 4979090 0 )
+ USE SIGNAL ;
- one_loop\[18\] ( gpio_control_in\[18\] one ) ( gpio_control_in\[18\] mgmt_gpio_oeb )
+ ROUTED met3 ( 1775520 4978350 ) ( 1775520 4979090 0 )
NEW met3 ( 1771680 4978350 ) ( 1775520 4978350 )
NEW met3 ( 1771680 4978350 ) ( 1771680 4979090 )
NEW met3 ( 1769760 4979090 0 ) ( 1771680 4979090 )
+ USE SIGNAL ;
- one_loop\[19\] ( gpio_control_in\[19\] one ) ( gpio_control_in\[19\] mgmt_gpio_oeb )
+ ROUTED met3 ( 1521840 4979830 ) ( 1523520 4979830 0 )
NEW met2 ( 1519920 4979830 ) ( 1521840 4979830 )
NEW met3 ( 1518240 4979830 0 ) ( 1519920 4979830 )
NEW met2 ( 1521840 4979830 ) via2_FR
NEW met2 ( 1519920 4979830 ) via2_FR
+ USE SIGNAL ;
- one_loop\[20\] ( gpio_control_in\[20\] one ) ( gpio_control_in\[20\] mgmt_gpio_oeb )
+ ROUTED met3 ( 1263840 4979090 ) ( 1265760 4979090 0 )
NEW met3 ( 1263840 4978350 ) ( 1263840 4979090 )
NEW met3 ( 1260000 4978350 ) ( 1263840 4978350 )
NEW met3 ( 1260000 4978350 ) ( 1260000 4979090 0 )
+ USE SIGNAL ;
- one_loop\[21\] ( gpio_control_in\[21\] one ) ( gpio_control_in\[21\] mgmt_gpio_oeb )
+ ROUTED met3 ( 1008480 5078990 0 ) ( 1008480 5079730 )
NEW met3 ( 1003200 5079730 ) ( 1008480 5079730 )
NEW met3 ( 1003200 5078990 0 ) ( 1003200 5079730 )
+ USE SIGNAL ;
- one_loop\[22\] ( gpio_control_in\[22\] one ) ( gpio_control_in\[22\] mgmt_gpio_oeb )
+ ROUTED met3 ( 750240 4979830 ) ( 751200 4979830 0 )
NEW met3 ( 750240 4978350 ) ( 750240 4979830 )
NEW met3 ( 745920 4978350 ) ( 750240 4978350 )
NEW met3 ( 745920 4978350 ) ( 745920 4979090 0 )
+ USE SIGNAL ;
- one_loop\[23\] ( gpio_control_in\[23\] one ) ( gpio_control_in\[23\] mgmt_gpio_oeb )
+ ROUTED met3 ( 494400 4978350 ) ( 494400 4979090 0 )
NEW met3 ( 489120 4978350 ) ( 494400 4978350 )
NEW met3 ( 489120 4978350 ) ( 489120 4979090 0 )
+ USE SIGNAL ;
- one_loop\[24\] ( gpio_control_in\[24\] one ) ( gpio_control_in\[24\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 4663110 ) ( 176160 4664590 0 )
NEW met3 ( 176160 4663110 ) ( 176400 4663110 )
NEW met2 ( 176400 4660890 ) ( 176400 4663110 )
NEW met3 ( 176160 4660890 ) ( 176400 4660890 )
NEW met3 ( 176160 4659410 0 ) ( 176160 4660890 )
NEW met2 ( 176400 4663110 ) via2_FR
NEW met2 ( 176400 4660890 ) via2_FR
+ USE SIGNAL ;
- one_loop\[25\] ( gpio_control_in\[25\] one ) ( gpio_control_in\[25\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 4034110 ) ( 176160 4035590 0 )
NEW met3 ( 175920 4034110 ) ( 176160 4034110 )
NEW met2 ( 175920 4031890 ) ( 175920 4034110 )
NEW met3 ( 175920 4031890 ) ( 176160 4031890 )
NEW met3 ( 176160 4030040 0 ) ( 176160 4031890 )
NEW met2 ( 175920 4034110 ) via2_FR
NEW met2 ( 175920 4031890 ) via2_FR
+ USE SIGNAL ;
- one_loop\[26\] ( gpio_control_in\[26\] one ) ( gpio_control_in\[26\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 3818030 ) ( 176160 3819510 0 )
NEW met3 ( 175920 3818030 ) ( 176160 3818030 )
NEW met2 ( 175920 3815810 ) ( 175920 3818030 )
NEW met3 ( 175920 3815810 ) ( 176160 3815810 )
NEW met3 ( 176160 3814330 0 ) ( 176160 3815810 )
NEW met2 ( 175920 3818030 ) via2_FR
NEW met2 ( 175920 3815810 ) via2_FR
+ USE SIGNAL ;
- one_loop\[27\] ( gpio_control_in\[27\] one ) ( gpio_control_in\[27\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 3601950 ) ( 176160 3603430 0 )
NEW met3 ( 175920 3601950 ) ( 176160 3601950 )
NEW met2 ( 175920 3599730 ) ( 175920 3601950 )
NEW met3 ( 175920 3599730 ) ( 176160 3599730 )
NEW met3 ( 176160 3598250 0 ) ( 176160 3599730 )
NEW met2 ( 175920 3601950 ) via2_FR
NEW met2 ( 175920 3599730 ) via2_FR
+ USE SIGNAL ;
- one_loop\[28\] ( gpio_control_in\[28\] one ) ( gpio_control_in\[28\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 3385870 ) ( 176160 3387350 0 )
NEW met3 ( 176160 3385870 ) ( 176400 3385870 )
NEW met2 ( 176400 3383650 ) ( 176400 3385870 )
NEW met3 ( 176160 3383650 ) ( 176400 3383650 )
NEW met3 ( 176160 3382170 0 ) ( 176160 3383650 )
NEW met2 ( 176400 3385870 ) via2_FR
NEW met2 ( 176400 3383650 ) via2_FR
+ USE SIGNAL ;
- one_loop\[29\] ( gpio_control_in\[29\] one ) ( gpio_control_in\[29\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 3169790 ) ( 176160 3171270 0 )
NEW met3 ( 175920 3169790 ) ( 176160 3169790 )
NEW met2 ( 175920 3167570 ) ( 175920 3169790 )
NEW met3 ( 175920 3167570 ) ( 176160 3167570 )
NEW met3 ( 176160 3166090 0 ) ( 176160 3167570 )
NEW met2 ( 175920 3169790 ) via2_FR
NEW met2 ( 175920 3167570 ) via2_FR
+ USE SIGNAL ;
- one_loop\[2\] ( gpio_control_in\[2\] one ) ( gpio_control_in\[2\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 1063010 ) ( 3384480 1064490 0 )
NEW met3 ( 3384240 1063010 ) ( 3384480 1063010 )
NEW met2 ( 3384240 1060790 ) ( 3384240 1063010 )
NEW met3 ( 3384240 1060790 ) ( 3384480 1060790 )
NEW met3 ( 3384480 1059310 0 ) ( 3384480 1060790 )
NEW met2 ( 3384240 1063010 ) via2_FR
NEW met2 ( 3384240 1060790 ) via2_FR
+ USE SIGNAL ;
- one_loop\[30\] ( gpio_control_in\[30\] one ) ( gpio_control_in\[30\] mgmt_gpio_oeb )
+ ROUTED met3 ( 153120 2953710 ) ( 153120 2955560 0 )
NEW met3 ( 153120 2950010 0 ) ( 153120 2951490 )
NEW met4 ( 153120 2951490 ) ( 153120 2953710 )
NEW met3 ( 153120 2953710 ) M3M4_PR_M
NEW met3 ( 153120 2951490 ) M3M4_PR_M
+ USE SIGNAL ;
- one_loop\[31\] ( gpio_control_in\[31\] one ) ( gpio_control_in\[31\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 2737630 ) ( 176160 2739480 0 )
NEW met3 ( 175920 2737630 ) ( 176160 2737630 )
NEW met2 ( 175920 2735410 ) ( 175920 2737630 )
NEW met3 ( 175920 2735410 ) ( 176160 2735410 )
NEW met3 ( 176160 2733930 0 ) ( 176160 2735410 )
NEW met2 ( 175920 2737630 ) via2_FR
NEW met2 ( 175920 2735410 ) via2_FR
+ USE SIGNAL ;
- one_loop\[32\] ( gpio_control_in\[32\] one ) ( gpio_control_in\[32\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 2099750 ) ( 176160 2101230 0 )
NEW met3 ( 175920 2099750 ) ( 176160 2099750 )
NEW met2 ( 175920 2097530 ) ( 175920 2099750 )
NEW met3 ( 175920 2097530 ) ( 176160 2097530 )
NEW met3 ( 176160 2096050 0 ) ( 176160 2097530 )
NEW met2 ( 175920 2099750 ) via2_FR
NEW met2 ( 175920 2097530 ) via2_FR
+ USE SIGNAL ;
- one_loop\[33\] ( gpio_control_in\[33\] one ) ( gpio_control_in\[33\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 1883670 ) ( 176160 1885520 0 )
NEW met3 ( 175920 1883670 ) ( 176160 1883670 )
NEW met2 ( 175920 1881450 ) ( 175920 1883670 )
NEW met3 ( 175920 1881450 ) ( 176160 1881450 )
NEW met3 ( 176160 1879970 0 ) ( 176160 1881450 )
NEW met2 ( 175920 1883670 ) via2_FR
NEW met2 ( 175920 1881450 ) via2_FR
+ USE SIGNAL ;
- one_loop\[34\] ( gpio_control_in\[34\] one ) ( gpio_control_in\[34\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 1667590 ) ( 176160 1669440 0 )
NEW met3 ( 175920 1667590 ) ( 176160 1667590 )
NEW met2 ( 175920 1665370 ) ( 175920 1667590 )
NEW met3 ( 175920 1665370 ) ( 176160 1665370 )
NEW met3 ( 176160 1663890 0 ) ( 176160 1665370 )
NEW met2 ( 175920 1667590 ) via2_FR
NEW met2 ( 175920 1665370 ) via2_FR
+ USE SIGNAL ;
- one_loop\[35\] ( gpio_control_in\[35\] one ) ( gpio_control_in\[35\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 1452250 ) ( 176160 1453730 0 )
NEW met3 ( 175920 1452250 ) ( 176160 1452250 )
NEW met2 ( 175920 1449290 ) ( 175920 1452250 )
NEW met3 ( 175920 1449290 ) ( 176160 1449290 )
NEW met3 ( 176160 1447810 0 ) ( 176160 1449290 )
NEW met2 ( 175920 1452250 ) via2_FR
NEW met2 ( 175920 1449290 ) via2_FR
+ USE SIGNAL ;
- one_loop\[36\] ( gpio_control_in\[36\] one ) ( gpio_control_in\[36\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 1236170 ) ( 176160 1237650 0 )
NEW met3 ( 176160 1236170 ) ( 176400 1236170 )
NEW met2 ( 176400 1233950 ) ( 176400 1236170 )
NEW met3 ( 176160 1233950 ) ( 176400 1233950 )
NEW met3 ( 176160 1232100 0 ) ( 176160 1233950 )
NEW met2 ( 176400 1236170 ) via2_FR
NEW met2 ( 176400 1233950 ) via2_FR
+ USE SIGNAL ;
- one_loop\[37\] ( gpio_control_in\[37\] one ) ( gpio_control_in\[37\] mgmt_gpio_oeb )
+ ROUTED met3 ( 176160 1020090 ) ( 176160 1021570 0 )
NEW met3 ( 175920 1020090 ) ( 176160 1020090 )
NEW met2 ( 175920 1017870 ) ( 175920 1020090 )
NEW met3 ( 175920 1017870 ) ( 176160 1017870 )
NEW met3 ( 176160 1016020 0 ) ( 176160 1017870 )
NEW met2 ( 175920 1020090 ) via2_FR
NEW met2 ( 175920 1017870 ) via2_FR
+ USE SIGNAL ;
- one_loop\[3\] ( gpio_control_in\[3\] one ) ( gpio_control_in\[3\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 1288710 ) ( 3384480 1290560 0 )
NEW met3 ( 3384240 1288710 ) ( 3384480 1288710 )
NEW met2 ( 3384240 1286490 ) ( 3384240 1288710 )
NEW met3 ( 3384240 1286490 ) ( 3384480 1286490 )
NEW met3 ( 3384480 1285010 0 ) ( 3384480 1286490 )
NEW met2 ( 3384240 1288710 ) via2_FR
NEW met2 ( 3384240 1286490 ) via2_FR
+ USE SIGNAL ;
- one_loop\[4\] ( gpio_control_in\[4\] one ) ( gpio_control_in\[4\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 1513670 ) ( 3384480 1515520 0 )
NEW met3 ( 3384240 1513670 ) ( 3384480 1513670 )
NEW met2 ( 3384240 1511450 ) ( 3384240 1513670 )
NEW met3 ( 3384240 1511450 ) ( 3384480 1511450 )
NEW met3 ( 3384480 1509970 0 ) ( 3384480 1511450 )
NEW met2 ( 3384240 1513670 ) via2_FR
NEW met2 ( 3384240 1511450 ) via2_FR
+ USE SIGNAL ;
- one_loop\[5\] ( gpio_control_in\[5\] one ) ( gpio_control_in\[5\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 1738630 ) ( 3384480 1740480 0 )
NEW met3 ( 3384240 1738630 ) ( 3384480 1738630 )
NEW met2 ( 3384240 1736410 ) ( 3384240 1738630 )
NEW met3 ( 3384240 1736410 ) ( 3384480 1736410 )
NEW met3 ( 3384480 1734930 0 ) ( 3384480 1736410 )
NEW met2 ( 3384240 1738630 ) via2_FR
NEW met2 ( 3384240 1736410 ) via2_FR
+ USE SIGNAL ;
- one_loop\[6\] ( gpio_control_in\[6\] one ) ( gpio_control_in\[6\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 1965070 ) ( 3384480 1966550 0 )
NEW met3 ( 3384480 1965070 ) ( 3384720 1965070 )
NEW met2 ( 3384720 1962850 ) ( 3384720 1965070 )
NEW met3 ( 3384480 1962850 ) ( 3384720 1962850 )
NEW met3 ( 3384480 1961000 0 ) ( 3384480 1962850 )
NEW met2 ( 3384720 1965070 ) via2_FR
NEW met2 ( 3384720 1962850 ) via2_FR
+ USE SIGNAL ;
- one_loop\[7\] ( gpio_control_in\[7\] one ) ( gpio_control_in\[7\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 2406110 ) ( 3384480 2407590 0 )
NEW met3 ( 3384240 2406110 ) ( 3384480 2406110 )
NEW met2 ( 3384240 2403890 ) ( 3384240 2406110 )
NEW met3 ( 3384240 2403890 ) ( 3384480 2403890 )
NEW met3 ( 3384480 2402040 0 ) ( 3384480 2403890 )
NEW met2 ( 3384240 2406110 ) via2_FR
NEW met2 ( 3384240 2403890 ) via2_FR
+ USE SIGNAL ;
- one_loop\[8\] ( gpio_control_in\[8\] one ) ( gpio_control_in\[8\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 2625890 ) ( 3384480 2627370 0 )
NEW met3 ( 3384240 2625890 ) ( 3384480 2625890 )
NEW met2 ( 3384240 2623670 ) ( 3384240 2625890 )
NEW met3 ( 3384240 2623670 ) ( 3384480 2623670 )
NEW met3 ( 3384480 2622190 0 ) ( 3384480 2623670 )
NEW met2 ( 3384240 2625890 ) via2_FR
NEW met2 ( 3384240 2623670 ) via2_FR
+ USE SIGNAL ;
- one_loop\[9\] ( gpio_control_in\[9\] one ) ( gpio_control_in\[9\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3384480 2850850 ) ( 3384480 2852330 0 )
NEW met3 ( 3384240 2850850 ) ( 3384480 2850850 )
NEW met2 ( 3384240 2848630 ) ( 3384240 2850850 )
NEW met3 ( 3384240 2848630 ) ( 3384480 2848630 )
NEW met3 ( 3384480 2847150 0 ) ( 3384480 2848630 )
NEW met2 ( 3384240 2850850 ) via2_FR
NEW met2 ( 3384240 2848630 ) via2_FR
+ USE SIGNAL ;
- por_l ( por por_l ) ( padframe por )
+ ROUTED met4 ( 974880 203130 ) ( 974880 209050 )
NEW met3 ( 971760 209050 ) ( 974880 209050 )
NEW met2 ( 970320 209050 0 ) ( 971760 209050 )
NEW met3 ( 974880 203130 ) ( 3203760 203130 )
NEW met1 ( 3203760 367225 ) ( 3316080 367225 )
NEW met2 ( 3203760 203130 ) ( 3203760 367225 )
NEW met1 ( 3316080 518555 ) ( 3325680 518555 )
NEW met2 ( 3325680 518555 ) ( 3325680 525770 )
NEW met2 ( 3325680 525770 ) ( 3326160 525770 )
NEW met3 ( 3325920 525770 ) ( 3326160 525770 )
NEW met3 ( 3325920 525770 ) ( 3325920 526805 0 )
NEW met2 ( 3316080 367225 ) ( 3316080 518555 )
NEW met2 ( 3203760 203130 ) via2_FR
NEW met1 ( 3203760 367225 ) M1M2_PR
NEW met3 ( 974880 203130 ) M3M4_PR_M
NEW met3 ( 974880 209050 ) M3M4_PR_M
NEW met2 ( 971760 209050 ) via2_FR
NEW met1 ( 3316080 367225 ) M1M2_PR
NEW met1 ( 3316080 518555 ) M1M2_PR
NEW met1 ( 3325680 518555 ) M1M2_PR
NEW met2 ( 3326160 525770 ) via2_FR
+ USE SIGNAL ;
- porb_l ( soc porb ) ( por porb_l )
+ ROUTED met3 ( 3202080 521330 0 ) ( 3227280 521330 )
NEW met2 ( 3227280 521330 ) ( 3227280 522625 )
NEW met2 ( 3326160 522625 ) ( 3326160 522810 )
NEW met3 ( 3325920 522810 ) ( 3326160 522810 )
NEW met3 ( 3325920 522810 ) ( 3325920 524980 0 )
NEW met1 ( 3227280 522625 ) ( 3326160 522625 )
NEW met2 ( 3227280 521330 ) via2_FR
NEW met1 ( 3227280 522625 ) M1M2_PR
NEW met1 ( 3326160 522625 ) M1M2_PR
NEW met2 ( 3326160 522810 ) via2_FR
+ USE SIGNAL ;
- rstb_h ( rstb_level A ) ( padframe resetb_core_h )
+ ROUTED met2 ( 682800 200910 ) ( 682800 214045 )
NEW met3 ( 682800 200910 ) ( 708960 200910 )
NEW met3 ( 708960 199430 0 ) ( 708960 200910 )
NEW met1 ( 668400 214045 ) ( 682800 214045 )
NEW met2 ( 668160 233470 ) ( 668400 233470 )
NEW met2 ( 668160 233470 ) ( 668160 234950 0 )
NEW met2 ( 668400 214045 ) ( 668400 233470 )
NEW met1 ( 668400 214045 ) M1M2_PR
NEW met1 ( 682800 214045 ) M1M2_PR
NEW met2 ( 682800 200910 ) via2_FR
+ USE SIGNAL ;
- rstb_l ( soc resetb ) ( rstb_level X )
+ ROUTED met2 ( 1195440 259185 ) ( 1195440 261405 )
NEW met1 ( 1195440 259185 ) ( 1220880 259185 )
NEW met2 ( 1220880 259185 ) ( 1220880 268250 0 )
NEW met2 ( 686400 259370 0 ) ( 687600 259370 )
NEW met2 ( 687600 259370 ) ( 687600 261405 )
NEW met1 ( 687600 261405 ) ( 1195440 261405 )
NEW met1 ( 1195440 261405 ) M1M2_PR
NEW met1 ( 1195440 259185 ) M1M2_PR
NEW met1 ( 1220880 259185 ) M1M2_PR
NEW met1 ( 687600 261405 ) M1M2_PR
+ USE SIGNAL ;
- sdo_out ( soc sdo_out ) ( gpio_control_bidir\[1\] mgmt_gpio_out )
+ ROUTED met3 ( 3202080 828430 0 ) ( 3202080 829910 )
NEW met3 ( 3202080 829910 ) ( 3239280 829910 )
NEW met2 ( 3239280 829910 ) ( 3239280 836015 )
NEW met2 ( 3373200 836015 ) ( 3373200 841010 )
NEW met3 ( 3373200 841010 ) ( 3373920 841010 )
NEW met3 ( 3373920 841010 ) ( 3373920 842490 0 )
NEW met1 ( 3239280 836015 ) ( 3373200 836015 )
NEW met2 ( 3239280 829910 ) via2_FR
NEW met1 ( 3239280 836015 ) M1M2_PR
NEW met1 ( 3373200 836015 ) M1M2_PR
NEW met2 ( 3373200 841010 ) via2_FR
+ USE SIGNAL ;
- sdo_outenb ( soc sdo_outenb ) ( gpio_control_bidir\[1\] mgmt_gpio_oeb )
+ ROUTED met3 ( 3201120 834350 0 ) ( 3201120 835090 )
NEW met3 ( 3201120 835090 ) ( 3239760 835090 )
NEW met2 ( 3239760 835090 ) ( 3239760 835645 )
NEW met2 ( 3373680 835645 ) ( 3373680 838050 )
NEW met3 ( 3373680 838050 ) ( 3373920 838050 )
NEW met3 ( 3373920 838050 ) ( 3373920 839530 0 )
NEW met1 ( 3239760 835645 ) ( 3373680 835645 )
NEW met2 ( 3239760 835090 ) via2_FR
NEW met1 ( 3239760 835645 ) M1M2_PR
NEW met1 ( 3373680 835645 ) M1M2_PR
NEW met2 ( 3373680 838050 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[0\] ( padframe mprj_analog_io[0] ) ( mprj analog_io[0] )
+ ROUTED met2 ( 3270480 1423390 ) ( 3270480 1423945 )
NEW met1 ( 3270480 1423945 ) ( 3304560 1423945 )
NEW met1 ( 3304560 2779255 ) ( 3375120 2779255 )
NEW met2 ( 3375120 2758165 ) ( 3375120 2779255 )
NEW met1 ( 3375120 2758165 ) ( 3377040 2758165 )
NEW met2 ( 3377040 2756130 0 ) ( 3377040 2758165 )
NEW met2 ( 3304560 1423945 ) ( 3304560 2779255 )
NEW met3 ( 3251040 1423390 0 ) ( 3270480 1423390 )
NEW met2 ( 3270480 1423390 ) via2_FR
NEW met1 ( 3270480 1423945 ) M1M2_PR
NEW met1 ( 3304560 1423945 ) M1M2_PR
NEW met1 ( 3304560 2779255 ) M1M2_PR
NEW met1 ( 3375120 2779255 ) M1M2_PR
NEW met1 ( 3375120 2758165 ) M1M2_PR
NEW met1 ( 3377040 2758165 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[10\] ( padframe mprj_analog_io[10] ) ( mprj analog_io[10] )
+ ROUTED met3 ( 3248880 3772150 ) ( 3249120 3772150 )
NEW met3 ( 3249120 3769190 0 ) ( 3249120 3772150 )
NEW met2 ( 3248880 3772150 ) ( 3248880 4975575 )
NEW met2 ( 2426880 4979090 0 ) ( 2428560 4979090 )
NEW met2 ( 2428560 4978905 ) ( 2428560 4979090 )
NEW met1 ( 2428560 4978905 ) ( 2444880 4978905 )
NEW met2 ( 2444880 4978905 ) ( 2444880 4997035 )
NEW met2 ( 2599920 4975575 ) ( 2599920 4998885 )
NEW met2 ( 2548560 4997035 ) ( 2548560 4998885 )
NEW met1 ( 2444880 4997035 ) ( 2548560 4997035 )
NEW met1 ( 2548560 4998885 ) ( 2599920 4998885 )
NEW met1 ( 2599920 4975575 ) ( 3248880 4975575 )
NEW met2 ( 3248880 3772150 ) via2_FR
NEW met1 ( 3248880 4975575 ) M1M2_PR
NEW met1 ( 2428560 4978905 ) M1M2_PR
NEW met1 ( 2444880 4978905 ) M1M2_PR
NEW met1 ( 2444880 4997035 ) M1M2_PR
NEW met1 ( 2599920 4998885 ) M1M2_PR
NEW met1 ( 2599920 4975575 ) M1M2_PR
NEW met1 ( 2548560 4997035 ) M1M2_PR
NEW met1 ( 2548560 4998885 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[11\] ( padframe mprj_analog_io[11] ) ( mprj analog_io[11] )
+ ROUTED met3 ( 3250080 4006730 ) ( 3250320 4006730 )
NEW met3 ( 3250080 4003770 0 ) ( 3250080 4006730 )
NEW met1 ( 3250320 4960405 ) ( 3250320 4960775 )
NEW met2 ( 3250320 4006730 ) ( 3250320 4960405 )
NEW met2 ( 1981680 4976130 ) ( 1981680 4977610 0 )
NEW met1 ( 3138480 4960405 ) ( 3138480 4960775 )
NEW met2 ( 3138480 4960405 ) ( 3138480 4976130 )
NEW met1 ( 3138480 4960775 ) ( 3250320 4960775 )
NEW met3 ( 1981680 4976130 ) ( 3138480 4976130 )
NEW met2 ( 3250320 4006730 ) via2_FR
NEW met1 ( 3250320 4960405 ) M1M2_PR
NEW met2 ( 1981680 4976130 ) via2_FR
NEW met1 ( 3138480 4960405 ) M1M2_PR
NEW met2 ( 3138480 4976130 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[12\] ( padframe mprj_analog_io[12] ) ( mprj analog_io[12] )
+ ROUTED met3 ( 3250800 4241310 ) ( 3251040 4241310 )
NEW met3 ( 3251040 4238350 0 ) ( 3251040 4241310 )
NEW met2 ( 3216720 4946715 ) ( 3216720 4970950 )
NEW met1 ( 3216720 4946715 ) ( 3250800 4946715 )
NEW met2 ( 3250800 4241310 ) ( 3250800 4946715 )
NEW met2 ( 1472880 4970950 ) ( 1472880 4977610 0 )
NEW met3 ( 1472880 4970950 ) ( 3216720 4970950 )
NEW met2 ( 3250800 4241310 ) via2_FR
NEW met2 ( 3216720 4970950 ) via2_FR
NEW met1 ( 3216720 4946715 ) M1M2_PR
NEW met1 ( 3250800 4946715 ) M1M2_PR
NEW met2 ( 1472880 4970950 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[13\] ( padframe mprj_analog_io[13] ) ( mprj analog_io[13] )
+ ROUTED met3 ( 3251040 4472930 0 ) ( 3269040 4472930 )
NEW met2 ( 1216080 4970210 ) ( 1216080 4977610 )
NEW met2 ( 1214880 4977610 0 ) ( 1216080 4977610 )
NEW met1 ( 3251280 4535645 ) ( 3269040 4535645 )
NEW met2 ( 3269040 4472930 ) ( 3269040 4535645 )
NEW met2 ( 3251280 4535645 ) ( 3251280 4970210 )
NEW met3 ( 1216080 4970210 ) ( 3251280 4970210 )
NEW met2 ( 3269040 4472930 ) via2_FR
NEW met2 ( 1216080 4970210 ) via2_FR
NEW met1 ( 3251280 4535645 ) M1M2_PR
NEW met1 ( 3269040 4535645 ) M1M2_PR
NEW met2 ( 3251280 4970210 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[14\] ( padframe mprj_analog_io[14] ) ( mprj analog_io[14] )
+ ROUTED met3 ( 3251040 4707510 0 ) ( 3269040 4707510 )
NEW met1 ( 3248400 4823875 ) ( 3269040 4823875 )
NEW met2 ( 3269040 4707510 ) ( 3269040 4823875 )
NEW met2 ( 3248400 4823875 ) ( 3248400 4975205 )
NEW met2 ( 1114800 4975205 ) ( 1114800 4997035 )
NEW met2 ( 957840 4977610 0 ) ( 959760 4977610 )
NEW met2 ( 959760 4977610 ) ( 959760 4977795 )
NEW met1 ( 959760 4977795 ) ( 976080 4977795 )
NEW met2 ( 976080 4977795 ) ( 976080 4997405 )
NEW met1 ( 976080 4997405 ) ( 1035120 4997405 )
NEW met1 ( 1035120 4997035 ) ( 1035120 4997405 )
NEW met1 ( 1035120 4997035 ) ( 1114800 4997035 )
NEW met1 ( 1114800 4975205 ) ( 3248400 4975205 )
NEW met2 ( 3269040 4707510 ) via2_FR
NEW met1 ( 3248400 4823875 ) M1M2_PR
NEW met1 ( 3269040 4823875 ) M1M2_PR
NEW met1 ( 3248400 4975205 ) M1M2_PR
NEW met1 ( 1114800 4997035 ) M1M2_PR
NEW met1 ( 1114800 4975205 ) M1M2_PR
NEW met1 ( 959760 4977795 ) M1M2_PR
NEW met1 ( 976080 4977795 ) M1M2_PR
NEW met1 ( 976080 4997405 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[15\] ( padframe mprj_analog_io[15] ) ( mprj analog_io[15] )
+ ROUTED met2 ( 3205680 4917670 0 ) ( 3205680 4968730 )
NEW met2 ( 702000 4968730 ) ( 702000 4977610 )
NEW met2 ( 700800 4977610 0 ) ( 702000 4977610 )
NEW met3 ( 702000 4968730 ) ( 3205680 4968730 )
NEW met2 ( 3205680 4968730 ) via2_FR
NEW met2 ( 702000 4968730 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[16\] ( padframe mprj_analog_io[16] ) ( mprj analog_io[16] )
+ ROUTED met2 ( 2881680 4917670 0 ) ( 2881680 4947085 )
NEW met1 ( 2668560 4947085 ) ( 2881680 4947085 )
NEW met2 ( 443760 4969470 ) ( 443760 4977610 0 )
NEW met3 ( 443760 4969470 ) ( 2668560 4969470 )
NEW met2 ( 2668560 4947085 ) ( 2668560 4969470 )
NEW met1 ( 2668560 4947085 ) M1M2_PR
NEW met1 ( 2881680 4947085 ) M1M2_PR
NEW met2 ( 443760 4969470 ) via2_FR
NEW met2 ( 2668560 4969470 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[17\] ( padframe mprj_analog_io[17] ) ( mprj analog_io[17] )
+ ROUTED met2 ( 210960 4833680 0 ) ( 210960 4836455 )
NEW met1 ( 210960 4836455 ) ( 215760 4836455 )
NEW met2 ( 215760 4836455 ) ( 215760 4939315 )
NEW met2 ( 2557200 4917670 0 ) ( 2557200 4939315 )
NEW met1 ( 215760 4939315 ) ( 2557200 4939315 )
NEW met1 ( 210960 4836455 ) M1M2_PR
NEW met1 ( 215760 4836455 ) M1M2_PR
NEW met1 ( 215760 4939315 ) M1M2_PR
NEW met1 ( 2557200 4939315 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[18\] ( padframe mprj_analog_io[18] ) ( mprj analog_io[18] )
+ ROUTED met2 ( 2232720 4917670 0 ) ( 2232720 4939685 )
NEW met2 ( 210480 3984900 0 ) ( 210480 3986565 )
NEW met1 ( 210480 3986565 ) ( 216720 3986565 )
NEW met2 ( 216720 3986565 ) ( 216720 4003215 )
NEW met1 ( 216720 4003215 ) ( 225840 4003215 )
NEW met2 ( 225840 4003215 ) ( 225840 4939685 )
NEW met1 ( 225840 4939685 ) ( 2232720 4939685 )
NEW met1 ( 225840 4939685 ) M1M2_PR
NEW met1 ( 2232720 4939685 ) M1M2_PR
NEW met1 ( 210480 3986565 ) M1M2_PR
NEW met1 ( 216720 3986565 ) M1M2_PR
NEW met1 ( 216720 4003215 ) M1M2_PR
NEW met1 ( 225840 4003215 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[19\] ( padframe mprj_analog_io[19] ) ( mprj analog_io[19] )
+ ROUTED met2 ( 1908240 4917670 0 ) ( 1908240 4940055 )
NEW met2 ( 210960 3768820 0 ) ( 210960 3770485 )
NEW met1 ( 210960 3770485 ) ( 210960 3770855 )
NEW met1 ( 210960 3770855 ) ( 215280 3770855 )
NEW met1 ( 215280 3770855 ) ( 215280 3771225 )
NEW met2 ( 215280 3771225 ) ( 215280 3788245 )
NEW met1 ( 215280 3788245 ) ( 225360 3788245 )
NEW met2 ( 225360 3788245 ) ( 225360 4940055 )
NEW met1 ( 225360 4940055 ) ( 1908240 4940055 )
NEW met1 ( 225360 4940055 ) M1M2_PR
NEW met1 ( 1908240 4940055 ) M1M2_PR
NEW met1 ( 210960 3770485 ) M1M2_PR
NEW met1 ( 215280 3771225 ) M1M2_PR
NEW met1 ( 215280 3788245 ) M1M2_PR
NEW met1 ( 225360 3788245 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[1\] ( padframe mprj_analog_io[1] ) ( mprj analog_io[1] )
+ ROUTED met3 ( 3251040 1657970 0 ) ( 3272400 1657970 )
NEW met2 ( 3272400 1657970 ) ( 3272400 1661485 )
NEW met1 ( 3272400 1661485 ) ( 3318960 1661485 )
NEW met1 ( 3318960 3009765 ) ( 3372240 3009765 )
NEW met1 ( 3372240 2984235 ) ( 3377040 2984235 )
NEW met2 ( 3377040 2982200 0 ) ( 3377040 2984235 )
NEW met2 ( 3318960 1661485 ) ( 3318960 3009765 )
NEW met2 ( 3372240 2984235 ) ( 3372240 3009765 )
NEW met2 ( 3272400 1657970 ) via2_FR
NEW met1 ( 3272400 1661485 ) M1M2_PR
NEW met1 ( 3318960 1661485 ) M1M2_PR
NEW met1 ( 3318960 3009765 ) M1M2_PR
NEW met1 ( 3372240 3009765 ) M1M2_PR
NEW met1 ( 3372240 2984235 ) M1M2_PR
NEW met1 ( 3377040 2984235 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[20\] ( padframe mprj_analog_io[20] ) ( mprj analog_io[20] )
+ ROUTED met2 ( 1583760 4917670 0 ) ( 1583760 4940425 )
NEW met2 ( 215760 3557550 ) ( 217200 3557550 )
NEW met2 ( 215760 3557550 ) ( 215760 3585485 )
NEW met1 ( 215760 3585485 ) ( 224880 3585485 )
NEW met2 ( 210960 3552740 0 ) ( 210960 3554405 )
NEW met1 ( 210960 3554405 ) ( 217200 3554405 )
NEW met2 ( 217200 3554405 ) ( 217200 3557550 )
NEW met2 ( 224880 3585485 ) ( 224880 4940425 )
NEW met1 ( 224880 4940425 ) ( 1583760 4940425 )
NEW met1 ( 224880 4940425 ) M1M2_PR
NEW met1 ( 1583760 4940425 ) M1M2_PR
NEW met1 ( 215760 3585485 ) M1M2_PR
NEW met1 ( 224880 3585485 ) M1M2_PR
NEW met1 ( 210960 3554405 ) M1M2_PR
NEW met1 ( 217200 3554405 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[21\] ( padframe mprj_analog_io[21] ) ( mprj analog_io[21] )
+ ROUTED met2 ( 1259280 4917670 0 ) ( 1259280 4940795 )
NEW met1 ( 217200 3369405 ) ( 224400 3369405 )
NEW met2 ( 210960 3336805 0 ) ( 210960 3339435 )
NEW met1 ( 210960 3339435 ) ( 218160 3339435 )
NEW met2 ( 218160 3339435 ) ( 218160 3354790 )
NEW met2 ( 217200 3354790 ) ( 218160 3354790 )
NEW met2 ( 217200 3354790 ) ( 217200 3369405 )
NEW met2 ( 224400 3369405 ) ( 224400 4940795 )
NEW met1 ( 224400 4940795 ) ( 1259280 4940795 )
NEW met1 ( 224400 4940795 ) M1M2_PR
NEW met1 ( 1259280 4940795 ) M1M2_PR
NEW met1 ( 217200 3369405 ) M1M2_PR
NEW met1 ( 224400 3369405 ) M1M2_PR
NEW met1 ( 210960 3339435 ) M1M2_PR
NEW met1 ( 218160 3339435 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[22\] ( padframe mprj_analog_io[22] ) ( mprj analog_io[22] )
+ ROUTED met2 ( 934800 4917670 0 ) ( 934800 4941165 )
NEW met2 ( 210960 3120805 0 ) ( 210960 3123355 )
NEW met1 ( 210960 3123355 ) ( 217680 3123355 )
NEW met2 ( 217680 3123355 ) ( 217680 3139635 )
NEW met1 ( 217680 3139635 ) ( 223920 3139635 )
NEW met2 ( 223920 3139635 ) ( 223920 4941165 )
NEW met1 ( 223920 4941165 ) ( 934800 4941165 )
NEW met1 ( 223920 4941165 ) M1M2_PR
NEW met1 ( 934800 4941165 ) M1M2_PR
NEW met1 ( 210960 3123355 ) M1M2_PR
NEW met1 ( 217680 3123355 ) M1M2_PR
NEW met1 ( 217680 3139635 ) M1M2_PR
NEW met1 ( 223920 3139635 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[23\] ( padframe mprj_analog_io[23] ) ( mprj analog_io[23] )
+ ROUTED met2 ( 238320 2923555 ) ( 238320 4941535 )
NEW met2 ( 210480 2904870 0 ) ( 210480 2907275 )
NEW met1 ( 210480 2907275 ) ( 215760 2907275 )
NEW met2 ( 215760 2907275 ) ( 215760 2923555 )
NEW met1 ( 215760 2923555 ) ( 238320 2923555 )
NEW met2 ( 610320 4917670 0 ) ( 610320 4941535 )
NEW met1 ( 238320 4941535 ) ( 610320 4941535 )
NEW met1 ( 238320 2923555 ) M1M2_PR
NEW met1 ( 238320 4941535 ) M1M2_PR
NEW met1 ( 210480 2907275 ) M1M2_PR
NEW met1 ( 215760 2907275 ) M1M2_PR
NEW met1 ( 215760 2923555 ) M1M2_PR
NEW met1 ( 610320 4941535 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[24\] ( padframe mprj_analog_io[24] ) ( mprj analog_io[24] )
+ ROUTED met2 ( 252720 2707475 ) ( 252720 4867535 )
NEW met2 ( 297360 4867535 ) ( 297360 4876970 )
NEW met3 ( 297360 4876970 ) ( 322080 4876970 0 )
NEW met1 ( 252720 4867535 ) ( 297360 4867535 )
NEW met2 ( 210960 2688790 0 ) ( 210960 2690825 )
NEW met1 ( 210960 2690825 ) ( 215280 2690825 )
NEW met2 ( 215280 2690825 ) ( 215280 2707475 )
NEW met1 ( 215280 2707475 ) ( 252720 2707475 )
NEW met1 ( 252720 4867535 ) M1M2_PR
NEW met1 ( 252720 2707475 ) M1M2_PR
NEW met1 ( 297360 4867535 ) M1M2_PR
NEW met2 ( 297360 4876970 ) via2_FR
NEW met1 ( 210960 2690825 ) M1M2_PR
NEW met1 ( 215280 2690825 ) M1M2_PR
NEW met1 ( 215280 2707475 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[25\] ( padframe mprj_analog_io[25] ) ( mprj analog_io[25] )
+ ROUTED met2 ( 267120 2030375 ) ( 267120 4579305 )
NEW met2 ( 297840 4579305 ) ( 297840 4589110 )
NEW met3 ( 297840 4589110 ) ( 322080 4589110 0 )
NEW met1 ( 267120 4579305 ) ( 297840 4579305 )
NEW met1 ( 212880 2030375 ) ( 267120 2030375 )
NEW met1 ( 210960 2048505 ) ( 212880 2048505 )
NEW met2 ( 210960 2048505 ) ( 210960 2050910 0 )
NEW met2 ( 212880 2030375 ) ( 212880 2048505 )
NEW met1 ( 267120 2030375 ) M1M2_PR
NEW met1 ( 267120 4579305 ) M1M2_PR
NEW met1 ( 297840 4579305 ) M1M2_PR
NEW met2 ( 297840 4589110 ) via2_FR
NEW met1 ( 212880 2030375 ) M1M2_PR
NEW met1 ( 212880 2048505 ) M1M2_PR
NEW met1 ( 210960 2048505 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[26\] ( padframe mprj_analog_io[26] ) ( mprj analog_io[26] )
+ ROUTED met2 ( 237360 1836865 ) ( 237360 4291445 )
NEW met2 ( 293040 4291445 ) ( 293040 4301990 )
NEW met3 ( 293040 4301990 ) ( 322080 4301990 0 )
NEW met1 ( 237360 4291445 ) ( 293040 4291445 )
NEW met2 ( 210480 1834830 0 ) ( 210480 1836865 )
NEW met1 ( 210480 1836865 ) ( 237360 1836865 )
NEW met1 ( 237360 1836865 ) M1M2_PR
NEW met1 ( 237360 4291445 ) M1M2_PR
NEW met1 ( 293040 4291445 ) M1M2_PR
NEW met2 ( 293040 4301990 ) via2_FR
NEW met1 ( 210480 1836865 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[27\] ( padframe mprj_analog_io[27] ) ( mprj analog_io[27] )
+ ROUTED met2 ( 251760 1620785 ) ( 251760 4003215 )
NEW met2 ( 297840 4003215 ) ( 297840 4014130 )
NEW met3 ( 297840 4014130 ) ( 322080 4014130 0 )
NEW met1 ( 251760 4003215 ) ( 297840 4003215 )
NEW met2 ( 210960 1618750 0 ) ( 210960 1620785 )
NEW met1 ( 210960 1620785 ) ( 251760 1620785 )
NEW met1 ( 251760 1620785 ) M1M2_PR
NEW met1 ( 251760 4003215 ) M1M2_PR
NEW met1 ( 297840 4003215 ) M1M2_PR
NEW met2 ( 297840 4014130 ) via2_FR
NEW met1 ( 210960 1620785 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[28\] ( padframe mprj_analog_io[28] ) ( mprj analog_io[28] )
+ ROUTED met2 ( 268080 1405445 ) ( 268080 3715355 )
NEW met2 ( 297840 3715355 ) ( 297840 3727750 )
NEW met3 ( 297840 3727750 ) ( 322080 3727750 0 )
NEW met1 ( 268080 3715355 ) ( 297840 3715355 )
NEW met2 ( 210960 1402670 0 ) ( 210960 1405445 )
NEW met1 ( 210960 1405445 ) ( 268080 1405445 )
NEW met1 ( 268080 1405445 ) M1M2_PR
NEW met1 ( 268080 3715355 ) M1M2_PR
NEW met1 ( 297840 3715355 ) M1M2_PR
NEW met2 ( 297840 3727750 ) via2_FR
NEW met1 ( 210960 1405445 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[29\] ( padframe mprj_analog_io[29] ) ( mprj analog_io[29] )
+ ROUTED met2 ( 297840 3427495 ) ( 297840 3439890 )
NEW met3 ( 297840 3439890 ) ( 322080 3439890 0 )
NEW met1 ( 237840 3427495 ) ( 297840 3427495 )
NEW met2 ( 210960 1186805 0 ) ( 210960 1189365 )
NEW met1 ( 210960 1189365 ) ( 237840 1189365 )
NEW met2 ( 237840 1189365 ) ( 237840 3427495 )
NEW met1 ( 237840 3427495 ) M1M2_PR
NEW met1 ( 297840 3427495 ) M1M2_PR
NEW met2 ( 297840 3439890 ) via2_FR
NEW met1 ( 210960 1189365 ) M1M2_PR
NEW met1 ( 237840 1189365 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[2\] ( padframe mprj_analog_io[2] ) ( mprj analog_io[2] )
+ ROUTED met3 ( 3251040 1892550 0 ) ( 3272400 1892550 )
NEW met2 ( 3272400 1892550 ) ( 3272400 1900505 )
NEW met1 ( 3272400 1900505 ) ( 3333840 1900505 )
NEW met2 ( 3333840 1900505 ) ( 3333840 3205495 )
NEW met2 ( 3377520 3205495 ) ( 3377520 3207160 0 )
NEW met1 ( 3333840 3205495 ) ( 3377520 3205495 )
NEW met2 ( 3272400 1892550 ) via2_FR
NEW met1 ( 3272400 1900505 ) M1M2_PR
NEW met1 ( 3333840 3205495 ) M1M2_PR
NEW met1 ( 3333840 1900505 ) M1M2_PR
NEW met1 ( 3377520 3205495 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[30\] ( padframe mprj_analog_io[30] ) ( mprj analog_io[30] )
+ ROUTED met2 ( 297840 3139265 ) ( 297840 3152770 )
NEW met3 ( 297840 3152770 ) ( 322080 3152770 0 )
NEW met1 ( 252240 3139265 ) ( 297840 3139265 )
NEW met2 ( 210480 970880 0 ) ( 210480 972545 )
NEW met1 ( 210480 972545 ) ( 252240 972545 )
NEW met2 ( 252240 972545 ) ( 252240 3139265 )
NEW met1 ( 252240 972545 ) M1M2_PR
NEW met1 ( 252240 3139265 ) M1M2_PR
NEW met1 ( 297840 3139265 ) M1M2_PR
NEW met2 ( 297840 3152770 ) via2_FR
NEW met1 ( 210480 972545 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[3\] ( padframe mprj_analog_io[3] ) ( mprj analog_io[3] )
+ ROUTED met3 ( 3251040 2127130 0 ) ( 3272400 2127130 )
NEW met2 ( 3272400 2127130 ) ( 3272400 2131015 )
NEW met1 ( 3272400 2131015 ) ( 3348240 2131015 )
NEW met2 ( 3348240 2131015 ) ( 3348240 3431195 )
NEW met2 ( 3377040 3431195 ) ( 3377040 3433230 0 )
NEW met1 ( 3348240 3431195 ) ( 3377040 3431195 )
NEW met2 ( 3272400 2127130 ) via2_FR
NEW met1 ( 3272400 2131015 ) M1M2_PR
NEW met1 ( 3348240 3431195 ) M1M2_PR
NEW met1 ( 3348240 2131015 ) M1M2_PR
NEW met1 ( 3377040 3431195 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[4\] ( padframe mprj_analog_io[4] ) ( mprj analog_io[4] )
+ ROUTED met2 ( 3272400 2360970 ) ( 3272400 2361155 )
NEW met3 ( 3251040 2360970 0 ) ( 3272400 2360970 )
NEW met1 ( 3272400 2361155 ) ( 3305040 2361155 )
NEW met2 ( 3305040 2361155 ) ( 3305040 3686495 )
NEW met2 ( 3375600 3660225 ) ( 3375600 3686495 )
NEW met1 ( 3375600 3660225 ) ( 3377040 3660225 )
NEW met2 ( 3377040 3658190 0 ) ( 3377040 3660225 )
NEW met1 ( 3305040 3686495 ) ( 3375600 3686495 )
NEW met1 ( 3272400 2361155 ) M1M2_PR
NEW met2 ( 3272400 2360970 ) via2_FR
NEW met1 ( 3305040 3686495 ) M1M2_PR
NEW met1 ( 3305040 2361155 ) M1M2_PR
NEW met1 ( 3375600 3686495 ) M1M2_PR
NEW met1 ( 3375600 3660225 ) M1M2_PR
NEW met1 ( 3377040 3660225 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[5\] ( padframe mprj_analog_io[5] ) ( mprj analog_io[5] )
+ ROUTED met3 ( 3251040 2596290 0 ) ( 3272400 2596290 )
NEW met2 ( 3272400 2596290 ) ( 3272400 2596475 )
NEW met1 ( 3272400 2596475 ) ( 3319440 2596475 )
NEW met1 ( 3375600 3885185 ) ( 3377040 3885185 )
NEW met2 ( 3377040 3883150 0 ) ( 3377040 3885185 )
NEW met1 ( 3319440 3902575 ) ( 3375600 3902575 )
NEW met2 ( 3319440 2596475 ) ( 3319440 3902575 )
NEW met2 ( 3375600 3885185 ) ( 3375600 3902575 )
NEW met2 ( 3272400 2596290 ) via2_FR
NEW met1 ( 3272400 2596475 ) M1M2_PR
NEW met1 ( 3319440 2596475 ) M1M2_PR
NEW met1 ( 3375600 3885185 ) M1M2_PR
NEW met1 ( 3377040 3885185 ) M1M2_PR
NEW met1 ( 3319440 3902575 ) M1M2_PR
NEW met1 ( 3375600 3902575 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[6\] ( padframe mprj_analog_io[6] ) ( mprj analog_io[6] )
+ ROUTED met3 ( 3251040 2830870 0 ) ( 3272400 2830870 )
NEW met2 ( 3272400 2830870 ) ( 3272400 2836605 )
NEW met1 ( 3272400 2836605 ) ( 3333360 2836605 )
NEW met1 ( 3333360 4326595 ) ( 3377040 4326595 )
NEW met2 ( 3377040 4326595 ) ( 3377040 4329195 0 )
NEW met2 ( 3333360 2836605 ) ( 3333360 4326595 )
NEW met2 ( 3272400 2830870 ) via2_FR
NEW met1 ( 3272400 2836605 ) M1M2_PR
NEW met1 ( 3333360 2836605 ) M1M2_PR
NEW met1 ( 3333360 4326595 ) M1M2_PR
NEW met1 ( 3377040 4326595 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[7\] ( padframe mprj_analog_io[7] ) ( mprj analog_io[7] )
+ ROUTED met3 ( 3251040 3065450 0 ) ( 3271920 3065450 )
NEW met2 ( 3271920 3065450 ) ( 3271920 3067115 )
NEW met1 ( 3271920 3067115 ) ( 3347760 3067115 )
NEW met1 ( 3347760 4773555 ) ( 3377040 4773555 )
NEW met2 ( 3377040 4773555 ) ( 3377040 4775220 0 )
NEW met2 ( 3347760 3067115 ) ( 3347760 4773555 )
NEW met2 ( 3271920 3065450 ) via2_FR
NEW met1 ( 3271920 3067115 ) M1M2_PR
NEW met1 ( 3347760 3067115 ) M1M2_PR
NEW met1 ( 3347760 4773555 ) M1M2_PR
NEW met1 ( 3377040 4773555 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[8\] ( padframe mprj_analog_io[8] ) ( mprj analog_io[8] )
+ ROUTED met3 ( 3249840 3302990 ) ( 3250080 3302990 )
NEW met3 ( 3250080 3300030 0 ) ( 3250080 3302990 )
NEW met2 ( 3249840 3302990 ) ( 3249840 4978905 )
NEW met2 ( 3194160 4978905 ) ( 3194160 4979090 )
NEW met2 ( 3192720 4979090 0 ) ( 3194160 4979090 )
NEW met1 ( 3194160 4978905 ) ( 3249840 4978905 )
NEW met2 ( 3249840 3302990 ) via2_FR
NEW met1 ( 3249840 4978905 ) M1M2_PR
NEW met1 ( 3194160 4978905 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[9\] ( padframe mprj_analog_io[9] ) ( mprj analog_io[9] )
+ ROUTED met3 ( 3249120 3537570 ) ( 3249360 3537570 )
NEW met3 ( 3249120 3534610 0 ) ( 3249120 3537570 )
NEW met2 ( 3202800 4949675 ) ( 3202800 4969470 )
NEW met1 ( 3202800 4949675 ) ( 3249360 4949675 )
NEW met2 ( 3249360 3537570 ) ( 3249360 4949675 )
NEW met2 ( 2683920 4969470 ) ( 2683920 4977610 0 )
NEW met3 ( 2683920 4969470 ) ( 3202800 4969470 )
NEW met2 ( 3249360 3537570 ) via2_FR
NEW met2 ( 3202800 4969470 ) via2_FR
NEW met1 ( 3202800 4949675 ) M1M2_PR
NEW met1 ( 3249360 4949675 ) M1M2_PR
NEW met2 ( 2683920 4969470 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[0\] ( mprj io_in[0] ) ( gpio_control_bidir\[0\] user_gpio_in )
+ ROUTED met2 ( 3272880 1469085 ) ( 3272880 1481110 )
NEW met3 ( 3251040 1481110 0 ) ( 3272880 1481110 )
NEW met1 ( 3318960 668035 ) ( 3372240 668035 )
NEW met2 ( 3372240 667850 ) ( 3372240 668035 )
NEW met3 ( 3372240 667850 ) ( 3373920 667850 0 )
NEW met1 ( 3272880 1469085 ) ( 3318960 1469085 )
NEW met2 ( 3318960 668035 ) ( 3318960 1469085 )
NEW met1 ( 3272880 1469085 ) M1M2_PR
NEW met2 ( 3272880 1481110 ) via2_FR
NEW met1 ( 3318960 668035 ) M1M2_PR
NEW met1 ( 3372240 668035 ) M1M2_PR
NEW met2 ( 3372240 667850 ) via2_FR
NEW met1 ( 3318960 1469085 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[10\] ( mprj io_in[10] ) ( gpio_control_in\[10\] user_gpio_in )
+ ROUTED met3 ( 3251040 3827650 0 ) ( 3270000 3827650 )
NEW met2 ( 3270000 3816365 ) ( 3270000 3827650 )
NEW met1 ( 3270000 3816365 ) ( 3306000 3816365 )
NEW met2 ( 3306000 3132975 ) ( 3306000 3816365 )
NEW met2 ( 3372240 3132790 ) ( 3372240 3132975 )
NEW met3 ( 3372240 3132790 ) ( 3373920 3132790 0 )
NEW met1 ( 3306000 3132975 ) ( 3372240 3132975 )
NEW met2 ( 3270000 3827650 ) via2_FR
NEW met1 ( 3270000 3816365 ) M1M2_PR
NEW met1 ( 3306000 3816365 ) M1M2_PR
NEW met1 ( 3306000 3132975 ) M1M2_PR
NEW met1 ( 3372240 3132975 ) M1M2_PR
NEW met2 ( 3372240 3132790 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[11\] ( mprj io_in[11] ) ( gpio_control_in\[11\] user_gpio_in )
+ ROUTED met3 ( 3251040 4062970 0 ) ( 3272400 4062970 )
NEW met2 ( 3272400 4060935 ) ( 3272400 4062970 )
NEW met1 ( 3272400 4060935 ) ( 3320400 4060935 )
NEW met2 ( 3320400 3359415 ) ( 3320400 4060935 )
NEW met2 ( 3373680 3359230 ) ( 3373680 3359415 )
NEW met3 ( 3373680 3359230 ) ( 3373920 3359230 )
NEW met3 ( 3373920 3357750 0 ) ( 3373920 3359230 )
NEW met1 ( 3320400 3359415 ) ( 3373680 3359415 )
NEW met2 ( 3272400 4062970 ) via2_FR
NEW met1 ( 3272400 4060935 ) M1M2_PR
NEW met1 ( 3320400 4060935 ) M1M2_PR
NEW met1 ( 3320400 3359415 ) M1M2_PR
NEW met1 ( 3373680 3359415 ) M1M2_PR
NEW met2 ( 3373680 3359230 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[12\] ( mprj io_in[12] ) ( gpio_control_in\[12\] user_gpio_in )
+ ROUTED met3 ( 3251040 4297550 0 ) ( 3270960 4297550 )
NEW met2 ( 3270960 4291445 ) ( 3270960 4297550 )
NEW met1 ( 3270960 4291445 ) ( 3305520 4291445 )
NEW met2 ( 3305520 3585115 ) ( 3305520 4291445 )
NEW met2 ( 3373200 3584930 ) ( 3373200 3585115 )
NEW met3 ( 3373200 3584930 ) ( 3373920 3584930 )
NEW met3 ( 3373920 3584190 0 ) ( 3373920 3584930 )
NEW met1 ( 3305520 3585115 ) ( 3373200 3585115 )
NEW met2 ( 3270960 4297550 ) via2_FR
NEW met1 ( 3270960 4291445 ) M1M2_PR
NEW met1 ( 3305520 4291445 ) M1M2_PR
NEW met1 ( 3305520 3585115 ) M1M2_PR
NEW met1 ( 3373200 3585115 ) M1M2_PR
NEW met2 ( 3373200 3584930 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[13\] ( mprj io_in[13] ) ( gpio_control_in\[13\] user_gpio_in )
+ ROUTED met3 ( 3251040 4532130 0 ) ( 3272400 4532130 )
NEW met2 ( 3272400 4521955 ) ( 3272400 4532130 )
NEW met1 ( 3272400 4521955 ) ( 3319920 4521955 )
NEW met2 ( 3319920 3810075 ) ( 3319920 4521955 )
NEW met2 ( 3373200 3809890 ) ( 3373200 3810075 )
NEW met3 ( 3373200 3809890 ) ( 3373920 3809890 )
NEW met3 ( 3373920 3809150 0 ) ( 3373920 3809890 )
NEW met1 ( 3319920 3810075 ) ( 3373200 3810075 )
NEW met2 ( 3272400 4532130 ) via2_FR
NEW met1 ( 3272400 4521955 ) M1M2_PR
NEW met1 ( 3319920 3810075 ) M1M2_PR
NEW met1 ( 3319920 4521955 ) M1M2_PR
NEW met1 ( 3373200 3810075 ) M1M2_PR
NEW met2 ( 3373200 3809890 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[14\] ( mprj io_in[14] ) ( gpio_control_in\[14\] user_gpio_in )
+ ROUTED met3 ( 3251040 4766710 0 ) ( 3276720 4766710 )
NEW met2 ( 3276720 4696965 ) ( 3276720 4766710 )
NEW met2 ( 3372720 4696965 ) ( 3372720 4699370 )
NEW met3 ( 3372720 4699370 ) ( 3373920 4699370 )
NEW met3 ( 3373920 4699370 ) ( 3373920 4700850 0 )
NEW met1 ( 3276720 4696965 ) ( 3372720 4696965 )
NEW met1 ( 3276720 4696965 ) M1M2_PR
NEW met2 ( 3276720 4766710 ) via2_FR
NEW met1 ( 3372720 4696965 ) M1M2_PR
NEW met2 ( 3372720 4699370 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[15\] ( mprj io_in[15] ) ( gpio_control_in\[15\] user_gpio_in )
+ ROUTED met2 ( 3125040 4917670 0 ) ( 3125040 4946715 )
NEW met1 ( 2849040 4946715 ) ( 3125040 4946715 )
NEW met3 ( 2808960 4977610 ) ( 2849040 4977610 )
NEW met3 ( 2808960 4977610 ) ( 2808960 4979090 0 )
NEW met2 ( 2849040 4946715 ) ( 2849040 4977610 )
NEW met1 ( 2849040 4946715 ) M1M2_PR
NEW met1 ( 3125040 4946715 ) M1M2_PR
NEW met2 ( 2849040 4977610 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[16\] ( mprj io_in[16] ) ( gpio_control_in\[16\] user_gpio_in )
+ ROUTED met2 ( 2800560 4917670 0 ) ( 2800560 4946345 )
NEW met1 ( 2578800 4946345 ) ( 2800560 4946345 )
NEW met3 ( 2552160 4977610 ) ( 2578800 4977610 )
NEW met3 ( 2552160 4977610 ) ( 2552160 4978350 )
NEW met3 ( 2551200 4978350 ) ( 2552160 4978350 )
NEW met3 ( 2551200 4978350 ) ( 2551200 4979090 )
NEW met3 ( 2551200 4979090 ) ( 2552160 4979090 0 )
NEW met2 ( 2578800 4946345 ) ( 2578800 4977610 )
NEW met1 ( 2800560 4946345 ) M1M2_PR
NEW met1 ( 2578800 4946345 ) M1M2_PR
NEW met2 ( 2578800 4977610 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[17\] ( mprj io_in[17] ) ( gpio_control_in\[17\] user_gpio_in )
+ ROUTED met2 ( 2476080 4917670 0 ) ( 2476080 4946345 )
NEW met1 ( 2190000 4946345 ) ( 2476080 4946345 )
NEW met3 ( 2167200 4977610 ) ( 2190000 4977610 )
NEW met3 ( 2167200 4977610 ) ( 2167200 4978350 )
NEW met3 ( 2166240 4978350 ) ( 2167200 4978350 )
NEW met3 ( 2166240 4978350 ) ( 2166240 4979090 )
NEW met3 ( 2166240 4979090 ) ( 2167200 4979090 0 )
NEW met2 ( 2190000 4946345 ) ( 2190000 4977610 )
NEW met1 ( 2190000 4946345 ) M1M2_PR
NEW met1 ( 2476080 4946345 ) M1M2_PR
NEW met2 ( 2190000 4977610 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[18\] ( mprj io_in[18] ) ( gpio_control_in\[18\] user_gpio_in )
+ ROUTED met2 ( 1858800 4946345 ) ( 1858800 4978535 )
NEW met2 ( 2151600 4917670 0 ) ( 2151600 4946345 )
NEW met1 ( 1858800 4946345 ) ( 2151600 4946345 )
NEW met2 ( 1830960 4978535 ) ( 1830960 4979090 )
NEW met3 ( 1829760 4979090 0 ) ( 1830960 4979090 )
NEW met1 ( 1830960 4978535 ) ( 1858800 4978535 )
NEW met1 ( 1858800 4946345 ) M1M2_PR
NEW met1 ( 1858800 4978535 ) M1M2_PR
NEW met1 ( 2151600 4946345 ) M1M2_PR
NEW met1 ( 1830960 4978535 ) M1M2_PR
NEW met2 ( 1830960 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[19\] ( mprj io_in[19] ) ( gpio_control_in\[19\] user_gpio_in )
+ ROUTED met2 ( 1827120 4917670 0 ) ( 1827120 4946345 )
NEW met1 ( 1598640 4946345 ) ( 1827120 4946345 )
NEW met3 ( 1577760 4978350 ) ( 1598640 4978350 )
NEW met3 ( 1577760 4978350 ) ( 1577760 4979090 0 )
NEW met2 ( 1598640 4946345 ) ( 1598640 4978350 )
NEW met1 ( 1598640 4946345 ) M1M2_PR
NEW met1 ( 1827120 4946345 ) M1M2_PR
NEW met2 ( 1598640 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[1\] ( mprj io_in[1] ) ( gpio_control_bidir\[1\] user_gpio_in )
+ ROUTED met2 ( 3272400 1713655 ) ( 3272400 1715690 )
NEW met3 ( 3251040 1715690 0 ) ( 3272400 1715690 )
NEW met1 ( 3272400 1713655 ) ( 3333360 1713655 )
NEW met2 ( 3333360 894105 ) ( 3333360 1713655 )
NEW met2 ( 3372240 893920 ) ( 3372240 894105 )
NEW met3 ( 3372240 893920 ) ( 3373920 893920 0 )
NEW met1 ( 3333360 894105 ) ( 3372240 894105 )
NEW met1 ( 3272400 1713655 ) M1M2_PR
NEW met2 ( 3272400 1715690 ) via2_FR
NEW met1 ( 3333360 894105 ) M1M2_PR
NEW met1 ( 3333360 1713655 ) M1M2_PR
NEW met1 ( 3372240 894105 ) M1M2_PR
NEW met2 ( 3372240 893920 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[20\] ( mprj io_in[20] ) ( gpio_control_in\[20\] user_gpio_in )
+ ROUTED met2 ( 1339920 4946345 ) ( 1339920 4977610 )
NEW met2 ( 1502640 4917670 0 ) ( 1502640 4946345 )
NEW met1 ( 1339920 4946345 ) ( 1502640 4946345 )
NEW met3 ( 1320000 4977610 ) ( 1320000 4979090 0 )
NEW met3 ( 1320000 4977610 ) ( 1339920 4977610 )
NEW met1 ( 1339920 4946345 ) M1M2_PR
NEW met2 ( 1339920 4977610 ) via2_FR
NEW met1 ( 1502640 4946345 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[21\] ( mprj io_in[21] ) ( gpio_control_in\[21\] user_gpio_in )
+ ROUTED met3 ( 1064160 4978350 ) ( 1064160 4979830 )
NEW met3 ( 1063200 4979830 0 ) ( 1064160 4979830 )
NEW met2 ( 1080240 4946345 ) ( 1080240 4978350 )
NEW met1 ( 1080240 4946345 ) ( 1178160 4946345 )
NEW met2 ( 1178160 4917670 0 ) ( 1178160 4946345 )
NEW met3 ( 1064160 4978350 ) ( 1080240 4978350 )
NEW met2 ( 1080240 4978350 ) via2_FR
NEW met1 ( 1080240 4946345 ) M1M2_PR
NEW met1 ( 1178160 4946345 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[22\] ( mprj io_in[22] ) ( gpio_control_in\[22\] user_gpio_in )
+ ROUTED met2 ( 849840 4917670 ) ( 853680 4917670 0 )
NEW met3 ( 807840 5015905 ) ( 807840 5027190 )
NEW met3 ( 807600 5015905 ) ( 807840 5015905 )
NEW met2 ( 807600 5014795 ) ( 807600 5015905 )
NEW met1 ( 807600 5014795 ) ( 849840 5014795 )
NEW met3 ( 805920 5027190 0 ) ( 807840 5027190 )
NEW met2 ( 849840 4917670 ) ( 849840 5014795 )
NEW met2 ( 807600 5015905 ) via2_FR
NEW met1 ( 807600 5014795 ) M1M2_PR
NEW met1 ( 849840 5014795 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[23\] ( mprj io_in[23] ) ( gpio_control_in\[23\] user_gpio_in )
+ ROUTED met3 ( 547920 4979830 ) ( 548640 4979830 0 )
NEW met2 ( 547920 4952635 ) ( 547920 4979830 )
NEW met2 ( 529200 4917670 0 ) ( 529200 4952635 )
NEW met1 ( 529200 4952635 ) ( 547920 4952635 )
NEW met2 ( 547920 4979830 ) via2_FR
NEW met1 ( 547920 4952635 ) M1M2_PR
NEW met1 ( 529200 4952635 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[24\] ( mprj io_in[24] ) ( gpio_control_in\[24\] user_gpio_in )
+ ROUTED met3 ( 212640 4718980 0 ) ( 212640 4720090 )
NEW met3 ( 212640 4720090 ) ( 212880 4720090 )
NEW met2 ( 212880 4720090 ) ( 212880 4720275 )
NEW met1 ( 212880 4720275 ) ( 230640 4720275 )
NEW met2 ( 230640 4720275 ) ( 230640 4795385 )
NEW met2 ( 297840 4795385 ) ( 297840 4804450 )
NEW met3 ( 297840 4804450 ) ( 322080 4804450 0 )
NEW met1 ( 230640 4795385 ) ( 297840 4795385 )
NEW met2 ( 212880 4720090 ) via2_FR
NEW met1 ( 212880 4720275 ) M1M2_PR
NEW met1 ( 230640 4720275 ) M1M2_PR
NEW met1 ( 230640 4795385 ) M1M2_PR
NEW met1 ( 297840 4795385 ) M1M2_PR
NEW met2 ( 297840 4804450 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[25\] ( mprj io_in[25] ) ( gpio_control_in\[25\] user_gpio_in )
+ ROUTED met3 ( 212640 4089610 0 ) ( 212640 4091090 )
NEW met3 ( 212640 4091090 ) ( 212880 4091090 )
NEW met2 ( 212880 4091090 ) ( 212880 4091275 )
NEW met1 ( 212880 4091275 ) ( 251760 4091275 )
NEW met2 ( 251760 4091275 ) ( 251760 4507525 )
NEW met2 ( 297840 4507525 ) ( 297840 4517330 )
NEW met3 ( 297840 4517330 ) ( 322080 4517330 0 )
NEW met1 ( 251760 4507525 ) ( 297840 4507525 )
NEW met2 ( 212880 4091090 ) via2_FR
NEW met1 ( 212880 4091275 ) M1M2_PR
NEW met1 ( 251760 4091275 ) M1M2_PR
NEW met1 ( 251760 4507525 ) M1M2_PR
NEW met1 ( 297840 4507525 ) M1M2_PR
NEW met2 ( 297840 4517330 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[26\] ( mprj io_in[26] ) ( gpio_control_in\[26\] user_gpio_in )
+ ROUTED met2 ( 266640 3874085 ) ( 266640 4219295 )
NEW met2 ( 297840 4219295 ) ( 297840 4230210 )
NEW met3 ( 297840 4230210 ) ( 322080 4230210 0 )
NEW met1 ( 266640 4219295 ) ( 297840 4219295 )
NEW met3 ( 212640 3873900 0 ) ( 213840 3873900 )
NEW met2 ( 213840 3873900 ) ( 213840 3874085 )
NEW met1 ( 213840 3874085 ) ( 266640 3874085 )
NEW met1 ( 266640 3874085 ) M1M2_PR
NEW met1 ( 266640 4219295 ) M1M2_PR
NEW met1 ( 297840 4219295 ) M1M2_PR
NEW met2 ( 297840 4230210 ) via2_FR
NEW met2 ( 213840 3873900 ) via2_FR
NEW met1 ( 213840 3874085 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[27\] ( mprj io_in[27] ) ( gpio_control_in\[27\] user_gpio_in )
+ ROUTED met2 ( 237840 3659115 ) ( 237840 3931435 )
NEW met2 ( 297840 3931435 ) ( 297840 3943090 )
NEW met3 ( 297840 3943090 ) ( 322080 3943090 0 )
NEW met1 ( 237840 3931435 ) ( 297840 3931435 )
NEW met3 ( 212640 3658190 0 ) ( 212640 3658930 )
NEW met3 ( 212640 3658930 ) ( 214320 3658930 )
NEW met2 ( 214320 3658930 ) ( 214320 3659115 )
NEW met1 ( 214320 3659115 ) ( 237840 3659115 )
NEW met1 ( 237840 3659115 ) M1M2_PR
NEW met1 ( 237840 3931435 ) M1M2_PR
NEW met1 ( 297840 3931435 ) M1M2_PR
NEW met2 ( 297840 3943090 ) via2_FR
NEW met2 ( 214320 3658930 ) via2_FR
NEW met1 ( 214320 3659115 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[28\] ( mprj io_in[28] ) ( gpio_control_in\[28\] user_gpio_in )
+ ROUTED met2 ( 237840 3443035 ) ( 237840 3643205 )
NEW met2 ( 297840 3643205 ) ( 297840 3655230 )
NEW met3 ( 297840 3655230 ) ( 322080 3655230 0 )
NEW met1 ( 237840 3643205 ) ( 297840 3643205 )
NEW met3 ( 212640 3442110 0 ) ( 212640 3442850 )
NEW met3 ( 212400 3442850 ) ( 212640 3442850 )
NEW met2 ( 212400 3442850 ) ( 212400 3443035 )
NEW met1 ( 212400 3443035 ) ( 237840 3443035 )
NEW met1 ( 237840 3443035 ) M1M2_PR
NEW met1 ( 237840 3643205 ) M1M2_PR
NEW met1 ( 297840 3643205 ) M1M2_PR
NEW met2 ( 297840 3655230 ) via2_FR
NEW met2 ( 212400 3442850 ) via2_FR
NEW met1 ( 212400 3443035 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[29\] ( mprj io_in[29] ) ( gpio_control_in\[29\] user_gpio_in )
+ ROUTED met2 ( 252240 3226215 ) ( 252240 3355345 )
NEW met2 ( 297840 3355345 ) ( 297840 3368110 )
NEW met3 ( 297840 3368110 ) ( 322080 3368110 0 )
NEW met1 ( 252240 3355345 ) ( 297840 3355345 )
NEW met3 ( 212640 3226030 0 ) ( 214800 3226030 )
NEW met2 ( 214800 3226030 ) ( 214800 3226215 )
NEW met1 ( 214800 3226215 ) ( 252240 3226215 )
NEW met1 ( 252240 3226215 ) M1M2_PR
NEW met1 ( 252240 3355345 ) M1M2_PR
NEW met1 ( 297840 3355345 ) M1M2_PR
NEW met2 ( 297840 3368110 ) via2_FR
NEW met2 ( 214800 3226030 ) via2_FR
NEW met1 ( 214800 3226215 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[2\] ( mprj io_in[2] ) ( gpio_control_in\[2\] user_gpio_in )
+ ROUTED met2 ( 3272400 1944165 ) ( 3272400 1950270 )
NEW met3 ( 3251040 1950270 0 ) ( 3272400 1950270 )
NEW met1 ( 3272400 1944165 ) ( 3348240 1944165 )
NEW met2 ( 3348240 1120175 ) ( 3348240 1944165 )
NEW met2 ( 3373680 1119990 ) ( 3373680 1120175 )
NEW met3 ( 3373680 1119990 ) ( 3373920 1119990 )
NEW met3 ( 3373920 1118880 0 ) ( 3373920 1119990 )
NEW met1 ( 3348240 1120175 ) ( 3373680 1120175 )
NEW met1 ( 3272400 1944165 ) M1M2_PR
NEW met2 ( 3272400 1950270 ) via2_FR
NEW met1 ( 3348240 1120175 ) M1M2_PR
NEW met1 ( 3348240 1944165 ) M1M2_PR
NEW met1 ( 3373680 1120175 ) M1M2_PR
NEW met2 ( 3373680 1119990 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[30\] ( mprj io_in[30] ) ( gpio_control_in\[30\] user_gpio_in )
+ ROUTED met2 ( 297840 3067485 ) ( 297840 3080990 )
NEW met3 ( 297840 3080990 ) ( 322080 3080990 0 )
NEW met3 ( 210720 3009950 0 ) ( 210720 3010690 )
NEW met3 ( 210720 3010690 ) ( 210960 3010690 )
NEW met2 ( 210960 3010690 ) ( 210960 3015685 )
NEW met1 ( 210960 3015685 ) ( 214320 3015685 )
NEW met2 ( 214320 3015685 ) ( 214320 3067485 )
NEW met1 ( 214320 3067485 ) ( 297840 3067485 )
NEW met1 ( 297840 3067485 ) M1M2_PR
NEW met2 ( 297840 3080990 ) via2_FR
NEW met2 ( 210960 3010690 ) via2_FR
NEW met1 ( 210960 3015685 ) M1M2_PR
NEW met1 ( 214320 3015685 ) M1M2_PR
NEW met1 ( 214320 3067485 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[31\] ( mprj io_in[31] ) ( gpio_control_in\[31\] user_gpio_in )
+ ROUTED met3 ( 212640 2793870 0 ) ( 214800 2793870 )
NEW met2 ( 297840 2851405 ) ( 297840 2864910 )
NEW met3 ( 297840 2864910 ) ( 322080 2864910 0 )
NEW met2 ( 214800 2793870 ) ( 214800 2851405 )
NEW met1 ( 214800 2851405 ) ( 297840 2851405 )
NEW met2 ( 214800 2793870 ) via2_FR
NEW met1 ( 297840 2851405 ) M1M2_PR
NEW met2 ( 297840 2864910 ) via2_FR
NEW met1 ( 214800 2851405 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[32\] ( mprj io_in[32] ) ( gpio_control_in\[32\] user_gpio_in )
+ ROUTED met2 ( 297840 2649755 ) ( 297840 2650310 )
NEW met3 ( 297840 2650310 ) ( 322080 2650310 0 )
NEW met3 ( 209520 2156730 ) ( 209760 2156730 )
NEW met3 ( 209760 2155990 0 ) ( 209760 2156730 )
NEW met1 ( 209520 2599065 ) ( 215280 2599065 )
NEW met2 ( 209520 2156730 ) ( 209520 2599065 )
NEW met2 ( 215280 2599065 ) ( 215280 2649755 )
NEW met1 ( 215280 2649755 ) ( 297840 2649755 )
NEW met1 ( 297840 2649755 ) M1M2_PR
NEW met2 ( 297840 2650310 ) via2_FR
NEW met2 ( 209520 2156730 ) via2_FR
NEW met1 ( 209520 2599065 ) M1M2_PR
NEW met1 ( 215280 2599065 ) M1M2_PR
NEW met1 ( 215280 2649755 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[33\] ( mprj io_in[33] ) ( gpio_control_in\[33\] user_gpio_in )
+ ROUTED met2 ( 293040 2433675 ) ( 293040 2434230 )
NEW met3 ( 293040 2434230 ) ( 322080 2434230 0 )
NEW met1 ( 223920 2433675 ) ( 293040 2433675 )
NEW met3 ( 212640 1939910 0 ) ( 213360 1939910 )
NEW met2 ( 213360 1939910 ) ( 213360 1940095 )
NEW met1 ( 213360 1940095 ) ( 223920 1940095 )
NEW met2 ( 223920 1940095 ) ( 223920 2433675 )
NEW met1 ( 293040 2433675 ) M1M2_PR
NEW met2 ( 293040 2434230 ) via2_FR
NEW met1 ( 223920 2433675 ) M1M2_PR
NEW met2 ( 213360 1939910 ) via2_FR
NEW met1 ( 213360 1940095 ) M1M2_PR
NEW met1 ( 223920 1940095 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[34\] ( mprj io_in[34] ) ( gpio_control_in\[34\] user_gpio_in )
+ ROUTED met2 ( 269520 1724015 ) ( 269520 2217965 )
NEW met2 ( 297840 2217965 ) ( 297840 2218890 )
NEW met3 ( 297840 2218890 ) ( 322080 2218890 0 )
NEW met1 ( 269520 2217965 ) ( 297840 2217965 )
NEW met3 ( 212640 1723830 0 ) ( 214320 1723830 )
NEW met2 ( 214320 1723830 ) ( 214320 1724015 )
NEW met1 ( 214320 1724015 ) ( 269520 1724015 )
NEW met1 ( 269520 2217965 ) M1M2_PR
NEW met1 ( 269520 1724015 ) M1M2_PR
NEW met1 ( 297840 2217965 ) M1M2_PR
NEW met2 ( 297840 2218890 ) via2_FR
NEW met2 ( 214320 1723830 ) via2_FR
NEW met1 ( 214320 1724015 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[35\] ( mprj io_in[35] ) ( gpio_control_in\[35\] user_gpio_in )
+ ROUTED met1 ( 281520 2001885 ) ( 288240 2001885 )
NEW met2 ( 288240 2001885 ) ( 288240 2003550 )
NEW met3 ( 288240 2003550 ) ( 322080 2003550 0 )
NEW met2 ( 281520 1508305 ) ( 281520 2001885 )
NEW met3 ( 212640 1507750 0 ) ( 214320 1507750 )
NEW met2 ( 214320 1507750 ) ( 214320 1508305 )
NEW met1 ( 214320 1508305 ) ( 281520 1508305 )
NEW met1 ( 281520 2001885 ) M1M2_PR
NEW met1 ( 288240 2001885 ) M1M2_PR
NEW met2 ( 288240 2003550 ) via2_FR
NEW met1 ( 281520 1508305 ) M1M2_PR
NEW met2 ( 214320 1507750 ) via2_FR
NEW met1 ( 214320 1508305 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[36\] ( mprj io_in[36] ) ( gpio_control_in\[36\] user_gpio_in )
+ ROUTED met2 ( 237360 1291115 ) ( 237360 1785805 )
NEW met2 ( 297840 1785805 ) ( 297840 1788210 )
NEW met3 ( 297840 1788210 ) ( 322080 1788210 0 )
NEW met1 ( 237360 1785805 ) ( 297840 1785805 )
NEW met3 ( 212640 1290930 ) ( 212640 1291670 0 )
NEW met3 ( 212640 1290930 ) ( 212880 1290930 )
NEW met2 ( 212880 1290930 ) ( 212880 1291115 )
NEW met1 ( 212880 1291115 ) ( 237360 1291115 )
NEW met1 ( 237360 1785805 ) M1M2_PR
NEW met1 ( 237360 1291115 ) M1M2_PR
NEW met1 ( 297840 1785805 ) M1M2_PR
NEW met2 ( 297840 1788210 ) via2_FR
NEW met2 ( 212880 1290930 ) via2_FR
NEW met1 ( 212880 1291115 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[37\] ( mprj io_in[37] ) ( gpio_control_in\[37\] user_gpio_in )
+ ROUTED met3 ( 212640 1075960 0 ) ( 213840 1075960 )
NEW met2 ( 213840 1075960 ) ( 213840 1076145 )
NEW met1 ( 213840 1076145 ) ( 224400 1076145 )
NEW met2 ( 290160 1569725 ) ( 290160 1572870 )
NEW met3 ( 290160 1572870 ) ( 322080 1572870 0 )
NEW met1 ( 224400 1569725 ) ( 290160 1569725 )
NEW met2 ( 224400 1076145 ) ( 224400 1569725 )
NEW met2 ( 213840 1075960 ) via2_FR
NEW met1 ( 213840 1076145 ) M1M2_PR
NEW met1 ( 224400 1076145 ) M1M2_PR
NEW met1 ( 290160 1569725 ) M1M2_PR
NEW met2 ( 290160 1572870 ) via2_FR
NEW met1 ( 224400 1569725 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[3\] ( mprj io_in[3] ) ( gpio_control_in\[3\] user_gpio_in )
+ ROUTED met2 ( 3272400 2174675 ) ( 3272400 2184850 )
NEW met3 ( 3251040 2184850 0 ) ( 3272400 2184850 )
NEW met1 ( 3319920 1345875 ) ( 3373680 1345875 )
NEW met2 ( 3373680 1345690 ) ( 3373680 1345875 )
NEW met3 ( 3373680 1345690 ) ( 3373920 1345690 )
NEW met3 ( 3373920 1344950 0 ) ( 3373920 1345690 )
NEW met1 ( 3272400 2174675 ) ( 3319920 2174675 )
NEW met2 ( 3319920 1345875 ) ( 3319920 2174675 )
NEW met1 ( 3272400 2174675 ) M1M2_PR
NEW met2 ( 3272400 2184850 ) via2_FR
NEW met1 ( 3319920 1345875 ) M1M2_PR
NEW met1 ( 3373680 1345875 ) M1M2_PR
NEW met2 ( 3373680 1345690 ) via2_FR
NEW met1 ( 3319920 2174675 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[4\] ( mprj io_in[4] ) ( gpio_control_in\[4\] user_gpio_in )
+ ROUTED met3 ( 3251040 2420170 0 ) ( 3271440 2420170 )
NEW met2 ( 3271440 2419245 ) ( 3271440 2420170 )
NEW met1 ( 3271440 2419245 ) ( 3334800 2419245 )
NEW met1 ( 3334800 1570095 ) ( 3372240 1570095 )
NEW met2 ( 3372240 1569910 ) ( 3372240 1570095 )
NEW met3 ( 3372240 1569910 ) ( 3373920 1569910 0 )
NEW met2 ( 3334800 1570095 ) ( 3334800 2419245 )
NEW met2 ( 3271440 2420170 ) via2_FR
NEW met1 ( 3271440 2419245 ) M1M2_PR
NEW met1 ( 3334800 2419245 ) M1M2_PR
NEW met1 ( 3334800 1570095 ) M1M2_PR
NEW met1 ( 3372240 1570095 ) M1M2_PR
NEW met2 ( 3372240 1569910 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[5\] ( mprj io_in[5] ) ( gpio_control_in\[5\] user_gpio_in )
+ ROUTED met3 ( 3251040 2654750 0 ) ( 3270480 2654750 )
NEW met2 ( 3270480 2649755 ) ( 3270480 2654750 )
NEW met1 ( 3362640 1795055 ) ( 3372240 1795055 )
NEW met2 ( 3372240 1794870 ) ( 3372240 1795055 )
NEW met3 ( 3372240 1794870 ) ( 3373920 1794870 0 )
NEW met1 ( 3270480 2649755 ) ( 3362640 2649755 )
NEW met2 ( 3362640 1795055 ) ( 3362640 2649755 )
NEW met2 ( 3270480 2654750 ) via2_FR
NEW met1 ( 3270480 2649755 ) M1M2_PR
NEW met1 ( 3362640 1795055 ) M1M2_PR
NEW met1 ( 3372240 1795055 ) M1M2_PR
NEW met2 ( 3372240 1794870 ) via2_FR
NEW met1 ( 3362640 2649755 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[6\] ( mprj io_in[6] ) ( gpio_control_in\[6\] user_gpio_in )
+ ROUTED met3 ( 3251040 2889330 0 ) ( 3272400 2889330 )
NEW met2 ( 3272400 2880265 ) ( 3272400 2889330 )
NEW met1 ( 3272400 2880265 ) ( 3349680 2880265 )
NEW met2 ( 3349680 2022235 ) ( 3349680 2880265 )
NEW met2 ( 3373680 2022050 ) ( 3373680 2022235 )
NEW met3 ( 3373680 2022050 ) ( 3373920 2022050 )
NEW met3 ( 3373920 2020940 0 ) ( 3373920 2022050 )
NEW met1 ( 3349680 2022235 ) ( 3373680 2022235 )
NEW met2 ( 3272400 2889330 ) via2_FR
NEW met1 ( 3272400 2880265 ) M1M2_PR
NEW met1 ( 3349680 2022235 ) M1M2_PR
NEW met1 ( 3349680 2880265 ) M1M2_PR
NEW met1 ( 3373680 2022235 ) M1M2_PR
NEW met2 ( 3373680 2022050 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[7\] ( mprj io_in[7] ) ( gpio_control_in\[7\] user_gpio_in )
+ ROUTED met3 ( 3251040 3123910 0 ) ( 3271920 3123910 )
NEW met2 ( 3271920 3111145 ) ( 3271920 3123910 )
NEW met1 ( 3363120 2462165 ) ( 3369360 2462165 )
NEW met2 ( 3369360 2461610 ) ( 3369360 2462165 )
NEW met3 ( 3369360 2461610 ) ( 3373920 2461610 0 )
NEW met1 ( 3271920 3111145 ) ( 3363120 3111145 )
NEW met2 ( 3363120 2462165 ) ( 3363120 3111145 )
NEW met2 ( 3271920 3123910 ) via2_FR
NEW met1 ( 3271920 3111145 ) M1M2_PR
NEW met1 ( 3363120 2462165 ) M1M2_PR
NEW met1 ( 3369360 2462165 ) M1M2_PR
NEW met2 ( 3369360 2461610 ) via2_FR
NEW met1 ( 3363120 3111145 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[8\] ( mprj io_in[8] ) ( gpio_control_in\[8\] user_gpio_in )
+ ROUTED met3 ( 3251040 3358490 0 ) ( 3272400 3358490 )
NEW met2 ( 3272400 3355345 ) ( 3272400 3358490 )
NEW met1 ( 3305520 2683055 ) ( 3374160 2683055 )
NEW met2 ( 3374160 2682870 ) ( 3374160 2683055 )
NEW met3 ( 3373920 2682870 ) ( 3374160 2682870 )
NEW met3 ( 3373920 2682130 0 ) ( 3373920 2682870 )
NEW met1 ( 3272400 3355345 ) ( 3305520 3355345 )
NEW met2 ( 3305520 2683055 ) ( 3305520 3355345 )
NEW met2 ( 3272400 3358490 ) via2_FR
NEW met1 ( 3272400 3355345 ) M1M2_PR
NEW met1 ( 3305520 2683055 ) M1M2_PR
NEW met1 ( 3374160 2683055 ) M1M2_PR
NEW met2 ( 3374160 2682870 ) via2_FR
NEW met1 ( 3305520 3355345 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[9\] ( mprj io_in[9] ) ( gpio_control_in\[9\] user_gpio_in )
+ ROUTED met3 ( 3251040 3593070 0 ) ( 3271440 3593070 )
NEW met2 ( 3271440 3585855 ) ( 3271440 3593070 )
NEW met1 ( 3334800 2908015 ) ( 3373200 2908015 )
NEW met2 ( 3373200 2907830 ) ( 3373200 2908015 )
NEW met3 ( 3373200 2907830 ) ( 3373920 2907830 )
NEW met3 ( 3373920 2907090 0 ) ( 3373920 2907830 )
NEW met1 ( 3271440 3585855 ) ( 3334800 3585855 )
NEW met2 ( 3334800 2908015 ) ( 3334800 3585855 )
NEW met2 ( 3271440 3593070 ) via2_FR
NEW met1 ( 3271440 3585855 ) M1M2_PR
NEW met1 ( 3334800 2908015 ) M1M2_PR
NEW met1 ( 3373200 2908015 ) M1M2_PR
NEW met2 ( 3373200 2907830 ) via2_FR
NEW met1 ( 3334800 3585855 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[0\] ( mprj io_oeb[0] ) ( gpio_control_bidir\[0\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 1598770 0 ) ( 3275760 1598770 )
NEW met2 ( 3275760 663225 ) ( 3275760 1598770 )
NEW met2 ( 3384240 663225 ) ( 3384240 669330 )
NEW met3 ( 3384240 669330 ) ( 3384480 669330 )
NEW met3 ( 3384480 669330 ) ( 3384480 670810 0 )
NEW met1 ( 3275760 663225 ) ( 3384240 663225 )
NEW met1 ( 3275760 663225 ) M1M2_PR
NEW met2 ( 3275760 1598770 ) via2_FR
NEW met1 ( 3384240 663225 ) M1M2_PR
NEW met2 ( 3384240 669330 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[10\] ( mprj io_oeb[10] ) ( gpio_control_in\[10\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3945310 0 ) ( 3270480 3945310 )
NEW met2 ( 3270480 3931435 ) ( 3270480 3945310 )
NEW met1 ( 3270480 3931435 ) ( 3348720 3931435 )
NEW met2 ( 3348720 3136675 ) ( 3348720 3931435 )
NEW met2 ( 3374640 3136490 ) ( 3374640 3136675 )
NEW met3 ( 3374640 3136490 ) ( 3374880 3136490 )
NEW met3 ( 3374880 3135750 0 ) ( 3374880 3136490 )
NEW met1 ( 3348720 3136675 ) ( 3374640 3136675 )
NEW met2 ( 3270480 3945310 ) via2_FR
NEW met1 ( 3270480 3931435 ) M1M2_PR
NEW met1 ( 3348720 3136675 ) M1M2_PR
NEW met1 ( 3348720 3931435 ) M1M2_PR
NEW met1 ( 3374640 3136675 ) M1M2_PR
NEW met2 ( 3374640 3136490 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[11\] ( mprj io_oeb[11] ) ( gpio_control_in\[11\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 4179890 0 ) ( 3270000 4179890 )
NEW met2 ( 3270000 4176005 ) ( 3270000 4179890 )
NEW met1 ( 3270000 4176005 ) ( 3334320 4176005 )
NEW met2 ( 3334320 3361635 ) ( 3334320 4176005 )
NEW met2 ( 3373680 3361450 ) ( 3373680 3361635 )
NEW met3 ( 3373680 3361450 ) ( 3373920 3361450 )
NEW met3 ( 3373920 3360710 0 ) ( 3373920 3361450 )
NEW met1 ( 3334320 3361635 ) ( 3373680 3361635 )
NEW met2 ( 3270000 4179890 ) via2_FR
NEW met1 ( 3270000 4176005 ) M1M2_PR
NEW met1 ( 3334320 3361635 ) M1M2_PR
NEW met1 ( 3334320 4176005 ) M1M2_PR
NEW met1 ( 3373680 3361635 ) M1M2_PR
NEW met2 ( 3373680 3361450 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[12\] ( mprj io_oeb[12] ) ( gpio_control_in\[12\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 4414470 0 ) ( 3270000 4414470 )
NEW met2 ( 3270000 4406515 ) ( 3270000 4414470 )
NEW met1 ( 3270000 4406515 ) ( 3304560 4406515 )
NEW met2 ( 3304560 3588075 ) ( 3304560 4406515 )
NEW met2 ( 3373200 3587890 ) ( 3373200 3588075 )
NEW met3 ( 3373200 3587890 ) ( 3373920 3587890 )
NEW met3 ( 3373920 3586410 0 ) ( 3373920 3587890 )
NEW met1 ( 3304560 3588075 ) ( 3373200 3588075 )
NEW met2 ( 3270000 4414470 ) via2_FR
NEW met1 ( 3270000 4406515 ) M1M2_PR
NEW met1 ( 3304560 4406515 ) M1M2_PR
NEW met1 ( 3304560 3588075 ) M1M2_PR
NEW met1 ( 3373200 3588075 ) M1M2_PR
NEW met2 ( 3373200 3587890 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[13\] ( mprj io_oeb[13] ) ( gpio_control_in\[13\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 4649050 0 ) ( 3272400 4649050 )
NEW met2 ( 3272400 4637395 ) ( 3272400 4649050 )
NEW met1 ( 3272400 4637395 ) ( 3333840 4637395 )
NEW met2 ( 3333840 3811555 ) ( 3333840 4637395 )
NEW met2 ( 3372240 3811370 ) ( 3372240 3811555 )
NEW met3 ( 3372240 3811370 ) ( 3373920 3811370 0 )
NEW met1 ( 3333840 3811555 ) ( 3372240 3811555 )
NEW met2 ( 3272400 4649050 ) via2_FR
NEW met1 ( 3272400 4637395 ) M1M2_PR
NEW met1 ( 3333840 3811555 ) M1M2_PR
NEW met1 ( 3333840 4637395 ) M1M2_PR
NEW met1 ( 3372240 3811555 ) M1M2_PR
NEW met2 ( 3372240 3811370 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[14\] ( mprj io_oeb[14] ) ( gpio_control_in\[14\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 4883630 0 ) ( 3275760 4883630 )
NEW met2 ( 3275760 4695485 ) ( 3275760 4883630 )
NEW met2 ( 3384720 4695485 ) ( 3384720 4702330 )
NEW met3 ( 3384480 4702330 ) ( 3384720 4702330 )
NEW met3 ( 3384480 4702330 ) ( 3384480 4703810 0 )
NEW met1 ( 3275760 4695485 ) ( 3384720 4695485 )
NEW met1 ( 3275760 4695485 ) M1M2_PR
NEW met2 ( 3275760 4883630 ) via2_FR
NEW met1 ( 3384720 4695485 ) M1M2_PR
NEW met2 ( 3384720 4702330 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[15\] ( mprj io_oeb[15] ) ( gpio_control_in\[15\] user_gpio_oeb )
+ ROUTED met2 ( 2962320 4917670 0 ) ( 2962320 4947825 )
NEW met1 ( 2849520 4947825 ) ( 2962320 4947825 )
NEW met3 ( 2813280 4978350 ) ( 2849520 4978350 )
NEW met3 ( 2813280 4978350 ) ( 2813280 4979090 )
NEW met3 ( 2811360 4979090 0 ) ( 2813280 4979090 )
NEW met2 ( 2849520 4947825 ) ( 2849520 4978350 )
NEW met1 ( 2849520 4947825 ) M1M2_PR
NEW met1 ( 2962320 4947825 ) M1M2_PR
NEW met2 ( 2849520 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[16\] ( mprj io_oeb[16] ) ( gpio_control_in\[16\] user_gpio_oeb )
+ ROUTED met2 ( 2638320 4917670 0 ) ( 2638320 4947085 )
NEW met1 ( 2578320 4947085 ) ( 2638320 4947085 )
NEW met3 ( 2554560 4978350 ) ( 2578320 4978350 )
NEW met3 ( 2554560 4978350 ) ( 2554560 4979090 0 )
NEW met2 ( 2578320 4947085 ) ( 2578320 4978350 )
NEW met1 ( 2638320 4947085 ) M1M2_PR
NEW met1 ( 2578320 4947085 ) M1M2_PR
NEW met2 ( 2578320 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[17\] ( mprj io_oeb[17] ) ( gpio_control_in\[17\] user_gpio_oeb )
+ ROUTED met2 ( 2313840 4917670 0 ) ( 2313840 4947085 )
NEW met1 ( 2189040 4947085 ) ( 2313840 4947085 )
NEW met3 ( 2169600 4978350 ) ( 2189040 4978350 )
NEW met3 ( 2169600 4978350 ) ( 2169600 4979090 0 )
NEW met2 ( 2189040 4947085 ) ( 2189040 4978350 )
NEW met1 ( 2189040 4947085 ) M1M2_PR
NEW met1 ( 2313840 4947085 ) M1M2_PR
NEW met2 ( 2189040 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[18\] ( mprj io_oeb[18] ) ( gpio_control_in\[18\] user_gpio_oeb )
+ ROUTED met2 ( 1858320 4947085 ) ( 1858320 4978905 )
NEW met2 ( 1989360 4917670 0 ) ( 1989360 4947085 )
NEW met1 ( 1858320 4947085 ) ( 1989360 4947085 )
NEW met2 ( 1833840 4978905 ) ( 1833840 4979090 )
NEW met3 ( 1832640 4979090 0 ) ( 1833840 4979090 )
NEW met1 ( 1833840 4978905 ) ( 1858320 4978905 )
NEW met1 ( 1858320 4947085 ) M1M2_PR
NEW met1 ( 1858320 4978905 ) M1M2_PR
NEW met1 ( 1989360 4947085 ) M1M2_PR
NEW met1 ( 1833840 4978905 ) M1M2_PR
NEW met2 ( 1833840 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[19\] ( mprj io_oeb[19] ) ( gpio_control_in\[19\] user_gpio_oeb )
+ ROUTED met2 ( 1662960 4917670 ) ( 1664880 4917670 0 )
NEW met2 ( 1662960 4917670 ) ( 1662960 4962995 )
NEW met1 ( 1656240 4962995 ) ( 1662960 4962995 )
NEW met2 ( 1656240 4962995 ) ( 1656240 5025895 )
NEW met2 ( 1584240 5016090 ) ( 1584240 5025895 )
NEW met2 ( 1582320 5016090 ) ( 1584240 5016090 )
NEW met3 ( 1580640 5016090 0 ) ( 1582320 5016090 )
NEW met1 ( 1584240 5025895 ) ( 1656240 5025895 )
NEW met1 ( 1656240 5025895 ) M1M2_PR
NEW met1 ( 1662960 4962995 ) M1M2_PR
NEW met1 ( 1656240 4962995 ) M1M2_PR
NEW met1 ( 1584240 5025895 ) M1M2_PR
NEW met2 ( 1582320 5016090 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[1\] ( mprj io_oeb[1] ) ( gpio_control_bidir\[1\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 1829095 ) ( 3272400 1832610 )
NEW met3 ( 3251040 1832610 0 ) ( 3272400 1832610 )
NEW met1 ( 3272400 1829095 ) ( 3305520 1829095 )
NEW met2 ( 3305520 896695 ) ( 3305520 1829095 )
NEW met2 ( 3372240 896510 ) ( 3372240 896695 )
NEW met3 ( 3372240 896510 ) ( 3373920 896510 0 )
NEW met1 ( 3305520 896695 ) ( 3372240 896695 )
NEW met1 ( 3272400 1829095 ) M1M2_PR
NEW met2 ( 3272400 1832610 ) via2_FR
NEW met1 ( 3305520 1829095 ) M1M2_PR
NEW met1 ( 3305520 896695 ) M1M2_PR
NEW met1 ( 3372240 896695 ) M1M2_PR
NEW met2 ( 3372240 896510 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[20\] ( mprj io_oeb[20] ) ( gpio_control_in\[20\] user_gpio_oeb )
+ ROUTED met2 ( 1339440 4917670 ) ( 1340400 4917670 0 )
NEW met2 ( 1339440 4917670 ) ( 1339440 4978350 )
NEW met3 ( 1324320 4978350 ) ( 1324320 4979090 )
NEW met3 ( 1322400 4979090 0 ) ( 1324320 4979090 )
NEW met3 ( 1324320 4978350 ) ( 1339440 4978350 )
NEW met2 ( 1339440 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[21\] ( mprj io_oeb[21] ) ( gpio_control_in\[21\] user_gpio_oeb )
+ ROUTED met2 ( 1015920 4917670 0 ) ( 1015920 4946715 )
NEW met1 ( 1015920 4946715 ) ( 1066800 4946715 )
NEW met2 ( 1066800 4946715 ) ( 1066800 4979090 )
NEW met3 ( 1065600 4979090 0 ) ( 1066800 4979090 )
NEW met1 ( 1015920 4946715 ) M1M2_PR
NEW met1 ( 1066800 4946715 ) M1M2_PR
NEW met2 ( 1066800 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[22\] ( mprj io_oeb[22] ) ( gpio_control_in\[22\] user_gpio_oeb )
+ ROUTED met2 ( 691440 4917670 0 ) ( 691440 4946345 )
NEW met2 ( 807600 4946345 ) ( 807600 4979090 )
NEW met3 ( 807600 4979090 ) ( 808800 4979090 0 )
NEW met1 ( 691440 4946345 ) ( 807600 4946345 )
NEW met1 ( 691440 4946345 ) M1M2_PR
NEW met1 ( 807600 4946345 ) M1M2_PR
NEW met2 ( 807600 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[23\] ( mprj io_oeb[23] ) ( gpio_control_in\[23\] user_gpio_oeb )
+ ROUTED met3 ( 550800 4978350 ) ( 551520 4978350 )
NEW met3 ( 551520 4978350 ) ( 551520 4979090 0 )
NEW met2 ( 366960 4917670 ) ( 367440 4917670 0 )
NEW met2 ( 366960 4917670 ) ( 366960 4960405 )
NEW met1 ( 366960 4960405 ) ( 366960 4960775 )
NEW met1 ( 533040 4960405 ) ( 533040 4960775 )
NEW met1 ( 533040 4960405 ) ( 550800 4960405 )
NEW met2 ( 550800 4960405 ) ( 550800 4978350 )
NEW met1 ( 366960 4960775 ) ( 533040 4960775 )
NEW met2 ( 550800 4978350 ) via2_FR
NEW met1 ( 366960 4960405 ) M1M2_PR
NEW met1 ( 550800 4960405 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[24\] ( mprj io_oeb[24] ) ( gpio_control_in\[24\] user_gpio_oeb )
+ ROUTED met1 ( 212880 4721015 ) ( 259440 4721015 )
NEW met2 ( 212880 4720830 ) ( 212880 4721015 )
NEW met3 ( 212640 4720830 ) ( 212880 4720830 )
NEW met3 ( 212640 4720830 ) ( 212640 4721570 0 )
NEW met2 ( 259440 4652565 ) ( 259440 4721015 )
NEW met2 ( 297840 4652565 ) ( 297840 4660890 )
NEW met3 ( 297840 4660890 ) ( 322080 4660890 0 )
NEW met1 ( 259440 4652565 ) ( 297840 4652565 )
NEW met1 ( 259440 4652565 ) M1M2_PR
NEW met1 ( 259440 4721015 ) M1M2_PR
NEW met1 ( 212880 4721015 ) M1M2_PR
NEW met2 ( 212880 4720830 ) via2_FR
NEW met1 ( 297840 4652565 ) M1M2_PR
NEW met2 ( 297840 4660890 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[25\] ( mprj io_oeb[25] ) ( gpio_control_in\[25\] user_gpio_oeb )
+ ROUTED met3 ( 212640 4092570 0 ) ( 214320 4092570 )
NEW met2 ( 214320 4092570 ) ( 214320 4092755 )
NEW met1 ( 214320 4092755 ) ( 237840 4092755 )
NEW met2 ( 237840 4092755 ) ( 237840 4363225 )
NEW met2 ( 297840 4363225 ) ( 297840 4373770 )
NEW met3 ( 297840 4373770 ) ( 322080 4373770 0 )
NEW met1 ( 237840 4363225 ) ( 297840 4363225 )
NEW met2 ( 214320 4092570 ) via2_FR
NEW met1 ( 214320 4092755 ) M1M2_PR
NEW met1 ( 237840 4092755 ) M1M2_PR
NEW met1 ( 237840 4363225 ) M1M2_PR
NEW met1 ( 297840 4363225 ) M1M2_PR
NEW met2 ( 297840 4373770 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[26\] ( mprj io_oeb[26] ) ( gpio_control_in\[26\] user_gpio_oeb )
+ ROUTED met2 ( 252240 3876675 ) ( 252240 4075365 )
NEW met2 ( 297840 4075365 ) ( 297840 4086650 )
NEW met3 ( 297840 4086650 ) ( 322080 4086650 0 )
NEW met1 ( 252240 4075365 ) ( 297840 4075365 )
NEW met3 ( 212640 3876490 0 ) ( 213840 3876490 )
NEW met2 ( 213840 3876490 ) ( 213840 3876675 )
NEW met1 ( 213840 3876675 ) ( 252240 3876675 )
NEW met1 ( 252240 3876675 ) M1M2_PR
NEW met1 ( 252240 4075365 ) M1M2_PR
NEW met1 ( 297840 4075365 ) M1M2_PR
NEW met2 ( 297840 4086650 ) via2_FR
NEW met2 ( 213840 3876490 ) via2_FR
NEW met1 ( 213840 3876675 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[27\] ( mprj io_oeb[27] ) ( gpio_control_in\[27\] user_gpio_oeb )
+ ROUTED met2 ( 268560 3660595 ) ( 268560 3787505 )
NEW met2 ( 297840 3787505 ) ( 297840 3798790 )
NEW met3 ( 297840 3798790 ) ( 322080 3798790 0 )
NEW met1 ( 268560 3787505 ) ( 297840 3787505 )
NEW met3 ( 212640 3660410 0 ) ( 214320 3660410 )
NEW met2 ( 214320 3660410 ) ( 214320 3660595 )
NEW met1 ( 214320 3660595 ) ( 268560 3660595 )
NEW met1 ( 268560 3660595 ) M1M2_PR
NEW met1 ( 268560 3787505 ) M1M2_PR
NEW met1 ( 297840 3787505 ) M1M2_PR
NEW met2 ( 297840 3798790 ) via2_FR
NEW met2 ( 214320 3660410 ) via2_FR
NEW met1 ( 214320 3660595 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[28\] ( mprj io_oeb[28] ) ( gpio_control_in\[28\] user_gpio_oeb )
+ ROUTED met2 ( 297840 3499275 ) ( 297840 3511670 )
NEW met3 ( 297840 3511670 ) ( 322080 3511670 0 )
NEW met3 ( 212400 3445810 ) ( 212640 3445810 )
NEW met3 ( 212640 3444330 0 ) ( 212640 3445810 )
NEW met2 ( 212400 3445810 ) ( 212400 3499275 )
NEW met1 ( 212400 3499275 ) ( 297840 3499275 )
NEW met1 ( 297840 3499275 ) M1M2_PR
NEW met2 ( 297840 3511670 ) via2_FR
NEW met2 ( 212400 3445810 ) via2_FR
NEW met1 ( 212400 3499275 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[29\] ( mprj io_oeb[29] ) ( gpio_control_in\[29\] user_gpio_oeb )
+ ROUTED met2 ( 297840 3224550 ) ( 297840 3225475 )
NEW met3 ( 297840 3224550 ) ( 322080 3224550 0 )
NEW met3 ( 212640 3227510 ) ( 212640 3228620 0 )
NEW met3 ( 212400 3227510 ) ( 212640 3227510 )
NEW met2 ( 212400 3225475 ) ( 212400 3227510 )
NEW met1 ( 212400 3225475 ) ( 297840 3225475 )
NEW met1 ( 297840 3225475 ) M1M2_PR
NEW met2 ( 297840 3224550 ) via2_FR
NEW met2 ( 212400 3227510 ) via2_FR
NEW met1 ( 212400 3225475 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[2\] ( mprj io_oeb[2] ) ( gpio_control_in\[2\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 2067930 0 ) ( 3269520 2067930 )
NEW met2 ( 3269520 2059235 ) ( 3269520 2067930 )
NEW met1 ( 3269520 2059235 ) ( 3347760 2059235 )
NEW met2 ( 3347760 1121655 ) ( 3347760 2059235 )
NEW met2 ( 3372240 1121470 ) ( 3372240 1121655 )
NEW met3 ( 3372240 1121470 ) ( 3373920 1121470 0 )
NEW met1 ( 3347760 1121655 ) ( 3372240 1121655 )
NEW met2 ( 3269520 2067930 ) via2_FR
NEW met1 ( 3269520 2059235 ) M1M2_PR
NEW met1 ( 3347760 2059235 ) M1M2_PR
NEW met1 ( 3347760 1121655 ) M1M2_PR
NEW met1 ( 3372240 1121655 ) M1M2_PR
NEW met2 ( 3372240 1121470 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[30\] ( mprj io_oeb[30] ) ( gpio_control_in\[30\] user_gpio_oeb )
+ ROUTED met2 ( 259440 2929845 ) ( 259440 3011245 )
NEW met2 ( 297840 2929845 ) ( 297840 2937430 )
NEW met3 ( 297840 2937430 ) ( 322080 2937430 0 )
NEW met1 ( 259440 2929845 ) ( 297840 2929845 )
NEW met3 ( 212640 3011430 ) ( 212640 3012910 0 )
NEW met3 ( 212640 3011430 ) ( 213840 3011430 )
NEW met2 ( 213840 3011245 ) ( 213840 3011430 )
NEW met1 ( 213840 3011245 ) ( 259440 3011245 )
NEW met1 ( 259440 3011245 ) M1M2_PR
NEW met1 ( 259440 2929845 ) M1M2_PR
NEW met1 ( 297840 2929845 ) M1M2_PR
NEW met2 ( 297840 2937430 ) via2_FR
NEW met2 ( 213840 3011430 ) via2_FR
NEW met1 ( 213840 3011245 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[31\] ( mprj io_oeb[31] ) ( gpio_control_in\[31\] user_gpio_oeb )
+ ROUTED met1 ( 215280 2796645 ) ( 244560 2796645 )
NEW met2 ( 215280 2796645 ) ( 215280 2796830 )
NEW met3 ( 212640 2796830 0 ) ( 215280 2796830 )
NEW met2 ( 244560 2723385 ) ( 244560 2796645 )
NEW met2 ( 297840 2722090 ) ( 297840 2723385 )
NEW met3 ( 297840 2722090 ) ( 322080 2722090 0 )
NEW met1 ( 244560 2723385 ) ( 297840 2723385 )
NEW met1 ( 244560 2796645 ) M1M2_PR
NEW met1 ( 215280 2796645 ) M1M2_PR
NEW met2 ( 215280 2796830 ) via2_FR
NEW met1 ( 244560 2723385 ) M1M2_PR
NEW met1 ( 297840 2723385 ) M1M2_PR
NEW met2 ( 297840 2722090 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[32\] ( mprj io_oeb[32] ) ( gpio_control_in\[32\] user_gpio_oeb )
+ ROUTED met2 ( 238800 2159875 ) ( 238800 2505825 )
NEW met2 ( 297840 2505825 ) ( 297840 2506010 )
NEW met3 ( 297840 2506010 ) ( 322080 2506010 0 )
NEW met1 ( 238800 2505825 ) ( 297840 2505825 )
NEW met3 ( 212640 2158580 0 ) ( 212640 2159690 )
NEW met3 ( 212640 2159690 ) ( 212880 2159690 )
NEW met2 ( 212880 2159690 ) ( 212880 2159875 )
NEW met1 ( 212880 2159875 ) ( 238800 2159875 )
NEW met1 ( 238800 2159875 ) M1M2_PR
NEW met1 ( 238800 2505825 ) M1M2_PR
NEW met1 ( 297840 2505825 ) M1M2_PR
NEW met2 ( 297840 2506010 ) via2_FR
NEW met2 ( 212880 2159690 ) via2_FR
NEW met1 ( 212880 2159875 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[33\] ( mprj io_oeb[33] ) ( gpio_control_in\[33\] user_gpio_oeb )
+ ROUTED met2 ( 252720 1943795 ) ( 252720 2289745 )
NEW met2 ( 297840 2289745 ) ( 297840 2290670 )
NEW met3 ( 297840 2290670 ) ( 322080 2290670 0 )
NEW met1 ( 252720 2289745 ) ( 297840 2289745 )
NEW met3 ( 212640 1942870 0 ) ( 212640 1943610 )
NEW met3 ( 212640 1943610 ) ( 213360 1943610 )
NEW met2 ( 213360 1943610 ) ( 213360 1943795 )
NEW met1 ( 213360 1943795 ) ( 252720 1943795 )
NEW met1 ( 252720 1943795 ) M1M2_PR
NEW met1 ( 252720 2289745 ) M1M2_PR
NEW met1 ( 297840 2289745 ) M1M2_PR
NEW met2 ( 297840 2290670 ) via2_FR
NEW met2 ( 213360 1943610 ) via2_FR
NEW met1 ( 213360 1943795 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[34\] ( mprj io_oeb[34] ) ( gpio_control_in\[34\] user_gpio_oeb )
+ ROUTED met2 ( 292080 2073665 ) ( 292080 2075330 )
NEW met3 ( 292080 2075330 ) ( 322080 2075330 0 )
NEW met3 ( 212640 1726790 0 ) ( 214320 1726790 )
NEW met2 ( 214320 1726790 ) ( 214320 1726975 )
NEW met1 ( 214320 1726975 ) ( 225360 1726975 )
NEW met2 ( 225360 1726975 ) ( 225360 2073665 )
NEW met1 ( 225360 2073665 ) ( 292080 2073665 )
NEW met1 ( 292080 2073665 ) M1M2_PR
NEW met2 ( 292080 2075330 ) via2_FR
NEW met2 ( 214320 1726790 ) via2_FR
NEW met1 ( 214320 1726975 ) M1M2_PR
NEW met1 ( 225360 1726975 ) M1M2_PR
NEW met1 ( 225360 2073665 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[35\] ( mprj io_oeb[35] ) ( gpio_control_in\[35\] user_gpio_oeb )
+ ROUTED met2 ( 238800 1511635 ) ( 238800 1857955 )
NEW met2 ( 297840 1857955 ) ( 297840 1859250 )
NEW met3 ( 297840 1859250 ) ( 322080 1859250 0 )
NEW met1 ( 238800 1857955 ) ( 297840 1857955 )
NEW met3 ( 212640 1510710 0 ) ( 212640 1511450 )
NEW met3 ( 212400 1511450 ) ( 212640 1511450 )
NEW met2 ( 212400 1511450 ) ( 212400 1511635 )
NEW met1 ( 212400 1511635 ) ( 238800 1511635 )
NEW met1 ( 238800 1857955 ) M1M2_PR
NEW met1 ( 238800 1511635 ) M1M2_PR
NEW met1 ( 297840 1857955 ) M1M2_PR
NEW met2 ( 297840 1859250 ) via2_FR
NEW met2 ( 212400 1511450 ) via2_FR
NEW met1 ( 212400 1511635 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[36\] ( mprj io_oeb[36] ) ( gpio_control_in\[36\] user_gpio_oeb )
+ ROUTED met2 ( 267120 1294815 ) ( 267120 1641875 )
NEW met2 ( 289200 1641875 ) ( 289200 1643910 )
NEW met3 ( 289200 1643910 ) ( 322080 1643910 0 )
NEW met1 ( 267120 1641875 ) ( 289200 1641875 )
NEW met3 ( 212640 1294630 0 ) ( 213360 1294630 )
NEW met2 ( 213360 1294630 ) ( 213360 1294815 )
NEW met1 ( 213360 1294815 ) ( 267120 1294815 )
NEW met1 ( 267120 1641875 ) M1M2_PR
NEW met1 ( 267120 1294815 ) M1M2_PR
NEW met1 ( 289200 1641875 ) M1M2_PR
NEW met2 ( 289200 1643910 ) via2_FR
NEW met2 ( 213360 1294630 ) via2_FR
NEW met1 ( 213360 1294815 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[37\] ( mprj io_oeb[37] ) ( gpio_control_in\[37\] user_gpio_oeb )
+ ROUTED met3 ( 212640 1078550 0 ) ( 213840 1078550 )
NEW met2 ( 213840 1078550 ) ( 213840 1078735 )
NEW met1 ( 213840 1078735 ) ( 225840 1078735 )
NEW met2 ( 290640 1425795 ) ( 290640 1429310 )
NEW met3 ( 290640 1429310 ) ( 322080 1429310 0 )
NEW met1 ( 225840 1425795 ) ( 290640 1425795 )
NEW met2 ( 225840 1078735 ) ( 225840 1425795 )
NEW met2 ( 213840 1078550 ) via2_FR
NEW met1 ( 213840 1078735 ) M1M2_PR
NEW met1 ( 225840 1078735 ) M1M2_PR
NEW met1 ( 290640 1425795 ) M1M2_PR
NEW met2 ( 290640 1429310 ) via2_FR
NEW met1 ( 225840 1425795 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[3\] ( mprj io_oeb[3] ) ( gpio_control_in\[3\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 2289745 ) ( 3272400 2302510 )
NEW met3 ( 3251040 2302510 0 ) ( 3272400 2302510 )
NEW met1 ( 3305040 1348835 ) ( 3373680 1348835 )
NEW met2 ( 3373680 1348650 ) ( 3373680 1348835 )
NEW met3 ( 3373680 1348650 ) ( 3373920 1348650 )
NEW met3 ( 3373920 1347910 0 ) ( 3373920 1348650 )
NEW met1 ( 3272400 2289745 ) ( 3305040 2289745 )
NEW met2 ( 3305040 1348835 ) ( 3305040 2289745 )
NEW met1 ( 3272400 2289745 ) M1M2_PR
NEW met2 ( 3272400 2302510 ) via2_FR
NEW met1 ( 3305040 1348835 ) M1M2_PR
NEW met1 ( 3373680 1348835 ) M1M2_PR
NEW met2 ( 3373680 1348650 ) via2_FR
NEW met1 ( 3305040 2289745 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[4\] ( mprj io_oeb[4] ) ( gpio_control_in\[4\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 2534685 ) ( 3272400 2537090 )
NEW met3 ( 3251040 2537090 0 ) ( 3272400 2537090 )
NEW met1 ( 3319440 1573795 ) ( 3373680 1573795 )
NEW met2 ( 3373680 1573610 ) ( 3373680 1573795 )
NEW met3 ( 3373680 1573610 ) ( 3373920 1573610 )
NEW met3 ( 3373920 1572870 0 ) ( 3373920 1573610 )
NEW met1 ( 3272400 2534685 ) ( 3319440 2534685 )
NEW met2 ( 3319440 1573795 ) ( 3319440 2534685 )
NEW met1 ( 3272400 2534685 ) M1M2_PR
NEW met2 ( 3272400 2537090 ) via2_FR
NEW met1 ( 3319440 1573795 ) M1M2_PR
NEW met1 ( 3373680 1573795 ) M1M2_PR
NEW met2 ( 3373680 1573610 ) via2_FR
NEW met1 ( 3319440 2534685 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[5\] ( mprj io_oeb[5] ) ( gpio_control_in\[5\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 2772410 0 ) ( 3272400 2772410 )
NEW met2 ( 3272400 2764825 ) ( 3272400 2772410 )
NEW met1 ( 3333360 1798755 ) ( 3373680 1798755 )
NEW met2 ( 3373680 1798570 ) ( 3373680 1798755 )
NEW met3 ( 3373680 1798570 ) ( 3373920 1798570 )
NEW met3 ( 3373920 1797830 0 ) ( 3373920 1798570 )
NEW met1 ( 3272400 2764825 ) ( 3333360 2764825 )
NEW met2 ( 3333360 1798755 ) ( 3333360 2764825 )
NEW met2 ( 3272400 2772410 ) via2_FR
NEW met1 ( 3272400 2764825 ) M1M2_PR
NEW met1 ( 3333360 1798755 ) M1M2_PR
NEW met1 ( 3373680 1798755 ) M1M2_PR
NEW met2 ( 3373680 1798570 ) via2_FR
NEW met1 ( 3333360 2764825 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[6\] ( mprj io_oeb[6] ) ( gpio_control_in\[6\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3006990 0 ) ( 3272400 3006990 )
NEW met2 ( 3272400 2995335 ) ( 3272400 3006990 )
NEW met1 ( 3272400 2995335 ) ( 3362160 2995335 )
NEW met1 ( 3362160 2030375 ) ( 3369360 2030375 )
NEW met2 ( 3369360 2023530 ) ( 3369360 2030375 )
NEW met3 ( 3369360 2023530 ) ( 3373920 2023530 0 )
NEW met2 ( 3362160 2030375 ) ( 3362160 2995335 )
NEW met2 ( 3272400 3006990 ) via2_FR
NEW met1 ( 3272400 2995335 ) M1M2_PR
NEW met1 ( 3362160 2995335 ) M1M2_PR
NEW met1 ( 3362160 2030375 ) M1M2_PR
NEW met1 ( 3369360 2030375 ) M1M2_PR
NEW met2 ( 3369360 2023530 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[7\] ( mprj io_oeb[7] ) ( gpio_control_in\[7\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3241570 0 ) ( 3271440 3241570 )
NEW met2 ( 3271440 3240275 ) ( 3271440 3241570 )
NEW met1 ( 3271440 3240275 ) ( 3320400 3240275 )
NEW met2 ( 3320400 2466235 ) ( 3320400 3240275 )
NEW met2 ( 3375600 2466050 ) ( 3375600 2466235 )
NEW met3 ( 3375600 2466050 ) ( 3375840 2466050 )
NEW met3 ( 3375840 2464570 0 ) ( 3375840 2466050 )
NEW met1 ( 3320400 2466235 ) ( 3375600 2466235 )
NEW met2 ( 3271440 3241570 ) via2_FR
NEW met1 ( 3271440 3240275 ) M1M2_PR
NEW met1 ( 3320400 2466235 ) M1M2_PR
NEW met1 ( 3320400 3240275 ) M1M2_PR
NEW met1 ( 3375600 2466235 ) M1M2_PR
NEW met2 ( 3375600 2466050 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[8\] ( mprj io_oeb[8] ) ( gpio_control_in\[8\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3476150 0 ) ( 3272400 3476150 )
NEW met2 ( 3272400 3470415 ) ( 3272400 3476150 )
NEW met1 ( 3349200 2686015 ) ( 3374160 2686015 )
NEW met2 ( 3374160 2685830 ) ( 3374160 2686015 )
NEW met3 ( 3373920 2685830 ) ( 3374160 2685830 )
NEW met3 ( 3373920 2684350 0 ) ( 3373920 2685830 )
NEW met1 ( 3272400 3470415 ) ( 3349200 3470415 )
NEW met2 ( 3349200 2686015 ) ( 3349200 3470415 )
NEW met2 ( 3272400 3476150 ) via2_FR
NEW met1 ( 3272400 3470415 ) M1M2_PR
NEW met1 ( 3349200 2686015 ) M1M2_PR
NEW met1 ( 3374160 2686015 ) M1M2_PR
NEW met2 ( 3374160 2685830 ) via2_FR
NEW met1 ( 3349200 3470415 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[9\] ( mprj io_oeb[9] ) ( gpio_control_in\[9\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 3710730 0 ) ( 3270000 3710730 )
NEW met2 ( 3270000 3700925 ) ( 3270000 3710730 )
NEW met1 ( 3319920 2910235 ) ( 3372240 2910235 )
NEW met2 ( 3372240 2909680 ) ( 3372240 2910235 )
NEW met3 ( 3372240 2909680 ) ( 3373920 2909680 0 )
NEW met1 ( 3270000 3700925 ) ( 3319920 3700925 )
NEW met2 ( 3319920 2910235 ) ( 3319920 3700925 )
NEW met2 ( 3270000 3710730 ) via2_FR
NEW met1 ( 3270000 3700925 ) M1M2_PR
NEW met1 ( 3319920 2910235 ) M1M2_PR
NEW met1 ( 3372240 2910235 ) M1M2_PR
NEW met2 ( 3372240 2909680 ) via2_FR
NEW met1 ( 3319920 3700925 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[0\] ( mprj io_out[0] ) ( gpio_control_bidir\[0\] user_gpio_out )
+ ROUTED met3 ( 3251040 1539570 0 ) ( 3276720 1539570 )
NEW met2 ( 3276720 663965 ) ( 3276720 1539570 )
NEW met2 ( 3384720 663965 ) ( 3384720 671550 )
NEW met3 ( 3384480 671550 ) ( 3384720 671550 )
NEW met3 ( 3384480 671550 ) ( 3384480 673400 0 )
NEW met1 ( 3276720 663965 ) ( 3384720 663965 )
NEW met1 ( 3276720 663965 ) M1M2_PR
NEW met2 ( 3276720 1539570 ) via2_FR
NEW met1 ( 3384720 663965 ) M1M2_PR
NEW met2 ( 3384720 671550 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[10\] ( mprj io_out[10] ) ( gpio_control_in\[10\] user_gpio_out )
+ ROUTED met3 ( 3251040 3886850 0 ) ( 3270480 3886850 )
NEW met2 ( 3270480 3873715 ) ( 3270480 3886850 )
NEW met1 ( 3270480 3873715 ) ( 3362160 3873715 )
NEW met1 ( 3362160 3138525 ) ( 3372240 3138525 )
NEW met2 ( 3372240 3138340 ) ( 3372240 3138525 )
NEW met3 ( 3372240 3138340 ) ( 3373920 3138340 0 )
NEW met2 ( 3362160 3138525 ) ( 3362160 3873715 )
NEW met2 ( 3270480 3886850 ) via2_FR
NEW met1 ( 3270480 3873715 ) M1M2_PR
NEW met1 ( 3362160 3873715 ) M1M2_PR
NEW met1 ( 3362160 3138525 ) M1M2_PR
NEW met1 ( 3372240 3138525 ) M1M2_PR
NEW met2 ( 3372240 3138340 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[11\] ( mprj io_out[11] ) ( gpio_control_in\[11\] user_gpio_out )
+ ROUTED met3 ( 3251040 4121430 0 ) ( 3270000 4121430 )
NEW met2 ( 3270000 4118655 ) ( 3270000 4121430 )
NEW met1 ( 3270000 4118655 ) ( 3349680 4118655 )
NEW met2 ( 3349680 3364595 ) ( 3349680 4118655 )
NEW met2 ( 3373680 3364410 ) ( 3373680 3364595 )
NEW met3 ( 3373680 3364410 ) ( 3373920 3364410 )
NEW met3 ( 3373920 3363300 0 ) ( 3373920 3364410 )
NEW met1 ( 3349680 3364595 ) ( 3373680 3364595 )
NEW met2 ( 3270000 4121430 ) via2_FR
NEW met1 ( 3270000 4118655 ) M1M2_PR
NEW met1 ( 3349680 3364595 ) M1M2_PR
NEW met1 ( 3349680 4118655 ) M1M2_PR
NEW met1 ( 3373680 3364595 ) M1M2_PR
NEW met2 ( 3373680 3364410 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[12\] ( mprj io_out[12] ) ( gpio_control_in\[12\] user_gpio_out )
+ ROUTED met3 ( 3251040 4356010 0 ) ( 3272400 4356010 )
NEW met2 ( 3272400 4349165 ) ( 3272400 4356010 )
NEW met1 ( 3272400 4349165 ) ( 3318960 4349165 )
NEW met2 ( 3318960 3590295 ) ( 3318960 4349165 )
NEW met2 ( 3373200 3590110 ) ( 3373200 3590295 )
NEW met3 ( 3373200 3590110 ) ( 3373920 3590110 )
NEW met3 ( 3373920 3589370 0 ) ( 3373920 3590110 )
NEW met1 ( 3318960 3590295 ) ( 3373200 3590295 )
NEW met2 ( 3272400 4356010 ) via2_FR
NEW met1 ( 3272400 4349165 ) M1M2_PR
NEW met1 ( 3318960 3590295 ) M1M2_PR
NEW met1 ( 3318960 4349165 ) M1M2_PR
NEW met1 ( 3373200 3590295 ) M1M2_PR
NEW met2 ( 3373200 3590110 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[13\] ( mprj io_out[13] ) ( gpio_control_in\[13\] user_gpio_out )
+ ROUTED met3 ( 3251040 4590590 0 ) ( 3270000 4590590 )
NEW met2 ( 3270000 4579305 ) ( 3270000 4590590 )
NEW met1 ( 3270000 4579305 ) ( 3305040 4579305 )
NEW met2 ( 3305040 3814515 ) ( 3305040 4579305 )
NEW met2 ( 3372240 3814330 ) ( 3372240 3814515 )
NEW met3 ( 3372240 3814330 ) ( 3373920 3814330 0 )
NEW met1 ( 3305040 3814515 ) ( 3372240 3814515 )
NEW met2 ( 3270000 4590590 ) via2_FR
NEW met1 ( 3270000 4579305 ) M1M2_PR
NEW met1 ( 3305040 3814515 ) M1M2_PR
NEW met1 ( 3305040 4579305 ) M1M2_PR
NEW met1 ( 3372240 3814515 ) M1M2_PR
NEW met2 ( 3372240 3814330 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[14\] ( mprj io_out[14] ) ( gpio_control_in\[14\] user_gpio_out )
+ ROUTED met3 ( 3251040 4825170 0 ) ( 3276240 4825170 )
NEW met2 ( 3276240 4696225 ) ( 3276240 4825170 )
NEW met2 ( 3384240 4696225 ) ( 3384240 4704550 )
NEW met3 ( 3384240 4704550 ) ( 3384480 4704550 )
NEW met3 ( 3384480 4704550 ) ( 3384480 4706400 0 )
NEW met1 ( 3276240 4696225 ) ( 3384240 4696225 )
NEW met1 ( 3276240 4696225 ) M1M2_PR
NEW met2 ( 3276240 4825170 ) via2_FR
NEW met1 ( 3384240 4696225 ) M1M2_PR
NEW met2 ( 3384240 4704550 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[15\] ( mprj io_out[15] ) ( gpio_control_in\[15\] user_gpio_out )
+ ROUTED met2 ( 3043920 4917670 0 ) ( 3043920 4947455 )
NEW met1 ( 2850000 4947455 ) ( 3043920 4947455 )
NEW met3 ( 2814240 4979090 0 ) ( 2850000 4979090 )
NEW met2 ( 2850000 4947455 ) ( 2850000 4979090 )
NEW met1 ( 2850000 4947455 ) M1M2_PR
NEW met1 ( 3043920 4947455 ) M1M2_PR
NEW met2 ( 2850000 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[16\] ( mprj io_out[16] ) ( gpio_control_in\[16\] user_gpio_out )
+ ROUTED met2 ( 2718960 4917670 0 ) ( 2718960 4946715 )
NEW met1 ( 2577840 4946715 ) ( 2718960 4946715 )
NEW met3 ( 2557440 4979830 0 ) ( 2577840 4979830 )
NEW met2 ( 2577840 4946715 ) ( 2577840 4979830 )
NEW met1 ( 2577840 4946715 ) M1M2_PR
NEW met1 ( 2718960 4946715 ) M1M2_PR
NEW met2 ( 2577840 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[17\] ( mprj io_out[17] ) ( gpio_control_in\[17\] user_gpio_out )
+ ROUTED met2 ( 2394960 4917670 0 ) ( 2394960 4946715 )
NEW met1 ( 2189520 4946715 ) ( 2394960 4946715 )
NEW met3 ( 2172480 4979830 0 ) ( 2189520 4979830 )
NEW met2 ( 2189520 4946715 ) ( 2189520 4979830 )
NEW met1 ( 2189520 4946715 ) M1M2_PR
NEW met1 ( 2394960 4946715 ) M1M2_PR
NEW met2 ( 2189520 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[18\] ( mprj io_out[18] ) ( gpio_control_in\[18\] user_gpio_out )
+ ROUTED met2 ( 1857840 4946715 ) ( 1857840 4979090 )
NEW met2 ( 2070480 4917670 0 ) ( 2070480 4946715 )
NEW met1 ( 1857840 4946715 ) ( 2070480 4946715 )
NEW met3 ( 1835040 4979090 0 ) ( 1857840 4979090 )
NEW met1 ( 1857840 4946715 ) M1M2_PR
NEW met2 ( 1857840 4979090 ) via2_FR
NEW met1 ( 2070480 4946715 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[19\] ( mprj io_out[19] ) ( gpio_control_in\[19\] user_gpio_out )
+ ROUTED met2 ( 1744080 4917670 ) ( 1746000 4917670 0 )
NEW met2 ( 1744080 4917670 ) ( 1744080 4918410 )
NEW met2 ( 1742640 4918410 ) ( 1744080 4918410 )
NEW met2 ( 1742640 4918410 ) ( 1742640 4946715 )
NEW met2 ( 1598160 4946715 ) ( 1598160 4979830 )
NEW met3 ( 1583520 4979830 0 ) ( 1598160 4979830 )
NEW met1 ( 1598160 4946715 ) ( 1742640 4946715 )
NEW met1 ( 1742640 4946715 ) M1M2_PR
NEW met1 ( 1598160 4946715 ) M1M2_PR
NEW met2 ( 1598160 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[1\] ( mprj io_out[1] ) ( gpio_control_bidir\[1\] user_gpio_out )
+ ROUTED met3 ( 3251040 1774150 0 ) ( 3276240 1774150 )
NEW met2 ( 3276240 893365 ) ( 3276240 1774150 )
NEW met2 ( 3373680 893365 ) ( 3373680 897990 )
NEW met3 ( 3373680 897990 ) ( 3373920 897990 )
NEW met3 ( 3373920 897990 ) ( 3373920 899470 0 )
NEW met1 ( 3276240 893365 ) ( 3373680 893365 )
NEW met1 ( 3276240 893365 ) M1M2_PR
NEW met2 ( 3276240 1774150 ) via2_FR
NEW met1 ( 3373680 893365 ) M1M2_PR
NEW met2 ( 3373680 897990 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[20\] ( mprj io_out[20] ) ( gpio_control_in\[20\] user_gpio_out )
+ ROUTED met2 ( 1421520 4917670 0 ) ( 1421520 4946715 )
NEW met2 ( 1353840 4946715 ) ( 1353840 4979090 )
NEW met1 ( 1353840 4946715 ) ( 1421520 4946715 )
NEW met3 ( 1325280 4979090 0 ) ( 1353840 4979090 )
NEW met1 ( 1421520 4946715 ) M1M2_PR
NEW met1 ( 1353840 4946715 ) M1M2_PR
NEW met2 ( 1353840 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[21\] ( mprj io_out[21] ) ( gpio_control_in\[21\] user_gpio_out )
+ ROUTED met2 ( 1095600 4917670 ) ( 1095600 4979830 )
NEW met2 ( 1095600 4917670 ) ( 1097040 4917670 0 )
NEW met3 ( 1068480 4979830 0 ) ( 1095600 4979830 )
NEW met2 ( 1095600 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[22\] ( mprj io_out[22] ) ( gpio_control_in\[22\] user_gpio_out )
+ ROUTED met2 ( 772560 4917670 0 ) ( 772560 4946715 )
NEW met2 ( 808560 4946715 ) ( 808560 4976130 )
NEW met3 ( 808560 4976130 ) ( 811200 4976130 )
NEW met3 ( 811200 4976130 ) ( 811200 4979090 0 )
NEW met1 ( 772560 4946715 ) ( 808560 4946715 )
NEW met1 ( 772560 4946715 ) M1M2_PR
NEW met1 ( 808560 4946715 ) M1M2_PR
NEW met2 ( 808560 4976130 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[23\] ( mprj io_out[23] ) ( gpio_control_in\[23\] user_gpio_out )
+ ROUTED met3 ( 546960 4977610 ) ( 554400 4977610 )
NEW met3 ( 554400 4977610 ) ( 554400 4979090 0 )
NEW met2 ( 546960 4946345 ) ( 546960 4977610 )
NEW met2 ( 448080 4917670 0 ) ( 448080 4946345 )
NEW met1 ( 448080 4946345 ) ( 546960 4946345 )
NEW met2 ( 546960 4977610 ) via2_FR
NEW met1 ( 546960 4946345 ) M1M2_PR
NEW met1 ( 448080 4946345 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[24\] ( mprj io_out[24] ) ( gpio_control_in\[24\] user_gpio_out )
+ ROUTED met3 ( 212640 4723050 ) ( 212640 4724530 0 )
NEW met3 ( 212640 4723050 ) ( 212880 4723050 )
NEW met2 ( 212880 4723050 ) ( 212880 4723235 )
NEW met2 ( 297840 4723235 ) ( 297840 4733410 )
NEW met3 ( 297840 4733410 ) ( 322080 4733410 0 )
NEW met1 ( 212880 4723235 ) ( 297840 4723235 )
NEW met2 ( 212880 4723050 ) via2_FR
NEW met1 ( 212880 4723235 ) M1M2_PR
NEW met1 ( 297840 4723235 ) M1M2_PR
NEW met2 ( 297840 4733410 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[25\] ( mprj io_out[25] ) ( gpio_control_in\[25\] user_gpio_out )
+ ROUTED met3 ( 212640 4095530 0 ) ( 212640 4096270 )
NEW met3 ( 212640 4096270 ) ( 212880 4096270 )
NEW met2 ( 212880 4096270 ) ( 212880 4096455 )
NEW met1 ( 212880 4096455 ) ( 267600 4096455 )
NEW met2 ( 267600 4096455 ) ( 267600 4435745 )
NEW met2 ( 297840 4435745 ) ( 297840 4445550 )
NEW met3 ( 297840 4445550 ) ( 322080 4445550 0 )
NEW met1 ( 267600 4435745 ) ( 297840 4435745 )
NEW met2 ( 212880 4096270 ) via2_FR
NEW met1 ( 212880 4096455 ) M1M2_PR
NEW met1 ( 267600 4096455 ) M1M2_PR
NEW met1 ( 267600 4435745 ) M1M2_PR
NEW met1 ( 297840 4435745 ) M1M2_PR
NEW met2 ( 297840 4445550 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[26\] ( mprj io_out[26] ) ( gpio_control_in\[26\] user_gpio_out )
+ ROUTED met2 ( 238800 3879635 ) ( 238800 4147515 )
NEW met2 ( 297840 4147515 ) ( 297840 4158430 )
NEW met3 ( 297840 4158430 ) ( 322080 4158430 0 )
NEW met1 ( 238800 4147515 ) ( 297840 4147515 )
NEW met3 ( 212640 3879450 0 ) ( 213840 3879450 )
NEW met2 ( 213840 3879450 ) ( 213840 3879635 )
NEW met1 ( 213840 3879635 ) ( 238800 3879635 )
NEW met1 ( 238800 3879635 ) M1M2_PR
NEW met1 ( 238800 4147515 ) M1M2_PR
NEW met1 ( 297840 4147515 ) M1M2_PR
NEW met2 ( 297840 4158430 ) via2_FR
NEW met2 ( 213840 3879450 ) via2_FR
NEW met1 ( 213840 3879635 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[27\] ( mprj io_out[27] ) ( gpio_control_in\[27\] user_gpio_out )
+ ROUTED met2 ( 267600 3663555 ) ( 267600 3859285 )
NEW met2 ( 297840 3859285 ) ( 297840 3870570 )
NEW met3 ( 297840 3870570 ) ( 322080 3870570 0 )
NEW met1 ( 267600 3859285 ) ( 297840 3859285 )
NEW met3 ( 212640 3663370 0 ) ( 214320 3663370 )
NEW met2 ( 214320 3663370 ) ( 214320 3663555 )
NEW met1 ( 214320 3663555 ) ( 267600 3663555 )
NEW met1 ( 267600 3663555 ) M1M2_PR
NEW met1 ( 267600 3859285 ) M1M2_PR
NEW met1 ( 297840 3859285 ) M1M2_PR
NEW met2 ( 297840 3870570 ) via2_FR
NEW met2 ( 214320 3663370 ) via2_FR
NEW met1 ( 214320 3663555 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[28\] ( mprj io_out[28] ) ( gpio_control_in\[28\] user_gpio_out )
+ ROUTED met2 ( 252240 3447475 ) ( 252240 3571425 )
NEW met2 ( 297840 3571425 ) ( 297840 3583450 )
NEW met3 ( 297840 3583450 ) ( 322080 3583450 0 )
NEW met1 ( 252240 3571425 ) ( 297840 3571425 )
NEW met3 ( 212640 3447290 0 ) ( 214320 3447290 )
NEW met2 ( 214320 3447290 ) ( 214320 3447475 )
NEW met1 ( 214320 3447475 ) ( 252240 3447475 )
NEW met1 ( 252240 3447475 ) M1M2_PR
NEW met1 ( 252240 3571425 ) M1M2_PR
NEW met1 ( 297840 3571425 ) M1M2_PR
NEW met2 ( 297840 3583450 ) via2_FR
NEW met2 ( 214320 3447290 ) via2_FR
NEW met1 ( 214320 3447475 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[29\] ( mprj io_out[29] ) ( gpio_control_in\[29\] user_gpio_out )
+ ROUTED met2 ( 297840 3283565 ) ( 297840 3296330 )
NEW met3 ( 297840 3296330 ) ( 322080 3296330 0 )
NEW met3 ( 212640 3231210 0 ) ( 214800 3231210 )
NEW met2 ( 214800 3231210 ) ( 214800 3283565 )
NEW met1 ( 214800 3283565 ) ( 297840 3283565 )
NEW met1 ( 297840 3283565 ) M1M2_PR
NEW met2 ( 297840 3296330 ) via2_FR
NEW met2 ( 214800 3231210 ) via2_FR
NEW met1 ( 214800 3283565 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[2\] ( mprj io_out[2] ) ( gpio_control_in\[2\] user_gpio_out )
+ ROUTED met2 ( 3272400 2001885 ) ( 3272400 2008730 )
NEW met3 ( 3251040 2008730 0 ) ( 3272400 2008730 )
NEW met1 ( 3272400 2001885 ) ( 3334320 2001885 )
NEW met2 ( 3334320 1125355 ) ( 3334320 2001885 )
NEW met2 ( 3373680 1125170 ) ( 3373680 1125355 )
NEW met3 ( 3373680 1125170 ) ( 3373920 1125170 )
NEW met3 ( 3373920 1124430 0 ) ( 3373920 1125170 )
NEW met1 ( 3334320 1125355 ) ( 3373680 1125355 )
NEW met1 ( 3272400 2001885 ) M1M2_PR
NEW met2 ( 3272400 2008730 ) via2_FR
NEW met1 ( 3334320 2001885 ) M1M2_PR
NEW met1 ( 3334320 1125355 ) M1M2_PR
NEW met1 ( 3373680 1125355 ) M1M2_PR
NEW met2 ( 3373680 1125170 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[30\] ( mprj io_out[30] ) ( gpio_control_in\[30\] user_gpio_out )
+ ROUTED met2 ( 297840 3009210 ) ( 297840 3009395 )
NEW met3 ( 297840 3009210 ) ( 322080 3009210 0 )
NEW met3 ( 212640 3015130 0 ) ( 214320 3015130 )
NEW met2 ( 214320 3009395 ) ( 214320 3015130 )
NEW met1 ( 214320 3009395 ) ( 297840 3009395 )
NEW met1 ( 297840 3009395 ) M1M2_PR
NEW met2 ( 297840 3009210 ) via2_FR
NEW met2 ( 214320 3015130 ) via2_FR
NEW met1 ( 214320 3009395 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[31\] ( mprj io_out[31] ) ( gpio_control_in\[31\] user_gpio_out )
+ ROUTED met3 ( 212640 2798310 ) ( 212640 2799050 0 )
NEW met3 ( 212640 2798310 ) ( 214320 2798310 )
NEW met2 ( 214320 2794425 ) ( 214320 2798310 )
NEW met2 ( 297840 2794425 ) ( 297840 2794610 )
NEW met3 ( 297840 2794610 ) ( 322080 2794610 0 )
NEW met1 ( 214320 2794425 ) ( 297840 2794425 )
NEW met2 ( 214320 2798310 ) via2_FR
NEW met1 ( 214320 2794425 ) M1M2_PR
NEW met1 ( 297840 2794425 ) M1M2_PR
NEW met2 ( 297840 2794610 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[32\] ( mprj io_out[32] ) ( gpio_control_in\[32\] user_gpio_out )
+ ROUTED met2 ( 270000 2161355 ) ( 270000 2577605 )
NEW met2 ( 297840 2577605 ) ( 297840 2578530 )
NEW met3 ( 297840 2578530 ) ( 322080 2578530 0 )
NEW met1 ( 270000 2577605 ) ( 297840 2577605 )
NEW met3 ( 212640 2161170 0 ) ( 214320 2161170 )
NEW met2 ( 214320 2161170 ) ( 214320 2161355 )
NEW met1 ( 214320 2161355 ) ( 270000 2161355 )
NEW met1 ( 270000 2161355 ) M1M2_PR
NEW met1 ( 270000 2577605 ) M1M2_PR
NEW met1 ( 297840 2577605 ) M1M2_PR
NEW met2 ( 297840 2578530 ) via2_FR
NEW met2 ( 214320 2161170 ) via2_FR
NEW met1 ( 214320 2161355 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[33\] ( mprj io_out[33] ) ( gpio_control_in\[33\] user_gpio_out )
+ ROUTED met2 ( 297840 2361895 ) ( 297840 2362450 )
NEW met3 ( 297840 2362450 ) ( 322080 2362450 0 )
NEW met3 ( 211680 1945090 0 ) ( 211680 1946570 )
NEW met3 ( 211680 1946570 ) ( 211920 1946570 )
NEW met2 ( 211920 1946570 ) ( 211920 1946755 )
NEW met1 ( 211920 1946755 ) ( 224400 1946755 )
NEW met1 ( 224400 2361895 ) ( 297840 2361895 )
NEW met2 ( 224400 1946755 ) ( 224400 2361895 )
NEW met1 ( 297840 2361895 ) M1M2_PR
NEW met2 ( 297840 2362450 ) via2_FR
NEW met2 ( 211920 1946570 ) via2_FR
NEW met1 ( 211920 1946755 ) M1M2_PR
NEW met1 ( 224400 1946755 ) M1M2_PR
NEW met1 ( 224400 2361895 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[34\] ( mprj io_out[34] ) ( gpio_control_in\[34\] user_gpio_out )
+ ROUTED met2 ( 238320 1729565 ) ( 238320 2145815 )
NEW met2 ( 297840 2145815 ) ( 297840 2147110 )
NEW met3 ( 297840 2147110 ) ( 322080 2147110 0 )
NEW met1 ( 238320 2145815 ) ( 297840 2145815 )
NEW met3 ( 212640 1729380 0 ) ( 214320 1729380 )
NEW met2 ( 214320 1729380 ) ( 214320 1729565 )
NEW met1 ( 214320 1729565 ) ( 238320 1729565 )
NEW met1 ( 238320 1729565 ) M1M2_PR
NEW met1 ( 238320 2145815 ) M1M2_PR
NEW met1 ( 297840 2145815 ) M1M2_PR
NEW met2 ( 297840 2147110 ) via2_FR
NEW met2 ( 214320 1729380 ) via2_FR
NEW met1 ( 214320 1729565 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[35\] ( mprj io_out[35] ) ( gpio_control_in\[35\] user_gpio_out )
+ ROUTED met2 ( 296400 1929735 ) ( 296400 1931770 )
NEW met3 ( 296400 1931770 ) ( 322080 1931770 0 )
NEW met3 ( 212640 1513300 0 ) ( 214320 1513300 )
NEW met2 ( 214320 1513300 ) ( 214320 1513485 )
NEW met1 ( 214320 1513485 ) ( 224880 1513485 )
NEW met2 ( 224880 1513485 ) ( 224880 1929735 )
NEW met1 ( 224880 1929735 ) ( 296400 1929735 )
NEW met1 ( 296400 1929735 ) M1M2_PR
NEW met2 ( 296400 1931770 ) via2_FR
NEW met2 ( 214320 1513300 ) via2_FR
NEW met1 ( 214320 1513485 ) M1M2_PR
NEW met1 ( 224880 1513485 ) M1M2_PR
NEW met1 ( 224880 1929735 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[36\] ( mprj io_out[36] ) ( gpio_control_in\[36\] user_gpio_out )
+ ROUTED met2 ( 252720 1298515 ) ( 252720 1714025 )
NEW met2 ( 292080 1714025 ) ( 292080 1715690 )
NEW met3 ( 292080 1715690 ) ( 322080 1715690 0 )
NEW met1 ( 252720 1714025 ) ( 292080 1714025 )
NEW met3 ( 212640 1297590 0 ) ( 212640 1298330 )
NEW met3 ( 212640 1298330 ) ( 212880 1298330 )
NEW met2 ( 212880 1298330 ) ( 212880 1298515 )
NEW met1 ( 212880 1298515 ) ( 252720 1298515 )
NEW met1 ( 252720 1298515 ) M1M2_PR
NEW met1 ( 252720 1714025 ) M1M2_PR
NEW met1 ( 292080 1714025 ) M1M2_PR
NEW met2 ( 292080 1715690 ) via2_FR
NEW met2 ( 212880 1298330 ) via2_FR
NEW met1 ( 212880 1298515 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[37\] ( mprj io_out[37] ) ( gpio_control_in\[37\] user_gpio_out )
+ ROUTED met3 ( 212640 1081510 0 ) ( 213840 1081510 )
NEW met2 ( 213840 1081510 ) ( 213840 1081695 )
NEW met1 ( 213840 1081695 ) ( 224880 1081695 )
NEW met2 ( 297360 1497945 ) ( 297360 1500350 )
NEW met3 ( 297360 1500350 ) ( 322080 1500350 0 )
NEW met2 ( 224880 1081695 ) ( 224880 1497945 )
NEW met1 ( 224880 1497945 ) ( 297360 1497945 )
NEW met2 ( 213840 1081510 ) via2_FR
NEW met1 ( 213840 1081695 ) M1M2_PR
NEW met1 ( 224880 1081695 ) M1M2_PR
NEW met1 ( 297360 1497945 ) M1M2_PR
NEW met2 ( 297360 1500350 ) via2_FR
NEW met1 ( 224880 1497945 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[3\] ( mprj io_out[3] ) ( gpio_control_in\[3\] user_gpio_out )
+ ROUTED met3 ( 3251040 2244050 0 ) ( 3271920 2244050 )
NEW met2 ( 3271920 2232025 ) ( 3271920 2244050 )
NEW met1 ( 3271920 2232025 ) ( 3349200 2232025 )
NEW met1 ( 3349200 1350315 ) ( 3372240 1350315 )
NEW met2 ( 3372240 1350130 ) ( 3372240 1350315 )
NEW met3 ( 3372240 1350130 ) ( 3373920 1350130 0 )
NEW met2 ( 3349200 1350315 ) ( 3349200 2232025 )
NEW met2 ( 3271920 2244050 ) via2_FR
NEW met1 ( 3271920 2232025 ) M1M2_PR
NEW met1 ( 3349200 2232025 ) M1M2_PR
NEW met1 ( 3349200 1350315 ) M1M2_PR
NEW met1 ( 3372240 1350315 ) M1M2_PR
NEW met2 ( 3372240 1350130 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[4\] ( mprj io_out[4] ) ( gpio_control_in\[4\] user_gpio_out )
+ ROUTED met3 ( 3251040 2478630 0 ) ( 3271920 2478630 )
NEW met2 ( 3271920 2476965 ) ( 3271920 2478630 )
NEW met1 ( 3271920 2476965 ) ( 3306000 2476965 )
NEW met1 ( 3306000 1575275 ) ( 3372240 1575275 )
NEW met2 ( 3372240 1575090 ) ( 3372240 1575275 )
NEW met3 ( 3372240 1575090 ) ( 3373920 1575090 0 )
NEW met2 ( 3306000 1575275 ) ( 3306000 2476965 )
NEW met2 ( 3271920 2478630 ) via2_FR
NEW met1 ( 3271920 2476965 ) M1M2_PR
NEW met1 ( 3306000 2476965 ) M1M2_PR
NEW met1 ( 3306000 1575275 ) M1M2_PR
NEW met1 ( 3372240 1575275 ) M1M2_PR
NEW met2 ( 3372240 1575090 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[5\] ( mprj io_out[5] ) ( gpio_control_in\[5\] user_gpio_out )
+ ROUTED met3 ( 3251040 2713210 0 ) ( 3272400 2713210 )
NEW met2 ( 3272400 2707475 ) ( 3272400 2713210 )
NEW met1 ( 3348720 1800235 ) ( 3372240 1800235 )
NEW met2 ( 3372240 1800050 ) ( 3372240 1800235 )
NEW met3 ( 3372240 1800050 ) ( 3373920 1800050 0 )
NEW met1 ( 3272400 2707475 ) ( 3348720 2707475 )
NEW met2 ( 3348720 1800235 ) ( 3348720 2707475 )
NEW met2 ( 3272400 2713210 ) via2_FR
NEW met1 ( 3272400 2707475 ) M1M2_PR
NEW met1 ( 3348720 1800235 ) M1M2_PR
NEW met1 ( 3372240 1800235 ) M1M2_PR
NEW met2 ( 3372240 1800050 ) via2_FR
NEW met1 ( 3348720 2707475 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[6\] ( mprj io_out[6] ) ( gpio_control_in\[6\] user_gpio_out )
+ ROUTED met3 ( 3251040 2947790 0 ) ( 3272400 2947790 )
NEW met2 ( 3272400 2937615 ) ( 3272400 2947790 )
NEW met1 ( 3272400 2937615 ) ( 3334320 2937615 )
NEW met2 ( 3334320 2027415 ) ( 3334320 2937615 )
NEW met2 ( 3373680 2027230 ) ( 3373680 2027415 )
NEW met3 ( 3373680 2027230 ) ( 3373920 2027230 )
NEW met3 ( 3373920 2026490 0 ) ( 3373920 2027230 )
NEW met1 ( 3334320 2027415 ) ( 3373680 2027415 )
NEW met2 ( 3272400 2947790 ) via2_FR
NEW met1 ( 3272400 2937615 ) M1M2_PR
NEW met1 ( 3334320 2027415 ) M1M2_PR
NEW met1 ( 3334320 2937615 ) M1M2_PR
NEW met1 ( 3373680 2027415 ) M1M2_PR
NEW met2 ( 3373680 2027230 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[7\] ( mprj io_out[7] ) ( gpio_control_in\[7\] user_gpio_out )
+ ROUTED met3 ( 3251040 3183110 0 ) ( 3276720 3183110 )
NEW met2 ( 3276720 2462535 ) ( 3276720 3183110 )
NEW met2 ( 3374640 2462535 ) ( 3374640 2466050 )
NEW met3 ( 3374640 2466050 ) ( 3374880 2466050 )
NEW met3 ( 3374880 2466050 ) ( 3374880 2467530 0 )
NEW met1 ( 3276720 2462535 ) ( 3374640 2462535 )
NEW met1 ( 3276720 2462535 ) M1M2_PR
NEW met2 ( 3276720 3183110 ) via2_FR
NEW met1 ( 3374640 2462535 ) M1M2_PR
NEW met2 ( 3374640 2466050 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[8\] ( mprj io_out[8] ) ( gpio_control_in\[8\] user_gpio_out )
+ ROUTED met3 ( 3251040 3417690 0 ) ( 3275760 3417690 )
NEW met2 ( 3275760 2678985 ) ( 3275760 3417690 )
NEW met2 ( 3384240 2678985 ) ( 3384240 2685830 )
NEW met3 ( 3384240 2685830 ) ( 3384480 2685830 )
NEW met3 ( 3384480 2685830 ) ( 3384480 2687310 0 )
NEW met1 ( 3275760 2678985 ) ( 3384240 2678985 )
NEW met1 ( 3275760 2678985 ) M1M2_PR
NEW met2 ( 3275760 3417690 ) via2_FR
NEW met1 ( 3384240 2678985 ) M1M2_PR
NEW met2 ( 3384240 2685830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[9\] ( mprj io_out[9] ) ( gpio_control_in\[9\] user_gpio_out )
+ ROUTED met3 ( 3251040 3652270 0 ) ( 3276240 3652270 )
NEW met2 ( 3276240 2909865 ) ( 3276240 3652270 )
NEW met2 ( 3373200 2909865 ) ( 3373200 2910790 )
NEW met3 ( 3373200 2910790 ) ( 3373920 2910790 )
NEW met3 ( 3373920 2910790 ) ( 3373920 2912270 0 )
NEW met1 ( 3276240 2909865 ) ( 3373200 2909865 )
NEW met2 ( 3276240 3652270 ) via2_FR
NEW met1 ( 3276240 2909865 ) M1M2_PR
NEW met1 ( 3373200 2909865 ) M1M2_PR
NEW met2 ( 3373200 2910790 ) via2_FR
+ USE SIGNAL ;
END NETS
END DESIGN