Use DFFRAM behavioural when doing RTL simulation

In RTL simluation we are doing almost gate level simulation of the DFFRAM
which is very slow. Use the behavioural.
1 file changed