blob: 63e08a3f6a21770ef2e3137cf17bdff1c9aade3e [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO user_project_wrapper
CLASS BLOCK ;
FOREIGN user_project_wrapper ;
ORIGIN 0.000 0.000 ;
SIZE 2920.000 BY 3520.000 ;
PIN analog_io[0]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 32.380 2924.800 33.580 ;
END
END analog_io[0]
PIN analog_io[10]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 2555.860 2924.800 2557.060 ;
END
END analog_io[10]
PIN analog_io[11]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 2755.100 2924.800 2756.300 ;
END
END analog_io[11]
PIN analog_io[12]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 2954.340 2924.800 2955.540 ;
END
END analog_io[12]
PIN analog_io[13]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 3153.580 2924.800 3154.780 ;
END
END analog_io[13]
PIN analog_io[14]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 3352.820 2924.800 3354.020 ;
END
END analog_io[14]
PIN analog_io[15]
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 2865.290 3517.600 2865.850 3524.800 ;
END
END analog_io[15]
PIN analog_io[16]
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 2540.990 3517.600 2541.550 3524.800 ;
END
END analog_io[16]
PIN analog_io[17]
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 2216.690 3517.600 2217.250 3524.800 ;
END
END analog_io[17]
PIN analog_io[18]
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 1891.930 3517.600 1892.490 3524.800 ;
END
END analog_io[18]
PIN analog_io[19]
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 1567.630 3517.600 1568.190 3524.800 ;
END
END analog_io[19]
PIN analog_io[1]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 297.580 2924.800 298.780 ;
END
END analog_io[1]
PIN analog_io[20]
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 1243.330 3517.600 1243.890 3524.800 ;
END
END analog_io[20]
PIN analog_io[21]
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 918.570 3517.600 919.130 3524.800 ;
END
END analog_io[21]
PIN analog_io[22]
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 594.270 3517.600 594.830 3524.800 ;
END
END analog_io[22]
PIN analog_io[23]
DIRECTION INOUT ;
PORT
LAYER met2 ;
RECT 269.970 3517.600 270.530 3524.800 ;
END
END analog_io[23]
PIN analog_io[24]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT -4.800 3478.620 2.400 3479.820 ;
END
END analog_io[24]
PIN analog_io[25]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT -4.800 3238.580 2.400 3239.780 ;
END
END analog_io[25]
PIN analog_io[26]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT -4.800 2998.540 2.400 2999.740 ;
END
END analog_io[26]
PIN analog_io[27]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT -4.800 2758.500 2.400 2759.700 ;
END
END analog_io[27]
PIN analog_io[28]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT -4.800 2518.460 2.400 2519.660 ;
END
END analog_io[28]
PIN analog_io[29]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT -4.800 2278.420 2.400 2279.620 ;
END
END analog_io[29]
PIN analog_io[2]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 563.460 2924.800 564.660 ;
END
END analog_io[2]
PIN analog_io[30]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT -4.800 1958.820 2.400 1960.020 ;
END
END analog_io[30]
PIN analog_io[3]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 828.660 2924.800 829.860 ;
END
END analog_io[3]
PIN analog_io[4]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 1094.540 2924.800 1095.740 ;
END
END analog_io[4]
PIN analog_io[5]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 1360.420 2924.800 1361.620 ;
END
END analog_io[5]
PIN analog_io[6]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 1625.620 2924.800 1626.820 ;
END
END analog_io[6]
PIN analog_io[7]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 1891.500 2924.800 1892.700 ;
END
END analog_io[7]
PIN analog_io[8]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 2157.380 2924.800 2158.580 ;
END
END analog_io[8]
PIN analog_io[9]
DIRECTION INOUT ;
PORT
LAYER met3 ;
RECT 2917.600 2356.620 2924.800 2357.820 ;
END
END analog_io[9]
PIN io_in[0]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 98.340 2924.800 99.540 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1984.510 2622.320 1984.830 2622.380 ;
RECT 2900.830 2622.320 2901.150 2622.380 ;
RECT 1984.510 2622.180 2901.150 2622.320 ;
RECT 1984.510 2622.120 1984.830 2622.180 ;
RECT 2900.830 2622.120 2901.150 2622.180 ;
LAYER via ;
RECT 1984.540 2622.120 1984.800 2622.380 ;
RECT 2900.860 2622.120 2901.120 2622.380 ;
LAYER met2 ;
RECT 1984.540 2622.090 1984.800 2622.410 ;
RECT 2900.850 2622.235 2901.130 2622.605 ;
RECT 2900.860 2622.090 2901.120 2622.235 ;
RECT 1984.600 638.365 1984.740 2622.090 ;
RECT 1984.530 637.995 1984.810 638.365 ;
LAYER via2 ;
RECT 2900.850 2622.280 2901.130 2622.560 ;
RECT 1984.530 638.040 1984.810 638.320 ;
LAYER met3 ;
RECT 2900.825 2622.570 2901.155 2622.585 ;
RECT 2917.600 2622.570 2924.800 2623.020 ;
RECT 2900.825 2622.270 2924.800 2622.570 ;
RECT 2900.825 2622.255 2901.155 2622.270 ;
RECT 2917.600 2621.820 2924.800 2622.270 ;
RECT 1984.505 638.330 1984.835 638.345 ;
RECT 1970.180 638.200 1984.835 638.330 ;
RECT 1966.720 638.030 1984.835 638.200 ;
RECT 1966.720 637.600 1970.720 638.030 ;
RECT 1984.505 638.015 1984.835 638.030 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1759.110 2815.440 1759.430 2815.500 ;
RECT 2900.830 2815.440 2901.150 2815.500 ;
RECT 1759.110 2815.300 2901.150 2815.440 ;
RECT 1759.110 2815.240 1759.430 2815.300 ;
RECT 2900.830 2815.240 2901.150 2815.300 ;
LAYER via ;
RECT 1759.140 2815.240 1759.400 2815.500 ;
RECT 2900.860 2815.240 2901.120 2815.500 ;
LAYER met2 ;
RECT 2900.850 2821.475 2901.130 2821.845 ;
RECT 2900.920 2815.530 2901.060 2821.475 ;
RECT 1759.140 2815.210 1759.400 2815.530 ;
RECT 2900.860 2815.210 2901.120 2815.530 ;
RECT 1759.200 473.805 1759.340 2815.210 ;
RECT 1759.130 473.435 1759.410 473.805 ;
LAYER via2 ;
RECT 2900.850 2821.520 2901.130 2821.800 ;
RECT 1759.130 473.480 1759.410 473.760 ;
LAYER met3 ;
RECT 2900.825 2821.810 2901.155 2821.825 ;
RECT 2917.600 2821.810 2924.800 2822.260 ;
RECT 2900.825 2821.510 2924.800 2821.810 ;
RECT 2900.825 2821.495 2901.155 2821.510 ;
RECT 2917.600 2821.060 2924.800 2821.510 ;
RECT 1759.105 473.770 1759.435 473.785 ;
RECT 1759.105 473.640 1771.460 473.770 ;
RECT 1759.105 473.470 1774.720 473.640 ;
RECT 1759.105 473.455 1759.435 473.470 ;
RECT 1770.720 473.040 1774.720 473.470 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1984.050 3015.700 1984.370 3015.760 ;
RECT 2900.830 3015.700 2901.150 3015.760 ;
RECT 1984.050 3015.560 2901.150 3015.700 ;
RECT 1984.050 3015.500 1984.370 3015.560 ;
RECT 2900.830 3015.500 2901.150 3015.560 ;
LAYER via ;
RECT 1984.080 3015.500 1984.340 3015.760 ;
RECT 2900.860 3015.500 2901.120 3015.760 ;
LAYER met2 ;
RECT 2900.850 3020.715 2901.130 3021.085 ;
RECT 2900.920 3015.790 2901.060 3020.715 ;
RECT 1984.080 3015.470 1984.340 3015.790 ;
RECT 2900.860 3015.470 2901.120 3015.790 ;
RECT 1984.140 530.925 1984.280 3015.470 ;
RECT 1984.070 530.555 1984.350 530.925 ;
LAYER via2 ;
RECT 2900.850 3020.760 2901.130 3021.040 ;
RECT 1984.070 530.600 1984.350 530.880 ;
LAYER met3 ;
RECT 2900.825 3021.050 2901.155 3021.065 ;
RECT 2917.600 3021.050 2924.800 3021.500 ;
RECT 2900.825 3020.750 2924.800 3021.050 ;
RECT 2900.825 3020.735 2901.155 3020.750 ;
RECT 2917.600 3020.300 2924.800 3020.750 ;
RECT 1984.045 530.890 1984.375 530.905 ;
RECT 1970.180 530.760 1984.375 530.890 ;
RECT 1966.720 530.590 1984.375 530.760 ;
RECT 1966.720 530.160 1970.720 530.590 ;
RECT 1984.045 530.575 1984.375 530.590 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1959.210 3215.620 1959.530 3215.680 ;
RECT 2900.830 3215.620 2901.150 3215.680 ;
RECT 1959.210 3215.480 2901.150 3215.620 ;
RECT 1959.210 3215.420 1959.530 3215.480 ;
RECT 2900.830 3215.420 2901.150 3215.480 ;
LAYER via ;
RECT 1959.240 3215.420 1959.500 3215.680 ;
RECT 2900.860 3215.420 2901.120 3215.680 ;
LAYER met2 ;
RECT 2900.850 3219.955 2901.130 3220.325 ;
RECT 2900.920 3215.710 2901.060 3219.955 ;
RECT 1959.240 3215.390 1959.500 3215.710 ;
RECT 2900.860 3215.390 2901.120 3215.710 ;
RECT 1955.630 659.330 1955.910 660.000 ;
RECT 1959.300 659.330 1959.440 3215.390 ;
RECT 1955.630 659.190 1959.440 659.330 ;
RECT 1955.630 656.000 1955.910 659.190 ;
LAYER via2 ;
RECT 2900.850 3220.000 2901.130 3220.280 ;
LAYER met3 ;
RECT 2900.825 3220.290 2901.155 3220.305 ;
RECT 2917.600 3220.290 2924.800 3220.740 ;
RECT 2900.825 3219.990 2924.800 3220.290 ;
RECT 2900.825 3219.975 2901.155 3219.990 ;
RECT 2917.600 3219.540 2924.800 3219.990 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1983.590 3415.880 1983.910 3415.940 ;
RECT 2900.830 3415.880 2901.150 3415.940 ;
RECT 1983.590 3415.740 2901.150 3415.880 ;
RECT 1983.590 3415.680 1983.910 3415.740 ;
RECT 2900.830 3415.680 2901.150 3415.740 ;
LAYER via ;
RECT 1983.620 3415.680 1983.880 3415.940 ;
RECT 2900.860 3415.680 2901.120 3415.940 ;
LAYER met2 ;
RECT 2900.850 3419.195 2901.130 3419.565 ;
RECT 2900.920 3415.970 2901.060 3419.195 ;
RECT 1983.620 3415.650 1983.880 3415.970 ;
RECT 2900.860 3415.650 2901.120 3415.970 ;
RECT 1983.680 603.005 1983.820 3415.650 ;
RECT 1983.610 602.635 1983.890 603.005 ;
LAYER via2 ;
RECT 2900.850 3419.240 2901.130 3419.520 ;
RECT 1983.610 602.680 1983.890 602.960 ;
LAYER met3 ;
RECT 2900.825 3419.530 2901.155 3419.545 ;
RECT 2917.600 3419.530 2924.800 3419.980 ;
RECT 2900.825 3419.230 2924.800 3419.530 ;
RECT 2900.825 3419.215 2901.155 3419.230 ;
RECT 2917.600 3418.780 2924.800 3419.230 ;
RECT 1983.585 602.970 1983.915 602.985 ;
RECT 1970.180 602.840 1983.915 602.970 ;
RECT 1966.720 602.670 1983.915 602.840 ;
RECT 1966.720 602.240 1970.720 602.670 ;
RECT 1983.585 602.655 1983.915 602.670 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1848.810 3501.560 1849.130 3501.620 ;
RECT 2757.310 3501.560 2757.630 3501.620 ;
RECT 1848.810 3501.420 2757.630 3501.560 ;
RECT 1848.810 3501.360 1849.130 3501.420 ;
RECT 2757.310 3501.360 2757.630 3501.420 ;
LAYER via ;
RECT 1848.840 3501.360 1849.100 3501.620 ;
RECT 2757.340 3501.360 2757.600 3501.620 ;
LAYER met2 ;
RECT 2757.190 3517.600 2757.750 3524.800 ;
RECT 2757.400 3501.650 2757.540 3517.600 ;
RECT 1848.840 3501.330 1849.100 3501.650 ;
RECT 2757.340 3501.330 2757.600 3501.650 ;
RECT 1846.150 659.330 1846.430 660.000 ;
RECT 1848.900 659.330 1849.040 3501.330 ;
RECT 1846.150 659.190 1849.040 659.330 ;
RECT 1846.150 656.000 1846.430 659.190 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1931.610 3501.900 1931.930 3501.960 ;
RECT 2433.010 3501.900 2433.330 3501.960 ;
RECT 1931.610 3501.760 2433.330 3501.900 ;
RECT 1931.610 3501.700 1931.930 3501.760 ;
RECT 2433.010 3501.700 2433.330 3501.760 ;
LAYER via ;
RECT 1931.640 3501.700 1931.900 3501.960 ;
RECT 2433.040 3501.700 2433.300 3501.960 ;
LAYER met2 ;
RECT 2432.890 3517.600 2433.450 3524.800 ;
RECT 2433.100 3501.990 2433.240 3517.600 ;
RECT 1931.640 3501.670 1931.900 3501.990 ;
RECT 2433.040 3501.670 2433.300 3501.990 ;
RECT 1931.700 660.000 1931.840 3501.670 ;
RECT 1931.700 659.940 1931.990 660.000 ;
RECT 1931.710 656.000 1931.990 659.940 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1883.310 3502.240 1883.630 3502.300 ;
RECT 2108.710 3502.240 2109.030 3502.300 ;
RECT 1883.310 3502.100 2109.030 3502.240 ;
RECT 1883.310 3502.040 1883.630 3502.100 ;
RECT 2108.710 3502.040 2109.030 3502.100 ;
LAYER via ;
RECT 1883.340 3502.040 1883.600 3502.300 ;
RECT 2108.740 3502.040 2109.000 3502.300 ;
LAYER met2 ;
RECT 2108.590 3517.600 2109.150 3524.800 ;
RECT 2108.800 3502.330 2108.940 3517.600 ;
RECT 1883.340 3502.010 1883.600 3502.330 ;
RECT 2108.740 3502.010 2109.000 3502.330 ;
RECT 1883.400 660.010 1883.540 3502.010 ;
RECT 1883.010 660.000 1883.540 660.010 ;
RECT 1882.950 659.870 1883.540 660.000 ;
RECT 1882.950 656.000 1883.230 659.870 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1783.830 3517.600 1784.390 3524.800 ;
RECT 1784.040 3499.125 1784.180 3517.600 ;
RECT 1783.970 3498.755 1784.250 3499.125 ;
RECT 1790.410 462.130 1790.690 462.245 ;
RECT 1791.870 462.130 1792.150 464.000 ;
RECT 1790.410 461.990 1792.150 462.130 ;
RECT 1790.410 461.875 1790.690 461.990 ;
RECT 1791.870 460.000 1792.150 461.990 ;
LAYER via2 ;
RECT 1783.970 3498.800 1784.250 3499.080 ;
RECT 1790.410 461.920 1790.690 462.200 ;
LAYER met3 ;
RECT 1783.945 3499.090 1784.275 3499.105 ;
RECT 1785.990 3499.090 1786.370 3499.100 ;
RECT 1783.945 3498.790 1786.370 3499.090 ;
RECT 1783.945 3498.775 1784.275 3498.790 ;
RECT 1785.990 3498.780 1786.370 3498.790 ;
RECT 1785.990 462.210 1786.370 462.220 ;
RECT 1790.385 462.210 1790.715 462.225 ;
RECT 1785.990 461.910 1790.715 462.210 ;
RECT 1785.990 461.900 1786.370 461.910 ;
RECT 1790.385 461.895 1790.715 461.910 ;
LAYER via3 ;
RECT 1786.020 3498.780 1786.340 3499.100 ;
RECT 1786.020 461.900 1786.340 462.220 ;
LAYER met4 ;
RECT 1786.015 3498.775 1786.345 3499.105 ;
RECT 1786.030 462.225 1786.330 3498.775 ;
RECT 1786.015 461.895 1786.345 462.225 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1459.650 3502.920 1459.970 3502.980 ;
RECT 1762.790 3502.920 1763.110 3502.980 ;
RECT 1459.650 3502.780 1763.110 3502.920 ;
RECT 1459.650 3502.720 1459.970 3502.780 ;
RECT 1762.790 3502.720 1763.110 3502.780 ;
RECT 1762.790 448.360 1763.110 448.420 ;
RECT 1829.030 448.360 1829.350 448.420 ;
RECT 1762.790 448.220 1829.350 448.360 ;
RECT 1762.790 448.160 1763.110 448.220 ;
RECT 1829.030 448.160 1829.350 448.220 ;
LAYER via ;
RECT 1459.680 3502.720 1459.940 3502.980 ;
RECT 1762.820 3502.720 1763.080 3502.980 ;
RECT 1762.820 448.160 1763.080 448.420 ;
RECT 1829.060 448.160 1829.320 448.420 ;
LAYER met2 ;
RECT 1459.530 3517.600 1460.090 3524.800 ;
RECT 1459.740 3503.010 1459.880 3517.600 ;
RECT 1459.680 3502.690 1459.940 3503.010 ;
RECT 1762.820 3502.690 1763.080 3503.010 ;
RECT 1762.880 448.450 1763.020 3502.690 ;
RECT 1828.670 460.090 1828.950 464.000 ;
RECT 1828.670 460.000 1829.260 460.090 ;
RECT 1828.730 459.950 1829.260 460.000 ;
RECT 1829.120 448.450 1829.260 459.950 ;
RECT 1762.820 448.130 1763.080 448.450 ;
RECT 1829.060 448.130 1829.320 448.450 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 364.220 2924.800 365.420 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1135.230 3517.600 1135.790 3524.800 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 810.470 3517.600 811.030 3524.800 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 486.170 3517.600 486.730 3524.800 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 161.870 3517.600 162.430 3524.800 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 3398.380 2.400 3399.580 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 3158.340 2.400 3159.540 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 2918.300 2.400 2919.500 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 2678.940 2.400 2680.140 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 2438.900 2.400 2440.100 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 2198.860 2.400 2200.060 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 629.420 2924.800 630.620 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 1878.580 2.400 1879.780 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 1638.540 2.400 1639.740 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 1398.500 2.400 1399.700 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 1158.460 2.400 1159.660 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 919.100 2.400 920.300 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 679.060 2.400 680.260 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 439.020 2.400 440.220 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT -4.800 198.980 2.400 200.180 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 895.300 2924.800 896.500 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 1161.180 2924.800 1162.380 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 1426.380 2924.800 1427.580 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 1692.260 2924.800 1693.460 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 1958.140 2924.800 1959.340 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1758.650 2222.140 1758.970 2222.200 ;
RECT 2899.450 2222.140 2899.770 2222.200 ;
RECT 1758.650 2222.000 2899.770 2222.140 ;
RECT 1758.650 2221.940 1758.970 2222.000 ;
RECT 2899.450 2221.940 2899.770 2222.000 ;
LAYER via ;
RECT 1758.680 2221.940 1758.940 2222.200 ;
RECT 2899.480 2221.940 2899.740 2222.200 ;
LAYER met2 ;
RECT 2899.470 2223.755 2899.750 2224.125 ;
RECT 2899.540 2222.230 2899.680 2223.755 ;
RECT 1758.680 2221.910 1758.940 2222.230 ;
RECT 2899.480 2221.910 2899.740 2222.230 ;
RECT 1758.740 600.285 1758.880 2221.910 ;
RECT 1758.670 599.915 1758.950 600.285 ;
LAYER via2 ;
RECT 2899.470 2223.800 2899.750 2224.080 ;
RECT 1758.670 599.960 1758.950 600.240 ;
LAYER met3 ;
RECT 2899.445 2224.090 2899.775 2224.105 ;
RECT 2917.600 2224.090 2924.800 2224.540 ;
RECT 2899.445 2223.790 2924.800 2224.090 ;
RECT 2899.445 2223.775 2899.775 2223.790 ;
RECT 2917.600 2223.340 2924.800 2223.790 ;
RECT 1758.645 600.250 1758.975 600.265 ;
RECT 1758.645 600.120 1771.460 600.250 ;
RECT 1758.645 599.950 1774.720 600.120 ;
RECT 1758.645 599.935 1758.975 599.950 ;
RECT 1770.720 599.520 1774.720 599.950 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 1841.910 448.360 1842.230 448.420 ;
RECT 2901.290 448.360 2901.610 448.420 ;
RECT 1841.910 448.220 2901.610 448.360 ;
RECT 1841.910 448.160 1842.230 448.220 ;
RECT 2901.290 448.160 2901.610 448.220 ;
LAYER via ;
RECT 1841.940 448.160 1842.200 448.420 ;
RECT 2901.320 448.160 2901.580 448.420 ;
LAYER met2 ;
RECT 2901.310 2422.995 2901.590 2423.365 ;
RECT 1841.550 460.090 1841.830 464.000 ;
RECT 1841.550 460.000 1842.140 460.090 ;
RECT 1841.610 459.950 1842.140 460.000 ;
RECT 1842.000 448.450 1842.140 459.950 ;
RECT 2901.380 448.450 2901.520 2422.995 ;
RECT 1841.940 448.130 1842.200 448.450 ;
RECT 2901.320 448.130 2901.580 448.450 ;
LAYER via2 ;
RECT 2901.310 2423.040 2901.590 2423.320 ;
LAYER met3 ;
RECT 2901.285 2423.330 2901.615 2423.345 ;
RECT 2917.600 2423.330 2924.800 2423.780 ;
RECT 2901.285 2423.030 2924.800 2423.330 ;
RECT 2901.285 2423.015 2901.615 2423.030 ;
RECT 2917.600 2422.580 2924.800 2423.030 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 230.940 2924.800 232.140 ;
END
END io_oeb[0]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 496.820 2924.800 498.020 ;
END
END io_oeb[1]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 2038.380 2.400 2039.580 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 762.700 2924.800 763.900 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 1718.780 2.400 1719.980 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 1478.740 2.400 1479.940 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 1238.700 2.400 1239.900 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 998.660 2.400 999.860 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 758.620 2.400 759.820 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 518.580 2.400 519.780 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 278.540 2.400 279.740 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 39.180 2.400 40.380 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1027.900 2924.800 1029.100 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1293.780 2924.800 1294.980 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1559.660 2924.800 1560.860 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1824.860 2924.800 1826.060 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2090.740 2924.800 2091.940 ;
END
END io_oeb[7]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 164.980 2924.800 166.180 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2688.460 2924.800 2689.660 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2887.700 2924.800 2888.900 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 3086.940 2924.800 3088.140 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 3286.180 2924.800 3287.380 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 3485.420 2924.800 3486.620 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2649.090 3517.600 2649.650 3524.800 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2324.790 3517.600 2325.350 3524.800 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2000.490 3517.600 2001.050 3524.800 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1675.730 3517.600 1676.290 3524.800 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1351.430 3517.600 1351.990 3524.800 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 430.180 2924.800 431.380 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met1 ;
RECT 1027.250 3502.580 1027.570 3502.640 ;
RECT 1486.790 3502.580 1487.110 3502.640 ;
RECT 1027.250 3502.440 1487.110 3502.580 ;
RECT 1027.250 3502.380 1027.570 3502.440 ;
RECT 1486.790 3502.380 1487.110 3502.440 ;
RECT 1486.790 447.680 1487.110 447.740 ;
RECT 1912.750 447.680 1913.070 447.740 ;
RECT 1486.790 447.540 1913.070 447.680 ;
RECT 1486.790 447.480 1487.110 447.540 ;
RECT 1912.750 447.480 1913.070 447.540 ;
LAYER via ;
RECT 1027.280 3502.380 1027.540 3502.640 ;
RECT 1486.820 3502.380 1487.080 3502.640 ;
RECT 1486.820 447.480 1487.080 447.740 ;
RECT 1912.780 447.480 1913.040 447.740 ;
LAYER met2 ;
RECT 1027.130 3517.600 1027.690 3524.800 ;
RECT 1027.340 3502.670 1027.480 3517.600 ;
RECT 1027.280 3502.350 1027.540 3502.670 ;
RECT 1486.820 3502.350 1487.080 3502.670 ;
RECT 1486.880 447.770 1487.020 3502.350 ;
RECT 1914.230 460.770 1914.510 464.000 ;
RECT 1912.840 460.630 1914.510 460.770 ;
RECT 1912.840 447.770 1912.980 460.630 ;
RECT 1914.230 460.000 1914.510 460.630 ;
RECT 1486.820 447.450 1487.080 447.770 ;
RECT 1912.780 447.450 1913.040 447.770 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met1 ;
RECT 702.490 3502.240 702.810 3502.300 ;
RECT 1493.690 3502.240 1494.010 3502.300 ;
RECT 702.490 3502.100 1494.010 3502.240 ;
RECT 702.490 3502.040 702.810 3502.100 ;
RECT 1493.690 3502.040 1494.010 3502.100 ;
RECT 1493.690 565.660 1494.010 565.720 ;
RECT 1752.670 565.660 1752.990 565.720 ;
RECT 1493.690 565.520 1752.990 565.660 ;
RECT 1493.690 565.460 1494.010 565.520 ;
RECT 1752.670 565.460 1752.990 565.520 ;
LAYER via ;
RECT 702.520 3502.040 702.780 3502.300 ;
RECT 1493.720 3502.040 1493.980 3502.300 ;
RECT 1493.720 565.460 1493.980 565.720 ;
RECT 1752.700 565.460 1752.960 565.720 ;
LAYER met2 ;
RECT 702.370 3517.600 702.930 3524.800 ;
RECT 702.580 3502.330 702.720 3517.600 ;
RECT 702.520 3502.010 702.780 3502.330 ;
RECT 1493.720 3502.010 1493.980 3502.330 ;
RECT 1493.780 565.750 1493.920 3502.010 ;
RECT 1493.720 565.430 1493.980 565.750 ;
RECT 1752.700 565.430 1752.960 565.750 ;
RECT 1752.760 564.925 1752.900 565.430 ;
RECT 1752.690 564.555 1752.970 564.925 ;
LAYER via2 ;
RECT 1752.690 564.600 1752.970 564.880 ;
LAYER met3 ;
RECT 1752.665 564.890 1752.995 564.905 ;
RECT 1752.665 564.760 1771.460 564.890 ;
RECT 1752.665 564.590 1774.720 564.760 ;
RECT 1752.665 564.575 1752.995 564.590 ;
RECT 1770.720 564.160 1774.720 564.590 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met1 ;
RECT 378.190 3501.900 378.510 3501.960 ;
RECT 1776.590 3501.900 1776.910 3501.960 ;
RECT 378.190 3501.760 1776.910 3501.900 ;
RECT 378.190 3501.700 378.510 3501.760 ;
RECT 1776.590 3501.700 1776.910 3501.760 ;
RECT 1776.590 673.100 1776.910 673.160 ;
RECT 1869.970 673.100 1870.290 673.160 ;
RECT 1776.590 672.960 1870.290 673.100 ;
RECT 1776.590 672.900 1776.910 672.960 ;
RECT 1869.970 672.900 1870.290 672.960 ;
LAYER via ;
RECT 378.220 3501.700 378.480 3501.960 ;
RECT 1776.620 3501.700 1776.880 3501.960 ;
RECT 1776.620 672.900 1776.880 673.160 ;
RECT 1870.000 672.900 1870.260 673.160 ;
LAYER met2 ;
RECT 378.070 3517.600 378.630 3524.800 ;
RECT 378.280 3501.990 378.420 3517.600 ;
RECT 378.220 3501.670 378.480 3501.990 ;
RECT 1776.620 3501.670 1776.880 3501.990 ;
RECT 1776.680 673.190 1776.820 3501.670 ;
RECT 1776.620 672.870 1776.880 673.190 ;
RECT 1870.000 672.870 1870.260 673.190 ;
RECT 1870.060 660.000 1870.200 672.870 ;
RECT 1870.060 659.940 1870.350 660.000 ;
RECT 1870.070 656.000 1870.350 659.940 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met1 ;
RECT 53.890 3501.560 54.210 3501.620 ;
RECT 1507.490 3501.560 1507.810 3501.620 ;
RECT 53.890 3501.420 1507.810 3501.560 ;
RECT 53.890 3501.360 54.210 3501.420 ;
RECT 1507.490 3501.360 1507.810 3501.420 ;
RECT 1507.490 496.640 1507.810 496.700 ;
RECT 1756.810 496.640 1757.130 496.700 ;
RECT 1507.490 496.500 1757.130 496.640 ;
RECT 1507.490 496.440 1507.810 496.500 ;
RECT 1756.810 496.440 1757.130 496.500 ;
LAYER via ;
RECT 53.920 3501.360 54.180 3501.620 ;
RECT 1507.520 3501.360 1507.780 3501.620 ;
RECT 1507.520 496.440 1507.780 496.700 ;
RECT 1756.840 496.440 1757.100 496.700 ;
LAYER met2 ;
RECT 53.770 3517.600 54.330 3524.800 ;
RECT 53.980 3501.650 54.120 3517.600 ;
RECT 53.920 3501.330 54.180 3501.650 ;
RECT 1507.520 3501.330 1507.780 3501.650 ;
RECT 1507.580 496.730 1507.720 3501.330 ;
RECT 1507.520 496.410 1507.780 496.730 ;
RECT 1756.840 496.410 1757.100 496.730 ;
RECT 1756.900 491.485 1757.040 496.410 ;
RECT 1756.830 491.115 1757.110 491.485 ;
LAYER via2 ;
RECT 1756.830 491.160 1757.110 491.440 ;
LAYER met3 ;
RECT 1756.805 491.450 1757.135 491.465 ;
RECT 1756.805 491.320 1771.460 491.450 ;
RECT 1756.805 491.150 1774.720 491.320 ;
RECT 1756.805 491.135 1757.135 491.150 ;
RECT 1770.720 490.720 1774.720 491.150 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met1 ;
RECT 17.090 3318.980 17.410 3319.040 ;
RECT 1967.950 3318.980 1968.270 3319.040 ;
RECT 17.090 3318.840 1968.270 3318.980 ;
RECT 17.090 3318.780 17.410 3318.840 ;
RECT 1967.950 3318.780 1968.270 3318.840 ;
LAYER via ;
RECT 17.120 3318.780 17.380 3319.040 ;
RECT 1967.980 3318.780 1968.240 3319.040 ;
LAYER met2 ;
RECT 17.110 3319.235 17.390 3319.605 ;
RECT 17.180 3319.070 17.320 3319.235 ;
RECT 17.120 3318.750 17.380 3319.070 ;
RECT 1967.980 3318.750 1968.240 3319.070 ;
RECT 1968.040 496.130 1968.180 3318.750 ;
RECT 1968.430 496.130 1968.710 496.245 ;
RECT 1968.040 495.990 1968.710 496.130 ;
RECT 1968.430 495.875 1968.710 495.990 ;
LAYER via2 ;
RECT 17.110 3319.280 17.390 3319.560 ;
RECT 1968.430 495.920 1968.710 496.200 ;
LAYER met3 ;
RECT -4.800 3319.570 2.400 3320.020 ;
RECT 17.085 3319.570 17.415 3319.585 ;
RECT -4.800 3319.270 17.415 3319.570 ;
RECT -4.800 3318.820 2.400 3319.270 ;
RECT 17.085 3319.255 17.415 3319.270 ;
RECT 1968.405 496.210 1968.735 496.225 ;
RECT 1968.190 495.895 1968.735 496.210 ;
RECT 1968.190 494.040 1968.490 495.895 ;
RECT 1966.720 493.440 1970.720 494.040 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met1 ;
RECT 17.090 3077.580 17.410 3077.640 ;
RECT 1969.790 3077.580 1970.110 3077.640 ;
RECT 17.090 3077.440 1970.110 3077.580 ;
RECT 17.090 3077.380 17.410 3077.440 ;
RECT 1969.790 3077.380 1970.110 3077.440 ;
LAYER via ;
RECT 17.120 3077.380 17.380 3077.640 ;
RECT 1969.820 3077.380 1970.080 3077.640 ;
LAYER met2 ;
RECT 17.110 3079.195 17.390 3079.565 ;
RECT 17.180 3077.670 17.320 3079.195 ;
RECT 17.120 3077.350 17.380 3077.670 ;
RECT 1969.820 3077.350 1970.080 3077.670 ;
RECT 1969.880 586.005 1970.020 3077.350 ;
RECT 1969.810 585.635 1970.090 586.005 ;
LAYER via2 ;
RECT 17.110 3079.240 17.390 3079.520 ;
RECT 1969.810 585.680 1970.090 585.960 ;
LAYER met3 ;
RECT -4.800 3079.530 2.400 3079.980 ;
RECT 17.085 3079.530 17.415 3079.545 ;
RECT -4.800 3079.230 17.415 3079.530 ;
RECT -4.800 3078.780 2.400 3079.230 ;
RECT 17.085 3079.215 17.415 3079.230 ;
RECT 1969.785 585.970 1970.115 585.985 ;
RECT 1969.785 585.655 1970.330 585.970 ;
RECT 1970.030 583.800 1970.330 585.655 ;
RECT 1966.720 583.200 1970.720 583.800 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met1 ;
RECT 15.710 2836.180 16.030 2836.240 ;
RECT 1790.390 2836.180 1790.710 2836.240 ;
RECT 15.710 2836.040 1790.710 2836.180 ;
RECT 15.710 2835.980 16.030 2836.040 ;
RECT 1790.390 2835.980 1790.710 2836.040 ;
RECT 1790.390 676.160 1790.710 676.220 ;
RECT 1809.250 676.160 1809.570 676.220 ;
RECT 1790.390 676.020 1809.570 676.160 ;
RECT 1790.390 675.960 1790.710 676.020 ;
RECT 1809.250 675.960 1809.570 676.020 ;
LAYER via ;
RECT 15.740 2835.980 16.000 2836.240 ;
RECT 1790.420 2835.980 1790.680 2836.240 ;
RECT 1790.420 675.960 1790.680 676.220 ;
RECT 1809.280 675.960 1809.540 676.220 ;
LAYER met2 ;
RECT 15.730 2839.155 16.010 2839.525 ;
RECT 15.800 2836.270 15.940 2839.155 ;
RECT 15.740 2835.950 16.000 2836.270 ;
RECT 1790.420 2835.950 1790.680 2836.270 ;
RECT 1790.480 676.250 1790.620 2835.950 ;
RECT 1790.420 675.930 1790.680 676.250 ;
RECT 1809.280 675.930 1809.540 676.250 ;
RECT 1809.340 660.000 1809.480 675.930 ;
RECT 1809.340 659.940 1809.630 660.000 ;
RECT 1809.350 656.000 1809.630 659.940 ;
LAYER via2 ;
RECT 15.730 2839.200 16.010 2839.480 ;
LAYER met3 ;
RECT -4.800 2839.490 2.400 2839.940 ;
RECT 15.705 2839.490 16.035 2839.505 ;
RECT -4.800 2839.190 16.035 2839.490 ;
RECT -4.800 2838.740 2.400 2839.190 ;
RECT 15.705 2839.175 16.035 2839.190 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met1 ;
RECT 17.090 2594.780 17.410 2594.840 ;
RECT 1794.070 2594.780 1794.390 2594.840 ;
RECT 17.090 2594.640 1794.390 2594.780 ;
RECT 17.090 2594.580 17.410 2594.640 ;
RECT 1794.070 2594.580 1794.390 2594.640 ;
LAYER via ;
RECT 17.120 2594.580 17.380 2594.840 ;
RECT 1794.100 2594.580 1794.360 2594.840 ;
LAYER met2 ;
RECT 17.110 2599.115 17.390 2599.485 ;
RECT 17.180 2594.870 17.320 2599.115 ;
RECT 17.120 2594.550 17.380 2594.870 ;
RECT 1794.100 2594.550 1794.360 2594.870 ;
RECT 1794.160 659.330 1794.300 2594.550 ;
RECT 1797.390 659.330 1797.670 660.000 ;
RECT 1794.160 659.190 1797.670 659.330 ;
RECT 1797.390 656.000 1797.670 659.190 ;
LAYER via2 ;
RECT 17.110 2599.160 17.390 2599.440 ;
LAYER met3 ;
RECT -4.800 2599.450 2.400 2599.900 ;
RECT 17.085 2599.450 17.415 2599.465 ;
RECT -4.800 2599.150 17.415 2599.450 ;
RECT -4.800 2598.700 2.400 2599.150 ;
RECT 17.085 2599.135 17.415 2599.150 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met1 ;
RECT 17.090 2353.040 17.410 2353.100 ;
RECT 1514.390 2353.040 1514.710 2353.100 ;
RECT 17.090 2352.900 1514.710 2353.040 ;
RECT 17.090 2352.840 17.410 2352.900 ;
RECT 1514.390 2352.840 1514.710 2352.900 ;
RECT 1514.390 531.320 1514.710 531.380 ;
RECT 1752.670 531.320 1752.990 531.380 ;
RECT 1514.390 531.180 1752.990 531.320 ;
RECT 1514.390 531.120 1514.710 531.180 ;
RECT 1752.670 531.120 1752.990 531.180 ;
LAYER via ;
RECT 17.120 2352.840 17.380 2353.100 ;
RECT 1514.420 2352.840 1514.680 2353.100 ;
RECT 1514.420 531.120 1514.680 531.380 ;
RECT 1752.700 531.120 1752.960 531.380 ;
LAYER met2 ;
RECT 17.110 2359.075 17.390 2359.445 ;
RECT 17.180 2353.130 17.320 2359.075 ;
RECT 17.120 2352.810 17.380 2353.130 ;
RECT 1514.420 2352.810 1514.680 2353.130 ;
RECT 1514.480 531.410 1514.620 2352.810 ;
RECT 1514.420 531.090 1514.680 531.410 ;
RECT 1752.700 531.090 1752.960 531.410 ;
RECT 1752.760 528.205 1752.900 531.090 ;
RECT 1752.690 527.835 1752.970 528.205 ;
LAYER via2 ;
RECT 17.110 2359.120 17.390 2359.400 ;
RECT 1752.690 527.880 1752.970 528.160 ;
LAYER met3 ;
RECT -4.800 2359.410 2.400 2359.860 ;
RECT 17.085 2359.410 17.415 2359.425 ;
RECT -4.800 2359.110 17.415 2359.410 ;
RECT -4.800 2358.660 2.400 2359.110 ;
RECT 17.085 2359.095 17.415 2359.110 ;
RECT 1752.665 528.170 1752.995 528.185 ;
RECT 1752.665 528.040 1771.460 528.170 ;
RECT 1752.665 527.870 1774.720 528.040 ;
RECT 1752.665 527.855 1752.995 527.870 ;
RECT 1770.720 527.440 1774.720 527.870 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 2118.620 2.400 2119.820 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 696.060 2924.800 697.260 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 1799.020 2.400 1800.220 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 1558.980 2.400 1560.180 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 1318.940 2.400 1320.140 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 1078.900 2.400 1080.100 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 838.860 2.400 840.060 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 598.820 2.400 600.020 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 358.780 2.400 359.980 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT -4.800 118.740 2.400 119.940 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 961.940 2924.800 963.140 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1227.140 2924.800 1228.340 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1493.020 2924.800 1494.220 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1758.900 2924.800 1760.100 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2024.100 2924.800 2025.300 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2289.980 2924.800 2291.180 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2489.220 2924.800 2490.420 ;
END
END io_out[9]
PIN la_data_in[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 632.910 -4.800 633.470 2.400 ;
END
END la_data_in[0]
PIN la_data_in[100]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2417.250 -4.800 2417.810 2.400 ;
END
END la_data_in[100]
PIN la_data_in[101]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2434.730 -4.800 2435.290 2.400 ;
END
END la_data_in[101]
PIN la_data_in[102]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2452.670 -4.800 2453.230 2.400 ;
END
END la_data_in[102]
PIN la_data_in[103]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2470.610 -4.800 2471.170 2.400 ;
END
END la_data_in[103]
PIN la_data_in[104]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2488.550 -4.800 2489.110 2.400 ;
END
END la_data_in[104]
PIN la_data_in[105]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2506.030 -4.800 2506.590 2.400 ;
END
END la_data_in[105]
PIN la_data_in[106]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2523.970 -4.800 2524.530 2.400 ;
END
END la_data_in[106]
PIN la_data_in[107]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2541.910 -4.800 2542.470 2.400 ;
END
END la_data_in[107]
PIN la_data_in[108]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2559.850 -4.800 2560.410 2.400 ;
END
END la_data_in[108]
PIN la_data_in[109]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2577.790 -4.800 2578.350 2.400 ;
END
END la_data_in[109]
PIN la_data_in[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 811.390 -4.800 811.950 2.400 ;
END
END la_data_in[10]
PIN la_data_in[110]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2595.270 -4.800 2595.830 2.400 ;
END
END la_data_in[110]
PIN la_data_in[111]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2613.210 -4.800 2613.770 2.400 ;
END
END la_data_in[111]
PIN la_data_in[112]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2631.150 -4.800 2631.710 2.400 ;
END
END la_data_in[112]
PIN la_data_in[113]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2649.090 -4.800 2649.650 2.400 ;
END
END la_data_in[113]
PIN la_data_in[114]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2667.030 -4.800 2667.590 2.400 ;
END
END la_data_in[114]
PIN la_data_in[115]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2684.510 -4.800 2685.070 2.400 ;
END
END la_data_in[115]
PIN la_data_in[116]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2702.450 -4.800 2703.010 2.400 ;
END
END la_data_in[116]
PIN la_data_in[117]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2720.390 -4.800 2720.950 2.400 ;
END
END la_data_in[117]
PIN la_data_in[118]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2738.330 -4.800 2738.890 2.400 ;
END
END la_data_in[118]
PIN la_data_in[119]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2755.810 -4.800 2756.370 2.400 ;
END
END la_data_in[119]
PIN la_data_in[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 829.330 -4.800 829.890 2.400 ;
END
END la_data_in[11]
PIN la_data_in[120]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2773.750 -4.800 2774.310 2.400 ;
END
END la_data_in[120]
PIN la_data_in[121]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2791.690 -4.800 2792.250 2.400 ;
END
END la_data_in[121]
PIN la_data_in[122]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2809.630 -4.800 2810.190 2.400 ;
END
END la_data_in[122]
PIN la_data_in[123]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2827.570 -4.800 2828.130 2.400 ;
END
END la_data_in[123]
PIN la_data_in[124]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2845.050 -4.800 2845.610 2.400 ;
END
END la_data_in[124]
PIN la_data_in[125]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2862.990 -4.800 2863.550 2.400 ;
END
END la_data_in[125]
PIN la_data_in[126]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2880.930 -4.800 2881.490 2.400 ;
END
END la_data_in[126]
PIN la_data_in[127]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2898.870 -4.800 2899.430 2.400 ;
END
END la_data_in[127]
PIN la_data_in[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 846.810 -4.800 847.370 2.400 ;
END
END la_data_in[12]
PIN la_data_in[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 864.750 -4.800 865.310 2.400 ;
END
END la_data_in[13]
PIN la_data_in[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 882.690 -4.800 883.250 2.400 ;
END
END la_data_in[14]
PIN la_data_in[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 900.630 -4.800 901.190 2.400 ;
END
END la_data_in[15]
PIN la_data_in[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 918.570 -4.800 919.130 2.400 ;
END
END la_data_in[16]
PIN la_data_in[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 936.050 -4.800 936.610 2.400 ;
END
END la_data_in[17]
PIN la_data_in[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 953.990 -4.800 954.550 2.400 ;
END
END la_data_in[18]
PIN la_data_in[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 971.930 -4.800 972.490 2.400 ;
END
END la_data_in[19]
PIN la_data_in[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 650.850 -4.800 651.410 2.400 ;
END
END la_data_in[1]
PIN la_data_in[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 989.870 -4.800 990.430 2.400 ;
END
END la_data_in[20]
PIN la_data_in[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1007.350 -4.800 1007.910 2.400 ;
END
END la_data_in[21]
PIN la_data_in[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1025.290 -4.800 1025.850 2.400 ;
END
END la_data_in[22]
PIN la_data_in[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1043.230 -4.800 1043.790 2.400 ;
END
END la_data_in[23]
PIN la_data_in[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1061.170 -4.800 1061.730 2.400 ;
END
END la_data_in[24]
PIN la_data_in[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1079.110 -4.800 1079.670 2.400 ;
END
END la_data_in[25]
PIN la_data_in[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1096.590 -4.800 1097.150 2.400 ;
END
END la_data_in[26]
PIN la_data_in[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1114.530 -4.800 1115.090 2.400 ;
END
END la_data_in[27]
PIN la_data_in[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1132.470 -4.800 1133.030 2.400 ;
END
END la_data_in[28]
PIN la_data_in[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1150.410 -4.800 1150.970 2.400 ;
END
END la_data_in[29]
PIN la_data_in[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 668.790 -4.800 669.350 2.400 ;
END
END la_data_in[2]
PIN la_data_in[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1168.350 -4.800 1168.910 2.400 ;
END
END la_data_in[30]
PIN la_data_in[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1185.830 -4.800 1186.390 2.400 ;
END
END la_data_in[31]
PIN la_data_in[32]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1203.770 -4.800 1204.330 2.400 ;
END
END la_data_in[32]
PIN la_data_in[33]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1221.710 -4.800 1222.270 2.400 ;
END
END la_data_in[33]
PIN la_data_in[34]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1239.650 -4.800 1240.210 2.400 ;
END
END la_data_in[34]
PIN la_data_in[35]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1257.130 -4.800 1257.690 2.400 ;
END
END la_data_in[35]
PIN la_data_in[36]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1275.070 -4.800 1275.630 2.400 ;
END
END la_data_in[36]
PIN la_data_in[37]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1293.010 -4.800 1293.570 2.400 ;
END
END la_data_in[37]
PIN la_data_in[38]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1310.950 -4.800 1311.510 2.400 ;
END
END la_data_in[38]
PIN la_data_in[39]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1328.890 -4.800 1329.450 2.400 ;
END
END la_data_in[39]
PIN la_data_in[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 686.270 -4.800 686.830 2.400 ;
END
END la_data_in[3]
PIN la_data_in[40]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1346.370 -4.800 1346.930 2.400 ;
END
END la_data_in[40]
PIN la_data_in[41]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1364.310 -4.800 1364.870 2.400 ;
END
END la_data_in[41]
PIN la_data_in[42]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1382.250 -4.800 1382.810 2.400 ;
END
END la_data_in[42]
PIN la_data_in[43]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1400.190 -4.800 1400.750 2.400 ;
END
END la_data_in[43]
PIN la_data_in[44]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1418.130 -4.800 1418.690 2.400 ;
END
END la_data_in[44]
PIN la_data_in[45]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1435.610 -4.800 1436.170 2.400 ;
END
END la_data_in[45]
PIN la_data_in[46]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1453.550 -4.800 1454.110 2.400 ;
END
END la_data_in[46]
PIN la_data_in[47]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1471.490 -4.800 1472.050 2.400 ;
END
END la_data_in[47]
PIN la_data_in[48]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1489.430 -4.800 1489.990 2.400 ;
END
END la_data_in[48]
PIN la_data_in[49]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1506.910 -4.800 1507.470 2.400 ;
END
END la_data_in[49]
PIN la_data_in[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 704.210 -4.800 704.770 2.400 ;
END
END la_data_in[4]
PIN la_data_in[50]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1524.850 -4.800 1525.410 2.400 ;
END
END la_data_in[50]
PIN la_data_in[51]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1542.790 -4.800 1543.350 2.400 ;
END
END la_data_in[51]
PIN la_data_in[52]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1560.730 -4.800 1561.290 2.400 ;
END
END la_data_in[52]
PIN la_data_in[53]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1578.670 -4.800 1579.230 2.400 ;
END
END la_data_in[53]
PIN la_data_in[54]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1596.150 -4.800 1596.710 2.400 ;
END
END la_data_in[54]
PIN la_data_in[55]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1614.090 -4.800 1614.650 2.400 ;
END
END la_data_in[55]
PIN la_data_in[56]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1632.030 -4.800 1632.590 2.400 ;
END
END la_data_in[56]
PIN la_data_in[57]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1649.970 -4.800 1650.530 2.400 ;
END
END la_data_in[57]
PIN la_data_in[58]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1667.910 -4.800 1668.470 2.400 ;
END
END la_data_in[58]
PIN la_data_in[59]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1685.390 -4.800 1685.950 2.400 ;
END
END la_data_in[59]
PIN la_data_in[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 722.150 -4.800 722.710 2.400 ;
END
END la_data_in[5]
PIN la_data_in[60]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1703.330 -4.800 1703.890 2.400 ;
END
END la_data_in[60]
PIN la_data_in[61]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1721.270 -4.800 1721.830 2.400 ;
END
END la_data_in[61]
PIN la_data_in[62]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1739.210 -4.800 1739.770 2.400 ;
END
END la_data_in[62]
PIN la_data_in[63]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1756.690 -4.800 1757.250 2.400 ;
END
END la_data_in[63]
PIN la_data_in[64]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1774.630 -4.800 1775.190 2.400 ;
END
END la_data_in[64]
PIN la_data_in[65]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1792.570 -4.800 1793.130 2.400 ;
END
END la_data_in[65]
PIN la_data_in[66]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1810.510 -4.800 1811.070 2.400 ;
END
END la_data_in[66]
PIN la_data_in[67]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1828.450 -4.800 1829.010 2.400 ;
END
END la_data_in[67]
PIN la_data_in[68]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1845.930 -4.800 1846.490 2.400 ;
END
END la_data_in[68]
PIN la_data_in[69]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1863.870 -4.800 1864.430 2.400 ;
END
END la_data_in[69]
PIN la_data_in[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 740.090 -4.800 740.650 2.400 ;
END
END la_data_in[6]
PIN la_data_in[70]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1881.810 -4.800 1882.370 2.400 ;
END
END la_data_in[70]
PIN la_data_in[71]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1899.750 -4.800 1900.310 2.400 ;
END
END la_data_in[71]
PIN la_data_in[72]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1917.690 -4.800 1918.250 2.400 ;
END
END la_data_in[72]
PIN la_data_in[73]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1935.170 -4.800 1935.730 2.400 ;
END
END la_data_in[73]
PIN la_data_in[74]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1953.110 -4.800 1953.670 2.400 ;
END
END la_data_in[74]
PIN la_data_in[75]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1971.050 -4.800 1971.610 2.400 ;
END
END la_data_in[75]
PIN la_data_in[76]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1988.990 -4.800 1989.550 2.400 ;
END
END la_data_in[76]
PIN la_data_in[77]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2006.470 -4.800 2007.030 2.400 ;
END
END la_data_in[77]
PIN la_data_in[78]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2024.410 -4.800 2024.970 2.400 ;
END
END la_data_in[78]
PIN la_data_in[79]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2042.350 -4.800 2042.910 2.400 ;
END
END la_data_in[79]
PIN la_data_in[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 757.570 -4.800 758.130 2.400 ;
END
END la_data_in[7]
PIN la_data_in[80]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2060.290 -4.800 2060.850 2.400 ;
END
END la_data_in[80]
PIN la_data_in[81]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2078.230 -4.800 2078.790 2.400 ;
END
END la_data_in[81]
PIN la_data_in[82]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2095.710 -4.800 2096.270 2.400 ;
END
END la_data_in[82]
PIN la_data_in[83]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2113.650 -4.800 2114.210 2.400 ;
END
END la_data_in[83]
PIN la_data_in[84]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2131.590 -4.800 2132.150 2.400 ;
END
END la_data_in[84]
PIN la_data_in[85]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2149.530 -4.800 2150.090 2.400 ;
END
END la_data_in[85]
PIN la_data_in[86]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2167.470 -4.800 2168.030 2.400 ;
END
END la_data_in[86]
PIN la_data_in[87]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2184.950 -4.800 2185.510 2.400 ;
END
END la_data_in[87]
PIN la_data_in[88]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2202.890 -4.800 2203.450 2.400 ;
END
END la_data_in[88]
PIN la_data_in[89]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2220.830 -4.800 2221.390 2.400 ;
END
END la_data_in[89]
PIN la_data_in[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 775.510 -4.800 776.070 2.400 ;
END
END la_data_in[8]
PIN la_data_in[90]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2238.770 -4.800 2239.330 2.400 ;
END
END la_data_in[90]
PIN la_data_in[91]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2256.250 -4.800 2256.810 2.400 ;
END
END la_data_in[91]
PIN la_data_in[92]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2274.190 -4.800 2274.750 2.400 ;
END
END la_data_in[92]
PIN la_data_in[93]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2292.130 -4.800 2292.690 2.400 ;
END
END la_data_in[93]
PIN la_data_in[94]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2310.070 -4.800 2310.630 2.400 ;
END
END la_data_in[94]
PIN la_data_in[95]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2328.010 -4.800 2328.570 2.400 ;
END
END la_data_in[95]
PIN la_data_in[96]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2345.490 -4.800 2346.050 2.400 ;
END
END la_data_in[96]
PIN la_data_in[97]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2363.430 -4.800 2363.990 2.400 ;
END
END la_data_in[97]
PIN la_data_in[98]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2381.370 -4.800 2381.930 2.400 ;
END
END la_data_in[98]
PIN la_data_in[99]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2399.310 -4.800 2399.870 2.400 ;
END
END la_data_in[99]
PIN la_data_in[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 793.450 -4.800 794.010 2.400 ;
END
END la_data_in[9]
PIN la_data_out[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 638.890 -4.800 639.450 2.400 ;
END
END la_data_out[0]
PIN la_data_out[100]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2422.770 -4.800 2423.330 2.400 ;
END
END la_data_out[100]
PIN la_data_out[101]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2440.710 -4.800 2441.270 2.400 ;
END
END la_data_out[101]
PIN la_data_out[102]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2458.650 -4.800 2459.210 2.400 ;
END
END la_data_out[102]
PIN la_data_out[103]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2476.590 -4.800 2477.150 2.400 ;
END
END la_data_out[103]
PIN la_data_out[104]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2494.530 -4.800 2495.090 2.400 ;
END
END la_data_out[104]
PIN la_data_out[105]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2512.010 -4.800 2512.570 2.400 ;
END
END la_data_out[105]
PIN la_data_out[106]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2529.950 -4.800 2530.510 2.400 ;
END
END la_data_out[106]
PIN la_data_out[107]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2547.890 -4.800 2548.450 2.400 ;
END
END la_data_out[107]
PIN la_data_out[108]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2565.830 -4.800 2566.390 2.400 ;
END
END la_data_out[108]
PIN la_data_out[109]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2583.770 -4.800 2584.330 2.400 ;
END
END la_data_out[109]
PIN la_data_out[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 817.370 -4.800 817.930 2.400 ;
END
END la_data_out[10]
PIN la_data_out[110]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2601.250 -4.800 2601.810 2.400 ;
END
END la_data_out[110]
PIN la_data_out[111]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2619.190 -4.800 2619.750 2.400 ;
END
END la_data_out[111]
PIN la_data_out[112]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2637.130 -4.800 2637.690 2.400 ;
END
END la_data_out[112]
PIN la_data_out[113]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2655.070 -4.800 2655.630 2.400 ;
END
END la_data_out[113]
PIN la_data_out[114]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2672.550 -4.800 2673.110 2.400 ;
END
END la_data_out[114]
PIN la_data_out[115]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2690.490 -4.800 2691.050 2.400 ;
END
END la_data_out[115]
PIN la_data_out[116]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2708.430 -4.800 2708.990 2.400 ;
END
END la_data_out[116]
PIN la_data_out[117]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2726.370 -4.800 2726.930 2.400 ;
END
END la_data_out[117]
PIN la_data_out[118]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2744.310 -4.800 2744.870 2.400 ;
END
END la_data_out[118]
PIN la_data_out[119]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2761.790 -4.800 2762.350 2.400 ;
END
END la_data_out[119]
PIN la_data_out[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 835.310 -4.800 835.870 2.400 ;
END
END la_data_out[11]
PIN la_data_out[120]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2779.730 -4.800 2780.290 2.400 ;
END
END la_data_out[120]
PIN la_data_out[121]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2797.670 -4.800 2798.230 2.400 ;
END
END la_data_out[121]
PIN la_data_out[122]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2815.610 -4.800 2816.170 2.400 ;
END
END la_data_out[122]
PIN la_data_out[123]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2833.550 -4.800 2834.110 2.400 ;
END
END la_data_out[123]
PIN la_data_out[124]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2851.030 -4.800 2851.590 2.400 ;
END
END la_data_out[124]
PIN la_data_out[125]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2868.970 -4.800 2869.530 2.400 ;
END
END la_data_out[125]
PIN la_data_out[126]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2886.910 -4.800 2887.470 2.400 ;
END
END la_data_out[126]
PIN la_data_out[127]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2904.850 -4.800 2905.410 2.400 ;
END
END la_data_out[127]
PIN la_data_out[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 852.790 -4.800 853.350 2.400 ;
END
END la_data_out[12]
PIN la_data_out[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 870.730 -4.800 871.290 2.400 ;
END
END la_data_out[13]
PIN la_data_out[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 888.670 -4.800 889.230 2.400 ;
END
END la_data_out[14]
PIN la_data_out[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 906.610 -4.800 907.170 2.400 ;
END
END la_data_out[15]
PIN la_data_out[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 924.090 -4.800 924.650 2.400 ;
END
END la_data_out[16]
PIN la_data_out[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 942.030 -4.800 942.590 2.400 ;
END
END la_data_out[17]
PIN la_data_out[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 959.970 -4.800 960.530 2.400 ;
END
END la_data_out[18]
PIN la_data_out[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 977.910 -4.800 978.470 2.400 ;
END
END la_data_out[19]
PIN la_data_out[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 656.830 -4.800 657.390 2.400 ;
END
END la_data_out[1]
PIN la_data_out[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 995.850 -4.800 996.410 2.400 ;
END
END la_data_out[20]
PIN la_data_out[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1013.330 -4.800 1013.890 2.400 ;
END
END la_data_out[21]
PIN la_data_out[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1031.270 -4.800 1031.830 2.400 ;
END
END la_data_out[22]
PIN la_data_out[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1049.210 -4.800 1049.770 2.400 ;
END
END la_data_out[23]
PIN la_data_out[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1067.150 -4.800 1067.710 2.400 ;
END
END la_data_out[24]
PIN la_data_out[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1085.090 -4.800 1085.650 2.400 ;
END
END la_data_out[25]
PIN la_data_out[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1102.570 -4.800 1103.130 2.400 ;
END
END la_data_out[26]
PIN la_data_out[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1120.510 -4.800 1121.070 2.400 ;
END
END la_data_out[27]
PIN la_data_out[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1138.450 -4.800 1139.010 2.400 ;
END
END la_data_out[28]
PIN la_data_out[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1156.390 -4.800 1156.950 2.400 ;
END
END la_data_out[29]
PIN la_data_out[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 674.310 -4.800 674.870 2.400 ;
END
END la_data_out[2]
PIN la_data_out[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1173.870 -4.800 1174.430 2.400 ;
END
END la_data_out[30]
PIN la_data_out[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1191.810 -4.800 1192.370 2.400 ;
END
END la_data_out[31]
PIN la_data_out[32]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1209.750 -4.800 1210.310 2.400 ;
END
END la_data_out[32]
PIN la_data_out[33]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1227.690 -4.800 1228.250 2.400 ;
END
END la_data_out[33]
PIN la_data_out[34]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1245.630 -4.800 1246.190 2.400 ;
END
END la_data_out[34]
PIN la_data_out[35]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1263.110 -4.800 1263.670 2.400 ;
END
END la_data_out[35]
PIN la_data_out[36]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1281.050 -4.800 1281.610 2.400 ;
END
END la_data_out[36]
PIN la_data_out[37]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1298.990 -4.800 1299.550 2.400 ;
END
END la_data_out[37]
PIN la_data_out[38]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1316.930 -4.800 1317.490 2.400 ;
END
END la_data_out[38]
PIN la_data_out[39]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1334.870 -4.800 1335.430 2.400 ;
END
END la_data_out[39]
PIN la_data_out[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 692.250 -4.800 692.810 2.400 ;
END
END la_data_out[3]
PIN la_data_out[40]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1352.350 -4.800 1352.910 2.400 ;
END
END la_data_out[40]
PIN la_data_out[41]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1370.290 -4.800 1370.850 2.400 ;
END
END la_data_out[41]
PIN la_data_out[42]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1388.230 -4.800 1388.790 2.400 ;
END
END la_data_out[42]
PIN la_data_out[43]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1406.170 -4.800 1406.730 2.400 ;
END
END la_data_out[43]
PIN la_data_out[44]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1423.650 -4.800 1424.210 2.400 ;
END
END la_data_out[44]
PIN la_data_out[45]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1441.590 -4.800 1442.150 2.400 ;
END
END la_data_out[45]
PIN la_data_out[46]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1459.530 -4.800 1460.090 2.400 ;
END
END la_data_out[46]
PIN la_data_out[47]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1477.470 -4.800 1478.030 2.400 ;
END
END la_data_out[47]
PIN la_data_out[48]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1495.410 -4.800 1495.970 2.400 ;
END
END la_data_out[48]
PIN la_data_out[49]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1512.890 -4.800 1513.450 2.400 ;
END
END la_data_out[49]
PIN la_data_out[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 710.190 -4.800 710.750 2.400 ;
END
END la_data_out[4]
PIN la_data_out[50]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1530.830 -4.800 1531.390 2.400 ;
END
END la_data_out[50]
PIN la_data_out[51]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1548.770 -4.800 1549.330 2.400 ;
END
END la_data_out[51]
PIN la_data_out[52]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1566.710 -4.800 1567.270 2.400 ;
END
END la_data_out[52]
PIN la_data_out[53]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1584.650 -4.800 1585.210 2.400 ;
END
END la_data_out[53]
PIN la_data_out[54]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1602.130 -4.800 1602.690 2.400 ;
END
END la_data_out[54]
PIN la_data_out[55]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1620.070 -4.800 1620.630 2.400 ;
END
END la_data_out[55]
PIN la_data_out[56]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1638.010 -4.800 1638.570 2.400 ;
END
END la_data_out[56]
PIN la_data_out[57]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1655.950 -4.800 1656.510 2.400 ;
END
END la_data_out[57]
PIN la_data_out[58]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1673.430 -4.800 1673.990 2.400 ;
END
END la_data_out[58]
PIN la_data_out[59]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1691.370 -4.800 1691.930 2.400 ;
END
END la_data_out[59]
PIN la_data_out[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 728.130 -4.800 728.690 2.400 ;
END
END la_data_out[5]
PIN la_data_out[60]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1709.310 -4.800 1709.870 2.400 ;
END
END la_data_out[60]
PIN la_data_out[61]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1727.250 -4.800 1727.810 2.400 ;
END
END la_data_out[61]
PIN la_data_out[62]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1745.190 -4.800 1745.750 2.400 ;
END
END la_data_out[62]
PIN la_data_out[63]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1762.670 -4.800 1763.230 2.400 ;
END
END la_data_out[63]
PIN la_data_out[64]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1780.610 -4.800 1781.170 2.400 ;
END
END la_data_out[64]
PIN la_data_out[65]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1798.550 -4.800 1799.110 2.400 ;
END
END la_data_out[65]
PIN la_data_out[66]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1816.490 -4.800 1817.050 2.400 ;
END
END la_data_out[66]
PIN la_data_out[67]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1834.430 -4.800 1834.990 2.400 ;
END
END la_data_out[67]
PIN la_data_out[68]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1851.910 -4.800 1852.470 2.400 ;
END
END la_data_out[68]
PIN la_data_out[69]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1869.850 -4.800 1870.410 2.400 ;
END
END la_data_out[69]
PIN la_data_out[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 746.070 -4.800 746.630 2.400 ;
END
END la_data_out[6]
PIN la_data_out[70]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1887.790 -4.800 1888.350 2.400 ;
END
END la_data_out[70]
PIN la_data_out[71]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1905.730 -4.800 1906.290 2.400 ;
END
END la_data_out[71]
PIN la_data_out[72]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1923.210 -4.800 1923.770 2.400 ;
END
END la_data_out[72]
PIN la_data_out[73]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1941.150 -4.800 1941.710 2.400 ;
END
END la_data_out[73]
PIN la_data_out[74]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1959.090 -4.800 1959.650 2.400 ;
END
END la_data_out[74]
PIN la_data_out[75]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1977.030 -4.800 1977.590 2.400 ;
END
END la_data_out[75]
PIN la_data_out[76]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1994.970 -4.800 1995.530 2.400 ;
END
END la_data_out[76]
PIN la_data_out[77]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2012.450 -4.800 2013.010 2.400 ;
END
END la_data_out[77]
PIN la_data_out[78]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2030.390 -4.800 2030.950 2.400 ;
END
END la_data_out[78]
PIN la_data_out[79]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2048.330 -4.800 2048.890 2.400 ;
END
END la_data_out[79]
PIN la_data_out[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 763.550 -4.800 764.110 2.400 ;
END
END la_data_out[7]
PIN la_data_out[80]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2066.270 -4.800 2066.830 2.400 ;
END
END la_data_out[80]
PIN la_data_out[81]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2084.210 -4.800 2084.770 2.400 ;
END
END la_data_out[81]
PIN la_data_out[82]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2101.690 -4.800 2102.250 2.400 ;
END
END la_data_out[82]
PIN la_data_out[83]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2119.630 -4.800 2120.190 2.400 ;
END
END la_data_out[83]
PIN la_data_out[84]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2137.570 -4.800 2138.130 2.400 ;
END
END la_data_out[84]
PIN la_data_out[85]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2155.510 -4.800 2156.070 2.400 ;
END
END la_data_out[85]
PIN la_data_out[86]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2172.990 -4.800 2173.550 2.400 ;
END
END la_data_out[86]
PIN la_data_out[87]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2190.930 -4.800 2191.490 2.400 ;
END
END la_data_out[87]
PIN la_data_out[88]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2208.870 -4.800 2209.430 2.400 ;
END
END la_data_out[88]
PIN la_data_out[89]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2226.810 -4.800 2227.370 2.400 ;
END
END la_data_out[89]
PIN la_data_out[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 781.490 -4.800 782.050 2.400 ;
END
END la_data_out[8]
PIN la_data_out[90]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2244.750 -4.800 2245.310 2.400 ;
END
END la_data_out[90]
PIN la_data_out[91]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2262.230 -4.800 2262.790 2.400 ;
END
END la_data_out[91]
PIN la_data_out[92]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2280.170 -4.800 2280.730 2.400 ;
END
END la_data_out[92]
PIN la_data_out[93]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2298.110 -4.800 2298.670 2.400 ;
END
END la_data_out[93]
PIN la_data_out[94]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2316.050 -4.800 2316.610 2.400 ;
END
END la_data_out[94]
PIN la_data_out[95]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2333.990 -4.800 2334.550 2.400 ;
END
END la_data_out[95]
PIN la_data_out[96]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2351.470 -4.800 2352.030 2.400 ;
END
END la_data_out[96]
PIN la_data_out[97]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2369.410 -4.800 2369.970 2.400 ;
END
END la_data_out[97]
PIN la_data_out[98]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2387.350 -4.800 2387.910 2.400 ;
END
END la_data_out[98]
PIN la_data_out[99]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2405.290 -4.800 2405.850 2.400 ;
END
END la_data_out[99]
PIN la_data_out[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 799.430 -4.800 799.990 2.400 ;
END
END la_data_out[9]
PIN la_oen[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 644.870 -4.800 645.430 2.400 ;
END
END la_oen[0]
PIN la_oen[100]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2428.750 -4.800 2429.310 2.400 ;
END
END la_oen[100]
PIN la_oen[101]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2446.690 -4.800 2447.250 2.400 ;
END
END la_oen[101]
PIN la_oen[102]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2464.630 -4.800 2465.190 2.400 ;
END
END la_oen[102]
PIN la_oen[103]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2482.570 -4.800 2483.130 2.400 ;
END
END la_oen[103]
PIN la_oen[104]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2500.510 -4.800 2501.070 2.400 ;
END
END la_oen[104]
PIN la_oen[105]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2517.990 -4.800 2518.550 2.400 ;
END
END la_oen[105]
PIN la_oen[106]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2535.930 -4.800 2536.490 2.400 ;
END
END la_oen[106]
PIN la_oen[107]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2553.870 -4.800 2554.430 2.400 ;
END
END la_oen[107]
PIN la_oen[108]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2571.810 -4.800 2572.370 2.400 ;
END
END la_oen[108]
PIN la_oen[109]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2589.290 -4.800 2589.850 2.400 ;
END
END la_oen[109]
PIN la_oen[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 823.350 -4.800 823.910 2.400 ;
END
END la_oen[10]
PIN la_oen[110]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2607.230 -4.800 2607.790 2.400 ;
END
END la_oen[110]
PIN la_oen[111]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2625.170 -4.800 2625.730 2.400 ;
END
END la_oen[111]
PIN la_oen[112]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2643.110 -4.800 2643.670 2.400 ;
END
END la_oen[112]
PIN la_oen[113]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2661.050 -4.800 2661.610 2.400 ;
END
END la_oen[113]
PIN la_oen[114]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2678.530 -4.800 2679.090 2.400 ;
END
END la_oen[114]
PIN la_oen[115]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2696.470 -4.800 2697.030 2.400 ;
END
END la_oen[115]
PIN la_oen[116]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2714.410 -4.800 2714.970 2.400 ;
END
END la_oen[116]
PIN la_oen[117]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2732.350 -4.800 2732.910 2.400 ;
END
END la_oen[117]
PIN la_oen[118]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2750.290 -4.800 2750.850 2.400 ;
END
END la_oen[118]
PIN la_oen[119]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2767.770 -4.800 2768.330 2.400 ;
END
END la_oen[119]
PIN la_oen[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 840.830 -4.800 841.390 2.400 ;
END
END la_oen[11]
PIN la_oen[120]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2785.710 -4.800 2786.270 2.400 ;
END
END la_oen[120]
PIN la_oen[121]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2803.650 -4.800 2804.210 2.400 ;
END
END la_oen[121]
PIN la_oen[122]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2821.590 -4.800 2822.150 2.400 ;
END
END la_oen[122]
PIN la_oen[123]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2839.070 -4.800 2839.630 2.400 ;
END
END la_oen[123]
PIN la_oen[124]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2857.010 -4.800 2857.570 2.400 ;
END
END la_oen[124]
PIN la_oen[125]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2874.950 -4.800 2875.510 2.400 ;
END
END la_oen[125]
PIN la_oen[126]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2892.890 -4.800 2893.450 2.400 ;
END
END la_oen[126]
PIN la_oen[127]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2910.830 -4.800 2911.390 2.400 ;
END
END la_oen[127]
PIN la_oen[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 858.770 -4.800 859.330 2.400 ;
END
END la_oen[12]
PIN la_oen[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 876.710 -4.800 877.270 2.400 ;
END
END la_oen[13]
PIN la_oen[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 894.650 -4.800 895.210 2.400 ;
END
END la_oen[14]
PIN la_oen[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 912.590 -4.800 913.150 2.400 ;
END
END la_oen[15]
PIN la_oen[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 930.070 -4.800 930.630 2.400 ;
END
END la_oen[16]
PIN la_oen[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 948.010 -4.800 948.570 2.400 ;
END
END la_oen[17]
PIN la_oen[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 965.950 -4.800 966.510 2.400 ;
END
END la_oen[18]
PIN la_oen[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 983.890 -4.800 984.450 2.400 ;
END
END la_oen[19]
PIN la_oen[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 662.810 -4.800 663.370 2.400 ;
END
END la_oen[1]
PIN la_oen[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1001.830 -4.800 1002.390 2.400 ;
END
END la_oen[20]
PIN la_oen[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1019.310 -4.800 1019.870 2.400 ;
END
END la_oen[21]
PIN la_oen[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1037.250 -4.800 1037.810 2.400 ;
END
END la_oen[22]
PIN la_oen[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1055.190 -4.800 1055.750 2.400 ;
END
END la_oen[23]
PIN la_oen[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1073.130 -4.800 1073.690 2.400 ;
END
END la_oen[24]
PIN la_oen[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1090.610 -4.800 1091.170 2.400 ;
END
END la_oen[25]
PIN la_oen[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1108.550 -4.800 1109.110 2.400 ;
END
END la_oen[26]
PIN la_oen[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1126.490 -4.800 1127.050 2.400 ;
END
END la_oen[27]
PIN la_oen[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1144.430 -4.800 1144.990 2.400 ;
END
END la_oen[28]
PIN la_oen[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1162.370 -4.800 1162.930 2.400 ;
END
END la_oen[29]
PIN la_oen[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 680.290 -4.800 680.850 2.400 ;
END
END la_oen[2]
PIN la_oen[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1179.850 -4.800 1180.410 2.400 ;
END
END la_oen[30]
PIN la_oen[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1197.790 -4.800 1198.350 2.400 ;
END
END la_oen[31]
PIN la_oen[32]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1215.730 -4.800 1216.290 2.400 ;
END
END la_oen[32]
PIN la_oen[33]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1233.670 -4.800 1234.230 2.400 ;
END
END la_oen[33]
PIN la_oen[34]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1251.610 -4.800 1252.170 2.400 ;
END
END la_oen[34]
PIN la_oen[35]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1269.090 -4.800 1269.650 2.400 ;
END
END la_oen[35]
PIN la_oen[36]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1287.030 -4.800 1287.590 2.400 ;
END
END la_oen[36]
PIN la_oen[37]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1304.970 -4.800 1305.530 2.400 ;
END
END la_oen[37]
PIN la_oen[38]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1322.910 -4.800 1323.470 2.400 ;
END
END la_oen[38]
PIN la_oen[39]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1340.390 -4.800 1340.950 2.400 ;
END
END la_oen[39]
PIN la_oen[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 698.230 -4.800 698.790 2.400 ;
END
END la_oen[3]
PIN la_oen[40]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1358.330 -4.800 1358.890 2.400 ;
END
END la_oen[40]
PIN la_oen[41]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1376.270 -4.800 1376.830 2.400 ;
END
END la_oen[41]
PIN la_oen[42]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1394.210 -4.800 1394.770 2.400 ;
END
END la_oen[42]
PIN la_oen[43]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1412.150 -4.800 1412.710 2.400 ;
END
END la_oen[43]
PIN la_oen[44]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1429.630 -4.800 1430.190 2.400 ;
END
END la_oen[44]
PIN la_oen[45]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1447.570 -4.800 1448.130 2.400 ;
END
END la_oen[45]
PIN la_oen[46]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1465.510 -4.800 1466.070 2.400 ;
END
END la_oen[46]
PIN la_oen[47]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1483.450 -4.800 1484.010 2.400 ;
END
END la_oen[47]
PIN la_oen[48]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1501.390 -4.800 1501.950 2.400 ;
END
END la_oen[48]
PIN la_oen[49]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1518.870 -4.800 1519.430 2.400 ;
END
END la_oen[49]
PIN la_oen[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 716.170 -4.800 716.730 2.400 ;
END
END la_oen[4]
PIN la_oen[50]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1536.810 -4.800 1537.370 2.400 ;
END
END la_oen[50]
PIN la_oen[51]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1554.750 -4.800 1555.310 2.400 ;
END
END la_oen[51]
PIN la_oen[52]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1572.690 -4.800 1573.250 2.400 ;
END
END la_oen[52]
PIN la_oen[53]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1590.170 -4.800 1590.730 2.400 ;
END
END la_oen[53]
PIN la_oen[54]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1608.110 -4.800 1608.670 2.400 ;
END
END la_oen[54]
PIN la_oen[55]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1626.050 -4.800 1626.610 2.400 ;
END
END la_oen[55]
PIN la_oen[56]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1643.990 -4.800 1644.550 2.400 ;
END
END la_oen[56]
PIN la_oen[57]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1661.930 -4.800 1662.490 2.400 ;
END
END la_oen[57]
PIN la_oen[58]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1679.410 -4.800 1679.970 2.400 ;
END
END la_oen[58]
PIN la_oen[59]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1697.350 -4.800 1697.910 2.400 ;
END
END la_oen[59]
PIN la_oen[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 734.110 -4.800 734.670 2.400 ;
END
END la_oen[5]
PIN la_oen[60]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1715.290 -4.800 1715.850 2.400 ;
END
END la_oen[60]
PIN la_oen[61]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1733.230 -4.800 1733.790 2.400 ;
END
END la_oen[61]
PIN la_oen[62]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1751.170 -4.800 1751.730 2.400 ;
END
END la_oen[62]
PIN la_oen[63]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1768.650 -4.800 1769.210 2.400 ;
END
END la_oen[63]
PIN la_oen[64]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1786.590 -4.800 1787.150 2.400 ;
END
END la_oen[64]
PIN la_oen[65]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1804.530 -4.800 1805.090 2.400 ;
END
END la_oen[65]
PIN la_oen[66]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1822.470 -4.800 1823.030 2.400 ;
END
END la_oen[66]
PIN la_oen[67]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1839.950 -4.800 1840.510 2.400 ;
END
END la_oen[67]
PIN la_oen[68]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1857.890 -4.800 1858.450 2.400 ;
END
END la_oen[68]
PIN la_oen[69]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1875.830 -4.800 1876.390 2.400 ;
END
END la_oen[69]
PIN la_oen[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 752.050 -4.800 752.610 2.400 ;
END
END la_oen[6]
PIN la_oen[70]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1893.770 -4.800 1894.330 2.400 ;
END
END la_oen[70]
PIN la_oen[71]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1911.710 -4.800 1912.270 2.400 ;
END
END la_oen[71]
PIN la_oen[72]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1929.190 -4.800 1929.750 2.400 ;
END
END la_oen[72]
PIN la_oen[73]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1947.130 -4.800 1947.690 2.400 ;
END
END la_oen[73]
PIN la_oen[74]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1965.070 -4.800 1965.630 2.400 ;
END
END la_oen[74]
PIN la_oen[75]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1983.010 -4.800 1983.570 2.400 ;
END
END la_oen[75]
PIN la_oen[76]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2000.950 -4.800 2001.510 2.400 ;
END
END la_oen[76]
PIN la_oen[77]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2018.430 -4.800 2018.990 2.400 ;
END
END la_oen[77]
PIN la_oen[78]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2036.370 -4.800 2036.930 2.400 ;
END
END la_oen[78]
PIN la_oen[79]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2054.310 -4.800 2054.870 2.400 ;
END
END la_oen[79]
PIN la_oen[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 769.530 -4.800 770.090 2.400 ;
END
END la_oen[7]
PIN la_oen[80]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2072.250 -4.800 2072.810 2.400 ;
END
END la_oen[80]
PIN la_oen[81]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2089.730 -4.800 2090.290 2.400 ;
END
END la_oen[81]
PIN la_oen[82]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2107.670 -4.800 2108.230 2.400 ;
END
END la_oen[82]
PIN la_oen[83]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2125.610 -4.800 2126.170 2.400 ;
END
END la_oen[83]
PIN la_oen[84]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2143.550 -4.800 2144.110 2.400 ;
END
END la_oen[84]
PIN la_oen[85]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2161.490 -4.800 2162.050 2.400 ;
END
END la_oen[85]
PIN la_oen[86]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2178.970 -4.800 2179.530 2.400 ;
END
END la_oen[86]
PIN la_oen[87]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2196.910 -4.800 2197.470 2.400 ;
END
END la_oen[87]
PIN la_oen[88]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2214.850 -4.800 2215.410 2.400 ;
END
END la_oen[88]
PIN la_oen[89]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2232.790 -4.800 2233.350 2.400 ;
END
END la_oen[89]
PIN la_oen[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 787.470 -4.800 788.030 2.400 ;
END
END la_oen[8]
PIN la_oen[90]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2250.730 -4.800 2251.290 2.400 ;
END
END la_oen[90]
PIN la_oen[91]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2268.210 -4.800 2268.770 2.400 ;
END
END la_oen[91]
PIN la_oen[92]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2286.150 -4.800 2286.710 2.400 ;
END
END la_oen[92]
PIN la_oen[93]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2304.090 -4.800 2304.650 2.400 ;
END
END la_oen[93]
PIN la_oen[94]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2322.030 -4.800 2322.590 2.400 ;
END
END la_oen[94]
PIN la_oen[95]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2339.510 -4.800 2340.070 2.400 ;
END
END la_oen[95]
PIN la_oen[96]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2357.450 -4.800 2358.010 2.400 ;
END
END la_oen[96]
PIN la_oen[97]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2375.390 -4.800 2375.950 2.400 ;
END
END la_oen[97]
PIN la_oen[98]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2393.330 -4.800 2393.890 2.400 ;
END
END la_oen[98]
PIN la_oen[99]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2411.270 -4.800 2411.830 2.400 ;
END
END la_oen[99]
PIN la_oen[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 805.410 -4.800 805.970 2.400 ;
END
END la_oen[9]
PIN user_clock2
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1893.450 656.610 1893.730 656.725 ;
RECT 1894.910 656.610 1895.190 660.000 ;
RECT 1893.450 656.470 1895.190 656.610 ;
RECT 1893.450 656.355 1893.730 656.470 ;
RECT 1894.910 656.000 1895.190 656.470 ;
RECT 2916.950 16.475 2917.230 16.845 ;
RECT 2917.020 2.400 2917.160 16.475 ;
RECT 2916.810 -4.800 2917.370 2.400 ;
LAYER via2 ;
RECT 1893.450 656.400 1893.730 656.680 ;
RECT 2916.950 16.520 2917.230 16.800 ;
LAYER met3 ;
RECT 1892.710 656.690 1893.090 656.700 ;
RECT 1893.425 656.690 1893.755 656.705 ;
RECT 1892.710 656.390 1893.755 656.690 ;
RECT 1892.710 656.380 1893.090 656.390 ;
RECT 1893.425 656.375 1893.755 656.390 ;
RECT 1892.710 16.810 1893.090 16.820 ;
RECT 2916.925 16.810 2917.255 16.825 ;
RECT 1892.710 16.510 2917.255 16.810 ;
RECT 1892.710 16.500 1893.090 16.510 ;
RECT 2916.925 16.495 2917.255 16.510 ;
LAYER via3 ;
RECT 1892.740 656.380 1893.060 656.700 ;
RECT 1892.740 16.500 1893.060 16.820 ;
LAYER met4 ;
RECT 1892.735 656.375 1893.065 656.705 ;
RECT 1892.750 16.825 1893.050 656.375 ;
RECT 1892.735 16.495 1893.065 16.825 ;
END
END user_clock2
PIN wb_clk_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2.710 -4.800 3.270 2.400 ;
END
END wb_clk_i
PIN wb_rst_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 8.230 -4.800 8.790 2.400 ;
END
END wb_rst_i
PIN wbs_ack_o
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 14.210 -4.800 14.770 2.400 ;
END
END wbs_ack_o
PIN wbs_adr_i[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 38.130 -4.800 38.690 2.400 ;
END
END wbs_adr_i[0]
PIN wbs_adr_i[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 240.530 -4.800 241.090 2.400 ;
END
END wbs_adr_i[10]
PIN wbs_adr_i[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 258.010 -4.800 258.570 2.400 ;
END
END wbs_adr_i[11]
PIN wbs_adr_i[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 275.950 -4.800 276.510 2.400 ;
END
END wbs_adr_i[12]
PIN wbs_adr_i[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 293.890 -4.800 294.450 2.400 ;
END
END wbs_adr_i[13]
PIN wbs_adr_i[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 311.830 -4.800 312.390 2.400 ;
END
END wbs_adr_i[14]
PIN wbs_adr_i[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 329.770 -4.800 330.330 2.400 ;
END
END wbs_adr_i[15]
PIN wbs_adr_i[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 347.250 -4.800 347.810 2.400 ;
END
END wbs_adr_i[16]
PIN wbs_adr_i[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 365.190 -4.800 365.750 2.400 ;
END
END wbs_adr_i[17]
PIN wbs_adr_i[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 383.130 -4.800 383.690 2.400 ;
END
END wbs_adr_i[18]
PIN wbs_adr_i[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 401.070 -4.800 401.630 2.400 ;
END
END wbs_adr_i[19]
PIN wbs_adr_i[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 62.050 -4.800 62.610 2.400 ;
END
END wbs_adr_i[1]
PIN wbs_adr_i[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 419.010 -4.800 419.570 2.400 ;
END
END wbs_adr_i[20]
PIN wbs_adr_i[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 436.490 -4.800 437.050 2.400 ;
END
END wbs_adr_i[21]
PIN wbs_adr_i[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 454.430 -4.800 454.990 2.400 ;
END
END wbs_adr_i[22]
PIN wbs_adr_i[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 472.370 -4.800 472.930 2.400 ;
END
END wbs_adr_i[23]
PIN wbs_adr_i[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 490.310 -4.800 490.870 2.400 ;
END
END wbs_adr_i[24]
PIN wbs_adr_i[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 507.790 -4.800 508.350 2.400 ;
END
END wbs_adr_i[25]
PIN wbs_adr_i[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 525.730 -4.800 526.290 2.400 ;
END
END wbs_adr_i[26]
PIN wbs_adr_i[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 543.670 -4.800 544.230 2.400 ;
END
END wbs_adr_i[27]
PIN wbs_adr_i[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 561.610 -4.800 562.170 2.400 ;
END
END wbs_adr_i[28]
PIN wbs_adr_i[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 579.550 -4.800 580.110 2.400 ;
END
END wbs_adr_i[29]
PIN wbs_adr_i[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 85.970 -4.800 86.530 2.400 ;
END
END wbs_adr_i[2]
PIN wbs_adr_i[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 597.030 -4.800 597.590 2.400 ;
END
END wbs_adr_i[30]
PIN wbs_adr_i[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 614.970 -4.800 615.530 2.400 ;
END
END wbs_adr_i[31]
PIN wbs_adr_i[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 109.430 -4.800 109.990 2.400 ;
END
END wbs_adr_i[3]
PIN wbs_adr_i[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 133.350 -4.800 133.910 2.400 ;
END
END wbs_adr_i[4]
PIN wbs_adr_i[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 151.290 -4.800 151.850 2.400 ;
END
END wbs_adr_i[5]
PIN wbs_adr_i[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 169.230 -4.800 169.790 2.400 ;
END
END wbs_adr_i[6]
PIN wbs_adr_i[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 186.710 -4.800 187.270 2.400 ;
END
END wbs_adr_i[7]
PIN wbs_adr_i[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 204.650 -4.800 205.210 2.400 ;
END
END wbs_adr_i[8]
PIN wbs_adr_i[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 222.590 -4.800 223.150 2.400 ;
END
END wbs_adr_i[9]
PIN wbs_cyc_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 20.190 -4.800 20.750 2.400 ;
END
END wbs_cyc_i
PIN wbs_dat_i[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 44.110 -4.800 44.670 2.400 ;
END
END wbs_dat_i[0]
PIN wbs_dat_i[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 246.510 -4.800 247.070 2.400 ;
END
END wbs_dat_i[10]
PIN wbs_dat_i[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 263.990 -4.800 264.550 2.400 ;
END
END wbs_dat_i[11]
PIN wbs_dat_i[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 281.930 -4.800 282.490 2.400 ;
END
END wbs_dat_i[12]
PIN wbs_dat_i[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 299.870 -4.800 300.430 2.400 ;
END
END wbs_dat_i[13]
PIN wbs_dat_i[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 317.810 -4.800 318.370 2.400 ;
END
END wbs_dat_i[14]
PIN wbs_dat_i[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 335.750 -4.800 336.310 2.400 ;
END
END wbs_dat_i[15]
PIN wbs_dat_i[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 353.230 -4.800 353.790 2.400 ;
END
END wbs_dat_i[16]
PIN wbs_dat_i[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 371.170 -4.800 371.730 2.400 ;
END
END wbs_dat_i[17]
PIN wbs_dat_i[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 389.110 -4.800 389.670 2.400 ;
END
END wbs_dat_i[18]
PIN wbs_dat_i[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 407.050 -4.800 407.610 2.400 ;
END
END wbs_dat_i[19]
PIN wbs_dat_i[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 68.030 -4.800 68.590 2.400 ;
END
END wbs_dat_i[1]
PIN wbs_dat_i[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 424.530 -4.800 425.090 2.400 ;
END
END wbs_dat_i[20]
PIN wbs_dat_i[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 442.470 -4.800 443.030 2.400 ;
END
END wbs_dat_i[21]
PIN wbs_dat_i[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 460.410 -4.800 460.970 2.400 ;
END
END wbs_dat_i[22]
PIN wbs_dat_i[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 478.350 -4.800 478.910 2.400 ;
END
END wbs_dat_i[23]
PIN wbs_dat_i[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 496.290 -4.800 496.850 2.400 ;
END
END wbs_dat_i[24]
PIN wbs_dat_i[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 513.770 -4.800 514.330 2.400 ;
END
END wbs_dat_i[25]
PIN wbs_dat_i[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 531.710 -4.800 532.270 2.400 ;
END
END wbs_dat_i[26]
PIN wbs_dat_i[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 549.650 -4.800 550.210 2.400 ;
END
END wbs_dat_i[27]
PIN wbs_dat_i[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 567.590 -4.800 568.150 2.400 ;
END
END wbs_dat_i[28]
PIN wbs_dat_i[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 585.530 -4.800 586.090 2.400 ;
END
END wbs_dat_i[29]
PIN wbs_dat_i[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 91.490 -4.800 92.050 2.400 ;
END
END wbs_dat_i[2]
PIN wbs_dat_i[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 603.010 -4.800 603.570 2.400 ;
END
END wbs_dat_i[30]
PIN wbs_dat_i[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 620.950 -4.800 621.510 2.400 ;
END
END wbs_dat_i[31]
PIN wbs_dat_i[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 115.410 -4.800 115.970 2.400 ;
END
END wbs_dat_i[3]
PIN wbs_dat_i[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 139.330 -4.800 139.890 2.400 ;
END
END wbs_dat_i[4]
PIN wbs_dat_i[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 157.270 -4.800 157.830 2.400 ;
END
END wbs_dat_i[5]
PIN wbs_dat_i[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 174.750 -4.800 175.310 2.400 ;
END
END wbs_dat_i[6]
PIN wbs_dat_i[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 192.690 -4.800 193.250 2.400 ;
END
END wbs_dat_i[7]
PIN wbs_dat_i[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 210.630 -4.800 211.190 2.400 ;
END
END wbs_dat_i[8]
PIN wbs_dat_i[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 228.570 -4.800 229.130 2.400 ;
END
END wbs_dat_i[9]
PIN wbs_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 50.090 -4.800 50.650 2.400 ;
END
END wbs_dat_o[0]
PIN wbs_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 252.490 -4.800 253.050 2.400 ;
END
END wbs_dat_o[10]
PIN wbs_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 269.970 -4.800 270.530 2.400 ;
END
END wbs_dat_o[11]
PIN wbs_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 287.910 -4.800 288.470 2.400 ;
END
END wbs_dat_o[12]
PIN wbs_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 305.850 -4.800 306.410 2.400 ;
END
END wbs_dat_o[13]
PIN wbs_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 323.790 -4.800 324.350 2.400 ;
END
END wbs_dat_o[14]
PIN wbs_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 341.270 -4.800 341.830 2.400 ;
END
END wbs_dat_o[15]
PIN wbs_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 359.210 -4.800 359.770 2.400 ;
END
END wbs_dat_o[16]
PIN wbs_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 377.150 -4.800 377.710 2.400 ;
END
END wbs_dat_o[17]
PIN wbs_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 395.090 -4.800 395.650 2.400 ;
END
END wbs_dat_o[18]
PIN wbs_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 413.030 -4.800 413.590 2.400 ;
END
END wbs_dat_o[19]
PIN wbs_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 74.010 -4.800 74.570 2.400 ;
END
END wbs_dat_o[1]
PIN wbs_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 430.510 -4.800 431.070 2.400 ;
END
END wbs_dat_o[20]
PIN wbs_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 448.450 -4.800 449.010 2.400 ;
END
END wbs_dat_o[21]
PIN wbs_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 466.390 -4.800 466.950 2.400 ;
END
END wbs_dat_o[22]
PIN wbs_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 484.330 -4.800 484.890 2.400 ;
END
END wbs_dat_o[23]
PIN wbs_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 502.270 -4.800 502.830 2.400 ;
END
END wbs_dat_o[24]
PIN wbs_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 519.750 -4.800 520.310 2.400 ;
END
END wbs_dat_o[25]
PIN wbs_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 537.690 -4.800 538.250 2.400 ;
END
END wbs_dat_o[26]
PIN wbs_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 555.630 -4.800 556.190 2.400 ;
END
END wbs_dat_o[27]
PIN wbs_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 573.570 -4.800 574.130 2.400 ;
END
END wbs_dat_o[28]
PIN wbs_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 591.050 -4.800 591.610 2.400 ;
END
END wbs_dat_o[29]
PIN wbs_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 97.470 -4.800 98.030 2.400 ;
END
END wbs_dat_o[2]
PIN wbs_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 608.990 -4.800 609.550 2.400 ;
END
END wbs_dat_o[30]
PIN wbs_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 626.930 -4.800 627.490 2.400 ;
END
END wbs_dat_o[31]
PIN wbs_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 121.390 -4.800 121.950 2.400 ;
END
END wbs_dat_o[3]
PIN wbs_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 145.310 -4.800 145.870 2.400 ;
END
END wbs_dat_o[4]
PIN wbs_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 163.250 -4.800 163.810 2.400 ;
END
END wbs_dat_o[5]
PIN wbs_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 180.730 -4.800 181.290 2.400 ;
END
END wbs_dat_o[6]
PIN wbs_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 198.670 -4.800 199.230 2.400 ;
END
END wbs_dat_o[7]
PIN wbs_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 216.610 -4.800 217.170 2.400 ;
END
END wbs_dat_o[8]
PIN wbs_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 234.550 -4.800 235.110 2.400 ;
END
END wbs_dat_o[9]
PIN wbs_sel_i[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 56.070 -4.800 56.630 2.400 ;
END
END wbs_sel_i[0]
PIN wbs_sel_i[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 79.990 -4.800 80.550 2.400 ;
END
END wbs_sel_i[1]
PIN wbs_sel_i[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 103.450 -4.800 104.010 2.400 ;
END
END wbs_sel_i[2]
PIN wbs_sel_i[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 127.370 -4.800 127.930 2.400 ;
END
END wbs_sel_i[3]
PIN wbs_stb_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 26.170 -4.800 26.730 2.400 ;
END
END wbs_stb_i
PIN wbs_we_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 32.150 -4.800 32.710 2.400 ;
END
END wbs_we_i
PIN vccd1
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT -9.980 -4.620 -6.980 3524.300 ;
RECT 4.020 -9.220 7.020 3528.900 ;
RECT 184.020 -9.220 187.020 3528.900 ;
RECT 364.020 -9.220 367.020 3528.900 ;
RECT 544.020 -9.220 547.020 3528.900 ;
RECT 724.020 -9.220 727.020 3528.900 ;
RECT 904.020 -9.220 907.020 3528.900 ;
RECT 1084.020 -9.220 1087.020 3528.900 ;
RECT 1264.020 -9.220 1267.020 3528.900 ;
RECT 1444.020 -9.220 1447.020 3528.900 ;
RECT 1624.020 -9.220 1627.020 3528.900 ;
RECT 1804.020 -9.220 1807.020 3528.900 ;
RECT 1984.020 -9.220 1987.020 3528.900 ;
RECT 2164.020 -9.220 2167.020 3528.900 ;
RECT 2344.020 -9.220 2347.020 3528.900 ;
RECT 2524.020 -9.220 2527.020 3528.900 ;
RECT 2704.020 -9.220 2707.020 3528.900 ;
RECT 2884.020 -9.220 2887.020 3528.900 ;
RECT 2926.600 -4.620 2929.600 3524.300 ;
LAYER via4 ;
RECT -9.070 3523.010 -7.890 3524.190 ;
RECT -9.070 3521.410 -7.890 3522.590 ;
RECT -9.070 3431.090 -7.890 3432.270 ;
RECT -9.070 3429.490 -7.890 3430.670 ;
RECT -9.070 3251.090 -7.890 3252.270 ;
RECT -9.070 3249.490 -7.890 3250.670 ;
RECT -9.070 3071.090 -7.890 3072.270 ;
RECT -9.070 3069.490 -7.890 3070.670 ;
RECT -9.070 2891.090 -7.890 2892.270 ;
RECT -9.070 2889.490 -7.890 2890.670 ;
RECT -9.070 2711.090 -7.890 2712.270 ;
RECT -9.070 2709.490 -7.890 2710.670 ;
RECT -9.070 2531.090 -7.890 2532.270 ;
RECT -9.070 2529.490 -7.890 2530.670 ;
RECT -9.070 2351.090 -7.890 2352.270 ;
RECT -9.070 2349.490 -7.890 2350.670 ;
RECT -9.070 2171.090 -7.890 2172.270 ;
RECT -9.070 2169.490 -7.890 2170.670 ;
RECT -9.070 1991.090 -7.890 1992.270 ;
RECT -9.070 1989.490 -7.890 1990.670 ;
RECT -9.070 1811.090 -7.890 1812.270 ;
RECT -9.070 1809.490 -7.890 1810.670 ;
RECT -9.070 1631.090 -7.890 1632.270 ;
RECT -9.070 1629.490 -7.890 1630.670 ;
RECT -9.070 1451.090 -7.890 1452.270 ;
RECT -9.070 1449.490 -7.890 1450.670 ;
RECT -9.070 1271.090 -7.890 1272.270 ;
RECT -9.070 1269.490 -7.890 1270.670 ;
RECT -9.070 1091.090 -7.890 1092.270 ;
RECT -9.070 1089.490 -7.890 1090.670 ;
RECT -9.070 911.090 -7.890 912.270 ;
RECT -9.070 909.490 -7.890 910.670 ;
RECT -9.070 731.090 -7.890 732.270 ;
RECT -9.070 729.490 -7.890 730.670 ;
RECT -9.070 551.090 -7.890 552.270 ;
RECT -9.070 549.490 -7.890 550.670 ;
RECT -9.070 371.090 -7.890 372.270 ;
RECT -9.070 369.490 -7.890 370.670 ;
RECT -9.070 191.090 -7.890 192.270 ;
RECT -9.070 189.490 -7.890 190.670 ;
RECT -9.070 11.090 -7.890 12.270 ;
RECT -9.070 9.490 -7.890 10.670 ;
RECT -9.070 -2.910 -7.890 -1.730 ;
RECT -9.070 -4.510 -7.890 -3.330 ;
RECT 4.930 3523.010 6.110 3524.190 ;
RECT 4.930 3521.410 6.110 3522.590 ;
RECT 4.930 3431.090 6.110 3432.270 ;
RECT 4.930 3429.490 6.110 3430.670 ;
RECT 4.930 3251.090 6.110 3252.270 ;
RECT 4.930 3249.490 6.110 3250.670 ;
RECT 4.930 3071.090 6.110 3072.270 ;
RECT 4.930 3069.490 6.110 3070.670 ;
RECT 4.930 2891.090 6.110 2892.270 ;
RECT 4.930 2889.490 6.110 2890.670 ;
RECT 4.930 2711.090 6.110 2712.270 ;
RECT 4.930 2709.490 6.110 2710.670 ;
RECT 4.930 2531.090 6.110 2532.270 ;
RECT 4.930 2529.490 6.110 2530.670 ;
RECT 4.930 2351.090 6.110 2352.270 ;
RECT 4.930 2349.490 6.110 2350.670 ;
RECT 4.930 2171.090 6.110 2172.270 ;
RECT 4.930 2169.490 6.110 2170.670 ;
RECT 4.930 1991.090 6.110 1992.270 ;
RECT 4.930 1989.490 6.110 1990.670 ;
RECT 4.930 1811.090 6.110 1812.270 ;
RECT 4.930 1809.490 6.110 1810.670 ;
RECT 4.930 1631.090 6.110 1632.270 ;
RECT 4.930 1629.490 6.110 1630.670 ;
RECT 4.930 1451.090 6.110 1452.270 ;
RECT 4.930 1449.490 6.110 1450.670 ;
RECT 4.930 1271.090 6.110 1272.270 ;
RECT 4.930 1269.490 6.110 1270.670 ;
RECT 4.930 1091.090 6.110 1092.270 ;
RECT 4.930 1089.490 6.110 1090.670 ;
RECT 4.930 911.090 6.110 912.270 ;
RECT 4.930 909.490 6.110 910.670 ;
RECT 4.930 731.090 6.110 732.270 ;
RECT 4.930 729.490 6.110 730.670 ;
RECT 4.930 551.090 6.110 552.270 ;
RECT 4.930 549.490 6.110 550.670 ;
RECT 4.930 371.090 6.110 372.270 ;
RECT 4.930 369.490 6.110 370.670 ;
RECT 4.930 191.090 6.110 192.270 ;
RECT 4.930 189.490 6.110 190.670 ;
RECT 4.930 11.090 6.110 12.270 ;
RECT 4.930 9.490 6.110 10.670 ;
RECT 4.930 -2.910 6.110 -1.730 ;
RECT 4.930 -4.510 6.110 -3.330 ;
RECT 184.930 3523.010 186.110 3524.190 ;
RECT 184.930 3521.410 186.110 3522.590 ;
RECT 184.930 3431.090 186.110 3432.270 ;
RECT 184.930 3429.490 186.110 3430.670 ;
RECT 184.930 3251.090 186.110 3252.270 ;
RECT 184.930 3249.490 186.110 3250.670 ;
RECT 184.930 3071.090 186.110 3072.270 ;
RECT 184.930 3069.490 186.110 3070.670 ;
RECT 184.930 2891.090 186.110 2892.270 ;
RECT 184.930 2889.490 186.110 2890.670 ;
RECT 184.930 2711.090 186.110 2712.270 ;
RECT 184.930 2709.490 186.110 2710.670 ;
RECT 184.930 2531.090 186.110 2532.270 ;
RECT 184.930 2529.490 186.110 2530.670 ;
RECT 184.930 2351.090 186.110 2352.270 ;
RECT 184.930 2349.490 186.110 2350.670 ;
RECT 184.930 2171.090 186.110 2172.270 ;
RECT 184.930 2169.490 186.110 2170.670 ;
RECT 184.930 1991.090 186.110 1992.270 ;
RECT 184.930 1989.490 186.110 1990.670 ;
RECT 184.930 1811.090 186.110 1812.270 ;
RECT 184.930 1809.490 186.110 1810.670 ;
RECT 184.930 1631.090 186.110 1632.270 ;
RECT 184.930 1629.490 186.110 1630.670 ;
RECT 184.930 1451.090 186.110 1452.270 ;
RECT 184.930 1449.490 186.110 1450.670 ;
RECT 184.930 1271.090 186.110 1272.270 ;
RECT 184.930 1269.490 186.110 1270.670 ;
RECT 184.930 1091.090 186.110 1092.270 ;
RECT 184.930 1089.490 186.110 1090.670 ;
RECT 184.930 911.090 186.110 912.270 ;
RECT 184.930 909.490 186.110 910.670 ;
RECT 184.930 731.090 186.110 732.270 ;
RECT 184.930 729.490 186.110 730.670 ;
RECT 184.930 551.090 186.110 552.270 ;
RECT 184.930 549.490 186.110 550.670 ;
RECT 184.930 371.090 186.110 372.270 ;
RECT 184.930 369.490 186.110 370.670 ;
RECT 184.930 191.090 186.110 192.270 ;
RECT 184.930 189.490 186.110 190.670 ;
RECT 184.930 11.090 186.110 12.270 ;
RECT 184.930 9.490 186.110 10.670 ;
RECT 184.930 -2.910 186.110 -1.730 ;
RECT 184.930 -4.510 186.110 -3.330 ;
RECT 364.930 3523.010 366.110 3524.190 ;
RECT 364.930 3521.410 366.110 3522.590 ;
RECT 364.930 3431.090 366.110 3432.270 ;
RECT 364.930 3429.490 366.110 3430.670 ;
RECT 364.930 3251.090 366.110 3252.270 ;
RECT 364.930 3249.490 366.110 3250.670 ;
RECT 364.930 3071.090 366.110 3072.270 ;
RECT 364.930 3069.490 366.110 3070.670 ;
RECT 364.930 2891.090 366.110 2892.270 ;
RECT 364.930 2889.490 366.110 2890.670 ;
RECT 364.930 2711.090 366.110 2712.270 ;
RECT 364.930 2709.490 366.110 2710.670 ;
RECT 364.930 2531.090 366.110 2532.270 ;
RECT 364.930 2529.490 366.110 2530.670 ;
RECT 364.930 2351.090 366.110 2352.270 ;
RECT 364.930 2349.490 366.110 2350.670 ;
RECT 364.930 2171.090 366.110 2172.270 ;
RECT 364.930 2169.490 366.110 2170.670 ;
RECT 364.930 1991.090 366.110 1992.270 ;
RECT 364.930 1989.490 366.110 1990.670 ;
RECT 364.930 1811.090 366.110 1812.270 ;
RECT 364.930 1809.490 366.110 1810.670 ;
RECT 364.930 1631.090 366.110 1632.270 ;
RECT 364.930 1629.490 366.110 1630.670 ;
RECT 364.930 1451.090 366.110 1452.270 ;
RECT 364.930 1449.490 366.110 1450.670 ;
RECT 364.930 1271.090 366.110 1272.270 ;
RECT 364.930 1269.490 366.110 1270.670 ;
RECT 364.930 1091.090 366.110 1092.270 ;
RECT 364.930 1089.490 366.110 1090.670 ;
RECT 364.930 911.090 366.110 912.270 ;
RECT 364.930 909.490 366.110 910.670 ;
RECT 364.930 731.090 366.110 732.270 ;
RECT 364.930 729.490 366.110 730.670 ;
RECT 364.930 551.090 366.110 552.270 ;
RECT 364.930 549.490 366.110 550.670 ;
RECT 364.930 371.090 366.110 372.270 ;
RECT 364.930 369.490 366.110 370.670 ;
RECT 364.930 191.090 366.110 192.270 ;
RECT 364.930 189.490 366.110 190.670 ;
RECT 364.930 11.090 366.110 12.270 ;
RECT 364.930 9.490 366.110 10.670 ;
RECT 364.930 -2.910 366.110 -1.730 ;
RECT 364.930 -4.510 366.110 -3.330 ;
RECT 544.930 3523.010 546.110 3524.190 ;
RECT 544.930 3521.410 546.110 3522.590 ;
RECT 544.930 3431.090 546.110 3432.270 ;
RECT 544.930 3429.490 546.110 3430.670 ;
RECT 544.930 3251.090 546.110 3252.270 ;
RECT 544.930 3249.490 546.110 3250.670 ;
RECT 544.930 3071.090 546.110 3072.270 ;
RECT 544.930 3069.490 546.110 3070.670 ;
RECT 544.930 2891.090 546.110 2892.270 ;
RECT 544.930 2889.490 546.110 2890.670 ;
RECT 544.930 2711.090 546.110 2712.270 ;
RECT 544.930 2709.490 546.110 2710.670 ;
RECT 544.930 2531.090 546.110 2532.270 ;
RECT 544.930 2529.490 546.110 2530.670 ;
RECT 544.930 2351.090 546.110 2352.270 ;
RECT 544.930 2349.490 546.110 2350.670 ;
RECT 544.930 2171.090 546.110 2172.270 ;
RECT 544.930 2169.490 546.110 2170.670 ;
RECT 544.930 1991.090 546.110 1992.270 ;
RECT 544.930 1989.490 546.110 1990.670 ;
RECT 544.930 1811.090 546.110 1812.270 ;
RECT 544.930 1809.490 546.110 1810.670 ;
RECT 544.930 1631.090 546.110 1632.270 ;
RECT 544.930 1629.490 546.110 1630.670 ;
RECT 544.930 1451.090 546.110 1452.270 ;
RECT 544.930 1449.490 546.110 1450.670 ;
RECT 544.930 1271.090 546.110 1272.270 ;
RECT 544.930 1269.490 546.110 1270.670 ;
RECT 544.930 1091.090 546.110 1092.270 ;
RECT 544.930 1089.490 546.110 1090.670 ;
RECT 544.930 911.090 546.110 912.270 ;
RECT 544.930 909.490 546.110 910.670 ;
RECT 544.930 731.090 546.110 732.270 ;
RECT 544.930 729.490 546.110 730.670 ;
RECT 544.930 551.090 546.110 552.270 ;
RECT 544.930 549.490 546.110 550.670 ;
RECT 544.930 371.090 546.110 372.270 ;
RECT 544.930 369.490 546.110 370.670 ;
RECT 544.930 191.090 546.110 192.270 ;
RECT 544.930 189.490 546.110 190.670 ;
RECT 544.930 11.090 546.110 12.270 ;
RECT 544.930 9.490 546.110 10.670 ;
RECT 544.930 -2.910 546.110 -1.730 ;
RECT 544.930 -4.510 546.110 -3.330 ;
RECT 724.930 3523.010 726.110 3524.190 ;
RECT 724.930 3521.410 726.110 3522.590 ;
RECT 724.930 3431.090 726.110 3432.270 ;
RECT 724.930 3429.490 726.110 3430.670 ;
RECT 724.930 3251.090 726.110 3252.270 ;
RECT 724.930 3249.490 726.110 3250.670 ;
RECT 724.930 3071.090 726.110 3072.270 ;
RECT 724.930 3069.490 726.110 3070.670 ;
RECT 724.930 2891.090 726.110 2892.270 ;
RECT 724.930 2889.490 726.110 2890.670 ;
RECT 724.930 2711.090 726.110 2712.270 ;
RECT 724.930 2709.490 726.110 2710.670 ;
RECT 724.930 2531.090 726.110 2532.270 ;
RECT 724.930 2529.490 726.110 2530.670 ;
RECT 724.930 2351.090 726.110 2352.270 ;
RECT 724.930 2349.490 726.110 2350.670 ;
RECT 724.930 2171.090 726.110 2172.270 ;
RECT 724.930 2169.490 726.110 2170.670 ;
RECT 724.930 1991.090 726.110 1992.270 ;
RECT 724.930 1989.490 726.110 1990.670 ;
RECT 724.930 1811.090 726.110 1812.270 ;
RECT 724.930 1809.490 726.110 1810.670 ;
RECT 724.930 1631.090 726.110 1632.270 ;
RECT 724.930 1629.490 726.110 1630.670 ;
RECT 724.930 1451.090 726.110 1452.270 ;
RECT 724.930 1449.490 726.110 1450.670 ;
RECT 724.930 1271.090 726.110 1272.270 ;
RECT 724.930 1269.490 726.110 1270.670 ;
RECT 724.930 1091.090 726.110 1092.270 ;
RECT 724.930 1089.490 726.110 1090.670 ;
RECT 724.930 911.090 726.110 912.270 ;
RECT 724.930 909.490 726.110 910.670 ;
RECT 724.930 731.090 726.110 732.270 ;
RECT 724.930 729.490 726.110 730.670 ;
RECT 724.930 551.090 726.110 552.270 ;
RECT 724.930 549.490 726.110 550.670 ;
RECT 724.930 371.090 726.110 372.270 ;
RECT 724.930 369.490 726.110 370.670 ;
RECT 724.930 191.090 726.110 192.270 ;
RECT 724.930 189.490 726.110 190.670 ;
RECT 724.930 11.090 726.110 12.270 ;
RECT 724.930 9.490 726.110 10.670 ;
RECT 724.930 -2.910 726.110 -1.730 ;
RECT 724.930 -4.510 726.110 -3.330 ;
RECT 904.930 3523.010 906.110 3524.190 ;
RECT 904.930 3521.410 906.110 3522.590 ;
RECT 904.930 3431.090 906.110 3432.270 ;
RECT 904.930 3429.490 906.110 3430.670 ;
RECT 904.930 3251.090 906.110 3252.270 ;
RECT 904.930 3249.490 906.110 3250.670 ;
RECT 904.930 3071.090 906.110 3072.270 ;
RECT 904.930 3069.490 906.110 3070.670 ;
RECT 904.930 2891.090 906.110 2892.270 ;
RECT 904.930 2889.490 906.110 2890.670 ;
RECT 904.930 2711.090 906.110 2712.270 ;
RECT 904.930 2709.490 906.110 2710.670 ;
RECT 904.930 2531.090 906.110 2532.270 ;
RECT 904.930 2529.490 906.110 2530.670 ;
RECT 904.930 2351.090 906.110 2352.270 ;
RECT 904.930 2349.490 906.110 2350.670 ;
RECT 904.930 2171.090 906.110 2172.270 ;
RECT 904.930 2169.490 906.110 2170.670 ;
RECT 904.930 1991.090 906.110 1992.270 ;
RECT 904.930 1989.490 906.110 1990.670 ;
RECT 904.930 1811.090 906.110 1812.270 ;
RECT 904.930 1809.490 906.110 1810.670 ;
RECT 904.930 1631.090 906.110 1632.270 ;
RECT 904.930 1629.490 906.110 1630.670 ;
RECT 904.930 1451.090 906.110 1452.270 ;
RECT 904.930 1449.490 906.110 1450.670 ;
RECT 904.930 1271.090 906.110 1272.270 ;
RECT 904.930 1269.490 906.110 1270.670 ;
RECT 904.930 1091.090 906.110 1092.270 ;
RECT 904.930 1089.490 906.110 1090.670 ;
RECT 904.930 911.090 906.110 912.270 ;
RECT 904.930 909.490 906.110 910.670 ;
RECT 904.930 731.090 906.110 732.270 ;
RECT 904.930 729.490 906.110 730.670 ;
RECT 904.930 551.090 906.110 552.270 ;
RECT 904.930 549.490 906.110 550.670 ;
RECT 904.930 371.090 906.110 372.270 ;
RECT 904.930 369.490 906.110 370.670 ;
RECT 904.930 191.090 906.110 192.270 ;
RECT 904.930 189.490 906.110 190.670 ;
RECT 904.930 11.090 906.110 12.270 ;
RECT 904.930 9.490 906.110 10.670 ;
RECT 904.930 -2.910 906.110 -1.730 ;
RECT 904.930 -4.510 906.110 -3.330 ;
RECT 1084.930 3523.010 1086.110 3524.190 ;
RECT 1084.930 3521.410 1086.110 3522.590 ;
RECT 1084.930 3431.090 1086.110 3432.270 ;
RECT 1084.930 3429.490 1086.110 3430.670 ;
RECT 1084.930 3251.090 1086.110 3252.270 ;
RECT 1084.930 3249.490 1086.110 3250.670 ;
RECT 1084.930 3071.090 1086.110 3072.270 ;
RECT 1084.930 3069.490 1086.110 3070.670 ;
RECT 1084.930 2891.090 1086.110 2892.270 ;
RECT 1084.930 2889.490 1086.110 2890.670 ;
RECT 1084.930 2711.090 1086.110 2712.270 ;
RECT 1084.930 2709.490 1086.110 2710.670 ;
RECT 1084.930 2531.090 1086.110 2532.270 ;
RECT 1084.930 2529.490 1086.110 2530.670 ;
RECT 1084.930 2351.090 1086.110 2352.270 ;
RECT 1084.930 2349.490 1086.110 2350.670 ;
RECT 1084.930 2171.090 1086.110 2172.270 ;
RECT 1084.930 2169.490 1086.110 2170.670 ;
RECT 1084.930 1991.090 1086.110 1992.270 ;
RECT 1084.930 1989.490 1086.110 1990.670 ;
RECT 1084.930 1811.090 1086.110 1812.270 ;
RECT 1084.930 1809.490 1086.110 1810.670 ;
RECT 1084.930 1631.090 1086.110 1632.270 ;
RECT 1084.930 1629.490 1086.110 1630.670 ;
RECT 1084.930 1451.090 1086.110 1452.270 ;
RECT 1084.930 1449.490 1086.110 1450.670 ;
RECT 1084.930 1271.090 1086.110 1272.270 ;
RECT 1084.930 1269.490 1086.110 1270.670 ;
RECT 1084.930 1091.090 1086.110 1092.270 ;
RECT 1084.930 1089.490 1086.110 1090.670 ;
RECT 1084.930 911.090 1086.110 912.270 ;
RECT 1084.930 909.490 1086.110 910.670 ;
RECT 1084.930 731.090 1086.110 732.270 ;
RECT 1084.930 729.490 1086.110 730.670 ;
RECT 1084.930 551.090 1086.110 552.270 ;
RECT 1084.930 549.490 1086.110 550.670 ;
RECT 1084.930 371.090 1086.110 372.270 ;
RECT 1084.930 369.490 1086.110 370.670 ;
RECT 1084.930 191.090 1086.110 192.270 ;
RECT 1084.930 189.490 1086.110 190.670 ;
RECT 1084.930 11.090 1086.110 12.270 ;
RECT 1084.930 9.490 1086.110 10.670 ;
RECT 1084.930 -2.910 1086.110 -1.730 ;
RECT 1084.930 -4.510 1086.110 -3.330 ;
RECT 1264.930 3523.010 1266.110 3524.190 ;
RECT 1264.930 3521.410 1266.110 3522.590 ;
RECT 1264.930 3431.090 1266.110 3432.270 ;
RECT 1264.930 3429.490 1266.110 3430.670 ;
RECT 1264.930 3251.090 1266.110 3252.270 ;
RECT 1264.930 3249.490 1266.110 3250.670 ;
RECT 1264.930 3071.090 1266.110 3072.270 ;
RECT 1264.930 3069.490 1266.110 3070.670 ;
RECT 1264.930 2891.090 1266.110 2892.270 ;
RECT 1264.930 2889.490 1266.110 2890.670 ;
RECT 1264.930 2711.090 1266.110 2712.270 ;
RECT 1264.930 2709.490 1266.110 2710.670 ;
RECT 1264.930 2531.090 1266.110 2532.270 ;
RECT 1264.930 2529.490 1266.110 2530.670 ;
RECT 1264.930 2351.090 1266.110 2352.270 ;
RECT 1264.930 2349.490 1266.110 2350.670 ;
RECT 1264.930 2171.090 1266.110 2172.270 ;
RECT 1264.930 2169.490 1266.110 2170.670 ;
RECT 1264.930 1991.090 1266.110 1992.270 ;
RECT 1264.930 1989.490 1266.110 1990.670 ;
RECT 1264.930 1811.090 1266.110 1812.270 ;
RECT 1264.930 1809.490 1266.110 1810.670 ;
RECT 1264.930 1631.090 1266.110 1632.270 ;
RECT 1264.930 1629.490 1266.110 1630.670 ;
RECT 1264.930 1451.090 1266.110 1452.270 ;
RECT 1264.930 1449.490 1266.110 1450.670 ;
RECT 1264.930 1271.090 1266.110 1272.270 ;
RECT 1264.930 1269.490 1266.110 1270.670 ;
RECT 1264.930 1091.090 1266.110 1092.270 ;
RECT 1264.930 1089.490 1266.110 1090.670 ;
RECT 1264.930 911.090 1266.110 912.270 ;
RECT 1264.930 909.490 1266.110 910.670 ;
RECT 1264.930 731.090 1266.110 732.270 ;
RECT 1264.930 729.490 1266.110 730.670 ;
RECT 1264.930 551.090 1266.110 552.270 ;
RECT 1264.930 549.490 1266.110 550.670 ;
RECT 1264.930 371.090 1266.110 372.270 ;
RECT 1264.930 369.490 1266.110 370.670 ;
RECT 1264.930 191.090 1266.110 192.270 ;
RECT 1264.930 189.490 1266.110 190.670 ;
RECT 1264.930 11.090 1266.110 12.270 ;
RECT 1264.930 9.490 1266.110 10.670 ;
RECT 1264.930 -2.910 1266.110 -1.730 ;
RECT 1264.930 -4.510 1266.110 -3.330 ;
RECT 1444.930 3523.010 1446.110 3524.190 ;
RECT 1444.930 3521.410 1446.110 3522.590 ;
RECT 1444.930 3431.090 1446.110 3432.270 ;
RECT 1444.930 3429.490 1446.110 3430.670 ;
RECT 1444.930 3251.090 1446.110 3252.270 ;
RECT 1444.930 3249.490 1446.110 3250.670 ;
RECT 1444.930 3071.090 1446.110 3072.270 ;
RECT 1444.930 3069.490 1446.110 3070.670 ;
RECT 1444.930 2891.090 1446.110 2892.270 ;
RECT 1444.930 2889.490 1446.110 2890.670 ;
RECT 1444.930 2711.090 1446.110 2712.270 ;
RECT 1444.930 2709.490 1446.110 2710.670 ;
RECT 1444.930 2531.090 1446.110 2532.270 ;
RECT 1444.930 2529.490 1446.110 2530.670 ;
RECT 1444.930 2351.090 1446.110 2352.270 ;
RECT 1444.930 2349.490 1446.110 2350.670 ;
RECT 1444.930 2171.090 1446.110 2172.270 ;
RECT 1444.930 2169.490 1446.110 2170.670 ;
RECT 1444.930 1991.090 1446.110 1992.270 ;
RECT 1444.930 1989.490 1446.110 1990.670 ;
RECT 1444.930 1811.090 1446.110 1812.270 ;
RECT 1444.930 1809.490 1446.110 1810.670 ;
RECT 1444.930 1631.090 1446.110 1632.270 ;
RECT 1444.930 1629.490 1446.110 1630.670 ;
RECT 1444.930 1451.090 1446.110 1452.270 ;
RECT 1444.930 1449.490 1446.110 1450.670 ;
RECT 1444.930 1271.090 1446.110 1272.270 ;
RECT 1444.930 1269.490 1446.110 1270.670 ;
RECT 1444.930 1091.090 1446.110 1092.270 ;
RECT 1444.930 1089.490 1446.110 1090.670 ;
RECT 1444.930 911.090 1446.110 912.270 ;
RECT 1444.930 909.490 1446.110 910.670 ;
RECT 1444.930 731.090 1446.110 732.270 ;
RECT 1444.930 729.490 1446.110 730.670 ;
RECT 1444.930 551.090 1446.110 552.270 ;
RECT 1444.930 549.490 1446.110 550.670 ;
RECT 1444.930 371.090 1446.110 372.270 ;
RECT 1444.930 369.490 1446.110 370.670 ;
RECT 1444.930 191.090 1446.110 192.270 ;
RECT 1444.930 189.490 1446.110 190.670 ;
RECT 1444.930 11.090 1446.110 12.270 ;
RECT 1444.930 9.490 1446.110 10.670 ;
RECT 1444.930 -2.910 1446.110 -1.730 ;
RECT 1444.930 -4.510 1446.110 -3.330 ;
RECT 1624.930 3523.010 1626.110 3524.190 ;
RECT 1624.930 3521.410 1626.110 3522.590 ;
RECT 1624.930 3431.090 1626.110 3432.270 ;
RECT 1624.930 3429.490 1626.110 3430.670 ;
RECT 1624.930 3251.090 1626.110 3252.270 ;
RECT 1624.930 3249.490 1626.110 3250.670 ;
RECT 1624.930 3071.090 1626.110 3072.270 ;
RECT 1624.930 3069.490 1626.110 3070.670 ;
RECT 1624.930 2891.090 1626.110 2892.270 ;
RECT 1624.930 2889.490 1626.110 2890.670 ;
RECT 1624.930 2711.090 1626.110 2712.270 ;
RECT 1624.930 2709.490 1626.110 2710.670 ;
RECT 1624.930 2531.090 1626.110 2532.270 ;
RECT 1624.930 2529.490 1626.110 2530.670 ;
RECT 1624.930 2351.090 1626.110 2352.270 ;
RECT 1624.930 2349.490 1626.110 2350.670 ;
RECT 1624.930 2171.090 1626.110 2172.270 ;
RECT 1624.930 2169.490 1626.110 2170.670 ;
RECT 1624.930 1991.090 1626.110 1992.270 ;
RECT 1624.930 1989.490 1626.110 1990.670 ;
RECT 1624.930 1811.090 1626.110 1812.270 ;
RECT 1624.930 1809.490 1626.110 1810.670 ;
RECT 1624.930 1631.090 1626.110 1632.270 ;
RECT 1624.930 1629.490 1626.110 1630.670 ;
RECT 1624.930 1451.090 1626.110 1452.270 ;
RECT 1624.930 1449.490 1626.110 1450.670 ;
RECT 1624.930 1271.090 1626.110 1272.270 ;
RECT 1624.930 1269.490 1626.110 1270.670 ;
RECT 1624.930 1091.090 1626.110 1092.270 ;
RECT 1624.930 1089.490 1626.110 1090.670 ;
RECT 1624.930 911.090 1626.110 912.270 ;
RECT 1624.930 909.490 1626.110 910.670 ;
RECT 1624.930 731.090 1626.110 732.270 ;
RECT 1624.930 729.490 1626.110 730.670 ;
RECT 1624.930 551.090 1626.110 552.270 ;
RECT 1624.930 549.490 1626.110 550.670 ;
RECT 1624.930 371.090 1626.110 372.270 ;
RECT 1624.930 369.490 1626.110 370.670 ;
RECT 1624.930 191.090 1626.110 192.270 ;
RECT 1624.930 189.490 1626.110 190.670 ;
RECT 1624.930 11.090 1626.110 12.270 ;
RECT 1624.930 9.490 1626.110 10.670 ;
RECT 1624.930 -2.910 1626.110 -1.730 ;
RECT 1624.930 -4.510 1626.110 -3.330 ;
RECT 1804.930 3523.010 1806.110 3524.190 ;
RECT 1804.930 3521.410 1806.110 3522.590 ;
RECT 1804.930 3431.090 1806.110 3432.270 ;
RECT 1804.930 3429.490 1806.110 3430.670 ;
RECT 1804.930 3251.090 1806.110 3252.270 ;
RECT 1804.930 3249.490 1806.110 3250.670 ;
RECT 1804.930 3071.090 1806.110 3072.270 ;
RECT 1804.930 3069.490 1806.110 3070.670 ;
RECT 1804.930 2891.090 1806.110 2892.270 ;
RECT 1804.930 2889.490 1806.110 2890.670 ;
RECT 1804.930 2711.090 1806.110 2712.270 ;
RECT 1804.930 2709.490 1806.110 2710.670 ;
RECT 1804.930 2531.090 1806.110 2532.270 ;
RECT 1804.930 2529.490 1806.110 2530.670 ;
RECT 1804.930 2351.090 1806.110 2352.270 ;
RECT 1804.930 2349.490 1806.110 2350.670 ;
RECT 1804.930 2171.090 1806.110 2172.270 ;
RECT 1804.930 2169.490 1806.110 2170.670 ;
RECT 1804.930 1991.090 1806.110 1992.270 ;
RECT 1804.930 1989.490 1806.110 1990.670 ;
RECT 1804.930 1811.090 1806.110 1812.270 ;
RECT 1804.930 1809.490 1806.110 1810.670 ;
RECT 1804.930 1631.090 1806.110 1632.270 ;
RECT 1804.930 1629.490 1806.110 1630.670 ;
RECT 1804.930 1451.090 1806.110 1452.270 ;
RECT 1804.930 1449.490 1806.110 1450.670 ;
RECT 1804.930 1271.090 1806.110 1272.270 ;
RECT 1804.930 1269.490 1806.110 1270.670 ;
RECT 1804.930 1091.090 1806.110 1092.270 ;
RECT 1804.930 1089.490 1806.110 1090.670 ;
RECT 1804.930 911.090 1806.110 912.270 ;
RECT 1804.930 909.490 1806.110 910.670 ;
RECT 1804.930 731.090 1806.110 732.270 ;
RECT 1804.930 729.490 1806.110 730.670 ;
RECT 1804.930 551.090 1806.110 552.270 ;
RECT 1804.930 549.490 1806.110 550.670 ;
RECT 1804.930 371.090 1806.110 372.270 ;
RECT 1804.930 369.490 1806.110 370.670 ;
RECT 1804.930 191.090 1806.110 192.270 ;
RECT 1804.930 189.490 1806.110 190.670 ;
RECT 1804.930 11.090 1806.110 12.270 ;
RECT 1804.930 9.490 1806.110 10.670 ;
RECT 1804.930 -2.910 1806.110 -1.730 ;
RECT 1804.930 -4.510 1806.110 -3.330 ;
RECT 1984.930 3523.010 1986.110 3524.190 ;
RECT 1984.930 3521.410 1986.110 3522.590 ;
RECT 1984.930 3431.090 1986.110 3432.270 ;
RECT 1984.930 3429.490 1986.110 3430.670 ;
RECT 1984.930 3251.090 1986.110 3252.270 ;
RECT 1984.930 3249.490 1986.110 3250.670 ;
RECT 1984.930 3071.090 1986.110 3072.270 ;
RECT 1984.930 3069.490 1986.110 3070.670 ;
RECT 1984.930 2891.090 1986.110 2892.270 ;
RECT 1984.930 2889.490 1986.110 2890.670 ;
RECT 1984.930 2711.090 1986.110 2712.270 ;
RECT 1984.930 2709.490 1986.110 2710.670 ;
RECT 1984.930 2531.090 1986.110 2532.270 ;
RECT 1984.930 2529.490 1986.110 2530.670 ;
RECT 1984.930 2351.090 1986.110 2352.270 ;
RECT 1984.930 2349.490 1986.110 2350.670 ;
RECT 1984.930 2171.090 1986.110 2172.270 ;
RECT 1984.930 2169.490 1986.110 2170.670 ;
RECT 1984.930 1991.090 1986.110 1992.270 ;
RECT 1984.930 1989.490 1986.110 1990.670 ;
RECT 1984.930 1811.090 1986.110 1812.270 ;
RECT 1984.930 1809.490 1986.110 1810.670 ;
RECT 1984.930 1631.090 1986.110 1632.270 ;
RECT 1984.930 1629.490 1986.110 1630.670 ;
RECT 1984.930 1451.090 1986.110 1452.270 ;
RECT 1984.930 1449.490 1986.110 1450.670 ;
RECT 1984.930 1271.090 1986.110 1272.270 ;
RECT 1984.930 1269.490 1986.110 1270.670 ;
RECT 1984.930 1091.090 1986.110 1092.270 ;
RECT 1984.930 1089.490 1986.110 1090.670 ;
RECT 1984.930 911.090 1986.110 912.270 ;
RECT 1984.930 909.490 1986.110 910.670 ;
RECT 1984.930 731.090 1986.110 732.270 ;
RECT 1984.930 729.490 1986.110 730.670 ;
RECT 1984.930 551.090 1986.110 552.270 ;
RECT 1984.930 549.490 1986.110 550.670 ;
RECT 1984.930 371.090 1986.110 372.270 ;
RECT 1984.930 369.490 1986.110 370.670 ;
RECT 1984.930 191.090 1986.110 192.270 ;
RECT 1984.930 189.490 1986.110 190.670 ;
RECT 1984.930 11.090 1986.110 12.270 ;
RECT 1984.930 9.490 1986.110 10.670 ;
RECT 1984.930 -2.910 1986.110 -1.730 ;
RECT 1984.930 -4.510 1986.110 -3.330 ;
RECT 2164.930 3523.010 2166.110 3524.190 ;
RECT 2164.930 3521.410 2166.110 3522.590 ;
RECT 2164.930 3431.090 2166.110 3432.270 ;
RECT 2164.930 3429.490 2166.110 3430.670 ;
RECT 2164.930 3251.090 2166.110 3252.270 ;
RECT 2164.930 3249.490 2166.110 3250.670 ;
RECT 2164.930 3071.090 2166.110 3072.270 ;
RECT 2164.930 3069.490 2166.110 3070.670 ;
RECT 2164.930 2891.090 2166.110 2892.270 ;
RECT 2164.930 2889.490 2166.110 2890.670 ;
RECT 2164.930 2711.090 2166.110 2712.270 ;
RECT 2164.930 2709.490 2166.110 2710.670 ;
RECT 2164.930 2531.090 2166.110 2532.270 ;
RECT 2164.930 2529.490 2166.110 2530.670 ;
RECT 2164.930 2351.090 2166.110 2352.270 ;
RECT 2164.930 2349.490 2166.110 2350.670 ;
RECT 2164.930 2171.090 2166.110 2172.270 ;
RECT 2164.930 2169.490 2166.110 2170.670 ;
RECT 2164.930 1991.090 2166.110 1992.270 ;
RECT 2164.930 1989.490 2166.110 1990.670 ;
RECT 2164.930 1811.090 2166.110 1812.270 ;
RECT 2164.930 1809.490 2166.110 1810.670 ;
RECT 2164.930 1631.090 2166.110 1632.270 ;
RECT 2164.930 1629.490 2166.110 1630.670 ;
RECT 2164.930 1451.090 2166.110 1452.270 ;
RECT 2164.930 1449.490 2166.110 1450.670 ;
RECT 2164.930 1271.090 2166.110 1272.270 ;
RECT 2164.930 1269.490 2166.110 1270.670 ;
RECT 2164.930 1091.090 2166.110 1092.270 ;
RECT 2164.930 1089.490 2166.110 1090.670 ;
RECT 2164.930 911.090 2166.110 912.270 ;
RECT 2164.930 909.490 2166.110 910.670 ;
RECT 2164.930 731.090 2166.110 732.270 ;
RECT 2164.930 729.490 2166.110 730.670 ;
RECT 2164.930 551.090 2166.110 552.270 ;
RECT 2164.930 549.490 2166.110 550.670 ;
RECT 2164.930 371.090 2166.110 372.270 ;
RECT 2164.930 369.490 2166.110 370.670 ;
RECT 2164.930 191.090 2166.110 192.270 ;
RECT 2164.930 189.490 2166.110 190.670 ;
RECT 2164.930 11.090 2166.110 12.270 ;
RECT 2164.930 9.490 2166.110 10.670 ;
RECT 2164.930 -2.910 2166.110 -1.730 ;
RECT 2164.930 -4.510 2166.110 -3.330 ;
RECT 2344.930 3523.010 2346.110 3524.190 ;
RECT 2344.930 3521.410 2346.110 3522.590 ;
RECT 2344.930 3431.090 2346.110 3432.270 ;
RECT 2344.930 3429.490 2346.110 3430.670 ;
RECT 2344.930 3251.090 2346.110 3252.270 ;
RECT 2344.930 3249.490 2346.110 3250.670 ;
RECT 2344.930 3071.090 2346.110 3072.270 ;
RECT 2344.930 3069.490 2346.110 3070.670 ;
RECT 2344.930 2891.090 2346.110 2892.270 ;
RECT 2344.930 2889.490 2346.110 2890.670 ;
RECT 2344.930 2711.090 2346.110 2712.270 ;
RECT 2344.930 2709.490 2346.110 2710.670 ;
RECT 2344.930 2531.090 2346.110 2532.270 ;
RECT 2344.930 2529.490 2346.110 2530.670 ;
RECT 2344.930 2351.090 2346.110 2352.270 ;
RECT 2344.930 2349.490 2346.110 2350.670 ;
RECT 2344.930 2171.090 2346.110 2172.270 ;
RECT 2344.930 2169.490 2346.110 2170.670 ;
RECT 2344.930 1991.090 2346.110 1992.270 ;
RECT 2344.930 1989.490 2346.110 1990.670 ;
RECT 2344.930 1811.090 2346.110 1812.270 ;
RECT 2344.930 1809.490 2346.110 1810.670 ;
RECT 2344.930 1631.090 2346.110 1632.270 ;
RECT 2344.930 1629.490 2346.110 1630.670 ;
RECT 2344.930 1451.090 2346.110 1452.270 ;
RECT 2344.930 1449.490 2346.110 1450.670 ;
RECT 2344.930 1271.090 2346.110 1272.270 ;
RECT 2344.930 1269.490 2346.110 1270.670 ;
RECT 2344.930 1091.090 2346.110 1092.270 ;
RECT 2344.930 1089.490 2346.110 1090.670 ;
RECT 2344.930 911.090 2346.110 912.270 ;
RECT 2344.930 909.490 2346.110 910.670 ;
RECT 2344.930 731.090 2346.110 732.270 ;
RECT 2344.930 729.490 2346.110 730.670 ;
RECT 2344.930 551.090 2346.110 552.270 ;
RECT 2344.930 549.490 2346.110 550.670 ;
RECT 2344.930 371.090 2346.110 372.270 ;
RECT 2344.930 369.490 2346.110 370.670 ;
RECT 2344.930 191.090 2346.110 192.270 ;
RECT 2344.930 189.490 2346.110 190.670 ;
RECT 2344.930 11.090 2346.110 12.270 ;
RECT 2344.930 9.490 2346.110 10.670 ;
RECT 2344.930 -2.910 2346.110 -1.730 ;
RECT 2344.930 -4.510 2346.110 -3.330 ;
RECT 2524.930 3523.010 2526.110 3524.190 ;
RECT 2524.930 3521.410 2526.110 3522.590 ;
RECT 2524.930 3431.090 2526.110 3432.270 ;
RECT 2524.930 3429.490 2526.110 3430.670 ;
RECT 2524.930 3251.090 2526.110 3252.270 ;
RECT 2524.930 3249.490 2526.110 3250.670 ;
RECT 2524.930 3071.090 2526.110 3072.270 ;
RECT 2524.930 3069.490 2526.110 3070.670 ;
RECT 2524.930 2891.090 2526.110 2892.270 ;
RECT 2524.930 2889.490 2526.110 2890.670 ;
RECT 2524.930 2711.090 2526.110 2712.270 ;
RECT 2524.930 2709.490 2526.110 2710.670 ;
RECT 2524.930 2531.090 2526.110 2532.270 ;
RECT 2524.930 2529.490 2526.110 2530.670 ;
RECT 2524.930 2351.090 2526.110 2352.270 ;
RECT 2524.930 2349.490 2526.110 2350.670 ;
RECT 2524.930 2171.090 2526.110 2172.270 ;
RECT 2524.930 2169.490 2526.110 2170.670 ;
RECT 2524.930 1991.090 2526.110 1992.270 ;
RECT 2524.930 1989.490 2526.110 1990.670 ;
RECT 2524.930 1811.090 2526.110 1812.270 ;
RECT 2524.930 1809.490 2526.110 1810.670 ;
RECT 2524.930 1631.090 2526.110 1632.270 ;
RECT 2524.930 1629.490 2526.110 1630.670 ;
RECT 2524.930 1451.090 2526.110 1452.270 ;
RECT 2524.930 1449.490 2526.110 1450.670 ;
RECT 2524.930 1271.090 2526.110 1272.270 ;
RECT 2524.930 1269.490 2526.110 1270.670 ;
RECT 2524.930 1091.090 2526.110 1092.270 ;
RECT 2524.930 1089.490 2526.110 1090.670 ;
RECT 2524.930 911.090 2526.110 912.270 ;
RECT 2524.930 909.490 2526.110 910.670 ;
RECT 2524.930 731.090 2526.110 732.270 ;
RECT 2524.930 729.490 2526.110 730.670 ;
RECT 2524.930 551.090 2526.110 552.270 ;
RECT 2524.930 549.490 2526.110 550.670 ;
RECT 2524.930 371.090 2526.110 372.270 ;
RECT 2524.930 369.490 2526.110 370.670 ;
RECT 2524.930 191.090 2526.110 192.270 ;
RECT 2524.930 189.490 2526.110 190.670 ;
RECT 2524.930 11.090 2526.110 12.270 ;
RECT 2524.930 9.490 2526.110 10.670 ;
RECT 2524.930 -2.910 2526.110 -1.730 ;
RECT 2524.930 -4.510 2526.110 -3.330 ;
RECT 2704.930 3523.010 2706.110 3524.190 ;
RECT 2704.930 3521.410 2706.110 3522.590 ;
RECT 2704.930 3431.090 2706.110 3432.270 ;
RECT 2704.930 3429.490 2706.110 3430.670 ;
RECT 2704.930 3251.090 2706.110 3252.270 ;
RECT 2704.930 3249.490 2706.110 3250.670 ;
RECT 2704.930 3071.090 2706.110 3072.270 ;
RECT 2704.930 3069.490 2706.110 3070.670 ;
RECT 2704.930 2891.090 2706.110 2892.270 ;
RECT 2704.930 2889.490 2706.110 2890.670 ;
RECT 2704.930 2711.090 2706.110 2712.270 ;
RECT 2704.930 2709.490 2706.110 2710.670 ;
RECT 2704.930 2531.090 2706.110 2532.270 ;
RECT 2704.930 2529.490 2706.110 2530.670 ;
RECT 2704.930 2351.090 2706.110 2352.270 ;
RECT 2704.930 2349.490 2706.110 2350.670 ;
RECT 2704.930 2171.090 2706.110 2172.270 ;
RECT 2704.930 2169.490 2706.110 2170.670 ;
RECT 2704.930 1991.090 2706.110 1992.270 ;
RECT 2704.930 1989.490 2706.110 1990.670 ;
RECT 2704.930 1811.090 2706.110 1812.270 ;
RECT 2704.930 1809.490 2706.110 1810.670 ;
RECT 2704.930 1631.090 2706.110 1632.270 ;
RECT 2704.930 1629.490 2706.110 1630.670 ;
RECT 2704.930 1451.090 2706.110 1452.270 ;
RECT 2704.930 1449.490 2706.110 1450.670 ;
RECT 2704.930 1271.090 2706.110 1272.270 ;
RECT 2704.930 1269.490 2706.110 1270.670 ;
RECT 2704.930 1091.090 2706.110 1092.270 ;
RECT 2704.930 1089.490 2706.110 1090.670 ;
RECT 2704.930 911.090 2706.110 912.270 ;
RECT 2704.930 909.490 2706.110 910.670 ;
RECT 2704.930 731.090 2706.110 732.270 ;
RECT 2704.930 729.490 2706.110 730.670 ;
RECT 2704.930 551.090 2706.110 552.270 ;
RECT 2704.930 549.490 2706.110 550.670 ;
RECT 2704.930 371.090 2706.110 372.270 ;
RECT 2704.930 369.490 2706.110 370.670 ;
RECT 2704.930 191.090 2706.110 192.270 ;
RECT 2704.930 189.490 2706.110 190.670 ;
RECT 2704.930 11.090 2706.110 12.270 ;
RECT 2704.930 9.490 2706.110 10.670 ;
RECT 2704.930 -2.910 2706.110 -1.730 ;
RECT 2704.930 -4.510 2706.110 -3.330 ;
RECT 2884.930 3523.010 2886.110 3524.190 ;
RECT 2884.930 3521.410 2886.110 3522.590 ;
RECT 2884.930 3431.090 2886.110 3432.270 ;
RECT 2884.930 3429.490 2886.110 3430.670 ;
RECT 2884.930 3251.090 2886.110 3252.270 ;
RECT 2884.930 3249.490 2886.110 3250.670 ;
RECT 2884.930 3071.090 2886.110 3072.270 ;
RECT 2884.930 3069.490 2886.110 3070.670 ;
RECT 2884.930 2891.090 2886.110 2892.270 ;
RECT 2884.930 2889.490 2886.110 2890.670 ;
RECT 2884.930 2711.090 2886.110 2712.270 ;
RECT 2884.930 2709.490 2886.110 2710.670 ;
RECT 2884.930 2531.090 2886.110 2532.270 ;
RECT 2884.930 2529.490 2886.110 2530.670 ;
RECT 2884.930 2351.090 2886.110 2352.270 ;
RECT 2884.930 2349.490 2886.110 2350.670 ;
RECT 2884.930 2171.090 2886.110 2172.270 ;
RECT 2884.930 2169.490 2886.110 2170.670 ;
RECT 2884.930 1991.090 2886.110 1992.270 ;
RECT 2884.930 1989.490 2886.110 1990.670 ;
RECT 2884.930 1811.090 2886.110 1812.270 ;
RECT 2884.930 1809.490 2886.110 1810.670 ;
RECT 2884.930 1631.090 2886.110 1632.270 ;
RECT 2884.930 1629.490 2886.110 1630.670 ;
RECT 2884.930 1451.090 2886.110 1452.270 ;
RECT 2884.930 1449.490 2886.110 1450.670 ;
RECT 2884.930 1271.090 2886.110 1272.270 ;
RECT 2884.930 1269.490 2886.110 1270.670 ;
RECT 2884.930 1091.090 2886.110 1092.270 ;
RECT 2884.930 1089.490 2886.110 1090.670 ;
RECT 2884.930 911.090 2886.110 912.270 ;
RECT 2884.930 909.490 2886.110 910.670 ;
RECT 2884.930 731.090 2886.110 732.270 ;
RECT 2884.930 729.490 2886.110 730.670 ;
RECT 2884.930 551.090 2886.110 552.270 ;
RECT 2884.930 549.490 2886.110 550.670 ;
RECT 2884.930 371.090 2886.110 372.270 ;
RECT 2884.930 369.490 2886.110 370.670 ;
RECT 2884.930 191.090 2886.110 192.270 ;
RECT 2884.930 189.490 2886.110 190.670 ;
RECT 2884.930 11.090 2886.110 12.270 ;
RECT 2884.930 9.490 2886.110 10.670 ;
RECT 2884.930 -2.910 2886.110 -1.730 ;
RECT 2884.930 -4.510 2886.110 -3.330 ;
RECT 2927.510 3523.010 2928.690 3524.190 ;
RECT 2927.510 3521.410 2928.690 3522.590 ;
RECT 2927.510 3431.090 2928.690 3432.270 ;
RECT 2927.510 3429.490 2928.690 3430.670 ;
RECT 2927.510 3251.090 2928.690 3252.270 ;
RECT 2927.510 3249.490 2928.690 3250.670 ;
RECT 2927.510 3071.090 2928.690 3072.270 ;
RECT 2927.510 3069.490 2928.690 3070.670 ;
RECT 2927.510 2891.090 2928.690 2892.270 ;
RECT 2927.510 2889.490 2928.690 2890.670 ;
RECT 2927.510 2711.090 2928.690 2712.270 ;
RECT 2927.510 2709.490 2928.690 2710.670 ;
RECT 2927.510 2531.090 2928.690 2532.270 ;
RECT 2927.510 2529.490 2928.690 2530.670 ;
RECT 2927.510 2351.090 2928.690 2352.270 ;
RECT 2927.510 2349.490 2928.690 2350.670 ;
RECT 2927.510 2171.090 2928.690 2172.270 ;
RECT 2927.510 2169.490 2928.690 2170.670 ;
RECT 2927.510 1991.090 2928.690 1992.270 ;
RECT 2927.510 1989.490 2928.690 1990.670 ;
RECT 2927.510 1811.090 2928.690 1812.270 ;
RECT 2927.510 1809.490 2928.690 1810.670 ;
RECT 2927.510 1631.090 2928.690 1632.270 ;
RECT 2927.510 1629.490 2928.690 1630.670 ;
RECT 2927.510 1451.090 2928.690 1452.270 ;
RECT 2927.510 1449.490 2928.690 1450.670 ;
RECT 2927.510 1271.090 2928.690 1272.270 ;
RECT 2927.510 1269.490 2928.690 1270.670 ;
RECT 2927.510 1091.090 2928.690 1092.270 ;
RECT 2927.510 1089.490 2928.690 1090.670 ;
RECT 2927.510 911.090 2928.690 912.270 ;
RECT 2927.510 909.490 2928.690 910.670 ;
RECT 2927.510 731.090 2928.690 732.270 ;
RECT 2927.510 729.490 2928.690 730.670 ;
RECT 2927.510 551.090 2928.690 552.270 ;
RECT 2927.510 549.490 2928.690 550.670 ;
RECT 2927.510 371.090 2928.690 372.270 ;
RECT 2927.510 369.490 2928.690 370.670 ;
RECT 2927.510 191.090 2928.690 192.270 ;
RECT 2927.510 189.490 2928.690 190.670 ;
RECT 2927.510 11.090 2928.690 12.270 ;
RECT 2927.510 9.490 2928.690 10.670 ;
RECT 2927.510 -2.910 2928.690 -1.730 ;
RECT 2927.510 -4.510 2928.690 -3.330 ;
LAYER met5 ;
RECT -9.980 3524.300 -6.980 3524.310 ;
RECT 4.020 3524.300 7.020 3524.310 ;
RECT 184.020 3524.300 187.020 3524.310 ;
RECT 364.020 3524.300 367.020 3524.310 ;
RECT 544.020 3524.300 547.020 3524.310 ;
RECT 724.020 3524.300 727.020 3524.310 ;
RECT 904.020 3524.300 907.020 3524.310 ;
RECT 1084.020 3524.300 1087.020 3524.310 ;
RECT 1264.020 3524.300 1267.020 3524.310 ;
RECT 1444.020 3524.300 1447.020 3524.310 ;
RECT 1624.020 3524.300 1627.020 3524.310 ;
RECT 1804.020 3524.300 1807.020 3524.310 ;
RECT 1984.020 3524.300 1987.020 3524.310 ;
RECT 2164.020 3524.300 2167.020 3524.310 ;
RECT 2344.020 3524.300 2347.020 3524.310 ;
RECT 2524.020 3524.300 2527.020 3524.310 ;
RECT 2704.020 3524.300 2707.020 3524.310 ;
RECT 2884.020 3524.300 2887.020 3524.310 ;
RECT 2926.600 3524.300 2929.600 3524.310 ;
RECT -9.980 3521.300 2929.600 3524.300 ;
RECT -9.980 3521.290 -6.980 3521.300 ;
RECT 4.020 3521.290 7.020 3521.300 ;
RECT 184.020 3521.290 187.020 3521.300 ;
RECT 364.020 3521.290 367.020 3521.300 ;
RECT 544.020 3521.290 547.020 3521.300 ;
RECT 724.020 3521.290 727.020 3521.300 ;
RECT 904.020 3521.290 907.020 3521.300 ;
RECT 1084.020 3521.290 1087.020 3521.300 ;
RECT 1264.020 3521.290 1267.020 3521.300 ;
RECT 1444.020 3521.290 1447.020 3521.300 ;
RECT 1624.020 3521.290 1627.020 3521.300 ;
RECT 1804.020 3521.290 1807.020 3521.300 ;
RECT 1984.020 3521.290 1987.020 3521.300 ;
RECT 2164.020 3521.290 2167.020 3521.300 ;
RECT 2344.020 3521.290 2347.020 3521.300 ;
RECT 2524.020 3521.290 2527.020 3521.300 ;
RECT 2704.020 3521.290 2707.020 3521.300 ;
RECT 2884.020 3521.290 2887.020 3521.300 ;
RECT 2926.600 3521.290 2929.600 3521.300 ;
RECT -9.980 3432.380 -6.980 3432.390 ;
RECT 4.020 3432.380 7.020 3432.390 ;
RECT 184.020 3432.380 187.020 3432.390 ;
RECT 364.020 3432.380 367.020 3432.390 ;
RECT 544.020 3432.380 547.020 3432.390 ;
RECT 724.020 3432.380 727.020 3432.390 ;
RECT 904.020 3432.380 907.020 3432.390 ;
RECT 1084.020 3432.380 1087.020 3432.390 ;
RECT 1264.020 3432.380 1267.020 3432.390 ;
RECT 1444.020 3432.380 1447.020 3432.390 ;
RECT 1624.020 3432.380 1627.020 3432.390 ;
RECT 1804.020 3432.380 1807.020 3432.390 ;
RECT 1984.020 3432.380 1987.020 3432.390 ;
RECT 2164.020 3432.380 2167.020 3432.390 ;
RECT 2344.020 3432.380 2347.020 3432.390 ;
RECT 2524.020 3432.380 2527.020 3432.390 ;
RECT 2704.020 3432.380 2707.020 3432.390 ;
RECT 2884.020 3432.380 2887.020 3432.390 ;
RECT 2926.600 3432.380 2929.600 3432.390 ;
RECT -14.580 3429.380 2934.200 3432.380 ;
RECT -9.980 3429.370 -6.980 3429.380 ;
RECT 4.020 3429.370 7.020 3429.380 ;
RECT 184.020 3429.370 187.020 3429.380 ;
RECT 364.020 3429.370 367.020 3429.380 ;
RECT 544.020 3429.370 547.020 3429.380 ;
RECT 724.020 3429.370 727.020 3429.380 ;
RECT 904.020 3429.370 907.020 3429.380 ;
RECT 1084.020 3429.370 1087.020 3429.380 ;
RECT 1264.020 3429.370 1267.020 3429.380 ;
RECT 1444.020 3429.370 1447.020 3429.380 ;
RECT 1624.020 3429.370 1627.020 3429.380 ;
RECT 1804.020 3429.370 1807.020 3429.380 ;
RECT 1984.020 3429.370 1987.020 3429.380 ;
RECT 2164.020 3429.370 2167.020 3429.380 ;
RECT 2344.020 3429.370 2347.020 3429.380 ;
RECT 2524.020 3429.370 2527.020 3429.380 ;
RECT 2704.020 3429.370 2707.020 3429.380 ;
RECT 2884.020 3429.370 2887.020 3429.380 ;
RECT 2926.600 3429.370 2929.600 3429.380 ;
RECT -9.980 3252.380 -6.980 3252.390 ;
RECT 4.020 3252.380 7.020 3252.390 ;
RECT 184.020 3252.380 187.020 3252.390 ;
RECT 364.020 3252.380 367.020 3252.390 ;
RECT 544.020 3252.380 547.020 3252.390 ;
RECT 724.020 3252.380 727.020 3252.390 ;
RECT 904.020 3252.380 907.020 3252.390 ;
RECT 1084.020 3252.380 1087.020 3252.390 ;
RECT 1264.020 3252.380 1267.020 3252.390 ;
RECT 1444.020 3252.380 1447.020 3252.390 ;
RECT 1624.020 3252.380 1627.020 3252.390 ;
RECT 1804.020 3252.380 1807.020 3252.390 ;
RECT 1984.020 3252.380 1987.020 3252.390 ;
RECT 2164.020 3252.380 2167.020 3252.390 ;
RECT 2344.020 3252.380 2347.020 3252.390 ;
RECT 2524.020 3252.380 2527.020 3252.390 ;
RECT 2704.020 3252.380 2707.020 3252.390 ;
RECT 2884.020 3252.380 2887.020 3252.390 ;
RECT 2926.600 3252.380 2929.600 3252.390 ;
RECT -14.580 3249.380 2934.200 3252.380 ;
RECT -9.980 3249.370 -6.980 3249.380 ;
RECT 4.020 3249.370 7.020 3249.380 ;
RECT 184.020 3249.370 187.020 3249.380 ;
RECT 364.020 3249.370 367.020 3249.380 ;
RECT 544.020 3249.370 547.020 3249.380 ;
RECT 724.020 3249.370 727.020 3249.380 ;
RECT 904.020 3249.370 907.020 3249.380 ;
RECT 1084.020 3249.370 1087.020 3249.380 ;
RECT 1264.020 3249.370 1267.020 3249.380 ;
RECT 1444.020 3249.370 1447.020 3249.380 ;
RECT 1624.020 3249.370 1627.020 3249.380 ;
RECT 1804.020 3249.370 1807.020 3249.380 ;
RECT 1984.020 3249.370 1987.020 3249.380 ;
RECT 2164.020 3249.370 2167.020 3249.380 ;
RECT 2344.020 3249.370 2347.020 3249.380 ;
RECT 2524.020 3249.370 2527.020 3249.380 ;
RECT 2704.020 3249.370 2707.020 3249.380 ;
RECT 2884.020 3249.370 2887.020 3249.380 ;
RECT 2926.600 3249.370 2929.600 3249.380 ;
RECT -9.980 3072.380 -6.980 3072.390 ;
RECT 4.020 3072.380 7.020 3072.390 ;
RECT 184.020 3072.380 187.020 3072.390 ;
RECT 364.020 3072.380 367.020 3072.390 ;
RECT 544.020 3072.380 547.020 3072.390 ;
RECT 724.020 3072.380 727.020 3072.390 ;
RECT 904.020 3072.380 907.020 3072.390 ;
RECT 1084.020 3072.380 1087.020 3072.390 ;
RECT 1264.020 3072.380 1267.020 3072.390 ;
RECT 1444.020 3072.380 1447.020 3072.390 ;
RECT 1624.020 3072.380 1627.020 3072.390 ;
RECT 1804.020 3072.380 1807.020 3072.390 ;
RECT 1984.020 3072.380 1987.020 3072.390 ;
RECT 2164.020 3072.380 2167.020 3072.390 ;
RECT 2344.020 3072.380 2347.020 3072.390 ;
RECT 2524.020 3072.380 2527.020 3072.390 ;
RECT 2704.020 3072.380 2707.020 3072.390 ;
RECT 2884.020 3072.380 2887.020 3072.390 ;
RECT 2926.600 3072.380 2929.600 3072.390 ;
RECT -14.580 3069.380 2934.200 3072.380 ;
RECT -9.980 3069.370 -6.980 3069.380 ;
RECT 4.020 3069.370 7.020 3069.380 ;
RECT 184.020 3069.370 187.020 3069.380 ;
RECT 364.020 3069.370 367.020 3069.380 ;
RECT 544.020 3069.370 547.020 3069.380 ;
RECT 724.020 3069.370 727.020 3069.380 ;
RECT 904.020 3069.370 907.020 3069.380 ;
RECT 1084.020 3069.370 1087.020 3069.380 ;
RECT 1264.020 3069.370 1267.020 3069.380 ;
RECT 1444.020 3069.370 1447.020 3069.380 ;
RECT 1624.020 3069.370 1627.020 3069.380 ;
RECT 1804.020 3069.370 1807.020 3069.380 ;
RECT 1984.020 3069.370 1987.020 3069.380 ;
RECT 2164.020 3069.370 2167.020 3069.380 ;
RECT 2344.020 3069.370 2347.020 3069.380 ;
RECT 2524.020 3069.370 2527.020 3069.380 ;
RECT 2704.020 3069.370 2707.020 3069.380 ;
RECT 2884.020 3069.370 2887.020 3069.380 ;
RECT 2926.600 3069.370 2929.600 3069.380 ;
RECT -9.980 2892.380 -6.980 2892.390 ;
RECT 4.020 2892.380 7.020 2892.390 ;
RECT 184.020 2892.380 187.020 2892.390 ;
RECT 364.020 2892.380 367.020 2892.390 ;
RECT 544.020 2892.380 547.020 2892.390 ;
RECT 724.020 2892.380 727.020 2892.390 ;
RECT 904.020 2892.380 907.020 2892.390 ;
RECT 1084.020 2892.380 1087.020 2892.390 ;
RECT 1264.020 2892.380 1267.020 2892.390 ;
RECT 1444.020 2892.380 1447.020 2892.390 ;
RECT 1624.020 2892.380 1627.020 2892.390 ;
RECT 1804.020 2892.380 1807.020 2892.390 ;
RECT 1984.020 2892.380 1987.020 2892.390 ;
RECT 2164.020 2892.380 2167.020 2892.390 ;
RECT 2344.020 2892.380 2347.020 2892.390 ;
RECT 2524.020 2892.380 2527.020 2892.390 ;
RECT 2704.020 2892.380 2707.020 2892.390 ;
RECT 2884.020 2892.380 2887.020 2892.390 ;
RECT 2926.600 2892.380 2929.600 2892.390 ;
RECT -14.580 2889.380 2934.200 2892.380 ;
RECT -9.980 2889.370 -6.980 2889.380 ;
RECT 4.020 2889.370 7.020 2889.380 ;
RECT 184.020 2889.370 187.020 2889.380 ;
RECT 364.020 2889.370 367.020 2889.380 ;
RECT 544.020 2889.370 547.020 2889.380 ;
RECT 724.020 2889.370 727.020 2889.380 ;
RECT 904.020 2889.370 907.020 2889.380 ;
RECT 1084.020 2889.370 1087.020 2889.380 ;
RECT 1264.020 2889.370 1267.020 2889.380 ;
RECT 1444.020 2889.370 1447.020 2889.380 ;
RECT 1624.020 2889.370 1627.020 2889.380 ;
RECT 1804.020 2889.370 1807.020 2889.380 ;
RECT 1984.020 2889.370 1987.020 2889.380 ;
RECT 2164.020 2889.370 2167.020 2889.380 ;
RECT 2344.020 2889.370 2347.020 2889.380 ;
RECT 2524.020 2889.370 2527.020 2889.380 ;
RECT 2704.020 2889.370 2707.020 2889.380 ;
RECT 2884.020 2889.370 2887.020 2889.380 ;
RECT 2926.600 2889.370 2929.600 2889.380 ;
RECT -9.980 2712.380 -6.980 2712.390 ;
RECT 4.020 2712.380 7.020 2712.390 ;
RECT 184.020 2712.380 187.020 2712.390 ;
RECT 364.020 2712.380 367.020 2712.390 ;
RECT 544.020 2712.380 547.020 2712.390 ;
RECT 724.020 2712.380 727.020 2712.390 ;
RECT 904.020 2712.380 907.020 2712.390 ;
RECT 1084.020 2712.380 1087.020 2712.390 ;
RECT 1264.020 2712.380 1267.020 2712.390 ;
RECT 1444.020 2712.380 1447.020 2712.390 ;
RECT 1624.020 2712.380 1627.020 2712.390 ;
RECT 1804.020 2712.380 1807.020 2712.390 ;
RECT 1984.020 2712.380 1987.020 2712.390 ;
RECT 2164.020 2712.380 2167.020 2712.390 ;
RECT 2344.020 2712.380 2347.020 2712.390 ;
RECT 2524.020 2712.380 2527.020 2712.390 ;
RECT 2704.020 2712.380 2707.020 2712.390 ;
RECT 2884.020 2712.380 2887.020 2712.390 ;
RECT 2926.600 2712.380 2929.600 2712.390 ;
RECT -14.580 2709.380 2934.200 2712.380 ;
RECT -9.980 2709.370 -6.980 2709.380 ;
RECT 4.020 2709.370 7.020 2709.380 ;
RECT 184.020 2709.370 187.020 2709.380 ;
RECT 364.020 2709.370 367.020 2709.380 ;
RECT 544.020 2709.370 547.020 2709.380 ;
RECT 724.020 2709.370 727.020 2709.380 ;
RECT 904.020 2709.370 907.020 2709.380 ;
RECT 1084.020 2709.370 1087.020 2709.380 ;
RECT 1264.020 2709.370 1267.020 2709.380 ;
RECT 1444.020 2709.370 1447.020 2709.380 ;
RECT 1624.020 2709.370 1627.020 2709.380 ;
RECT 1804.020 2709.370 1807.020 2709.380 ;
RECT 1984.020 2709.370 1987.020 2709.380 ;
RECT 2164.020 2709.370 2167.020 2709.380 ;
RECT 2344.020 2709.370 2347.020 2709.380 ;
RECT 2524.020 2709.370 2527.020 2709.380 ;
RECT 2704.020 2709.370 2707.020 2709.380 ;
RECT 2884.020 2709.370 2887.020 2709.380 ;
RECT 2926.600 2709.370 2929.600 2709.380 ;
RECT -9.980 2532.380 -6.980 2532.390 ;
RECT 4.020 2532.380 7.020 2532.390 ;
RECT 184.020 2532.380 187.020 2532.390 ;
RECT 364.020 2532.380 367.020 2532.390 ;
RECT 544.020 2532.380 547.020 2532.390 ;
RECT 724.020 2532.380 727.020 2532.390 ;
RECT 904.020 2532.380 907.020 2532.390 ;
RECT 1084.020 2532.380 1087.020 2532.390 ;
RECT 1264.020 2532.380 1267.020 2532.390 ;
RECT 1444.020 2532.380 1447.020 2532.390 ;
RECT 1624.020 2532.380 1627.020 2532.390 ;
RECT 1804.020 2532.380 1807.020 2532.390 ;
RECT 1984.020 2532.380 1987.020 2532.390 ;
RECT 2164.020 2532.380 2167.020 2532.390 ;
RECT 2344.020 2532.380 2347.020 2532.390 ;
RECT 2524.020 2532.380 2527.020 2532.390 ;
RECT 2704.020 2532.380 2707.020 2532.390 ;
RECT 2884.020 2532.380 2887.020 2532.390 ;
RECT 2926.600 2532.380 2929.600 2532.390 ;
RECT -14.580 2529.380 2934.200 2532.380 ;
RECT -9.980 2529.370 -6.980 2529.380 ;
RECT 4.020 2529.370 7.020 2529.380 ;
RECT 184.020 2529.370 187.020 2529.380 ;
RECT 364.020 2529.370 367.020 2529.380 ;
RECT 544.020 2529.370 547.020 2529.380 ;
RECT 724.020 2529.370 727.020 2529.380 ;
RECT 904.020 2529.370 907.020 2529.380 ;
RECT 1084.020 2529.370 1087.020 2529.380 ;
RECT 1264.020 2529.370 1267.020 2529.380 ;
RECT 1444.020 2529.370 1447.020 2529.380 ;
RECT 1624.020 2529.370 1627.020 2529.380 ;
RECT 1804.020 2529.370 1807.020 2529.380 ;
RECT 1984.020 2529.370 1987.020 2529.380 ;
RECT 2164.020 2529.370 2167.020 2529.380 ;
RECT 2344.020 2529.370 2347.020 2529.380 ;
RECT 2524.020 2529.370 2527.020 2529.380 ;
RECT 2704.020 2529.370 2707.020 2529.380 ;
RECT 2884.020 2529.370 2887.020 2529.380 ;
RECT 2926.600 2529.370 2929.600 2529.380 ;
RECT -9.980 2352.380 -6.980 2352.390 ;
RECT 4.020 2352.380 7.020 2352.390 ;
RECT 184.020 2352.380 187.020 2352.390 ;
RECT 364.020 2352.380 367.020 2352.390 ;
RECT 544.020 2352.380 547.020 2352.390 ;
RECT 724.020 2352.380 727.020 2352.390 ;
RECT 904.020 2352.380 907.020 2352.390 ;
RECT 1084.020 2352.380 1087.020 2352.390 ;
RECT 1264.020 2352.380 1267.020 2352.390 ;
RECT 1444.020 2352.380 1447.020 2352.390 ;
RECT 1624.020 2352.380 1627.020 2352.390 ;
RECT 1804.020 2352.380 1807.020 2352.390 ;
RECT 1984.020 2352.380 1987.020 2352.390 ;
RECT 2164.020 2352.380 2167.020 2352.390 ;
RECT 2344.020 2352.380 2347.020 2352.390 ;
RECT 2524.020 2352.380 2527.020 2352.390 ;
RECT 2704.020 2352.380 2707.020 2352.390 ;
RECT 2884.020 2352.380 2887.020 2352.390 ;
RECT 2926.600 2352.380 2929.600 2352.390 ;
RECT -14.580 2349.380 2934.200 2352.380 ;
RECT -9.980 2349.370 -6.980 2349.380 ;
RECT 4.020 2349.370 7.020 2349.380 ;
RECT 184.020 2349.370 187.020 2349.380 ;
RECT 364.020 2349.370 367.020 2349.380 ;
RECT 544.020 2349.370 547.020 2349.380 ;
RECT 724.020 2349.370 727.020 2349.380 ;
RECT 904.020 2349.370 907.020 2349.380 ;
RECT 1084.020 2349.370 1087.020 2349.380 ;
RECT 1264.020 2349.370 1267.020 2349.380 ;
RECT 1444.020 2349.370 1447.020 2349.380 ;
RECT 1624.020 2349.370 1627.020 2349.380 ;
RECT 1804.020 2349.370 1807.020 2349.380 ;
RECT 1984.020 2349.370 1987.020 2349.380 ;
RECT 2164.020 2349.370 2167.020 2349.380 ;
RECT 2344.020 2349.370 2347.020 2349.380 ;
RECT 2524.020 2349.370 2527.020 2349.380 ;
RECT 2704.020 2349.370 2707.020 2349.380 ;
RECT 2884.020 2349.370 2887.020 2349.380 ;
RECT 2926.600 2349.370 2929.600 2349.380 ;
RECT -9.980 2172.380 -6.980 2172.390 ;
RECT 4.020 2172.380 7.020 2172.390 ;
RECT 184.020 2172.380 187.020 2172.390 ;
RECT 364.020 2172.380 367.020 2172.390 ;
RECT 544.020 2172.380 547.020 2172.390 ;
RECT 724.020 2172.380 727.020 2172.390 ;
RECT 904.020 2172.380 907.020 2172.390 ;
RECT 1084.020 2172.380 1087.020 2172.390 ;
RECT 1264.020 2172.380 1267.020 2172.390 ;
RECT 1444.020 2172.380 1447.020 2172.390 ;
RECT 1624.020 2172.380 1627.020 2172.390 ;
RECT 1804.020 2172.380 1807.020 2172.390 ;
RECT 1984.020 2172.380 1987.020 2172.390 ;
RECT 2164.020 2172.380 2167.020 2172.390 ;
RECT 2344.020 2172.380 2347.020 2172.390 ;
RECT 2524.020 2172.380 2527.020 2172.390 ;
RECT 2704.020 2172.380 2707.020 2172.390 ;
RECT 2884.020 2172.380 2887.020 2172.390 ;
RECT 2926.600 2172.380 2929.600 2172.390 ;
RECT -14.580 2169.380 2934.200 2172.380 ;
RECT -9.980 2169.370 -6.980 2169.380 ;
RECT 4.020 2169.370 7.020 2169.380 ;
RECT 184.020 2169.370 187.020 2169.380 ;
RECT 364.020 2169.370 367.020 2169.380 ;
RECT 544.020 2169.370 547.020 2169.380 ;
RECT 724.020 2169.370 727.020 2169.380 ;
RECT 904.020 2169.370 907.020 2169.380 ;
RECT 1084.020 2169.370 1087.020 2169.380 ;
RECT 1264.020 2169.370 1267.020 2169.380 ;
RECT 1444.020 2169.370 1447.020 2169.380 ;
RECT 1624.020 2169.370 1627.020 2169.380 ;
RECT 1804.020 2169.370 1807.020 2169.380 ;
RECT 1984.020 2169.370 1987.020 2169.380 ;
RECT 2164.020 2169.370 2167.020 2169.380 ;
RECT 2344.020 2169.370 2347.020 2169.380 ;
RECT 2524.020 2169.370 2527.020 2169.380 ;
RECT 2704.020 2169.370 2707.020 2169.380 ;
RECT 2884.020 2169.370 2887.020 2169.380 ;
RECT 2926.600 2169.370 2929.600 2169.380 ;
RECT -9.980 1992.380 -6.980 1992.390 ;
RECT 4.020 1992.380 7.020 1992.390 ;
RECT 184.020 1992.380 187.020 1992.390 ;
RECT 364.020 1992.380 367.020 1992.390 ;
RECT 544.020 1992.380 547.020 1992.390 ;
RECT 724.020 1992.380 727.020 1992.390 ;
RECT 904.020 1992.380 907.020 1992.390 ;
RECT 1084.020 1992.380 1087.020 1992.390 ;
RECT 1264.020 1992.380 1267.020 1992.390 ;
RECT 1444.020 1992.380 1447.020 1992.390 ;
RECT 1624.020 1992.380 1627.020 1992.390 ;
RECT 1804.020 1992.380 1807.020 1992.390 ;
RECT 1984.020 1992.380 1987.020 1992.390 ;
RECT 2164.020 1992.380 2167.020 1992.390 ;
RECT 2344.020 1992.380 2347.020 1992.390 ;
RECT 2524.020 1992.380 2527.020 1992.390 ;
RECT 2704.020 1992.380 2707.020 1992.390 ;
RECT 2884.020 1992.380 2887.020 1992.390 ;
RECT 2926.600 1992.380 2929.600 1992.390 ;
RECT -14.580 1989.380 2934.200 1992.380 ;
RECT -9.980 1989.370 -6.980 1989.380 ;
RECT 4.020 1989.370 7.020 1989.380 ;
RECT 184.020 1989.370 187.020 1989.380 ;
RECT 364.020 1989.370 367.020 1989.380 ;
RECT 544.020 1989.370 547.020 1989.380 ;
RECT 724.020 1989.370 727.020 1989.380 ;
RECT 904.020 1989.370 907.020 1989.380 ;
RECT 1084.020 1989.370 1087.020 1989.380 ;
RECT 1264.020 1989.370 1267.020 1989.380 ;
RECT 1444.020 1989.370 1447.020 1989.380 ;
RECT 1624.020 1989.370 1627.020 1989.380 ;
RECT 1804.020 1989.370 1807.020 1989.380 ;
RECT 1984.020 1989.370 1987.020 1989.380 ;
RECT 2164.020 1989.370 2167.020 1989.380 ;
RECT 2344.020 1989.370 2347.020 1989.380 ;
RECT 2524.020 1989.370 2527.020 1989.380 ;
RECT 2704.020 1989.370 2707.020 1989.380 ;
RECT 2884.020 1989.370 2887.020 1989.380 ;
RECT 2926.600 1989.370 2929.600 1989.380 ;
RECT -9.980 1812.380 -6.980 1812.390 ;
RECT 4.020 1812.380 7.020 1812.390 ;
RECT 184.020 1812.380 187.020 1812.390 ;
RECT 364.020 1812.380 367.020 1812.390 ;
RECT 544.020 1812.380 547.020 1812.390 ;
RECT 724.020 1812.380 727.020 1812.390 ;
RECT 904.020 1812.380 907.020 1812.390 ;
RECT 1084.020 1812.380 1087.020 1812.390 ;
RECT 1264.020 1812.380 1267.020 1812.390 ;
RECT 1444.020 1812.380 1447.020 1812.390 ;
RECT 1624.020 1812.380 1627.020 1812.390 ;
RECT 1804.020 1812.380 1807.020 1812.390 ;
RECT 1984.020 1812.380 1987.020 1812.390 ;
RECT 2164.020 1812.380 2167.020 1812.390 ;
RECT 2344.020 1812.380 2347.020 1812.390 ;
RECT 2524.020 1812.380 2527.020 1812.390 ;
RECT 2704.020 1812.380 2707.020 1812.390 ;
RECT 2884.020 1812.380 2887.020 1812.390 ;
RECT 2926.600 1812.380 2929.600 1812.390 ;
RECT -14.580 1809.380 2934.200 1812.380 ;
RECT -9.980 1809.370 -6.980 1809.380 ;
RECT 4.020 1809.370 7.020 1809.380 ;
RECT 184.020 1809.370 187.020 1809.380 ;
RECT 364.020 1809.370 367.020 1809.380 ;
RECT 544.020 1809.370 547.020 1809.380 ;
RECT 724.020 1809.370 727.020 1809.380 ;
RECT 904.020 1809.370 907.020 1809.380 ;
RECT 1084.020 1809.370 1087.020 1809.380 ;
RECT 1264.020 1809.370 1267.020 1809.380 ;
RECT 1444.020 1809.370 1447.020 1809.380 ;
RECT 1624.020 1809.370 1627.020 1809.380 ;
RECT 1804.020 1809.370 1807.020 1809.380 ;
RECT 1984.020 1809.370 1987.020 1809.380 ;
RECT 2164.020 1809.370 2167.020 1809.380 ;
RECT 2344.020 1809.370 2347.020 1809.380 ;
RECT 2524.020 1809.370 2527.020 1809.380 ;
RECT 2704.020 1809.370 2707.020 1809.380 ;
RECT 2884.020 1809.370 2887.020 1809.380 ;
RECT 2926.600 1809.370 2929.600 1809.380 ;
RECT -9.980 1632.380 -6.980 1632.390 ;
RECT 4.020 1632.380 7.020 1632.390 ;
RECT 184.020 1632.380 187.020 1632.390 ;
RECT 364.020 1632.380 367.020 1632.390 ;
RECT 544.020 1632.380 547.020 1632.390 ;
RECT 724.020 1632.380 727.020 1632.390 ;
RECT 904.020 1632.380 907.020 1632.390 ;
RECT 1084.020 1632.380 1087.020 1632.390 ;
RECT 1264.020 1632.380 1267.020 1632.390 ;
RECT 1444.020 1632.380 1447.020 1632.390 ;
RECT 1624.020 1632.380 1627.020 1632.390 ;
RECT 1804.020 1632.380 1807.020 1632.390 ;
RECT 1984.020 1632.380 1987.020 1632.390 ;
RECT 2164.020 1632.380 2167.020 1632.390 ;
RECT 2344.020 1632.380 2347.020 1632.390 ;
RECT 2524.020 1632.380 2527.020 1632.390 ;
RECT 2704.020 1632.380 2707.020 1632.390 ;
RECT 2884.020 1632.380 2887.020 1632.390 ;
RECT 2926.600 1632.380 2929.600 1632.390 ;
RECT -14.580 1629.380 2934.200 1632.380 ;
RECT -9.980 1629.370 -6.980 1629.380 ;
RECT 4.020 1629.370 7.020 1629.380 ;
RECT 184.020 1629.370 187.020 1629.380 ;
RECT 364.020 1629.370 367.020 1629.380 ;
RECT 544.020 1629.370 547.020 1629.380 ;
RECT 724.020 1629.370 727.020 1629.380 ;
RECT 904.020 1629.370 907.020 1629.380 ;
RECT 1084.020 1629.370 1087.020 1629.380 ;
RECT 1264.020 1629.370 1267.020 1629.380 ;
RECT 1444.020 1629.370 1447.020 1629.380 ;
RECT 1624.020 1629.370 1627.020 1629.380 ;
RECT 1804.020 1629.370 1807.020 1629.380 ;
RECT 1984.020 1629.370 1987.020 1629.380 ;
RECT 2164.020 1629.370 2167.020 1629.380 ;
RECT 2344.020 1629.370 2347.020 1629.380 ;
RECT 2524.020 1629.370 2527.020 1629.380 ;
RECT 2704.020 1629.370 2707.020 1629.380 ;
RECT 2884.020 1629.370 2887.020 1629.380 ;
RECT 2926.600 1629.370 2929.600 1629.380 ;
RECT -9.980 1452.380 -6.980 1452.390 ;
RECT 4.020 1452.380 7.020 1452.390 ;
RECT 184.020 1452.380 187.020 1452.390 ;
RECT 364.020 1452.380 367.020 1452.390 ;
RECT 544.020 1452.380 547.020 1452.390 ;
RECT 724.020 1452.380 727.020 1452.390 ;
RECT 904.020 1452.380 907.020 1452.390 ;
RECT 1084.020 1452.380 1087.020 1452.390 ;
RECT 1264.020 1452.380 1267.020 1452.390 ;
RECT 1444.020 1452.380 1447.020 1452.390 ;
RECT 1624.020 1452.380 1627.020 1452.390 ;
RECT 1804.020 1452.380 1807.020 1452.390 ;
RECT 1984.020 1452.380 1987.020 1452.390 ;
RECT 2164.020 1452.380 2167.020 1452.390 ;
RECT 2344.020 1452.380 2347.020 1452.390 ;
RECT 2524.020 1452.380 2527.020 1452.390 ;
RECT 2704.020 1452.380 2707.020 1452.390 ;
RECT 2884.020 1452.380 2887.020 1452.390 ;
RECT 2926.600 1452.380 2929.600 1452.390 ;
RECT -14.580 1449.380 2934.200 1452.380 ;
RECT -9.980 1449.370 -6.980 1449.380 ;
RECT 4.020 1449.370 7.020 1449.380 ;
RECT 184.020 1449.370 187.020 1449.380 ;
RECT 364.020 1449.370 367.020 1449.380 ;
RECT 544.020 1449.370 547.020 1449.380 ;
RECT 724.020 1449.370 727.020 1449.380 ;
RECT 904.020 1449.370 907.020 1449.380 ;
RECT 1084.020 1449.370 1087.020 1449.380 ;
RECT 1264.020 1449.370 1267.020 1449.380 ;
RECT 1444.020 1449.370 1447.020 1449.380 ;
RECT 1624.020 1449.370 1627.020 1449.380 ;
RECT 1804.020 1449.370 1807.020 1449.380 ;
RECT 1984.020 1449.370 1987.020 1449.380 ;
RECT 2164.020 1449.370 2167.020 1449.380 ;
RECT 2344.020 1449.370 2347.020 1449.380 ;
RECT 2524.020 1449.370 2527.020 1449.380 ;
RECT 2704.020 1449.370 2707.020 1449.380 ;
RECT 2884.020 1449.370 2887.020 1449.380 ;
RECT 2926.600 1449.370 2929.600 1449.380 ;
RECT -9.980 1272.380 -6.980 1272.390 ;
RECT 4.020 1272.380 7.020 1272.390 ;
RECT 184.020 1272.380 187.020 1272.390 ;
RECT 364.020 1272.380 367.020 1272.390 ;
RECT 544.020 1272.380 547.020 1272.390 ;
RECT 724.020 1272.380 727.020 1272.390 ;
RECT 904.020 1272.380 907.020 1272.390 ;
RECT 1084.020 1272.380 1087.020 1272.390 ;
RECT 1264.020 1272.380 1267.020 1272.390 ;
RECT 1444.020 1272.380 1447.020 1272.390 ;
RECT 1624.020 1272.380 1627.020 1272.390 ;
RECT 1804.020 1272.380 1807.020 1272.390 ;
RECT 1984.020 1272.380 1987.020 1272.390 ;
RECT 2164.020 1272.380 2167.020 1272.390 ;
RECT 2344.020 1272.380 2347.020 1272.390 ;
RECT 2524.020 1272.380 2527.020 1272.390 ;
RECT 2704.020 1272.380 2707.020 1272.390 ;
RECT 2884.020 1272.380 2887.020 1272.390 ;
RECT 2926.600 1272.380 2929.600 1272.390 ;
RECT -14.580 1269.380 2934.200 1272.380 ;
RECT -9.980 1269.370 -6.980 1269.380 ;
RECT 4.020 1269.370 7.020 1269.380 ;
RECT 184.020 1269.370 187.020 1269.380 ;
RECT 364.020 1269.370 367.020 1269.380 ;
RECT 544.020 1269.370 547.020 1269.380 ;
RECT 724.020 1269.370 727.020 1269.380 ;
RECT 904.020 1269.370 907.020 1269.380 ;
RECT 1084.020 1269.370 1087.020 1269.380 ;
RECT 1264.020 1269.370 1267.020 1269.380 ;
RECT 1444.020 1269.370 1447.020 1269.380 ;
RECT 1624.020 1269.370 1627.020 1269.380 ;
RECT 1804.020 1269.370 1807.020 1269.380 ;
RECT 1984.020 1269.370 1987.020 1269.380 ;
RECT 2164.020 1269.370 2167.020 1269.380 ;
RECT 2344.020 1269.370 2347.020 1269.380 ;
RECT 2524.020 1269.370 2527.020 1269.380 ;
RECT 2704.020 1269.370 2707.020 1269.380 ;
RECT 2884.020 1269.370 2887.020 1269.380 ;
RECT 2926.600 1269.370 2929.600 1269.380 ;
RECT -9.980 1092.380 -6.980 1092.390 ;
RECT 4.020 1092.380 7.020 1092.390 ;
RECT 184.020 1092.380 187.020 1092.390 ;
RECT 364.020 1092.380 367.020 1092.390 ;
RECT 544.020 1092.380 547.020 1092.390 ;
RECT 724.020 1092.380 727.020 1092.390 ;
RECT 904.020 1092.380 907.020 1092.390 ;
RECT 1084.020 1092.380 1087.020 1092.390 ;
RECT 1264.020 1092.380 1267.020 1092.390 ;
RECT 1444.020 1092.380 1447.020 1092.390 ;
RECT 1624.020 1092.380 1627.020 1092.390 ;
RECT 1804.020 1092.380 1807.020 1092.390 ;
RECT 1984.020 1092.380 1987.020 1092.390 ;
RECT 2164.020 1092.380 2167.020 1092.390 ;
RECT 2344.020 1092.380 2347.020 1092.390 ;
RECT 2524.020 1092.380 2527.020 1092.390 ;
RECT 2704.020 1092.380 2707.020 1092.390 ;
RECT 2884.020 1092.380 2887.020 1092.390 ;
RECT 2926.600 1092.380 2929.600 1092.390 ;
RECT -14.580 1089.380 2934.200 1092.380 ;
RECT -9.980 1089.370 -6.980 1089.380 ;
RECT 4.020 1089.370 7.020 1089.380 ;
RECT 184.020 1089.370 187.020 1089.380 ;
RECT 364.020 1089.370 367.020 1089.380 ;
RECT 544.020 1089.370 547.020 1089.380 ;
RECT 724.020 1089.370 727.020 1089.380 ;
RECT 904.020 1089.370 907.020 1089.380 ;
RECT 1084.020 1089.370 1087.020 1089.380 ;
RECT 1264.020 1089.370 1267.020 1089.380 ;
RECT 1444.020 1089.370 1447.020 1089.380 ;
RECT 1624.020 1089.370 1627.020 1089.380 ;
RECT 1804.020 1089.370 1807.020 1089.380 ;
RECT 1984.020 1089.370 1987.020 1089.380 ;
RECT 2164.020 1089.370 2167.020 1089.380 ;
RECT 2344.020 1089.370 2347.020 1089.380 ;
RECT 2524.020 1089.370 2527.020 1089.380 ;
RECT 2704.020 1089.370 2707.020 1089.380 ;
RECT 2884.020 1089.370 2887.020 1089.380 ;
RECT 2926.600 1089.370 2929.600 1089.380 ;
RECT -9.980 912.380 -6.980 912.390 ;
RECT 4.020 912.380 7.020 912.390 ;
RECT 184.020 912.380 187.020 912.390 ;
RECT 364.020 912.380 367.020 912.390 ;
RECT 544.020 912.380 547.020 912.390 ;
RECT 724.020 912.380 727.020 912.390 ;
RECT 904.020 912.380 907.020 912.390 ;
RECT 1084.020 912.380 1087.020 912.390 ;
RECT 1264.020 912.380 1267.020 912.390 ;
RECT 1444.020 912.380 1447.020 912.390 ;
RECT 1624.020 912.380 1627.020 912.390 ;
RECT 1804.020 912.380 1807.020 912.390 ;
RECT 1984.020 912.380 1987.020 912.390 ;
RECT 2164.020 912.380 2167.020 912.390 ;
RECT 2344.020 912.380 2347.020 912.390 ;
RECT 2524.020 912.380 2527.020 912.390 ;
RECT 2704.020 912.380 2707.020 912.390 ;
RECT 2884.020 912.380 2887.020 912.390 ;
RECT 2926.600 912.380 2929.600 912.390 ;
RECT -14.580 909.380 2934.200 912.380 ;
RECT -9.980 909.370 -6.980 909.380 ;
RECT 4.020 909.370 7.020 909.380 ;
RECT 184.020 909.370 187.020 909.380 ;
RECT 364.020 909.370 367.020 909.380 ;
RECT 544.020 909.370 547.020 909.380 ;
RECT 724.020 909.370 727.020 909.380 ;
RECT 904.020 909.370 907.020 909.380 ;
RECT 1084.020 909.370 1087.020 909.380 ;
RECT 1264.020 909.370 1267.020 909.380 ;
RECT 1444.020 909.370 1447.020 909.380 ;
RECT 1624.020 909.370 1627.020 909.380 ;
RECT 1804.020 909.370 1807.020 909.380 ;
RECT 1984.020 909.370 1987.020 909.380 ;
RECT 2164.020 909.370 2167.020 909.380 ;
RECT 2344.020 909.370 2347.020 909.380 ;
RECT 2524.020 909.370 2527.020 909.380 ;
RECT 2704.020 909.370 2707.020 909.380 ;
RECT 2884.020 909.370 2887.020 909.380 ;
RECT 2926.600 909.370 2929.600 909.380 ;
RECT -9.980 732.380 -6.980 732.390 ;
RECT 4.020 732.380 7.020 732.390 ;
RECT 184.020 732.380 187.020 732.390 ;
RECT 364.020 732.380 367.020 732.390 ;
RECT 544.020 732.380 547.020 732.390 ;
RECT 724.020 732.380 727.020 732.390 ;
RECT 904.020 732.380 907.020 732.390 ;
RECT 1084.020 732.380 1087.020 732.390 ;
RECT 1264.020 732.380 1267.020 732.390 ;
RECT 1444.020 732.380 1447.020 732.390 ;
RECT 1624.020 732.380 1627.020 732.390 ;
RECT 1804.020 732.380 1807.020 732.390 ;
RECT 1984.020 732.380 1987.020 732.390 ;
RECT 2164.020 732.380 2167.020 732.390 ;
RECT 2344.020 732.380 2347.020 732.390 ;
RECT 2524.020 732.380 2527.020 732.390 ;
RECT 2704.020 732.380 2707.020 732.390 ;
RECT 2884.020 732.380 2887.020 732.390 ;
RECT 2926.600 732.380 2929.600 732.390 ;
RECT -14.580 729.380 2934.200 732.380 ;
RECT -9.980 729.370 -6.980 729.380 ;
RECT 4.020 729.370 7.020 729.380 ;
RECT 184.020 729.370 187.020 729.380 ;
RECT 364.020 729.370 367.020 729.380 ;
RECT 544.020 729.370 547.020 729.380 ;
RECT 724.020 729.370 727.020 729.380 ;
RECT 904.020 729.370 907.020 729.380 ;
RECT 1084.020 729.370 1087.020 729.380 ;
RECT 1264.020 729.370 1267.020 729.380 ;
RECT 1444.020 729.370 1447.020 729.380 ;
RECT 1624.020 729.370 1627.020 729.380 ;
RECT 1804.020 729.370 1807.020 729.380 ;
RECT 1984.020 729.370 1987.020 729.380 ;
RECT 2164.020 729.370 2167.020 729.380 ;
RECT 2344.020 729.370 2347.020 729.380 ;
RECT 2524.020 729.370 2527.020 729.380 ;
RECT 2704.020 729.370 2707.020 729.380 ;
RECT 2884.020 729.370 2887.020 729.380 ;
RECT 2926.600 729.370 2929.600 729.380 ;
RECT -9.980 552.380 -6.980 552.390 ;
RECT 4.020 552.380 7.020 552.390 ;
RECT 184.020 552.380 187.020 552.390 ;
RECT 364.020 552.380 367.020 552.390 ;
RECT 544.020 552.380 547.020 552.390 ;
RECT 724.020 552.380 727.020 552.390 ;
RECT 904.020 552.380 907.020 552.390 ;
RECT 1084.020 552.380 1087.020 552.390 ;
RECT 1264.020 552.380 1267.020 552.390 ;
RECT 1444.020 552.380 1447.020 552.390 ;
RECT 1624.020 552.380 1627.020 552.390 ;
RECT 1804.020 552.380 1807.020 552.390 ;
RECT 1984.020 552.380 1987.020 552.390 ;
RECT 2164.020 552.380 2167.020 552.390 ;
RECT 2344.020 552.380 2347.020 552.390 ;
RECT 2524.020 552.380 2527.020 552.390 ;
RECT 2704.020 552.380 2707.020 552.390 ;
RECT 2884.020 552.380 2887.020 552.390 ;
RECT 2926.600 552.380 2929.600 552.390 ;
RECT -14.580 549.380 2934.200 552.380 ;
RECT -9.980 549.370 -6.980 549.380 ;
RECT 4.020 549.370 7.020 549.380 ;
RECT 184.020 549.370 187.020 549.380 ;
RECT 364.020 549.370 367.020 549.380 ;
RECT 544.020 549.370 547.020 549.380 ;
RECT 724.020 549.370 727.020 549.380 ;
RECT 904.020 549.370 907.020 549.380 ;
RECT 1084.020 549.370 1087.020 549.380 ;
RECT 1264.020 549.370 1267.020 549.380 ;
RECT 1444.020 549.370 1447.020 549.380 ;
RECT 1624.020 549.370 1627.020 549.380 ;
RECT 1804.020 549.370 1807.020 549.380 ;
RECT 1984.020 549.370 1987.020 549.380 ;
RECT 2164.020 549.370 2167.020 549.380 ;
RECT 2344.020 549.370 2347.020 549.380 ;
RECT 2524.020 549.370 2527.020 549.380 ;
RECT 2704.020 549.370 2707.020 549.380 ;
RECT 2884.020 549.370 2887.020 549.380 ;
RECT 2926.600 549.370 2929.600 549.380 ;
RECT -9.980 372.380 -6.980 372.390 ;
RECT 4.020 372.380 7.020 372.390 ;
RECT 184.020 372.380 187.020 372.390 ;
RECT 364.020 372.380 367.020 372.390 ;
RECT 544.020 372.380 547.020 372.390 ;
RECT 724.020 372.380 727.020 372.390 ;
RECT 904.020 372.380 907.020 372.390 ;
RECT 1084.020 372.380 1087.020 372.390 ;
RECT 1264.020 372.380 1267.020 372.390 ;
RECT 1444.020 372.380 1447.020 372.390 ;
RECT 1624.020 372.380 1627.020 372.390 ;
RECT 1804.020 372.380 1807.020 372.390 ;
RECT 1984.020 372.380 1987.020 372.390 ;
RECT 2164.020 372.380 2167.020 372.390 ;
RECT 2344.020 372.380 2347.020 372.390 ;
RECT 2524.020 372.380 2527.020 372.390 ;
RECT 2704.020 372.380 2707.020 372.390 ;
RECT 2884.020 372.380 2887.020 372.390 ;
RECT 2926.600 372.380 2929.600 372.390 ;
RECT -14.580 369.380 2934.200 372.380 ;
RECT -9.980 369.370 -6.980 369.380 ;
RECT 4.020 369.370 7.020 369.380 ;
RECT 184.020 369.370 187.020 369.380 ;
RECT 364.020 369.370 367.020 369.380 ;
RECT 544.020 369.370 547.020 369.380 ;
RECT 724.020 369.370 727.020 369.380 ;
RECT 904.020 369.370 907.020 369.380 ;
RECT 1084.020 369.370 1087.020 369.380 ;
RECT 1264.020 369.370 1267.020 369.380 ;
RECT 1444.020 369.370 1447.020 369.380 ;
RECT 1624.020 369.370 1627.020 369.380 ;
RECT 1804.020 369.370 1807.020 369.380 ;
RECT 1984.020 369.370 1987.020 369.380 ;
RECT 2164.020 369.370 2167.020 369.380 ;
RECT 2344.020 369.370 2347.020 369.380 ;
RECT 2524.020 369.370 2527.020 369.380 ;
RECT 2704.020 369.370 2707.020 369.380 ;
RECT 2884.020 369.370 2887.020 369.380 ;
RECT 2926.600 369.370 2929.600 369.380 ;
RECT -9.980 192.380 -6.980 192.390 ;
RECT 4.020 192.380 7.020 192.390 ;
RECT 184.020 192.380 187.020 192.390 ;
RECT 364.020 192.380 367.020 192.390 ;
RECT 544.020 192.380 547.020 192.390 ;
RECT 724.020 192.380 727.020 192.390 ;
RECT 904.020 192.380 907.020 192.390 ;
RECT 1084.020 192.380 1087.020 192.390 ;
RECT 1264.020 192.380 1267.020 192.390 ;
RECT 1444.020 192.380 1447.020 192.390 ;
RECT 1624.020 192.380 1627.020 192.390 ;
RECT 1804.020 192.380 1807.020 192.390 ;
RECT 1984.020 192.380 1987.020 192.390 ;
RECT 2164.020 192.380 2167.020 192.390 ;
RECT 2344.020 192.380 2347.020 192.390 ;
RECT 2524.020 192.380 2527.020 192.390 ;
RECT 2704.020 192.380 2707.020 192.390 ;
RECT 2884.020 192.380 2887.020 192.390 ;
RECT 2926.600 192.380 2929.600 192.390 ;
RECT -14.580 189.380 2934.200 192.380 ;
RECT -9.980 189.370 -6.980 189.380 ;
RECT 4.020 189.370 7.020 189.380 ;
RECT 184.020 189.370 187.020 189.380 ;
RECT 364.020 189.370 367.020 189.380 ;
RECT 544.020 189.370 547.020 189.380 ;
RECT 724.020 189.370 727.020 189.380 ;
RECT 904.020 189.370 907.020 189.380 ;
RECT 1084.020 189.370 1087.020 189.380 ;
RECT 1264.020 189.370 1267.020 189.380 ;
RECT 1444.020 189.370 1447.020 189.380 ;
RECT 1624.020 189.370 1627.020 189.380 ;
RECT 1804.020 189.370 1807.020 189.380 ;
RECT 1984.020 189.370 1987.020 189.380 ;
RECT 2164.020 189.370 2167.020 189.380 ;
RECT 2344.020 189.370 2347.020 189.380 ;
RECT 2524.020 189.370 2527.020 189.380 ;
RECT 2704.020 189.370 2707.020 189.380 ;
RECT 2884.020 189.370 2887.020 189.380 ;
RECT 2926.600 189.370 2929.600 189.380 ;
RECT -9.980 12.380 -6.980 12.390 ;
RECT 4.020 12.380 7.020 12.390 ;
RECT 184.020 12.380 187.020 12.390 ;
RECT 364.020 12.380 367.020 12.390 ;
RECT 544.020 12.380 547.020 12.390 ;
RECT 724.020 12.380 727.020 12.390 ;
RECT 904.020 12.380 907.020 12.390 ;
RECT 1084.020 12.380 1087.020 12.390 ;
RECT 1264.020 12.380 1267.020 12.390 ;
RECT 1444.020 12.380 1447.020 12.390 ;
RECT 1624.020 12.380 1627.020 12.390 ;
RECT 1804.020 12.380 1807.020 12.390 ;
RECT 1984.020 12.380 1987.020 12.390 ;
RECT 2164.020 12.380 2167.020 12.390 ;
RECT 2344.020 12.380 2347.020 12.390 ;
RECT 2524.020 12.380 2527.020 12.390 ;
RECT 2704.020 12.380 2707.020 12.390 ;
RECT 2884.020 12.380 2887.020 12.390 ;
RECT 2926.600 12.380 2929.600 12.390 ;
RECT -14.580 9.380 2934.200 12.380 ;
RECT -9.980 9.370 -6.980 9.380 ;
RECT 4.020 9.370 7.020 9.380 ;
RECT 184.020 9.370 187.020 9.380 ;
RECT 364.020 9.370 367.020 9.380 ;
RECT 544.020 9.370 547.020 9.380 ;
RECT 724.020 9.370 727.020 9.380 ;
RECT 904.020 9.370 907.020 9.380 ;
RECT 1084.020 9.370 1087.020 9.380 ;
RECT 1264.020 9.370 1267.020 9.380 ;
RECT 1444.020 9.370 1447.020 9.380 ;
RECT 1624.020 9.370 1627.020 9.380 ;
RECT 1804.020 9.370 1807.020 9.380 ;
RECT 1984.020 9.370 1987.020 9.380 ;
RECT 2164.020 9.370 2167.020 9.380 ;
RECT 2344.020 9.370 2347.020 9.380 ;
RECT 2524.020 9.370 2527.020 9.380 ;
RECT 2704.020 9.370 2707.020 9.380 ;
RECT 2884.020 9.370 2887.020 9.380 ;
RECT 2926.600 9.370 2929.600 9.380 ;
RECT -9.980 -1.620 -6.980 -1.610 ;
RECT 4.020 -1.620 7.020 -1.610 ;
RECT 184.020 -1.620 187.020 -1.610 ;
RECT 364.020 -1.620 367.020 -1.610 ;
RECT 544.020 -1.620 547.020 -1.610 ;
RECT 724.020 -1.620 727.020 -1.610 ;
RECT 904.020 -1.620 907.020 -1.610 ;
RECT 1084.020 -1.620 1087.020 -1.610 ;
RECT 1264.020 -1.620 1267.020 -1.610 ;
RECT 1444.020 -1.620 1447.020 -1.610 ;
RECT 1624.020 -1.620 1627.020 -1.610 ;
RECT 1804.020 -1.620 1807.020 -1.610 ;
RECT 1984.020 -1.620 1987.020 -1.610 ;
RECT 2164.020 -1.620 2167.020 -1.610 ;
RECT 2344.020 -1.620 2347.020 -1.610 ;
RECT 2524.020 -1.620 2527.020 -1.610 ;
RECT 2704.020 -1.620 2707.020 -1.610 ;
RECT 2884.020 -1.620 2887.020 -1.610 ;
RECT 2926.600 -1.620 2929.600 -1.610 ;
RECT -9.980 -4.620 2929.600 -1.620 ;
RECT -9.980 -4.630 -6.980 -4.620 ;
RECT 4.020 -4.630 7.020 -4.620 ;
RECT 184.020 -4.630 187.020 -4.620 ;
RECT 364.020 -4.630 367.020 -4.620 ;
RECT 544.020 -4.630 547.020 -4.620 ;
RECT 724.020 -4.630 727.020 -4.620 ;
RECT 904.020 -4.630 907.020 -4.620 ;
RECT 1084.020 -4.630 1087.020 -4.620 ;
RECT 1264.020 -4.630 1267.020 -4.620 ;
RECT 1444.020 -4.630 1447.020 -4.620 ;
RECT 1624.020 -4.630 1627.020 -4.620 ;
RECT 1804.020 -4.630 1807.020 -4.620 ;
RECT 1984.020 -4.630 1987.020 -4.620 ;
RECT 2164.020 -4.630 2167.020 -4.620 ;
RECT 2344.020 -4.630 2347.020 -4.620 ;
RECT 2524.020 -4.630 2527.020 -4.620 ;
RECT 2704.020 -4.630 2707.020 -4.620 ;
RECT 2884.020 -4.630 2887.020 -4.620 ;
RECT 2926.600 -4.630 2929.600 -4.620 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT -14.580 -9.220 -11.580 3528.900 ;
RECT 94.020 -9.220 97.020 3528.900 ;
RECT 274.020 -9.220 277.020 3528.900 ;
RECT 454.020 -9.220 457.020 3528.900 ;
RECT 634.020 -9.220 637.020 3528.900 ;
RECT 814.020 -9.220 817.020 3528.900 ;
RECT 994.020 -9.220 997.020 3528.900 ;
RECT 1174.020 -9.220 1177.020 3528.900 ;
RECT 1354.020 -9.220 1357.020 3528.900 ;
RECT 1534.020 -9.220 1537.020 3528.900 ;
RECT 1714.020 -9.220 1717.020 3528.900 ;
RECT 1894.020 -9.220 1897.020 3528.900 ;
RECT 2074.020 -9.220 2077.020 3528.900 ;
RECT 2254.020 -9.220 2257.020 3528.900 ;
RECT 2434.020 -9.220 2437.020 3528.900 ;
RECT 2614.020 -9.220 2617.020 3528.900 ;
RECT 2794.020 -9.220 2797.020 3528.900 ;
RECT 2931.200 -9.220 2934.200 3528.900 ;
LAYER via4 ;
RECT -13.670 3527.610 -12.490 3528.790 ;
RECT -13.670 3526.010 -12.490 3527.190 ;
RECT -13.670 3341.090 -12.490 3342.270 ;
RECT -13.670 3339.490 -12.490 3340.670 ;
RECT -13.670 3161.090 -12.490 3162.270 ;
RECT -13.670 3159.490 -12.490 3160.670 ;
RECT -13.670 2981.090 -12.490 2982.270 ;
RECT -13.670 2979.490 -12.490 2980.670 ;
RECT -13.670 2801.090 -12.490 2802.270 ;
RECT -13.670 2799.490 -12.490 2800.670 ;
RECT -13.670 2621.090 -12.490 2622.270 ;
RECT -13.670 2619.490 -12.490 2620.670 ;
RECT -13.670 2441.090 -12.490 2442.270 ;
RECT -13.670 2439.490 -12.490 2440.670 ;
RECT -13.670 2261.090 -12.490 2262.270 ;
RECT -13.670 2259.490 -12.490 2260.670 ;
RECT -13.670 2081.090 -12.490 2082.270 ;
RECT -13.670 2079.490 -12.490 2080.670 ;
RECT -13.670 1901.090 -12.490 1902.270 ;
RECT -13.670 1899.490 -12.490 1900.670 ;
RECT -13.670 1721.090 -12.490 1722.270 ;
RECT -13.670 1719.490 -12.490 1720.670 ;
RECT -13.670 1541.090 -12.490 1542.270 ;
RECT -13.670 1539.490 -12.490 1540.670 ;
RECT -13.670 1361.090 -12.490 1362.270 ;
RECT -13.670 1359.490 -12.490 1360.670 ;
RECT -13.670 1181.090 -12.490 1182.270 ;
RECT -13.670 1179.490 -12.490 1180.670 ;
RECT -13.670 1001.090 -12.490 1002.270 ;
RECT -13.670 999.490 -12.490 1000.670 ;
RECT -13.670 821.090 -12.490 822.270 ;
RECT -13.670 819.490 -12.490 820.670 ;
RECT -13.670 641.090 -12.490 642.270 ;
RECT -13.670 639.490 -12.490 640.670 ;
RECT -13.670 461.090 -12.490 462.270 ;
RECT -13.670 459.490 -12.490 460.670 ;
RECT -13.670 281.090 -12.490 282.270 ;
RECT -13.670 279.490 -12.490 280.670 ;
RECT -13.670 101.090 -12.490 102.270 ;
RECT -13.670 99.490 -12.490 100.670 ;
RECT -13.670 -7.510 -12.490 -6.330 ;
RECT -13.670 -9.110 -12.490 -7.930 ;
RECT 94.930 3527.610 96.110 3528.790 ;
RECT 94.930 3526.010 96.110 3527.190 ;
RECT 94.930 3341.090 96.110 3342.270 ;
RECT 94.930 3339.490 96.110 3340.670 ;
RECT 94.930 3161.090 96.110 3162.270 ;
RECT 94.930 3159.490 96.110 3160.670 ;
RECT 94.930 2981.090 96.110 2982.270 ;
RECT 94.930 2979.490 96.110 2980.670 ;
RECT 94.930 2801.090 96.110 2802.270 ;
RECT 94.930 2799.490 96.110 2800.670 ;
RECT 94.930 2621.090 96.110 2622.270 ;
RECT 94.930 2619.490 96.110 2620.670 ;
RECT 94.930 2441.090 96.110 2442.270 ;
RECT 94.930 2439.490 96.110 2440.670 ;
RECT 94.930 2261.090 96.110 2262.270 ;
RECT 94.930 2259.490 96.110 2260.670 ;
RECT 94.930 2081.090 96.110 2082.270 ;
RECT 94.930 2079.490 96.110 2080.670 ;
RECT 94.930 1901.090 96.110 1902.270 ;
RECT 94.930 1899.490 96.110 1900.670 ;
RECT 94.930 1721.090 96.110 1722.270 ;
RECT 94.930 1719.490 96.110 1720.670 ;
RECT 94.930 1541.090 96.110 1542.270 ;
RECT 94.930 1539.490 96.110 1540.670 ;
RECT 94.930 1361.090 96.110 1362.270 ;
RECT 94.930 1359.490 96.110 1360.670 ;
RECT 94.930 1181.090 96.110 1182.270 ;
RECT 94.930 1179.490 96.110 1180.670 ;
RECT 94.930 1001.090 96.110 1002.270 ;
RECT 94.930 999.490 96.110 1000.670 ;
RECT 94.930 821.090 96.110 822.270 ;
RECT 94.930 819.490 96.110 820.670 ;
RECT 94.930 641.090 96.110 642.270 ;
RECT 94.930 639.490 96.110 640.670 ;
RECT 94.930 461.090 96.110 462.270 ;
RECT 94.930 459.490 96.110 460.670 ;
RECT 94.930 281.090 96.110 282.270 ;
RECT 94.930 279.490 96.110 280.670 ;
RECT 94.930 101.090 96.110 102.270 ;
RECT 94.930 99.490 96.110 100.670 ;
RECT 94.930 -7.510 96.110 -6.330 ;
RECT 94.930 -9.110 96.110 -7.930 ;
RECT 274.930 3527.610 276.110 3528.790 ;
RECT 274.930 3526.010 276.110 3527.190 ;
RECT 274.930 3341.090 276.110 3342.270 ;
RECT 274.930 3339.490 276.110 3340.670 ;
RECT 274.930 3161.090 276.110 3162.270 ;
RECT 274.930 3159.490 276.110 3160.670 ;
RECT 274.930 2981.090 276.110 2982.270 ;
RECT 274.930 2979.490 276.110 2980.670 ;
RECT 274.930 2801.090 276.110 2802.270 ;
RECT 274.930 2799.490 276.110 2800.670 ;
RECT 274.930 2621.090 276.110 2622.270 ;
RECT 274.930 2619.490 276.110 2620.670 ;
RECT 274.930 2441.090 276.110 2442.270 ;
RECT 274.930 2439.490 276.110 2440.670 ;
RECT 274.930 2261.090 276.110 2262.270 ;
RECT 274.930 2259.490 276.110 2260.670 ;
RECT 274.930 2081.090 276.110 2082.270 ;
RECT 274.930 2079.490 276.110 2080.670 ;
RECT 274.930 1901.090 276.110 1902.270 ;
RECT 274.930 1899.490 276.110 1900.670 ;
RECT 274.930 1721.090 276.110 1722.270 ;
RECT 274.930 1719.490 276.110 1720.670 ;
RECT 274.930 1541.090 276.110 1542.270 ;
RECT 274.930 1539.490 276.110 1540.670 ;
RECT 274.930 1361.090 276.110 1362.270 ;
RECT 274.930 1359.490 276.110 1360.670 ;
RECT 274.930 1181.090 276.110 1182.270 ;
RECT 274.930 1179.490 276.110 1180.670 ;
RECT 274.930 1001.090 276.110 1002.270 ;
RECT 274.930 999.490 276.110 1000.670 ;
RECT 274.930 821.090 276.110 822.270 ;
RECT 274.930 819.490 276.110 820.670 ;
RECT 274.930 641.090 276.110 642.270 ;
RECT 274.930 639.490 276.110 640.670 ;
RECT 274.930 461.090 276.110 462.270 ;
RECT 274.930 459.490 276.110 460.670 ;
RECT 274.930 281.090 276.110 282.270 ;
RECT 274.930 279.490 276.110 280.670 ;
RECT 274.930 101.090 276.110 102.270 ;
RECT 274.930 99.490 276.110 100.670 ;
RECT 274.930 -7.510 276.110 -6.330 ;
RECT 274.930 -9.110 276.110 -7.930 ;
RECT 454.930 3527.610 456.110 3528.790 ;
RECT 454.930 3526.010 456.110 3527.190 ;
RECT 454.930 3341.090 456.110 3342.270 ;
RECT 454.930 3339.490 456.110 3340.670 ;
RECT 454.930 3161.090 456.110 3162.270 ;
RECT 454.930 3159.490 456.110 3160.670 ;
RECT 454.930 2981.090 456.110 2982.270 ;
RECT 454.930 2979.490 456.110 2980.670 ;
RECT 454.930 2801.090 456.110 2802.270 ;
RECT 454.930 2799.490 456.110 2800.670 ;
RECT 454.930 2621.090 456.110 2622.270 ;
RECT 454.930 2619.490 456.110 2620.670 ;
RECT 454.930 2441.090 456.110 2442.270 ;
RECT 454.930 2439.490 456.110 2440.670 ;
RECT 454.930 2261.090 456.110 2262.270 ;
RECT 454.930 2259.490 456.110 2260.670 ;
RECT 454.930 2081.090 456.110 2082.270 ;
RECT 454.930 2079.490 456.110 2080.670 ;
RECT 454.930 1901.090 456.110 1902.270 ;
RECT 454.930 1899.490 456.110 1900.670 ;
RECT 454.930 1721.090 456.110 1722.270 ;
RECT 454.930 1719.490 456.110 1720.670 ;
RECT 454.930 1541.090 456.110 1542.270 ;
RECT 454.930 1539.490 456.110 1540.670 ;
RECT 454.930 1361.090 456.110 1362.270 ;
RECT 454.930 1359.490 456.110 1360.670 ;
RECT 454.930 1181.090 456.110 1182.270 ;
RECT 454.930 1179.490 456.110 1180.670 ;
RECT 454.930 1001.090 456.110 1002.270 ;
RECT 454.930 999.490 456.110 1000.670 ;
RECT 454.930 821.090 456.110 822.270 ;
RECT 454.930 819.490 456.110 820.670 ;
RECT 454.930 641.090 456.110 642.270 ;
RECT 454.930 639.490 456.110 640.670 ;
RECT 454.930 461.090 456.110 462.270 ;
RECT 454.930 459.490 456.110 460.670 ;
RECT 454.930 281.090 456.110 282.270 ;
RECT 454.930 279.490 456.110 280.670 ;
RECT 454.930 101.090 456.110 102.270 ;
RECT 454.930 99.490 456.110 100.670 ;
RECT 454.930 -7.510 456.110 -6.330 ;
RECT 454.930 -9.110 456.110 -7.930 ;
RECT 634.930 3527.610 636.110 3528.790 ;
RECT 634.930 3526.010 636.110 3527.190 ;
RECT 634.930 3341.090 636.110 3342.270 ;
RECT 634.930 3339.490 636.110 3340.670 ;
RECT 634.930 3161.090 636.110 3162.270 ;
RECT 634.930 3159.490 636.110 3160.670 ;
RECT 634.930 2981.090 636.110 2982.270 ;
RECT 634.930 2979.490 636.110 2980.670 ;
RECT 634.930 2801.090 636.110 2802.270 ;
RECT 634.930 2799.490 636.110 2800.670 ;
RECT 634.930 2621.090 636.110 2622.270 ;
RECT 634.930 2619.490 636.110 2620.670 ;
RECT 634.930 2441.090 636.110 2442.270 ;
RECT 634.930 2439.490 636.110 2440.670 ;
RECT 634.930 2261.090 636.110 2262.270 ;
RECT 634.930 2259.490 636.110 2260.670 ;
RECT 634.930 2081.090 636.110 2082.270 ;
RECT 634.930 2079.490 636.110 2080.670 ;
RECT 634.930 1901.090 636.110 1902.270 ;
RECT 634.930 1899.490 636.110 1900.670 ;
RECT 634.930 1721.090 636.110 1722.270 ;
RECT 634.930 1719.490 636.110 1720.670 ;
RECT 634.930 1541.090 636.110 1542.270 ;
RECT 634.930 1539.490 636.110 1540.670 ;
RECT 634.930 1361.090 636.110 1362.270 ;
RECT 634.930 1359.490 636.110 1360.670 ;
RECT 634.930 1181.090 636.110 1182.270 ;
RECT 634.930 1179.490 636.110 1180.670 ;
RECT 634.930 1001.090 636.110 1002.270 ;
RECT 634.930 999.490 636.110 1000.670 ;
RECT 634.930 821.090 636.110 822.270 ;
RECT 634.930 819.490 636.110 820.670 ;
RECT 634.930 641.090 636.110 642.270 ;
RECT 634.930 639.490 636.110 640.670 ;
RECT 634.930 461.090 636.110 462.270 ;
RECT 634.930 459.490 636.110 460.670 ;
RECT 634.930 281.090 636.110 282.270 ;
RECT 634.930 279.490 636.110 280.670 ;
RECT 634.930 101.090 636.110 102.270 ;
RECT 634.930 99.490 636.110 100.670 ;
RECT 634.930 -7.510 636.110 -6.330 ;
RECT 634.930 -9.110 636.110 -7.930 ;
RECT 814.930 3527.610 816.110 3528.790 ;
RECT 814.930 3526.010 816.110 3527.190 ;
RECT 814.930 3341.090 816.110 3342.270 ;
RECT 814.930 3339.490 816.110 3340.670 ;
RECT 814.930 3161.090 816.110 3162.270 ;
RECT 814.930 3159.490 816.110 3160.670 ;
RECT 814.930 2981.090 816.110 2982.270 ;
RECT 814.930 2979.490 816.110 2980.670 ;
RECT 814.930 2801.090 816.110 2802.270 ;
RECT 814.930 2799.490 816.110 2800.670 ;
RECT 814.930 2621.090 816.110 2622.270 ;
RECT 814.930 2619.490 816.110 2620.670 ;
RECT 814.930 2441.090 816.110 2442.270 ;
RECT 814.930 2439.490 816.110 2440.670 ;
RECT 814.930 2261.090 816.110 2262.270 ;
RECT 814.930 2259.490 816.110 2260.670 ;
RECT 814.930 2081.090 816.110 2082.270 ;
RECT 814.930 2079.490 816.110 2080.670 ;
RECT 814.930 1901.090 816.110 1902.270 ;
RECT 814.930 1899.490 816.110 1900.670 ;
RECT 814.930 1721.090 816.110 1722.270 ;
RECT 814.930 1719.490 816.110 1720.670 ;
RECT 814.930 1541.090 816.110 1542.270 ;
RECT 814.930 1539.490 816.110 1540.670 ;
RECT 814.930 1361.090 816.110 1362.270 ;
RECT 814.930 1359.490 816.110 1360.670 ;
RECT 814.930 1181.090 816.110 1182.270 ;
RECT 814.930 1179.490 816.110 1180.670 ;
RECT 814.930 1001.090 816.110 1002.270 ;
RECT 814.930 999.490 816.110 1000.670 ;
RECT 814.930 821.090 816.110 822.270 ;
RECT 814.930 819.490 816.110 820.670 ;
RECT 814.930 641.090 816.110 642.270 ;
RECT 814.930 639.490 816.110 640.670 ;
RECT 814.930 461.090 816.110 462.270 ;
RECT 814.930 459.490 816.110 460.670 ;
RECT 814.930 281.090 816.110 282.270 ;
RECT 814.930 279.490 816.110 280.670 ;
RECT 814.930 101.090 816.110 102.270 ;
RECT 814.930 99.490 816.110 100.670 ;
RECT 814.930 -7.510 816.110 -6.330 ;
RECT 814.930 -9.110 816.110 -7.930 ;
RECT 994.930 3527.610 996.110 3528.790 ;
RECT 994.930 3526.010 996.110 3527.190 ;
RECT 994.930 3341.090 996.110 3342.270 ;
RECT 994.930 3339.490 996.110 3340.670 ;
RECT 994.930 3161.090 996.110 3162.270 ;
RECT 994.930 3159.490 996.110 3160.670 ;
RECT 994.930 2981.090 996.110 2982.270 ;
RECT 994.930 2979.490 996.110 2980.670 ;
RECT 994.930 2801.090 996.110 2802.270 ;
RECT 994.930 2799.490 996.110 2800.670 ;
RECT 994.930 2621.090 996.110 2622.270 ;
RECT 994.930 2619.490 996.110 2620.670 ;
RECT 994.930 2441.090 996.110 2442.270 ;
RECT 994.930 2439.490 996.110 2440.670 ;
RECT 994.930 2261.090 996.110 2262.270 ;
RECT 994.930 2259.490 996.110 2260.670 ;
RECT 994.930 2081.090 996.110 2082.270 ;
RECT 994.930 2079.490 996.110 2080.670 ;
RECT 994.930 1901.090 996.110 1902.270 ;
RECT 994.930 1899.490 996.110 1900.670 ;
RECT 994.930 1721.090 996.110 1722.270 ;
RECT 994.930 1719.490 996.110 1720.670 ;
RECT 994.930 1541.090 996.110 1542.270 ;
RECT 994.930 1539.490 996.110 1540.670 ;
RECT 994.930 1361.090 996.110 1362.270 ;
RECT 994.930 1359.490 996.110 1360.670 ;
RECT 994.930 1181.090 996.110 1182.270 ;
RECT 994.930 1179.490 996.110 1180.670 ;
RECT 994.930 1001.090 996.110 1002.270 ;
RECT 994.930 999.490 996.110 1000.670 ;
RECT 994.930 821.090 996.110 822.270 ;
RECT 994.930 819.490 996.110 820.670 ;
RECT 994.930 641.090 996.110 642.270 ;
RECT 994.930 639.490 996.110 640.670 ;
RECT 994.930 461.090 996.110 462.270 ;
RECT 994.930 459.490 996.110 460.670 ;
RECT 994.930 281.090 996.110 282.270 ;
RECT 994.930 279.490 996.110 280.670 ;
RECT 994.930 101.090 996.110 102.270 ;
RECT 994.930 99.490 996.110 100.670 ;
RECT 994.930 -7.510 996.110 -6.330 ;
RECT 994.930 -9.110 996.110 -7.930 ;
RECT 1174.930 3527.610 1176.110 3528.790 ;
RECT 1174.930 3526.010 1176.110 3527.190 ;
RECT 1174.930 3341.090 1176.110 3342.270 ;
RECT 1174.930 3339.490 1176.110 3340.670 ;
RECT 1174.930 3161.090 1176.110 3162.270 ;
RECT 1174.930 3159.490 1176.110 3160.670 ;
RECT 1174.930 2981.090 1176.110 2982.270 ;
RECT 1174.930 2979.490 1176.110 2980.670 ;
RECT 1174.930 2801.090 1176.110 2802.270 ;
RECT 1174.930 2799.490 1176.110 2800.670 ;
RECT 1174.930 2621.090 1176.110 2622.270 ;
RECT 1174.930 2619.490 1176.110 2620.670 ;
RECT 1174.930 2441.090 1176.110 2442.270 ;
RECT 1174.930 2439.490 1176.110 2440.670 ;
RECT 1174.930 2261.090 1176.110 2262.270 ;
RECT 1174.930 2259.490 1176.110 2260.670 ;
RECT 1174.930 2081.090 1176.110 2082.270 ;
RECT 1174.930 2079.490 1176.110 2080.670 ;
RECT 1174.930 1901.090 1176.110 1902.270 ;
RECT 1174.930 1899.490 1176.110 1900.670 ;
RECT 1174.930 1721.090 1176.110 1722.270 ;
RECT 1174.930 1719.490 1176.110 1720.670 ;
RECT 1174.930 1541.090 1176.110 1542.270 ;
RECT 1174.930 1539.490 1176.110 1540.670 ;
RECT 1174.930 1361.090 1176.110 1362.270 ;
RECT 1174.930 1359.490 1176.110 1360.670 ;
RECT 1174.930 1181.090 1176.110 1182.270 ;
RECT 1174.930 1179.490 1176.110 1180.670 ;
RECT 1174.930 1001.090 1176.110 1002.270 ;
RECT 1174.930 999.490 1176.110 1000.670 ;
RECT 1174.930 821.090 1176.110 822.270 ;
RECT 1174.930 819.490 1176.110 820.670 ;
RECT 1174.930 641.090 1176.110 642.270 ;
RECT 1174.930 639.490 1176.110 640.670 ;
RECT 1174.930 461.090 1176.110 462.270 ;
RECT 1174.930 459.490 1176.110 460.670 ;
RECT 1174.930 281.090 1176.110 282.270 ;
RECT 1174.930 279.490 1176.110 280.670 ;
RECT 1174.930 101.090 1176.110 102.270 ;
RECT 1174.930 99.490 1176.110 100.670 ;
RECT 1174.930 -7.510 1176.110 -6.330 ;
RECT 1174.930 -9.110 1176.110 -7.930 ;
RECT 1354.930 3527.610 1356.110 3528.790 ;
RECT 1354.930 3526.010 1356.110 3527.190 ;
RECT 1354.930 3341.090 1356.110 3342.270 ;
RECT 1354.930 3339.490 1356.110 3340.670 ;
RECT 1354.930 3161.090 1356.110 3162.270 ;
RECT 1354.930 3159.490 1356.110 3160.670 ;
RECT 1354.930 2981.090 1356.110 2982.270 ;
RECT 1354.930 2979.490 1356.110 2980.670 ;
RECT 1354.930 2801.090 1356.110 2802.270 ;
RECT 1354.930 2799.490 1356.110 2800.670 ;
RECT 1354.930 2621.090 1356.110 2622.270 ;
RECT 1354.930 2619.490 1356.110 2620.670 ;
RECT 1354.930 2441.090 1356.110 2442.270 ;
RECT 1354.930 2439.490 1356.110 2440.670 ;
RECT 1354.930 2261.090 1356.110 2262.270 ;
RECT 1354.930 2259.490 1356.110 2260.670 ;
RECT 1354.930 2081.090 1356.110 2082.270 ;
RECT 1354.930 2079.490 1356.110 2080.670 ;
RECT 1354.930 1901.090 1356.110 1902.270 ;
RECT 1354.930 1899.490 1356.110 1900.670 ;
RECT 1354.930 1721.090 1356.110 1722.270 ;
RECT 1354.930 1719.490 1356.110 1720.670 ;
RECT 1354.930 1541.090 1356.110 1542.270 ;
RECT 1354.930 1539.490 1356.110 1540.670 ;
RECT 1354.930 1361.090 1356.110 1362.270 ;
RECT 1354.930 1359.490 1356.110 1360.670 ;
RECT 1354.930 1181.090 1356.110 1182.270 ;
RECT 1354.930 1179.490 1356.110 1180.670 ;
RECT 1354.930 1001.090 1356.110 1002.270 ;
RECT 1354.930 999.490 1356.110 1000.670 ;
RECT 1354.930 821.090 1356.110 822.270 ;
RECT 1354.930 819.490 1356.110 820.670 ;
RECT 1354.930 641.090 1356.110 642.270 ;
RECT 1354.930 639.490 1356.110 640.670 ;
RECT 1354.930 461.090 1356.110 462.270 ;
RECT 1354.930 459.490 1356.110 460.670 ;
RECT 1354.930 281.090 1356.110 282.270 ;
RECT 1354.930 279.490 1356.110 280.670 ;
RECT 1354.930 101.090 1356.110 102.270 ;
RECT 1354.930 99.490 1356.110 100.670 ;
RECT 1354.930 -7.510 1356.110 -6.330 ;
RECT 1354.930 -9.110 1356.110 -7.930 ;
RECT 1534.930 3527.610 1536.110 3528.790 ;
RECT 1534.930 3526.010 1536.110 3527.190 ;
RECT 1534.930 3341.090 1536.110 3342.270 ;
RECT 1534.930 3339.490 1536.110 3340.670 ;
RECT 1534.930 3161.090 1536.110 3162.270 ;
RECT 1534.930 3159.490 1536.110 3160.670 ;
RECT 1534.930 2981.090 1536.110 2982.270 ;
RECT 1534.930 2979.490 1536.110 2980.670 ;
RECT 1534.930 2801.090 1536.110 2802.270 ;
RECT 1534.930 2799.490 1536.110 2800.670 ;
RECT 1534.930 2621.090 1536.110 2622.270 ;
RECT 1534.930 2619.490 1536.110 2620.670 ;
RECT 1534.930 2441.090 1536.110 2442.270 ;
RECT 1534.930 2439.490 1536.110 2440.670 ;
RECT 1534.930 2261.090 1536.110 2262.270 ;
RECT 1534.930 2259.490 1536.110 2260.670 ;
RECT 1534.930 2081.090 1536.110 2082.270 ;
RECT 1534.930 2079.490 1536.110 2080.670 ;
RECT 1534.930 1901.090 1536.110 1902.270 ;
RECT 1534.930 1899.490 1536.110 1900.670 ;
RECT 1534.930 1721.090 1536.110 1722.270 ;
RECT 1534.930 1719.490 1536.110 1720.670 ;
RECT 1534.930 1541.090 1536.110 1542.270 ;
RECT 1534.930 1539.490 1536.110 1540.670 ;
RECT 1534.930 1361.090 1536.110 1362.270 ;
RECT 1534.930 1359.490 1536.110 1360.670 ;
RECT 1534.930 1181.090 1536.110 1182.270 ;
RECT 1534.930 1179.490 1536.110 1180.670 ;
RECT 1534.930 1001.090 1536.110 1002.270 ;
RECT 1534.930 999.490 1536.110 1000.670 ;
RECT 1534.930 821.090 1536.110 822.270 ;
RECT 1534.930 819.490 1536.110 820.670 ;
RECT 1534.930 641.090 1536.110 642.270 ;
RECT 1534.930 639.490 1536.110 640.670 ;
RECT 1534.930 461.090 1536.110 462.270 ;
RECT 1534.930 459.490 1536.110 460.670 ;
RECT 1534.930 281.090 1536.110 282.270 ;
RECT 1534.930 279.490 1536.110 280.670 ;
RECT 1534.930 101.090 1536.110 102.270 ;
RECT 1534.930 99.490 1536.110 100.670 ;
RECT 1534.930 -7.510 1536.110 -6.330 ;
RECT 1534.930 -9.110 1536.110 -7.930 ;
RECT 1714.930 3527.610 1716.110 3528.790 ;
RECT 1714.930 3526.010 1716.110 3527.190 ;
RECT 1714.930 3341.090 1716.110 3342.270 ;
RECT 1714.930 3339.490 1716.110 3340.670 ;
RECT 1714.930 3161.090 1716.110 3162.270 ;
RECT 1714.930 3159.490 1716.110 3160.670 ;
RECT 1714.930 2981.090 1716.110 2982.270 ;
RECT 1714.930 2979.490 1716.110 2980.670 ;
RECT 1714.930 2801.090 1716.110 2802.270 ;
RECT 1714.930 2799.490 1716.110 2800.670 ;
RECT 1714.930 2621.090 1716.110 2622.270 ;
RECT 1714.930 2619.490 1716.110 2620.670 ;
RECT 1714.930 2441.090 1716.110 2442.270 ;
RECT 1714.930 2439.490 1716.110 2440.670 ;
RECT 1714.930 2261.090 1716.110 2262.270 ;
RECT 1714.930 2259.490 1716.110 2260.670 ;
RECT 1714.930 2081.090 1716.110 2082.270 ;
RECT 1714.930 2079.490 1716.110 2080.670 ;
RECT 1714.930 1901.090 1716.110 1902.270 ;
RECT 1714.930 1899.490 1716.110 1900.670 ;
RECT 1714.930 1721.090 1716.110 1722.270 ;
RECT 1714.930 1719.490 1716.110 1720.670 ;
RECT 1714.930 1541.090 1716.110 1542.270 ;
RECT 1714.930 1539.490 1716.110 1540.670 ;
RECT 1714.930 1361.090 1716.110 1362.270 ;
RECT 1714.930 1359.490 1716.110 1360.670 ;
RECT 1714.930 1181.090 1716.110 1182.270 ;
RECT 1714.930 1179.490 1716.110 1180.670 ;
RECT 1714.930 1001.090 1716.110 1002.270 ;
RECT 1714.930 999.490 1716.110 1000.670 ;
RECT 1714.930 821.090 1716.110 822.270 ;
RECT 1714.930 819.490 1716.110 820.670 ;
RECT 1714.930 641.090 1716.110 642.270 ;
RECT 1714.930 639.490 1716.110 640.670 ;
RECT 1714.930 461.090 1716.110 462.270 ;
RECT 1714.930 459.490 1716.110 460.670 ;
RECT 1714.930 281.090 1716.110 282.270 ;
RECT 1714.930 279.490 1716.110 280.670 ;
RECT 1714.930 101.090 1716.110 102.270 ;
RECT 1714.930 99.490 1716.110 100.670 ;
RECT 1714.930 -7.510 1716.110 -6.330 ;
RECT 1714.930 -9.110 1716.110 -7.930 ;
RECT 1894.930 3527.610 1896.110 3528.790 ;
RECT 1894.930 3526.010 1896.110 3527.190 ;
RECT 1894.930 3341.090 1896.110 3342.270 ;
RECT 1894.930 3339.490 1896.110 3340.670 ;
RECT 1894.930 3161.090 1896.110 3162.270 ;
RECT 1894.930 3159.490 1896.110 3160.670 ;
RECT 1894.930 2981.090 1896.110 2982.270 ;
RECT 1894.930 2979.490 1896.110 2980.670 ;
RECT 1894.930 2801.090 1896.110 2802.270 ;
RECT 1894.930 2799.490 1896.110 2800.670 ;
RECT 1894.930 2621.090 1896.110 2622.270 ;
RECT 1894.930 2619.490 1896.110 2620.670 ;
RECT 1894.930 2441.090 1896.110 2442.270 ;
RECT 1894.930 2439.490 1896.110 2440.670 ;
RECT 1894.930 2261.090 1896.110 2262.270 ;
RECT 1894.930 2259.490 1896.110 2260.670 ;
RECT 1894.930 2081.090 1896.110 2082.270 ;
RECT 1894.930 2079.490 1896.110 2080.670 ;
RECT 1894.930 1901.090 1896.110 1902.270 ;
RECT 1894.930 1899.490 1896.110 1900.670 ;
RECT 1894.930 1721.090 1896.110 1722.270 ;
RECT 1894.930 1719.490 1896.110 1720.670 ;
RECT 1894.930 1541.090 1896.110 1542.270 ;
RECT 1894.930 1539.490 1896.110 1540.670 ;
RECT 1894.930 1361.090 1896.110 1362.270 ;
RECT 1894.930 1359.490 1896.110 1360.670 ;
RECT 1894.930 1181.090 1896.110 1182.270 ;
RECT 1894.930 1179.490 1896.110 1180.670 ;
RECT 1894.930 1001.090 1896.110 1002.270 ;
RECT 1894.930 999.490 1896.110 1000.670 ;
RECT 1894.930 821.090 1896.110 822.270 ;
RECT 1894.930 819.490 1896.110 820.670 ;
RECT 1894.930 641.090 1896.110 642.270 ;
RECT 1894.930 639.490 1896.110 640.670 ;
RECT 1894.930 461.090 1896.110 462.270 ;
RECT 1894.930 459.490 1896.110 460.670 ;
RECT 1894.930 281.090 1896.110 282.270 ;
RECT 1894.930 279.490 1896.110 280.670 ;
RECT 1894.930 101.090 1896.110 102.270 ;
RECT 1894.930 99.490 1896.110 100.670 ;
RECT 1894.930 -7.510 1896.110 -6.330 ;
RECT 1894.930 -9.110 1896.110 -7.930 ;
RECT 2074.930 3527.610 2076.110 3528.790 ;
RECT 2074.930 3526.010 2076.110 3527.190 ;
RECT 2074.930 3341.090 2076.110 3342.270 ;
RECT 2074.930 3339.490 2076.110 3340.670 ;
RECT 2074.930 3161.090 2076.110 3162.270 ;
RECT 2074.930 3159.490 2076.110 3160.670 ;
RECT 2074.930 2981.090 2076.110 2982.270 ;
RECT 2074.930 2979.490 2076.110 2980.670 ;
RECT 2074.930 2801.090 2076.110 2802.270 ;
RECT 2074.930 2799.490 2076.110 2800.670 ;
RECT 2074.930 2621.090 2076.110 2622.270 ;
RECT 2074.930 2619.490 2076.110 2620.670 ;
RECT 2074.930 2441.090 2076.110 2442.270 ;
RECT 2074.930 2439.490 2076.110 2440.670 ;
RECT 2074.930 2261.090 2076.110 2262.270 ;
RECT 2074.930 2259.490 2076.110 2260.670 ;
RECT 2074.930 2081.090 2076.110 2082.270 ;
RECT 2074.930 2079.490 2076.110 2080.670 ;
RECT 2074.930 1901.090 2076.110 1902.270 ;
RECT 2074.930 1899.490 2076.110 1900.670 ;
RECT 2074.930 1721.090 2076.110 1722.270 ;
RECT 2074.930 1719.490 2076.110 1720.670 ;
RECT 2074.930 1541.090 2076.110 1542.270 ;
RECT 2074.930 1539.490 2076.110 1540.670 ;
RECT 2074.930 1361.090 2076.110 1362.270 ;
RECT 2074.930 1359.490 2076.110 1360.670 ;
RECT 2074.930 1181.090 2076.110 1182.270 ;
RECT 2074.930 1179.490 2076.110 1180.670 ;
RECT 2074.930 1001.090 2076.110 1002.270 ;
RECT 2074.930 999.490 2076.110 1000.670 ;
RECT 2074.930 821.090 2076.110 822.270 ;
RECT 2074.930 819.490 2076.110 820.670 ;
RECT 2074.930 641.090 2076.110 642.270 ;
RECT 2074.930 639.490 2076.110 640.670 ;
RECT 2074.930 461.090 2076.110 462.270 ;
RECT 2074.930 459.490 2076.110 460.670 ;
RECT 2074.930 281.090 2076.110 282.270 ;
RECT 2074.930 279.490 2076.110 280.670 ;
RECT 2074.930 101.090 2076.110 102.270 ;
RECT 2074.930 99.490 2076.110 100.670 ;
RECT 2074.930 -7.510 2076.110 -6.330 ;
RECT 2074.930 -9.110 2076.110 -7.930 ;
RECT 2254.930 3527.610 2256.110 3528.790 ;
RECT 2254.930 3526.010 2256.110 3527.190 ;
RECT 2254.930 3341.090 2256.110 3342.270 ;
RECT 2254.930 3339.490 2256.110 3340.670 ;
RECT 2254.930 3161.090 2256.110 3162.270 ;
RECT 2254.930 3159.490 2256.110 3160.670 ;
RECT 2254.930 2981.090 2256.110 2982.270 ;
RECT 2254.930 2979.490 2256.110 2980.670 ;
RECT 2254.930 2801.090 2256.110 2802.270 ;
RECT 2254.930 2799.490 2256.110 2800.670 ;
RECT 2254.930 2621.090 2256.110 2622.270 ;
RECT 2254.930 2619.490 2256.110 2620.670 ;
RECT 2254.930 2441.090 2256.110 2442.270 ;
RECT 2254.930 2439.490 2256.110 2440.670 ;
RECT 2254.930 2261.090 2256.110 2262.270 ;
RECT 2254.930 2259.490 2256.110 2260.670 ;
RECT 2254.930 2081.090 2256.110 2082.270 ;
RECT 2254.930 2079.490 2256.110 2080.670 ;
RECT 2254.930 1901.090 2256.110 1902.270 ;
RECT 2254.930 1899.490 2256.110 1900.670 ;
RECT 2254.930 1721.090 2256.110 1722.270 ;
RECT 2254.930 1719.490 2256.110 1720.670 ;
RECT 2254.930 1541.090 2256.110 1542.270 ;
RECT 2254.930 1539.490 2256.110 1540.670 ;
RECT 2254.930 1361.090 2256.110 1362.270 ;
RECT 2254.930 1359.490 2256.110 1360.670 ;
RECT 2254.930 1181.090 2256.110 1182.270 ;
RECT 2254.930 1179.490 2256.110 1180.670 ;
RECT 2254.930 1001.090 2256.110 1002.270 ;
RECT 2254.930 999.490 2256.110 1000.670 ;
RECT 2254.930 821.090 2256.110 822.270 ;
RECT 2254.930 819.490 2256.110 820.670 ;
RECT 2254.930 641.090 2256.110 642.270 ;
RECT 2254.930 639.490 2256.110 640.670 ;
RECT 2254.930 461.090 2256.110 462.270 ;
RECT 2254.930 459.490 2256.110 460.670 ;
RECT 2254.930 281.090 2256.110 282.270 ;
RECT 2254.930 279.490 2256.110 280.670 ;
RECT 2254.930 101.090 2256.110 102.270 ;
RECT 2254.930 99.490 2256.110 100.670 ;
RECT 2254.930 -7.510 2256.110 -6.330 ;
RECT 2254.930 -9.110 2256.110 -7.930 ;
RECT 2434.930 3527.610 2436.110 3528.790 ;
RECT 2434.930 3526.010 2436.110 3527.190 ;
RECT 2434.930 3341.090 2436.110 3342.270 ;
RECT 2434.930 3339.490 2436.110 3340.670 ;
RECT 2434.930 3161.090 2436.110 3162.270 ;
RECT 2434.930 3159.490 2436.110 3160.670 ;
RECT 2434.930 2981.090 2436.110 2982.270 ;
RECT 2434.930 2979.490 2436.110 2980.670 ;
RECT 2434.930 2801.090 2436.110 2802.270 ;
RECT 2434.930 2799.490 2436.110 2800.670 ;
RECT 2434.930 2621.090 2436.110 2622.270 ;
RECT 2434.930 2619.490 2436.110 2620.670 ;
RECT 2434.930 2441.090 2436.110 2442.270 ;
RECT 2434.930 2439.490 2436.110 2440.670 ;
RECT 2434.930 2261.090 2436.110 2262.270 ;
RECT 2434.930 2259.490 2436.110 2260.670 ;
RECT 2434.930 2081.090 2436.110 2082.270 ;
RECT 2434.930 2079.490 2436.110 2080.670 ;
RECT 2434.930 1901.090 2436.110 1902.270 ;
RECT 2434.930 1899.490 2436.110 1900.670 ;
RECT 2434.930 1721.090 2436.110 1722.270 ;
RECT 2434.930 1719.490 2436.110 1720.670 ;
RECT 2434.930 1541.090 2436.110 1542.270 ;
RECT 2434.930 1539.490 2436.110 1540.670 ;
RECT 2434.930 1361.090 2436.110 1362.270 ;
RECT 2434.930 1359.490 2436.110 1360.670 ;
RECT 2434.930 1181.090 2436.110 1182.270 ;
RECT 2434.930 1179.490 2436.110 1180.670 ;
RECT 2434.930 1001.090 2436.110 1002.270 ;
RECT 2434.930 999.490 2436.110 1000.670 ;
RECT 2434.930 821.090 2436.110 822.270 ;
RECT 2434.930 819.490 2436.110 820.670 ;
RECT 2434.930 641.090 2436.110 642.270 ;
RECT 2434.930 639.490 2436.110 640.670 ;
RECT 2434.930 461.090 2436.110 462.270 ;
RECT 2434.930 459.490 2436.110 460.670 ;
RECT 2434.930 281.090 2436.110 282.270 ;
RECT 2434.930 279.490 2436.110 280.670 ;
RECT 2434.930 101.090 2436.110 102.270 ;
RECT 2434.930 99.490 2436.110 100.670 ;
RECT 2434.930 -7.510 2436.110 -6.330 ;
RECT 2434.930 -9.110 2436.110 -7.930 ;
RECT 2614.930 3527.610 2616.110 3528.790 ;
RECT 2614.930 3526.010 2616.110 3527.190 ;
RECT 2614.930 3341.090 2616.110 3342.270 ;
RECT 2614.930 3339.490 2616.110 3340.670 ;
RECT 2614.930 3161.090 2616.110 3162.270 ;
RECT 2614.930 3159.490 2616.110 3160.670 ;
RECT 2614.930 2981.090 2616.110 2982.270 ;
RECT 2614.930 2979.490 2616.110 2980.670 ;
RECT 2614.930 2801.090 2616.110 2802.270 ;
RECT 2614.930 2799.490 2616.110 2800.670 ;
RECT 2614.930 2621.090 2616.110 2622.270 ;
RECT 2614.930 2619.490 2616.110 2620.670 ;
RECT 2614.930 2441.090 2616.110 2442.270 ;
RECT 2614.930 2439.490 2616.110 2440.670 ;
RECT 2614.930 2261.090 2616.110 2262.270 ;
RECT 2614.930 2259.490 2616.110 2260.670 ;
RECT 2614.930 2081.090 2616.110 2082.270 ;
RECT 2614.930 2079.490 2616.110 2080.670 ;
RECT 2614.930 1901.090 2616.110 1902.270 ;
RECT 2614.930 1899.490 2616.110 1900.670 ;
RECT 2614.930 1721.090 2616.110 1722.270 ;
RECT 2614.930 1719.490 2616.110 1720.670 ;
RECT 2614.930 1541.090 2616.110 1542.270 ;
RECT 2614.930 1539.490 2616.110 1540.670 ;
RECT 2614.930 1361.090 2616.110 1362.270 ;
RECT 2614.930 1359.490 2616.110 1360.670 ;
RECT 2614.930 1181.090 2616.110 1182.270 ;
RECT 2614.930 1179.490 2616.110 1180.670 ;
RECT 2614.930 1001.090 2616.110 1002.270 ;
RECT 2614.930 999.490 2616.110 1000.670 ;
RECT 2614.930 821.090 2616.110 822.270 ;
RECT 2614.930 819.490 2616.110 820.670 ;
RECT 2614.930 641.090 2616.110 642.270 ;
RECT 2614.930 639.490 2616.110 640.670 ;
RECT 2614.930 461.090 2616.110 462.270 ;
RECT 2614.930 459.490 2616.110 460.670 ;
RECT 2614.930 281.090 2616.110 282.270 ;
RECT 2614.930 279.490 2616.110 280.670 ;
RECT 2614.930 101.090 2616.110 102.270 ;
RECT 2614.930 99.490 2616.110 100.670 ;
RECT 2614.930 -7.510 2616.110 -6.330 ;
RECT 2614.930 -9.110 2616.110 -7.930 ;
RECT 2794.930 3527.610 2796.110 3528.790 ;
RECT 2794.930 3526.010 2796.110 3527.190 ;
RECT 2794.930 3341.090 2796.110 3342.270 ;
RECT 2794.930 3339.490 2796.110 3340.670 ;
RECT 2794.930 3161.090 2796.110 3162.270 ;
RECT 2794.930 3159.490 2796.110 3160.670 ;
RECT 2794.930 2981.090 2796.110 2982.270 ;
RECT 2794.930 2979.490 2796.110 2980.670 ;
RECT 2794.930 2801.090 2796.110 2802.270 ;
RECT 2794.930 2799.490 2796.110 2800.670 ;
RECT 2794.930 2621.090 2796.110 2622.270 ;
RECT 2794.930 2619.490 2796.110 2620.670 ;
RECT 2794.930 2441.090 2796.110 2442.270 ;
RECT 2794.930 2439.490 2796.110 2440.670 ;
RECT 2794.930 2261.090 2796.110 2262.270 ;
RECT 2794.930 2259.490 2796.110 2260.670 ;
RECT 2794.930 2081.090 2796.110 2082.270 ;
RECT 2794.930 2079.490 2796.110 2080.670 ;
RECT 2794.930 1901.090 2796.110 1902.270 ;
RECT 2794.930 1899.490 2796.110 1900.670 ;
RECT 2794.930 1721.090 2796.110 1722.270 ;
RECT 2794.930 1719.490 2796.110 1720.670 ;
RECT 2794.930 1541.090 2796.110 1542.270 ;
RECT 2794.930 1539.490 2796.110 1540.670 ;
RECT 2794.930 1361.090 2796.110 1362.270 ;
RECT 2794.930 1359.490 2796.110 1360.670 ;
RECT 2794.930 1181.090 2796.110 1182.270 ;
RECT 2794.930 1179.490 2796.110 1180.670 ;
RECT 2794.930 1001.090 2796.110 1002.270 ;
RECT 2794.930 999.490 2796.110 1000.670 ;
RECT 2794.930 821.090 2796.110 822.270 ;
RECT 2794.930 819.490 2796.110 820.670 ;
RECT 2794.930 641.090 2796.110 642.270 ;
RECT 2794.930 639.490 2796.110 640.670 ;
RECT 2794.930 461.090 2796.110 462.270 ;
RECT 2794.930 459.490 2796.110 460.670 ;
RECT 2794.930 281.090 2796.110 282.270 ;
RECT 2794.930 279.490 2796.110 280.670 ;
RECT 2794.930 101.090 2796.110 102.270 ;
RECT 2794.930 99.490 2796.110 100.670 ;
RECT 2794.930 -7.510 2796.110 -6.330 ;
RECT 2794.930 -9.110 2796.110 -7.930 ;
RECT 2932.110 3527.610 2933.290 3528.790 ;
RECT 2932.110 3526.010 2933.290 3527.190 ;
RECT 2932.110 3341.090 2933.290 3342.270 ;
RECT 2932.110 3339.490 2933.290 3340.670 ;
RECT 2932.110 3161.090 2933.290 3162.270 ;
RECT 2932.110 3159.490 2933.290 3160.670 ;
RECT 2932.110 2981.090 2933.290 2982.270 ;
RECT 2932.110 2979.490 2933.290 2980.670 ;
RECT 2932.110 2801.090 2933.290 2802.270 ;
RECT 2932.110 2799.490 2933.290 2800.670 ;
RECT 2932.110 2621.090 2933.290 2622.270 ;
RECT 2932.110 2619.490 2933.290 2620.670 ;
RECT 2932.110 2441.090 2933.290 2442.270 ;
RECT 2932.110 2439.490 2933.290 2440.670 ;
RECT 2932.110 2261.090 2933.290 2262.270 ;
RECT 2932.110 2259.490 2933.290 2260.670 ;
RECT 2932.110 2081.090 2933.290 2082.270 ;
RECT 2932.110 2079.490 2933.290 2080.670 ;
RECT 2932.110 1901.090 2933.290 1902.270 ;
RECT 2932.110 1899.490 2933.290 1900.670 ;
RECT 2932.110 1721.090 2933.290 1722.270 ;
RECT 2932.110 1719.490 2933.290 1720.670 ;
RECT 2932.110 1541.090 2933.290 1542.270 ;
RECT 2932.110 1539.490 2933.290 1540.670 ;
RECT 2932.110 1361.090 2933.290 1362.270 ;
RECT 2932.110 1359.490 2933.290 1360.670 ;
RECT 2932.110 1181.090 2933.290 1182.270 ;
RECT 2932.110 1179.490 2933.290 1180.670 ;
RECT 2932.110 1001.090 2933.290 1002.270 ;
RECT 2932.110 999.490 2933.290 1000.670 ;
RECT 2932.110 821.090 2933.290 822.270 ;
RECT 2932.110 819.490 2933.290 820.670 ;
RECT 2932.110 641.090 2933.290 642.270 ;
RECT 2932.110 639.490 2933.290 640.670 ;
RECT 2932.110 461.090 2933.290 462.270 ;
RECT 2932.110 459.490 2933.290 460.670 ;
RECT 2932.110 281.090 2933.290 282.270 ;
RECT 2932.110 279.490 2933.290 280.670 ;
RECT 2932.110 101.090 2933.290 102.270 ;
RECT 2932.110 99.490 2933.290 100.670 ;
RECT 2932.110 -7.510 2933.290 -6.330 ;
RECT 2932.110 -9.110 2933.290 -7.930 ;
LAYER met5 ;
RECT -14.580 3528.900 -11.580 3528.910 ;
RECT 94.020 3528.900 97.020 3528.910 ;
RECT 274.020 3528.900 277.020 3528.910 ;
RECT 454.020 3528.900 457.020 3528.910 ;
RECT 634.020 3528.900 637.020 3528.910 ;
RECT 814.020 3528.900 817.020 3528.910 ;
RECT 994.020 3528.900 997.020 3528.910 ;
RECT 1174.020 3528.900 1177.020 3528.910 ;
RECT 1354.020 3528.900 1357.020 3528.910 ;
RECT 1534.020 3528.900 1537.020 3528.910 ;
RECT 1714.020 3528.900 1717.020 3528.910 ;
RECT 1894.020 3528.900 1897.020 3528.910 ;
RECT 2074.020 3528.900 2077.020 3528.910 ;
RECT 2254.020 3528.900 2257.020 3528.910 ;
RECT 2434.020 3528.900 2437.020 3528.910 ;
RECT 2614.020 3528.900 2617.020 3528.910 ;
RECT 2794.020 3528.900 2797.020 3528.910 ;
RECT 2931.200 3528.900 2934.200 3528.910 ;
RECT -14.580 3525.900 2934.200 3528.900 ;
RECT -14.580 3525.890 -11.580 3525.900 ;
RECT 94.020 3525.890 97.020 3525.900 ;
RECT 274.020 3525.890 277.020 3525.900 ;
RECT 454.020 3525.890 457.020 3525.900 ;
RECT 634.020 3525.890 637.020 3525.900 ;
RECT 814.020 3525.890 817.020 3525.900 ;
RECT 994.020 3525.890 997.020 3525.900 ;
RECT 1174.020 3525.890 1177.020 3525.900 ;
RECT 1354.020 3525.890 1357.020 3525.900 ;
RECT 1534.020 3525.890 1537.020 3525.900 ;
RECT 1714.020 3525.890 1717.020 3525.900 ;
RECT 1894.020 3525.890 1897.020 3525.900 ;
RECT 2074.020 3525.890 2077.020 3525.900 ;
RECT 2254.020 3525.890 2257.020 3525.900 ;
RECT 2434.020 3525.890 2437.020 3525.900 ;
RECT 2614.020 3525.890 2617.020 3525.900 ;
RECT 2794.020 3525.890 2797.020 3525.900 ;
RECT 2931.200 3525.890 2934.200 3525.900 ;
RECT -14.580 3342.380 -11.580 3342.390 ;
RECT 94.020 3342.380 97.020 3342.390 ;
RECT 274.020 3342.380 277.020 3342.390 ;
RECT 454.020 3342.380 457.020 3342.390 ;
RECT 634.020 3342.380 637.020 3342.390 ;
RECT 814.020 3342.380 817.020 3342.390 ;
RECT 994.020 3342.380 997.020 3342.390 ;
RECT 1174.020 3342.380 1177.020 3342.390 ;
RECT 1354.020 3342.380 1357.020 3342.390 ;
RECT 1534.020 3342.380 1537.020 3342.390 ;
RECT 1714.020 3342.380 1717.020 3342.390 ;
RECT 1894.020 3342.380 1897.020 3342.390 ;
RECT 2074.020 3342.380 2077.020 3342.390 ;
RECT 2254.020 3342.380 2257.020 3342.390 ;
RECT 2434.020 3342.380 2437.020 3342.390 ;
RECT 2614.020 3342.380 2617.020 3342.390 ;
RECT 2794.020 3342.380 2797.020 3342.390 ;
RECT 2931.200 3342.380 2934.200 3342.390 ;
RECT -14.580 3339.380 2934.200 3342.380 ;
RECT -14.580 3339.370 -11.580 3339.380 ;
RECT 94.020 3339.370 97.020 3339.380 ;
RECT 274.020 3339.370 277.020 3339.380 ;
RECT 454.020 3339.370 457.020 3339.380 ;
RECT 634.020 3339.370 637.020 3339.380 ;
RECT 814.020 3339.370 817.020 3339.380 ;
RECT 994.020 3339.370 997.020 3339.380 ;
RECT 1174.020 3339.370 1177.020 3339.380 ;
RECT 1354.020 3339.370 1357.020 3339.380 ;
RECT 1534.020 3339.370 1537.020 3339.380 ;
RECT 1714.020 3339.370 1717.020 3339.380 ;
RECT 1894.020 3339.370 1897.020 3339.380 ;
RECT 2074.020 3339.370 2077.020 3339.380 ;
RECT 2254.020 3339.370 2257.020 3339.380 ;
RECT 2434.020 3339.370 2437.020 3339.380 ;
RECT 2614.020 3339.370 2617.020 3339.380 ;
RECT 2794.020 3339.370 2797.020 3339.380 ;
RECT 2931.200 3339.370 2934.200 3339.380 ;
RECT -14.580 3162.380 -11.580 3162.390 ;
RECT 94.020 3162.380 97.020 3162.390 ;
RECT 274.020 3162.380 277.020 3162.390 ;
RECT 454.020 3162.380 457.020 3162.390 ;
RECT 634.020 3162.380 637.020 3162.390 ;
RECT 814.020 3162.380 817.020 3162.390 ;
RECT 994.020 3162.380 997.020 3162.390 ;
RECT 1174.020 3162.380 1177.020 3162.390 ;
RECT 1354.020 3162.380 1357.020 3162.390 ;
RECT 1534.020 3162.380 1537.020 3162.390 ;
RECT 1714.020 3162.380 1717.020 3162.390 ;
RECT 1894.020 3162.380 1897.020 3162.390 ;
RECT 2074.020 3162.380 2077.020 3162.390 ;
RECT 2254.020 3162.380 2257.020 3162.390 ;
RECT 2434.020 3162.380 2437.020 3162.390 ;
RECT 2614.020 3162.380 2617.020 3162.390 ;
RECT 2794.020 3162.380 2797.020 3162.390 ;
RECT 2931.200 3162.380 2934.200 3162.390 ;
RECT -14.580 3159.380 2934.200 3162.380 ;
RECT -14.580 3159.370 -11.580 3159.380 ;
RECT 94.020 3159.370 97.020 3159.380 ;
RECT 274.020 3159.370 277.020 3159.380 ;
RECT 454.020 3159.370 457.020 3159.380 ;
RECT 634.020 3159.370 637.020 3159.380 ;
RECT 814.020 3159.370 817.020 3159.380 ;
RECT 994.020 3159.370 997.020 3159.380 ;
RECT 1174.020 3159.370 1177.020 3159.380 ;
RECT 1354.020 3159.370 1357.020 3159.380 ;
RECT 1534.020 3159.370 1537.020 3159.380 ;
RECT 1714.020 3159.370 1717.020 3159.380 ;
RECT 1894.020 3159.370 1897.020 3159.380 ;
RECT 2074.020 3159.370 2077.020 3159.380 ;
RECT 2254.020 3159.370 2257.020 3159.380 ;
RECT 2434.020 3159.370 2437.020 3159.380 ;
RECT 2614.020 3159.370 2617.020 3159.380 ;
RECT 2794.020 3159.370 2797.020 3159.380 ;
RECT 2931.200 3159.370 2934.200 3159.380 ;
RECT -14.580 2982.380 -11.580 2982.390 ;
RECT 94.020 2982.380 97.020 2982.390 ;
RECT 274.020 2982.380 277.020 2982.390 ;
RECT 454.020 2982.380 457.020 2982.390 ;
RECT 634.020 2982.380 637.020 2982.390 ;
RECT 814.020 2982.380 817.020 2982.390 ;
RECT 994.020 2982.380 997.020 2982.390 ;
RECT 1174.020 2982.380 1177.020 2982.390 ;
RECT 1354.020 2982.380 1357.020 2982.390 ;
RECT 1534.020 2982.380 1537.020 2982.390 ;
RECT 1714.020 2982.380 1717.020 2982.390 ;
RECT 1894.020 2982.380 1897.020 2982.390 ;
RECT 2074.020 2982.380 2077.020 2982.390 ;
RECT 2254.020 2982.380 2257.020 2982.390 ;
RECT 2434.020 2982.380 2437.020 2982.390 ;
RECT 2614.020 2982.380 2617.020 2982.390 ;
RECT 2794.020 2982.380 2797.020 2982.390 ;
RECT 2931.200 2982.380 2934.200 2982.390 ;
RECT -14.580 2979.380 2934.200 2982.380 ;
RECT -14.580 2979.370 -11.580 2979.380 ;
RECT 94.020 2979.370 97.020 2979.380 ;
RECT 274.020 2979.370 277.020 2979.380 ;
RECT 454.020 2979.370 457.020 2979.380 ;
RECT 634.020 2979.370 637.020 2979.380 ;
RECT 814.020 2979.370 817.020 2979.380 ;
RECT 994.020 2979.370 997.020 2979.380 ;
RECT 1174.020 2979.370 1177.020 2979.380 ;
RECT 1354.020 2979.370 1357.020 2979.380 ;
RECT 1534.020 2979.370 1537.020 2979.380 ;
RECT 1714.020 2979.370 1717.020 2979.380 ;
RECT 1894.020 2979.370 1897.020 2979.380 ;
RECT 2074.020 2979.370 2077.020 2979.380 ;
RECT 2254.020 2979.370 2257.020 2979.380 ;
RECT 2434.020 2979.370 2437.020 2979.380 ;
RECT 2614.020 2979.370 2617.020 2979.380 ;
RECT 2794.020 2979.370 2797.020 2979.380 ;
RECT 2931.200 2979.370 2934.200 2979.380 ;
RECT -14.580 2802.380 -11.580 2802.390 ;
RECT 94.020 2802.380 97.020 2802.390 ;
RECT 274.020 2802.380 277.020 2802.390 ;
RECT 454.020 2802.380 457.020 2802.390 ;
RECT 634.020 2802.380 637.020 2802.390 ;
RECT 814.020 2802.380 817.020 2802.390 ;
RECT 994.020 2802.380 997.020 2802.390 ;
RECT 1174.020 2802.380 1177.020 2802.390 ;
RECT 1354.020 2802.380 1357.020 2802.390 ;
RECT 1534.020 2802.380 1537.020 2802.390 ;
RECT 1714.020 2802.380 1717.020 2802.390 ;
RECT 1894.020 2802.380 1897.020 2802.390 ;
RECT 2074.020 2802.380 2077.020 2802.390 ;
RECT 2254.020 2802.380 2257.020 2802.390 ;
RECT 2434.020 2802.380 2437.020 2802.390 ;
RECT 2614.020 2802.380 2617.020 2802.390 ;
RECT 2794.020 2802.380 2797.020 2802.390 ;
RECT 2931.200 2802.380 2934.200 2802.390 ;
RECT -14.580 2799.380 2934.200 2802.380 ;
RECT -14.580 2799.370 -11.580 2799.380 ;
RECT 94.020 2799.370 97.020 2799.380 ;
RECT 274.020 2799.370 277.020 2799.380 ;
RECT 454.020 2799.370 457.020 2799.380 ;
RECT 634.020 2799.370 637.020 2799.380 ;
RECT 814.020 2799.370 817.020 2799.380 ;
RECT 994.020 2799.370 997.020 2799.380 ;
RECT 1174.020 2799.370 1177.020 2799.380 ;
RECT 1354.020 2799.370 1357.020 2799.380 ;
RECT 1534.020 2799.370 1537.020 2799.380 ;
RECT 1714.020 2799.370 1717.020 2799.380 ;
RECT 1894.020 2799.370 1897.020 2799.380 ;
RECT 2074.020 2799.370 2077.020 2799.380 ;
RECT 2254.020 2799.370 2257.020 2799.380 ;
RECT 2434.020 2799.370 2437.020 2799.380 ;
RECT 2614.020 2799.370 2617.020 2799.380 ;
RECT 2794.020 2799.370 2797.020 2799.380 ;
RECT 2931.200 2799.370 2934.200 2799.380 ;
RECT -14.580 2622.380 -11.580 2622.390 ;
RECT 94.020 2622.380 97.020 2622.390 ;
RECT 274.020 2622.380 277.020 2622.390 ;
RECT 454.020 2622.380 457.020 2622.390 ;
RECT 634.020 2622.380 637.020 2622.390 ;
RECT 814.020 2622.380 817.020 2622.390 ;
RECT 994.020 2622.380 997.020 2622.390 ;
RECT 1174.020 2622.380 1177.020 2622.390 ;
RECT 1354.020 2622.380 1357.020 2622.390 ;
RECT 1534.020 2622.380 1537.020 2622.390 ;
RECT 1714.020 2622.380 1717.020 2622.390 ;
RECT 1894.020 2622.380 1897.020 2622.390 ;
RECT 2074.020 2622.380 2077.020 2622.390 ;
RECT 2254.020 2622.380 2257.020 2622.390 ;
RECT 2434.020 2622.380 2437.020 2622.390 ;
RECT 2614.020 2622.380 2617.020 2622.390 ;
RECT 2794.020 2622.380 2797.020 2622.390 ;
RECT 2931.200 2622.380 2934.200 2622.390 ;
RECT -14.580 2619.380 2934.200 2622.380 ;
RECT -14.580 2619.370 -11.580 2619.380 ;
RECT 94.020 2619.370 97.020 2619.380 ;
RECT 274.020 2619.370 277.020 2619.380 ;
RECT 454.020 2619.370 457.020 2619.380 ;
RECT 634.020 2619.370 637.020 2619.380 ;
RECT 814.020 2619.370 817.020 2619.380 ;
RECT 994.020 2619.370 997.020 2619.380 ;
RECT 1174.020 2619.370 1177.020 2619.380 ;
RECT 1354.020 2619.370 1357.020 2619.380 ;
RECT 1534.020 2619.370 1537.020 2619.380 ;
RECT 1714.020 2619.370 1717.020 2619.380 ;
RECT 1894.020 2619.370 1897.020 2619.380 ;
RECT 2074.020 2619.370 2077.020 2619.380 ;
RECT 2254.020 2619.370 2257.020 2619.380 ;
RECT 2434.020 2619.370 2437.020 2619.380 ;
RECT 2614.020 2619.370 2617.020 2619.380 ;
RECT 2794.020 2619.370 2797.020 2619.380 ;
RECT 2931.200 2619.370 2934.200 2619.380 ;
RECT -14.580 2442.380 -11.580 2442.390 ;
RECT 94.020 2442.380 97.020 2442.390 ;
RECT 274.020 2442.380 277.020 2442.390 ;
RECT 454.020 2442.380 457.020 2442.390 ;
RECT 634.020 2442.380 637.020 2442.390 ;
RECT 814.020 2442.380 817.020 2442.390 ;
RECT 994.020 2442.380 997.020 2442.390 ;
RECT 1174.020 2442.380 1177.020 2442.390 ;
RECT 1354.020 2442.380 1357.020 2442.390 ;
RECT 1534.020 2442.380 1537.020 2442.390 ;
RECT 1714.020 2442.380 1717.020 2442.390 ;
RECT 1894.020 2442.380 1897.020 2442.390 ;
RECT 2074.020 2442.380 2077.020 2442.390 ;
RECT 2254.020 2442.380 2257.020 2442.390 ;
RECT 2434.020 2442.380 2437.020 2442.390 ;
RECT 2614.020 2442.380 2617.020 2442.390 ;
RECT 2794.020 2442.380 2797.020 2442.390 ;
RECT 2931.200 2442.380 2934.200 2442.390 ;
RECT -14.580 2439.380 2934.200 2442.380 ;
RECT -14.580 2439.370 -11.580 2439.380 ;
RECT 94.020 2439.370 97.020 2439.380 ;
RECT 274.020 2439.370 277.020 2439.380 ;
RECT 454.020 2439.370 457.020 2439.380 ;
RECT 634.020 2439.370 637.020 2439.380 ;
RECT 814.020 2439.370 817.020 2439.380 ;
RECT 994.020 2439.370 997.020 2439.380 ;
RECT 1174.020 2439.370 1177.020 2439.380 ;
RECT 1354.020 2439.370 1357.020 2439.380 ;
RECT 1534.020 2439.370 1537.020 2439.380 ;
RECT 1714.020 2439.370 1717.020 2439.380 ;
RECT 1894.020 2439.370 1897.020 2439.380 ;
RECT 2074.020 2439.370 2077.020 2439.380 ;
RECT 2254.020 2439.370 2257.020 2439.380 ;
RECT 2434.020 2439.370 2437.020 2439.380 ;
RECT 2614.020 2439.370 2617.020 2439.380 ;
RECT 2794.020 2439.370 2797.020 2439.380 ;
RECT 2931.200 2439.370 2934.200 2439.380 ;
RECT -14.580 2262.380 -11.580 2262.390 ;
RECT 94.020 2262.380 97.020 2262.390 ;
RECT 274.020 2262.380 277.020 2262.390 ;
RECT 454.020 2262.380 457.020 2262.390 ;
RECT 634.020 2262.380 637.020 2262.390 ;
RECT 814.020 2262.380 817.020 2262.390 ;
RECT 994.020 2262.380 997.020 2262.390 ;
RECT 1174.020 2262.380 1177.020 2262.390 ;
RECT 1354.020 2262.380 1357.020 2262.390 ;
RECT 1534.020 2262.380 1537.020 2262.390 ;
RECT 1714.020 2262.380 1717.020 2262.390 ;
RECT 1894.020 2262.380 1897.020 2262.390 ;
RECT 2074.020 2262.380 2077.020 2262.390 ;
RECT 2254.020 2262.380 2257.020 2262.390 ;
RECT 2434.020 2262.380 2437.020 2262.390 ;
RECT 2614.020 2262.380 2617.020 2262.390 ;
RECT 2794.020 2262.380 2797.020 2262.390 ;
RECT 2931.200 2262.380 2934.200 2262.390 ;
RECT -14.580 2259.380 2934.200 2262.380 ;
RECT -14.580 2259.370 -11.580 2259.380 ;
RECT 94.020 2259.370 97.020 2259.380 ;
RECT 274.020 2259.370 277.020 2259.380 ;
RECT 454.020 2259.370 457.020 2259.380 ;
RECT 634.020 2259.370 637.020 2259.380 ;
RECT 814.020 2259.370 817.020 2259.380 ;
RECT 994.020 2259.370 997.020 2259.380 ;
RECT 1174.020 2259.370 1177.020 2259.380 ;
RECT 1354.020 2259.370 1357.020 2259.380 ;
RECT 1534.020 2259.370 1537.020 2259.380 ;
RECT 1714.020 2259.370 1717.020 2259.380 ;
RECT 1894.020 2259.370 1897.020 2259.380 ;
RECT 2074.020 2259.370 2077.020 2259.380 ;
RECT 2254.020 2259.370 2257.020 2259.380 ;
RECT 2434.020 2259.370 2437.020 2259.380 ;
RECT 2614.020 2259.370 2617.020 2259.380 ;
RECT 2794.020 2259.370 2797.020 2259.380 ;
RECT 2931.200 2259.370 2934.200 2259.380 ;
RECT -14.580 2082.380 -11.580 2082.390 ;
RECT 94.020 2082.380 97.020 2082.390 ;
RECT 274.020 2082.380 277.020 2082.390 ;
RECT 454.020 2082.380 457.020 2082.390 ;
RECT 634.020 2082.380 637.020 2082.390 ;
RECT 814.020 2082.380 817.020 2082.390 ;
RECT 994.020 2082.380 997.020 2082.390 ;
RECT 1174.020 2082.380 1177.020 2082.390 ;
RECT 1354.020 2082.380 1357.020 2082.390 ;
RECT 1534.020 2082.380 1537.020 2082.390 ;
RECT 1714.020 2082.380 1717.020 2082.390 ;
RECT 1894.020 2082.380 1897.020 2082.390 ;
RECT 2074.020 2082.380 2077.020 2082.390 ;
RECT 2254.020 2082.380 2257.020 2082.390 ;
RECT 2434.020 2082.380 2437.020 2082.390 ;
RECT 2614.020 2082.380 2617.020 2082.390 ;
RECT 2794.020 2082.380 2797.020 2082.390 ;
RECT 2931.200 2082.380 2934.200 2082.390 ;
RECT -14.580 2079.380 2934.200 2082.380 ;
RECT -14.580 2079.370 -11.580 2079.380 ;
RECT 94.020 2079.370 97.020 2079.380 ;
RECT 274.020 2079.370 277.020 2079.380 ;
RECT 454.020 2079.370 457.020 2079.380 ;
RECT 634.020 2079.370 637.020 2079.380 ;
RECT 814.020 2079.370 817.020 2079.380 ;
RECT 994.020 2079.370 997.020 2079.380 ;
RECT 1174.020 2079.370 1177.020 2079.380 ;
RECT 1354.020 2079.370 1357.020 2079.380 ;
RECT 1534.020 2079.370 1537.020 2079.380 ;
RECT 1714.020 2079.370 1717.020 2079.380 ;
RECT 1894.020 2079.370 1897.020 2079.380 ;
RECT 2074.020 2079.370 2077.020 2079.380 ;
RECT 2254.020 2079.370 2257.020 2079.380 ;
RECT 2434.020 2079.370 2437.020 2079.380 ;
RECT 2614.020 2079.370 2617.020 2079.380 ;
RECT 2794.020 2079.370 2797.020 2079.380 ;
RECT 2931.200 2079.370 2934.200 2079.380 ;
RECT -14.580 1902.380 -11.580 1902.390 ;
RECT 94.020 1902.380 97.020 1902.390 ;
RECT 274.020 1902.380 277.020 1902.390 ;
RECT 454.020 1902.380 457.020 1902.390 ;
RECT 634.020 1902.380 637.020 1902.390 ;
RECT 814.020 1902.380 817.020 1902.390 ;
RECT 994.020 1902.380 997.020 1902.390 ;
RECT 1174.020 1902.380 1177.020 1902.390 ;
RECT 1354.020 1902.380 1357.020 1902.390 ;
RECT 1534.020 1902.380 1537.020 1902.390 ;
RECT 1714.020 1902.380 1717.020 1902.390 ;
RECT 1894.020 1902.380 1897.020 1902.390 ;
RECT 2074.020 1902.380 2077.020 1902.390 ;
RECT 2254.020 1902.380 2257.020 1902.390 ;
RECT 2434.020 1902.380 2437.020 1902.390 ;
RECT 2614.020 1902.380 2617.020 1902.390 ;
RECT 2794.020 1902.380 2797.020 1902.390 ;
RECT 2931.200 1902.380 2934.200 1902.390 ;
RECT -14.580 1899.380 2934.200 1902.380 ;
RECT -14.580 1899.370 -11.580 1899.380 ;
RECT 94.020 1899.370 97.020 1899.380 ;
RECT 274.020 1899.370 277.020 1899.380 ;
RECT 454.020 1899.370 457.020 1899.380 ;
RECT 634.020 1899.370 637.020 1899.380 ;
RECT 814.020 1899.370 817.020 1899.380 ;
RECT 994.020 1899.370 997.020 1899.380 ;
RECT 1174.020 1899.370 1177.020 1899.380 ;
RECT 1354.020 1899.370 1357.020 1899.380 ;
RECT 1534.020 1899.370 1537.020 1899.380 ;
RECT 1714.020 1899.370 1717.020 1899.380 ;
RECT 1894.020 1899.370 1897.020 1899.380 ;
RECT 2074.020 1899.370 2077.020 1899.380 ;
RECT 2254.020 1899.370 2257.020 1899.380 ;
RECT 2434.020 1899.370 2437.020 1899.380 ;
RECT 2614.020 1899.370 2617.020 1899.380 ;
RECT 2794.020 1899.370 2797.020 1899.380 ;
RECT 2931.200 1899.370 2934.200 1899.380 ;
RECT -14.580 1722.380 -11.580 1722.390 ;
RECT 94.020 1722.380 97.020 1722.390 ;
RECT 274.020 1722.380 277.020 1722.390 ;
RECT 454.020 1722.380 457.020 1722.390 ;
RECT 634.020 1722.380 637.020 1722.390 ;
RECT 814.020 1722.380 817.020 1722.390 ;
RECT 994.020 1722.380 997.020 1722.390 ;
RECT 1174.020 1722.380 1177.020 1722.390 ;
RECT 1354.020 1722.380 1357.020 1722.390 ;
RECT 1534.020 1722.380 1537.020 1722.390 ;
RECT 1714.020 1722.380 1717.020 1722.390 ;
RECT 1894.020 1722.380 1897.020 1722.390 ;
RECT 2074.020 1722.380 2077.020 1722.390 ;
RECT 2254.020 1722.380 2257.020 1722.390 ;
RECT 2434.020 1722.380 2437.020 1722.390 ;
RECT 2614.020 1722.380 2617.020 1722.390 ;
RECT 2794.020 1722.380 2797.020 1722.390 ;
RECT 2931.200 1722.380 2934.200 1722.390 ;
RECT -14.580 1719.380 2934.200 1722.380 ;
RECT -14.580 1719.370 -11.580 1719.380 ;
RECT 94.020 1719.370 97.020 1719.380 ;
RECT 274.020 1719.370 277.020 1719.380 ;
RECT 454.020 1719.370 457.020 1719.380 ;
RECT 634.020 1719.370 637.020 1719.380 ;
RECT 814.020 1719.370 817.020 1719.380 ;
RECT 994.020 1719.370 997.020 1719.380 ;
RECT 1174.020 1719.370 1177.020 1719.380 ;
RECT 1354.020 1719.370 1357.020 1719.380 ;
RECT 1534.020 1719.370 1537.020 1719.380 ;
RECT 1714.020 1719.370 1717.020 1719.380 ;
RECT 1894.020 1719.370 1897.020 1719.380 ;
RECT 2074.020 1719.370 2077.020 1719.380 ;
RECT 2254.020 1719.370 2257.020 1719.380 ;
RECT 2434.020 1719.370 2437.020 1719.380 ;
RECT 2614.020 1719.370 2617.020 1719.380 ;
RECT 2794.020 1719.370 2797.020 1719.380 ;
RECT 2931.200 1719.370 2934.200 1719.380 ;
RECT -14.580 1542.380 -11.580 1542.390 ;
RECT 94.020 1542.380 97.020 1542.390 ;
RECT 274.020 1542.380 277.020 1542.390 ;
RECT 454.020 1542.380 457.020 1542.390 ;
RECT 634.020 1542.380 637.020 1542.390 ;
RECT 814.020 1542.380 817.020 1542.390 ;
RECT 994.020 1542.380 997.020 1542.390 ;
RECT 1174.020 1542.380 1177.020 1542.390 ;
RECT 1354.020 1542.380 1357.020 1542.390 ;
RECT 1534.020 1542.380 1537.020 1542.390 ;
RECT 1714.020 1542.380 1717.020 1542.390 ;
RECT 1894.020 1542.380 1897.020 1542.390 ;
RECT 2074.020 1542.380 2077.020 1542.390 ;
RECT 2254.020 1542.380 2257.020 1542.390 ;
RECT 2434.020 1542.380 2437.020 1542.390 ;
RECT 2614.020 1542.380 2617.020 1542.390 ;
RECT 2794.020 1542.380 2797.020 1542.390 ;
RECT 2931.200 1542.380 2934.200 1542.390 ;
RECT -14.580 1539.380 2934.200 1542.380 ;
RECT -14.580 1539.370 -11.580 1539.380 ;
RECT 94.020 1539.370 97.020 1539.380 ;
RECT 274.020 1539.370 277.020 1539.380 ;
RECT 454.020 1539.370 457.020 1539.380 ;
RECT 634.020 1539.370 637.020 1539.380 ;
RECT 814.020 1539.370 817.020 1539.380 ;
RECT 994.020 1539.370 997.020 1539.380 ;
RECT 1174.020 1539.370 1177.020 1539.380 ;
RECT 1354.020 1539.370 1357.020 1539.380 ;
RECT 1534.020 1539.370 1537.020 1539.380 ;
RECT 1714.020 1539.370 1717.020 1539.380 ;
RECT 1894.020 1539.370 1897.020 1539.380 ;
RECT 2074.020 1539.370 2077.020 1539.380 ;
RECT 2254.020 1539.370 2257.020 1539.380 ;
RECT 2434.020 1539.370 2437.020 1539.380 ;
RECT 2614.020 1539.370 2617.020 1539.380 ;
RECT 2794.020 1539.370 2797.020 1539.380 ;
RECT 2931.200 1539.370 2934.200 1539.380 ;
RECT -14.580 1362.380 -11.580 1362.390 ;
RECT 94.020 1362.380 97.020 1362.390 ;
RECT 274.020 1362.380 277.020 1362.390 ;
RECT 454.020 1362.380 457.020 1362.390 ;
RECT 634.020 1362.380 637.020 1362.390 ;
RECT 814.020 1362.380 817.020 1362.390 ;
RECT 994.020 1362.380 997.020 1362.390 ;
RECT 1174.020 1362.380 1177.020 1362.390 ;
RECT 1354.020 1362.380 1357.020 1362.390 ;
RECT 1534.020 1362.380 1537.020 1362.390 ;
RECT 1714.020 1362.380 1717.020 1362.390 ;
RECT 1894.020 1362.380 1897.020 1362.390 ;
RECT 2074.020 1362.380 2077.020 1362.390 ;
RECT 2254.020 1362.380 2257.020 1362.390 ;
RECT 2434.020 1362.380 2437.020 1362.390 ;
RECT 2614.020 1362.380 2617.020 1362.390 ;
RECT 2794.020 1362.380 2797.020 1362.390 ;
RECT 2931.200 1362.380 2934.200 1362.390 ;
RECT -14.580 1359.380 2934.200 1362.380 ;
RECT -14.580 1359.370 -11.580 1359.380 ;
RECT 94.020 1359.370 97.020 1359.380 ;
RECT 274.020 1359.370 277.020 1359.380 ;
RECT 454.020 1359.370 457.020 1359.380 ;
RECT 634.020 1359.370 637.020 1359.380 ;
RECT 814.020 1359.370 817.020 1359.380 ;
RECT 994.020 1359.370 997.020 1359.380 ;
RECT 1174.020 1359.370 1177.020 1359.380 ;
RECT 1354.020 1359.370 1357.020 1359.380 ;
RECT 1534.020 1359.370 1537.020 1359.380 ;
RECT 1714.020 1359.370 1717.020 1359.380 ;
RECT 1894.020 1359.370 1897.020 1359.380 ;
RECT 2074.020 1359.370 2077.020 1359.380 ;
RECT 2254.020 1359.370 2257.020 1359.380 ;
RECT 2434.020 1359.370 2437.020 1359.380 ;
RECT 2614.020 1359.370 2617.020 1359.380 ;
RECT 2794.020 1359.370 2797.020 1359.380 ;
RECT 2931.200 1359.370 2934.200 1359.380 ;
RECT -14.580 1182.380 -11.580 1182.390 ;
RECT 94.020 1182.380 97.020 1182.390 ;
RECT 274.020 1182.380 277.020 1182.390 ;
RECT 454.020 1182.380 457.020 1182.390 ;
RECT 634.020 1182.380 637.020 1182.390 ;
RECT 814.020 1182.380 817.020 1182.390 ;
RECT 994.020 1182.380 997.020 1182.390 ;
RECT 1174.020 1182.380 1177.020 1182.390 ;
RECT 1354.020 1182.380 1357.020 1182.390 ;
RECT 1534.020 1182.380 1537.020 1182.390 ;
RECT 1714.020 1182.380 1717.020 1182.390 ;
RECT 1894.020 1182.380 1897.020 1182.390 ;
RECT 2074.020 1182.380 2077.020 1182.390 ;
RECT 2254.020 1182.380 2257.020 1182.390 ;
RECT 2434.020 1182.380 2437.020 1182.390 ;
RECT 2614.020 1182.380 2617.020 1182.390 ;
RECT 2794.020 1182.380 2797.020 1182.390 ;
RECT 2931.200 1182.380 2934.200 1182.390 ;
RECT -14.580 1179.380 2934.200 1182.380 ;
RECT -14.580 1179.370 -11.580 1179.380 ;
RECT 94.020 1179.370 97.020 1179.380 ;
RECT 274.020 1179.370 277.020 1179.380 ;
RECT 454.020 1179.370 457.020 1179.380 ;
RECT 634.020 1179.370 637.020 1179.380 ;
RECT 814.020 1179.370 817.020 1179.380 ;
RECT 994.020 1179.370 997.020 1179.380 ;
RECT 1174.020 1179.370 1177.020 1179.380 ;
RECT 1354.020 1179.370 1357.020 1179.380 ;
RECT 1534.020 1179.370 1537.020 1179.380 ;
RECT 1714.020 1179.370 1717.020 1179.380 ;
RECT 1894.020 1179.370 1897.020 1179.380 ;
RECT 2074.020 1179.370 2077.020 1179.380 ;
RECT 2254.020 1179.370 2257.020 1179.380 ;
RECT 2434.020 1179.370 2437.020 1179.380 ;
RECT 2614.020 1179.370 2617.020 1179.380 ;
RECT 2794.020 1179.370 2797.020 1179.380 ;
RECT 2931.200 1179.370 2934.200 1179.380 ;
RECT -14.580 1002.380 -11.580 1002.390 ;
RECT 94.020 1002.380 97.020 1002.390 ;
RECT 274.020 1002.380 277.020 1002.390 ;
RECT 454.020 1002.380 457.020 1002.390 ;
RECT 634.020 1002.380 637.020 1002.390 ;
RECT 814.020 1002.380 817.020 1002.390 ;
RECT 994.020 1002.380 997.020 1002.390 ;
RECT 1174.020 1002.380 1177.020 1002.390 ;
RECT 1354.020 1002.380 1357.020 1002.390 ;
RECT 1534.020 1002.380 1537.020 1002.390 ;
RECT 1714.020 1002.380 1717.020 1002.390 ;
RECT 1894.020 1002.380 1897.020 1002.390 ;
RECT 2074.020 1002.380 2077.020 1002.390 ;
RECT 2254.020 1002.380 2257.020 1002.390 ;
RECT 2434.020 1002.380 2437.020 1002.390 ;
RECT 2614.020 1002.380 2617.020 1002.390 ;
RECT 2794.020 1002.380 2797.020 1002.390 ;
RECT 2931.200 1002.380 2934.200 1002.390 ;
RECT -14.580 999.380 2934.200 1002.380 ;
RECT -14.580 999.370 -11.580 999.380 ;
RECT 94.020 999.370 97.020 999.380 ;
RECT 274.020 999.370 277.020 999.380 ;
RECT 454.020 999.370 457.020 999.380 ;
RECT 634.020 999.370 637.020 999.380 ;
RECT 814.020 999.370 817.020 999.380 ;
RECT 994.020 999.370 997.020 999.380 ;
RECT 1174.020 999.370 1177.020 999.380 ;
RECT 1354.020 999.370 1357.020 999.380 ;
RECT 1534.020 999.370 1537.020 999.380 ;
RECT 1714.020 999.370 1717.020 999.380 ;
RECT 1894.020 999.370 1897.020 999.380 ;
RECT 2074.020 999.370 2077.020 999.380 ;
RECT 2254.020 999.370 2257.020 999.380 ;
RECT 2434.020 999.370 2437.020 999.380 ;
RECT 2614.020 999.370 2617.020 999.380 ;
RECT 2794.020 999.370 2797.020 999.380 ;
RECT 2931.200 999.370 2934.200 999.380 ;
RECT -14.580 822.380 -11.580 822.390 ;
RECT 94.020 822.380 97.020 822.390 ;
RECT 274.020 822.380 277.020 822.390 ;
RECT 454.020 822.380 457.020 822.390 ;
RECT 634.020 822.380 637.020 822.390 ;
RECT 814.020 822.380 817.020 822.390 ;
RECT 994.020 822.380 997.020 822.390 ;
RECT 1174.020 822.380 1177.020 822.390 ;
RECT 1354.020 822.380 1357.020 822.390 ;
RECT 1534.020 822.380 1537.020 822.390 ;
RECT 1714.020 822.380 1717.020 822.390 ;
RECT 1894.020 822.380 1897.020 822.390 ;
RECT 2074.020 822.380 2077.020 822.390 ;
RECT 2254.020 822.380 2257.020 822.390 ;
RECT 2434.020 822.380 2437.020 822.390 ;
RECT 2614.020 822.380 2617.020 822.390 ;
RECT 2794.020 822.380 2797.020 822.390 ;
RECT 2931.200 822.380 2934.200 822.390 ;
RECT -14.580 819.380 2934.200 822.380 ;
RECT -14.580 819.370 -11.580 819.380 ;
RECT 94.020 819.370 97.020 819.380 ;
RECT 274.020 819.370 277.020 819.380 ;
RECT 454.020 819.370 457.020 819.380 ;
RECT 634.020 819.370 637.020 819.380 ;
RECT 814.020 819.370 817.020 819.380 ;
RECT 994.020 819.370 997.020 819.380 ;
RECT 1174.020 819.370 1177.020 819.380 ;
RECT 1354.020 819.370 1357.020 819.380 ;
RECT 1534.020 819.370 1537.020 819.380 ;
RECT 1714.020 819.370 1717.020 819.380 ;
RECT 1894.020 819.370 1897.020 819.380 ;
RECT 2074.020 819.370 2077.020 819.380 ;
RECT 2254.020 819.370 2257.020 819.380 ;
RECT 2434.020 819.370 2437.020 819.380 ;
RECT 2614.020 819.370 2617.020 819.380 ;
RECT 2794.020 819.370 2797.020 819.380 ;
RECT 2931.200 819.370 2934.200 819.380 ;
RECT -14.580 642.380 -11.580 642.390 ;
RECT 94.020 642.380 97.020 642.390 ;
RECT 274.020 642.380 277.020 642.390 ;
RECT 454.020 642.380 457.020 642.390 ;
RECT 634.020 642.380 637.020 642.390 ;
RECT 814.020 642.380 817.020 642.390 ;
RECT 994.020 642.380 997.020 642.390 ;
RECT 1174.020 642.380 1177.020 642.390 ;
RECT 1354.020 642.380 1357.020 642.390 ;
RECT 1534.020 642.380 1537.020 642.390 ;
RECT 1714.020 642.380 1717.020 642.390 ;
RECT 1894.020 642.380 1897.020 642.390 ;
RECT 2074.020 642.380 2077.020 642.390 ;
RECT 2254.020 642.380 2257.020 642.390 ;
RECT 2434.020 642.380 2437.020 642.390 ;
RECT 2614.020 642.380 2617.020 642.390 ;
RECT 2794.020 642.380 2797.020 642.390 ;
RECT 2931.200 642.380 2934.200 642.390 ;
RECT -14.580 639.380 2934.200 642.380 ;
RECT -14.580 639.370 -11.580 639.380 ;
RECT 94.020 639.370 97.020 639.380 ;
RECT 274.020 639.370 277.020 639.380 ;
RECT 454.020 639.370 457.020 639.380 ;
RECT 634.020 639.370 637.020 639.380 ;
RECT 814.020 639.370 817.020 639.380 ;
RECT 994.020 639.370 997.020 639.380 ;
RECT 1174.020 639.370 1177.020 639.380 ;
RECT 1354.020 639.370 1357.020 639.380 ;
RECT 1534.020 639.370 1537.020 639.380 ;
RECT 1714.020 639.370 1717.020 639.380 ;
RECT 1894.020 639.370 1897.020 639.380 ;
RECT 2074.020 639.370 2077.020 639.380 ;
RECT 2254.020 639.370 2257.020 639.380 ;
RECT 2434.020 639.370 2437.020 639.380 ;
RECT 2614.020 639.370 2617.020 639.380 ;
RECT 2794.020 639.370 2797.020 639.380 ;
RECT 2931.200 639.370 2934.200 639.380 ;
RECT -14.580 462.380 -11.580 462.390 ;
RECT 94.020 462.380 97.020 462.390 ;
RECT 274.020 462.380 277.020 462.390 ;
RECT 454.020 462.380 457.020 462.390 ;
RECT 634.020 462.380 637.020 462.390 ;
RECT 814.020 462.380 817.020 462.390 ;
RECT 994.020 462.380 997.020 462.390 ;
RECT 1174.020 462.380 1177.020 462.390 ;
RECT 1354.020 462.380 1357.020 462.390 ;
RECT 1534.020 462.380 1537.020 462.390 ;
RECT 1714.020 462.380 1717.020 462.390 ;
RECT 1894.020 462.380 1897.020 462.390 ;
RECT 2074.020 462.380 2077.020 462.390 ;
RECT 2254.020 462.380 2257.020 462.390 ;
RECT 2434.020 462.380 2437.020 462.390 ;
RECT 2614.020 462.380 2617.020 462.390 ;
RECT 2794.020 462.380 2797.020 462.390 ;
RECT 2931.200 462.380 2934.200 462.390 ;
RECT -14.580 459.380 2934.200 462.380 ;
RECT -14.580 459.370 -11.580 459.380 ;
RECT 94.020 459.370 97.020 459.380 ;
RECT 274.020 459.370 277.020 459.380 ;
RECT 454.020 459.370 457.020 459.380 ;
RECT 634.020 459.370 637.020 459.380 ;
RECT 814.020 459.370 817.020 459.380 ;
RECT 994.020 459.370 997.020 459.380 ;
RECT 1174.020 459.370 1177.020 459.380 ;
RECT 1354.020 459.370 1357.020 459.380 ;
RECT 1534.020 459.370 1537.020 459.380 ;
RECT 1714.020 459.370 1717.020 459.380 ;
RECT 1894.020 459.370 1897.020 459.380 ;
RECT 2074.020 459.370 2077.020 459.380 ;
RECT 2254.020 459.370 2257.020 459.380 ;
RECT 2434.020 459.370 2437.020 459.380 ;
RECT 2614.020 459.370 2617.020 459.380 ;
RECT 2794.020 459.370 2797.020 459.380 ;
RECT 2931.200 459.370 2934.200 459.380 ;
RECT -14.580 282.380 -11.580 282.390 ;
RECT 94.020 282.380 97.020 282.390 ;
RECT 274.020 282.380 277.020 282.390 ;
RECT 454.020 282.380 457.020 282.390 ;
RECT 634.020 282.380 637.020 282.390 ;
RECT 814.020 282.380 817.020 282.390 ;
RECT 994.020 282.380 997.020 282.390 ;
RECT 1174.020 282.380 1177.020 282.390 ;
RECT 1354.020 282.380 1357.020 282.390 ;
RECT 1534.020 282.380 1537.020 282.390 ;
RECT 1714.020 282.380 1717.020 282.390 ;
RECT 1894.020 282.380 1897.020 282.390 ;
RECT 2074.020 282.380 2077.020 282.390 ;
RECT 2254.020 282.380 2257.020 282.390 ;
RECT 2434.020 282.380 2437.020 282.390 ;
RECT 2614.020 282.380 2617.020 282.390 ;
RECT 2794.020 282.380 2797.020 282.390 ;
RECT 2931.200 282.380 2934.200 282.390 ;
RECT -14.580 279.380 2934.200 282.380 ;
RECT -14.580 279.370 -11.580 279.380 ;
RECT 94.020 279.370 97.020 279.380 ;
RECT 274.020 279.370 277.020 279.380 ;
RECT 454.020 279.370 457.020 279.380 ;
RECT 634.020 279.370 637.020 279.380 ;
RECT 814.020 279.370 817.020 279.380 ;
RECT 994.020 279.370 997.020 279.380 ;
RECT 1174.020 279.370 1177.020 279.380 ;
RECT 1354.020 279.370 1357.020 279.380 ;
RECT 1534.020 279.370 1537.020 279.380 ;
RECT 1714.020 279.370 1717.020 279.380 ;
RECT 1894.020 279.370 1897.020 279.380 ;
RECT 2074.020 279.370 2077.020 279.380 ;
RECT 2254.020 279.370 2257.020 279.380 ;
RECT 2434.020 279.370 2437.020 279.380 ;
RECT 2614.020 279.370 2617.020 279.380 ;
RECT 2794.020 279.370 2797.020 279.380 ;
RECT 2931.200 279.370 2934.200 279.380 ;
RECT -14.580 102.380 -11.580 102.390 ;
RECT 94.020 102.380 97.020 102.390 ;
RECT 274.020 102.380 277.020 102.390 ;
RECT 454.020 102.380 457.020 102.390 ;
RECT 634.020 102.380 637.020 102.390 ;
RECT 814.020 102.380 817.020 102.390 ;
RECT 994.020 102.380 997.020 102.390 ;
RECT 1174.020 102.380 1177.020 102.390 ;
RECT 1354.020 102.380 1357.020 102.390 ;
RECT 1534.020 102.380 1537.020 102.390 ;
RECT 1714.020 102.380 1717.020 102.390 ;
RECT 1894.020 102.380 1897.020 102.390 ;
RECT 2074.020 102.380 2077.020 102.390 ;
RECT 2254.020 102.380 2257.020 102.390 ;
RECT 2434.020 102.380 2437.020 102.390 ;
RECT 2614.020 102.380 2617.020 102.390 ;
RECT 2794.020 102.380 2797.020 102.390 ;
RECT 2931.200 102.380 2934.200 102.390 ;
RECT -14.580 99.380 2934.200 102.380 ;
RECT -14.580 99.370 -11.580 99.380 ;
RECT 94.020 99.370 97.020 99.380 ;
RECT 274.020 99.370 277.020 99.380 ;
RECT 454.020 99.370 457.020 99.380 ;
RECT 634.020 99.370 637.020 99.380 ;
RECT 814.020 99.370 817.020 99.380 ;
RECT 994.020 99.370 997.020 99.380 ;
RECT 1174.020 99.370 1177.020 99.380 ;
RECT 1354.020 99.370 1357.020 99.380 ;
RECT 1534.020 99.370 1537.020 99.380 ;
RECT 1714.020 99.370 1717.020 99.380 ;
RECT 1894.020 99.370 1897.020 99.380 ;
RECT 2074.020 99.370 2077.020 99.380 ;
RECT 2254.020 99.370 2257.020 99.380 ;
RECT 2434.020 99.370 2437.020 99.380 ;
RECT 2614.020 99.370 2617.020 99.380 ;
RECT 2794.020 99.370 2797.020 99.380 ;
RECT 2931.200 99.370 2934.200 99.380 ;
RECT -14.580 -6.220 -11.580 -6.210 ;
RECT 94.020 -6.220 97.020 -6.210 ;
RECT 274.020 -6.220 277.020 -6.210 ;
RECT 454.020 -6.220 457.020 -6.210 ;
RECT 634.020 -6.220 637.020 -6.210 ;
RECT 814.020 -6.220 817.020 -6.210 ;
RECT 994.020 -6.220 997.020 -6.210 ;
RECT 1174.020 -6.220 1177.020 -6.210 ;
RECT 1354.020 -6.220 1357.020 -6.210 ;
RECT 1534.020 -6.220 1537.020 -6.210 ;
RECT 1714.020 -6.220 1717.020 -6.210 ;
RECT 1894.020 -6.220 1897.020 -6.210 ;
RECT 2074.020 -6.220 2077.020 -6.210 ;
RECT 2254.020 -6.220 2257.020 -6.210 ;
RECT 2434.020 -6.220 2437.020 -6.210 ;
RECT 2614.020 -6.220 2617.020 -6.210 ;
RECT 2794.020 -6.220 2797.020 -6.210 ;
RECT 2931.200 -6.220 2934.200 -6.210 ;
RECT -14.580 -9.220 2934.200 -6.220 ;
RECT -14.580 -9.230 -11.580 -9.220 ;
RECT 94.020 -9.230 97.020 -9.220 ;
RECT 274.020 -9.230 277.020 -9.220 ;
RECT 454.020 -9.230 457.020 -9.220 ;
RECT 634.020 -9.230 637.020 -9.220 ;
RECT 814.020 -9.230 817.020 -9.220 ;
RECT 994.020 -9.230 997.020 -9.220 ;
RECT 1174.020 -9.230 1177.020 -9.220 ;
RECT 1354.020 -9.230 1357.020 -9.220 ;
RECT 1534.020 -9.230 1537.020 -9.220 ;
RECT 1714.020 -9.230 1717.020 -9.220 ;
RECT 1894.020 -9.230 1897.020 -9.220 ;
RECT 2074.020 -9.230 2077.020 -9.220 ;
RECT 2254.020 -9.230 2257.020 -9.220 ;
RECT 2434.020 -9.230 2437.020 -9.220 ;
RECT 2614.020 -9.230 2617.020 -9.220 ;
RECT 2794.020 -9.230 2797.020 -9.220 ;
RECT 2931.200 -9.230 2934.200 -9.220 ;
END
END vssd1
PIN vccd2
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT -19.180 -13.820 -16.180 3533.500 ;
RECT 22.020 -18.420 25.020 3538.100 ;
RECT 202.020 -18.420 205.020 3538.100 ;
RECT 382.020 -18.420 385.020 3538.100 ;
RECT 562.020 -18.420 565.020 3538.100 ;
RECT 742.020 -18.420 745.020 3538.100 ;
RECT 922.020 -18.420 925.020 3538.100 ;
RECT 1102.020 -18.420 1105.020 3538.100 ;
RECT 1282.020 -18.420 1285.020 3538.100 ;
RECT 1462.020 -18.420 1465.020 3538.100 ;
RECT 1642.020 -18.420 1645.020 3538.100 ;
RECT 1822.020 -18.420 1825.020 3538.100 ;
RECT 2002.020 -18.420 2005.020 3538.100 ;
RECT 2182.020 -18.420 2185.020 3538.100 ;
RECT 2362.020 -18.420 2365.020 3538.100 ;
RECT 2542.020 -18.420 2545.020 3538.100 ;
RECT 2722.020 -18.420 2725.020 3538.100 ;
RECT 2902.020 -18.420 2905.020 3538.100 ;
RECT 2935.800 -13.820 2938.800 3533.500 ;
LAYER via4 ;
RECT -18.270 3532.210 -17.090 3533.390 ;
RECT -18.270 3530.610 -17.090 3531.790 ;
RECT -18.270 3449.090 -17.090 3450.270 ;
RECT -18.270 3447.490 -17.090 3448.670 ;
RECT -18.270 3269.090 -17.090 3270.270 ;
RECT -18.270 3267.490 -17.090 3268.670 ;
RECT -18.270 3089.090 -17.090 3090.270 ;
RECT -18.270 3087.490 -17.090 3088.670 ;
RECT -18.270 2909.090 -17.090 2910.270 ;
RECT -18.270 2907.490 -17.090 2908.670 ;
RECT -18.270 2729.090 -17.090 2730.270 ;
RECT -18.270 2727.490 -17.090 2728.670 ;
RECT -18.270 2549.090 -17.090 2550.270 ;
RECT -18.270 2547.490 -17.090 2548.670 ;
RECT -18.270 2369.090 -17.090 2370.270 ;
RECT -18.270 2367.490 -17.090 2368.670 ;
RECT -18.270 2189.090 -17.090 2190.270 ;
RECT -18.270 2187.490 -17.090 2188.670 ;
RECT -18.270 2009.090 -17.090 2010.270 ;
RECT -18.270 2007.490 -17.090 2008.670 ;
RECT -18.270 1829.090 -17.090 1830.270 ;
RECT -18.270 1827.490 -17.090 1828.670 ;
RECT -18.270 1649.090 -17.090 1650.270 ;
RECT -18.270 1647.490 -17.090 1648.670 ;
RECT -18.270 1469.090 -17.090 1470.270 ;
RECT -18.270 1467.490 -17.090 1468.670 ;
RECT -18.270 1289.090 -17.090 1290.270 ;
RECT -18.270 1287.490 -17.090 1288.670 ;
RECT -18.270 1109.090 -17.090 1110.270 ;
RECT -18.270 1107.490 -17.090 1108.670 ;
RECT -18.270 929.090 -17.090 930.270 ;
RECT -18.270 927.490 -17.090 928.670 ;
RECT -18.270 749.090 -17.090 750.270 ;
RECT -18.270 747.490 -17.090 748.670 ;
RECT -18.270 569.090 -17.090 570.270 ;
RECT -18.270 567.490 -17.090 568.670 ;
RECT -18.270 389.090 -17.090 390.270 ;
RECT -18.270 387.490 -17.090 388.670 ;
RECT -18.270 209.090 -17.090 210.270 ;
RECT -18.270 207.490 -17.090 208.670 ;
RECT -18.270 29.090 -17.090 30.270 ;
RECT -18.270 27.490 -17.090 28.670 ;
RECT -18.270 -12.110 -17.090 -10.930 ;
RECT -18.270 -13.710 -17.090 -12.530 ;
RECT 22.930 3532.210 24.110 3533.390 ;
RECT 22.930 3530.610 24.110 3531.790 ;
RECT 22.930 3449.090 24.110 3450.270 ;
RECT 22.930 3447.490 24.110 3448.670 ;
RECT 22.930 3269.090 24.110 3270.270 ;
RECT 22.930 3267.490 24.110 3268.670 ;
RECT 22.930 3089.090 24.110 3090.270 ;
RECT 22.930 3087.490 24.110 3088.670 ;
RECT 22.930 2909.090 24.110 2910.270 ;
RECT 22.930 2907.490 24.110 2908.670 ;
RECT 22.930 2729.090 24.110 2730.270 ;
RECT 22.930 2727.490 24.110 2728.670 ;
RECT 22.930 2549.090 24.110 2550.270 ;
RECT 22.930 2547.490 24.110 2548.670 ;
RECT 22.930 2369.090 24.110 2370.270 ;
RECT 22.930 2367.490 24.110 2368.670 ;
RECT 22.930 2189.090 24.110 2190.270 ;
RECT 22.930 2187.490 24.110 2188.670 ;
RECT 22.930 2009.090 24.110 2010.270 ;
RECT 22.930 2007.490 24.110 2008.670 ;
RECT 22.930 1829.090 24.110 1830.270 ;
RECT 22.930 1827.490 24.110 1828.670 ;
RECT 22.930 1649.090 24.110 1650.270 ;
RECT 22.930 1647.490 24.110 1648.670 ;
RECT 22.930 1469.090 24.110 1470.270 ;
RECT 22.930 1467.490 24.110 1468.670 ;
RECT 22.930 1289.090 24.110 1290.270 ;
RECT 22.930 1287.490 24.110 1288.670 ;
RECT 22.930 1109.090 24.110 1110.270 ;
RECT 22.930 1107.490 24.110 1108.670 ;
RECT 22.930 929.090 24.110 930.270 ;
RECT 22.930 927.490 24.110 928.670 ;
RECT 22.930 749.090 24.110 750.270 ;
RECT 22.930 747.490 24.110 748.670 ;
RECT 22.930 569.090 24.110 570.270 ;
RECT 22.930 567.490 24.110 568.670 ;
RECT 22.930 389.090 24.110 390.270 ;
RECT 22.930 387.490 24.110 388.670 ;
RECT 22.930 209.090 24.110 210.270 ;
RECT 22.930 207.490 24.110 208.670 ;
RECT 22.930 29.090 24.110 30.270 ;
RECT 22.930 27.490 24.110 28.670 ;
RECT 22.930 -12.110 24.110 -10.930 ;
RECT 22.930 -13.710 24.110 -12.530 ;
RECT 202.930 3532.210 204.110 3533.390 ;
RECT 202.930 3530.610 204.110 3531.790 ;
RECT 202.930 3449.090 204.110 3450.270 ;
RECT 202.930 3447.490 204.110 3448.670 ;
RECT 202.930 3269.090 204.110 3270.270 ;
RECT 202.930 3267.490 204.110 3268.670 ;
RECT 202.930 3089.090 204.110 3090.270 ;
RECT 202.930 3087.490 204.110 3088.670 ;
RECT 202.930 2909.090 204.110 2910.270 ;
RECT 202.930 2907.490 204.110 2908.670 ;
RECT 202.930 2729.090 204.110 2730.270 ;
RECT 202.930 2727.490 204.110 2728.670 ;
RECT 202.930 2549.090 204.110 2550.270 ;
RECT 202.930 2547.490 204.110 2548.670 ;
RECT 202.930 2369.090 204.110 2370.270 ;
RECT 202.930 2367.490 204.110 2368.670 ;
RECT 202.930 2189.090 204.110 2190.270 ;
RECT 202.930 2187.490 204.110 2188.670 ;
RECT 202.930 2009.090 204.110 2010.270 ;
RECT 202.930 2007.490 204.110 2008.670 ;
RECT 202.930 1829.090 204.110 1830.270 ;
RECT 202.930 1827.490 204.110 1828.670 ;
RECT 202.930 1649.090 204.110 1650.270 ;
RECT 202.930 1647.490 204.110 1648.670 ;
RECT 202.930 1469.090 204.110 1470.270 ;
RECT 202.930 1467.490 204.110 1468.670 ;
RECT 202.930 1289.090 204.110 1290.270 ;
RECT 202.930 1287.490 204.110 1288.670 ;
RECT 202.930 1109.090 204.110 1110.270 ;
RECT 202.930 1107.490 204.110 1108.670 ;
RECT 202.930 929.090 204.110 930.270 ;
RECT 202.930 927.490 204.110 928.670 ;
RECT 202.930 749.090 204.110 750.270 ;
RECT 202.930 747.490 204.110 748.670 ;
RECT 202.930 569.090 204.110 570.270 ;
RECT 202.930 567.490 204.110 568.670 ;
RECT 202.930 389.090 204.110 390.270 ;
RECT 202.930 387.490 204.110 388.670 ;
RECT 202.930 209.090 204.110 210.270 ;
RECT 202.930 207.490 204.110 208.670 ;
RECT 202.930 29.090 204.110 30.270 ;
RECT 202.930 27.490 204.110 28.670 ;
RECT 202.930 -12.110 204.110 -10.930 ;
RECT 202.930 -13.710 204.110 -12.530 ;
RECT 382.930 3532.210 384.110 3533.390 ;
RECT 382.930 3530.610 384.110 3531.790 ;
RECT 382.930 3449.090 384.110 3450.270 ;
RECT 382.930 3447.490 384.110 3448.670 ;
RECT 382.930 3269.090 384.110 3270.270 ;
RECT 382.930 3267.490 384.110 3268.670 ;
RECT 382.930 3089.090 384.110 3090.270 ;
RECT 382.930 3087.490 384.110 3088.670 ;
RECT 382.930 2909.090 384.110 2910.270 ;
RECT 382.930 2907.490 384.110 2908.670 ;
RECT 382.930 2729.090 384.110 2730.270 ;
RECT 382.930 2727.490 384.110 2728.670 ;
RECT 382.930 2549.090 384.110 2550.270 ;
RECT 382.930 2547.490 384.110 2548.670 ;
RECT 382.930 2369.090 384.110 2370.270 ;
RECT 382.930 2367.490 384.110 2368.670 ;
RECT 382.930 2189.090 384.110 2190.270 ;
RECT 382.930 2187.490 384.110 2188.670 ;
RECT 382.930 2009.090 384.110 2010.270 ;
RECT 382.930 2007.490 384.110 2008.670 ;
RECT 382.930 1829.090 384.110 1830.270 ;
RECT 382.930 1827.490 384.110 1828.670 ;
RECT 382.930 1649.090 384.110 1650.270 ;
RECT 382.930 1647.490 384.110 1648.670 ;
RECT 382.930 1469.090 384.110 1470.270 ;
RECT 382.930 1467.490 384.110 1468.670 ;
RECT 382.930 1289.090 384.110 1290.270 ;
RECT 382.930 1287.490 384.110 1288.670 ;
RECT 382.930 1109.090 384.110 1110.270 ;
RECT 382.930 1107.490 384.110 1108.670 ;
RECT 382.930 929.090 384.110 930.270 ;
RECT 382.930 927.490 384.110 928.670 ;
RECT 382.930 749.090 384.110 750.270 ;
RECT 382.930 747.490 384.110 748.670 ;
RECT 382.930 569.090 384.110 570.270 ;
RECT 382.930 567.490 384.110 568.670 ;
RECT 382.930 389.090 384.110 390.270 ;
RECT 382.930 387.490 384.110 388.670 ;
RECT 382.930 209.090 384.110 210.270 ;
RECT 382.930 207.490 384.110 208.670 ;
RECT 382.930 29.090 384.110 30.270 ;
RECT 382.930 27.490 384.110 28.670 ;
RECT 382.930 -12.110 384.110 -10.930 ;
RECT 382.930 -13.710 384.110 -12.530 ;
RECT 562.930 3532.210 564.110 3533.390 ;
RECT 562.930 3530.610 564.110 3531.790 ;
RECT 562.930 3449.090 564.110 3450.270 ;
RECT 562.930 3447.490 564.110 3448.670 ;
RECT 562.930 3269.090 564.110 3270.270 ;
RECT 562.930 3267.490 564.110 3268.670 ;
RECT 562.930 3089.090 564.110 3090.270 ;
RECT 562.930 3087.490 564.110 3088.670 ;
RECT 562.930 2909.090 564.110 2910.270 ;
RECT 562.930 2907.490 564.110 2908.670 ;
RECT 562.930 2729.090 564.110 2730.270 ;
RECT 562.930 2727.490 564.110 2728.670 ;
RECT 562.930 2549.090 564.110 2550.270 ;
RECT 562.930 2547.490 564.110 2548.670 ;
RECT 562.930 2369.090 564.110 2370.270 ;
RECT 562.930 2367.490 564.110 2368.670 ;
RECT 562.930 2189.090 564.110 2190.270 ;
RECT 562.930 2187.490 564.110 2188.670 ;
RECT 562.930 2009.090 564.110 2010.270 ;
RECT 562.930 2007.490 564.110 2008.670 ;
RECT 562.930 1829.090 564.110 1830.270 ;
RECT 562.930 1827.490 564.110 1828.670 ;
RECT 562.930 1649.090 564.110 1650.270 ;
RECT 562.930 1647.490 564.110 1648.670 ;
RECT 562.930 1469.090 564.110 1470.270 ;
RECT 562.930 1467.490 564.110 1468.670 ;
RECT 562.930 1289.090 564.110 1290.270 ;
RECT 562.930 1287.490 564.110 1288.670 ;
RECT 562.930 1109.090 564.110 1110.270 ;
RECT 562.930 1107.490 564.110 1108.670 ;
RECT 562.930 929.090 564.110 930.270 ;
RECT 562.930 927.490 564.110 928.670 ;
RECT 562.930 749.090 564.110 750.270 ;
RECT 562.930 747.490 564.110 748.670 ;
RECT 562.930 569.090 564.110 570.270 ;
RECT 562.930 567.490 564.110 568.670 ;
RECT 562.930 389.090 564.110 390.270 ;
RECT 562.930 387.490 564.110 388.670 ;
RECT 562.930 209.090 564.110 210.270 ;
RECT 562.930 207.490 564.110 208.670 ;
RECT 562.930 29.090 564.110 30.270 ;
RECT 562.930 27.490 564.110 28.670 ;
RECT 562.930 -12.110 564.110 -10.930 ;
RECT 562.930 -13.710 564.110 -12.530 ;
RECT 742.930 3532.210 744.110 3533.390 ;
RECT 742.930 3530.610 744.110 3531.790 ;
RECT 742.930 3449.090 744.110 3450.270 ;
RECT 742.930 3447.490 744.110 3448.670 ;
RECT 742.930 3269.090 744.110 3270.270 ;
RECT 742.930 3267.490 744.110 3268.670 ;
RECT 742.930 3089.090 744.110 3090.270 ;
RECT 742.930 3087.490 744.110 3088.670 ;
RECT 742.930 2909.090 744.110 2910.270 ;
RECT 742.930 2907.490 744.110 2908.670 ;
RECT 742.930 2729.090 744.110 2730.270 ;
RECT 742.930 2727.490 744.110 2728.670 ;
RECT 742.930 2549.090 744.110 2550.270 ;
RECT 742.930 2547.490 744.110 2548.670 ;
RECT 742.930 2369.090 744.110 2370.270 ;
RECT 742.930 2367.490 744.110 2368.670 ;
RECT 742.930 2189.090 744.110 2190.270 ;
RECT 742.930 2187.490 744.110 2188.670 ;
RECT 742.930 2009.090 744.110 2010.270 ;
RECT 742.930 2007.490 744.110 2008.670 ;
RECT 742.930 1829.090 744.110 1830.270 ;
RECT 742.930 1827.490 744.110 1828.670 ;
RECT 742.930 1649.090 744.110 1650.270 ;
RECT 742.930 1647.490 744.110 1648.670 ;
RECT 742.930 1469.090 744.110 1470.270 ;
RECT 742.930 1467.490 744.110 1468.670 ;
RECT 742.930 1289.090 744.110 1290.270 ;
RECT 742.930 1287.490 744.110 1288.670 ;
RECT 742.930 1109.090 744.110 1110.270 ;
RECT 742.930 1107.490 744.110 1108.670 ;
RECT 742.930 929.090 744.110 930.270 ;
RECT 742.930 927.490 744.110 928.670 ;
RECT 742.930 749.090 744.110 750.270 ;
RECT 742.930 747.490 744.110 748.670 ;
RECT 742.930 569.090 744.110 570.270 ;
RECT 742.930 567.490 744.110 568.670 ;
RECT 742.930 389.090 744.110 390.270 ;
RECT 742.930 387.490 744.110 388.670 ;
RECT 742.930 209.090 744.110 210.270 ;
RECT 742.930 207.490 744.110 208.670 ;
RECT 742.930 29.090 744.110 30.270 ;
RECT 742.930 27.490 744.110 28.670 ;
RECT 742.930 -12.110 744.110 -10.930 ;
RECT 742.930 -13.710 744.110 -12.530 ;
RECT 922.930 3532.210 924.110 3533.390 ;
RECT 922.930 3530.610 924.110 3531.790 ;
RECT 922.930 3449.090 924.110 3450.270 ;
RECT 922.930 3447.490 924.110 3448.670 ;
RECT 922.930 3269.090 924.110 3270.270 ;
RECT 922.930 3267.490 924.110 3268.670 ;
RECT 922.930 3089.090 924.110 3090.270 ;
RECT 922.930 3087.490 924.110 3088.670 ;
RECT 922.930 2909.090 924.110 2910.270 ;
RECT 922.930 2907.490 924.110 2908.670 ;
RECT 922.930 2729.090 924.110 2730.270 ;
RECT 922.930 2727.490 924.110 2728.670 ;
RECT 922.930 2549.090 924.110 2550.270 ;
RECT 922.930 2547.490 924.110 2548.670 ;
RECT 922.930 2369.090 924.110 2370.270 ;
RECT 922.930 2367.490 924.110 2368.670 ;
RECT 922.930 2189.090 924.110 2190.270 ;
RECT 922.930 2187.490 924.110 2188.670 ;
RECT 922.930 2009.090 924.110 2010.270 ;
RECT 922.930 2007.490 924.110 2008.670 ;
RECT 922.930 1829.090 924.110 1830.270 ;
RECT 922.930 1827.490 924.110 1828.670 ;
RECT 922.930 1649.090 924.110 1650.270 ;
RECT 922.930 1647.490 924.110 1648.670 ;
RECT 922.930 1469.090 924.110 1470.270 ;
RECT 922.930 1467.490 924.110 1468.670 ;
RECT 922.930 1289.090 924.110 1290.270 ;
RECT 922.930 1287.490 924.110 1288.670 ;
RECT 922.930 1109.090 924.110 1110.270 ;
RECT 922.930 1107.490 924.110 1108.670 ;
RECT 922.930 929.090 924.110 930.270 ;
RECT 922.930 927.490 924.110 928.670 ;
RECT 922.930 749.090 924.110 750.270 ;
RECT 922.930 747.490 924.110 748.670 ;
RECT 922.930 569.090 924.110 570.270 ;
RECT 922.930 567.490 924.110 568.670 ;
RECT 922.930 389.090 924.110 390.270 ;
RECT 922.930 387.490 924.110 388.670 ;
RECT 922.930 209.090 924.110 210.270 ;
RECT 922.930 207.490 924.110 208.670 ;
RECT 922.930 29.090 924.110 30.270 ;
RECT 922.930 27.490 924.110 28.670 ;
RECT 922.930 -12.110 924.110 -10.930 ;
RECT 922.930 -13.710 924.110 -12.530 ;
RECT 1102.930 3532.210 1104.110 3533.390 ;
RECT 1102.930 3530.610 1104.110 3531.790 ;
RECT 1102.930 3449.090 1104.110 3450.270 ;
RECT 1102.930 3447.490 1104.110 3448.670 ;
RECT 1102.930 3269.090 1104.110 3270.270 ;
RECT 1102.930 3267.490 1104.110 3268.670 ;
RECT 1102.930 3089.090 1104.110 3090.270 ;
RECT 1102.930 3087.490 1104.110 3088.670 ;
RECT 1102.930 2909.090 1104.110 2910.270 ;
RECT 1102.930 2907.490 1104.110 2908.670 ;
RECT 1102.930 2729.090 1104.110 2730.270 ;
RECT 1102.930 2727.490 1104.110 2728.670 ;
RECT 1102.930 2549.090 1104.110 2550.270 ;
RECT 1102.930 2547.490 1104.110 2548.670 ;
RECT 1102.930 2369.090 1104.110 2370.270 ;
RECT 1102.930 2367.490 1104.110 2368.670 ;
RECT 1102.930 2189.090 1104.110 2190.270 ;
RECT 1102.930 2187.490 1104.110 2188.670 ;
RECT 1102.930 2009.090 1104.110 2010.270 ;
RECT 1102.930 2007.490 1104.110 2008.670 ;
RECT 1102.930 1829.090 1104.110 1830.270 ;
RECT 1102.930 1827.490 1104.110 1828.670 ;
RECT 1102.930 1649.090 1104.110 1650.270 ;
RECT 1102.930 1647.490 1104.110 1648.670 ;
RECT 1102.930 1469.090 1104.110 1470.270 ;
RECT 1102.930 1467.490 1104.110 1468.670 ;
RECT 1102.930 1289.090 1104.110 1290.270 ;
RECT 1102.930 1287.490 1104.110 1288.670 ;
RECT 1102.930 1109.090 1104.110 1110.270 ;
RECT 1102.930 1107.490 1104.110 1108.670 ;
RECT 1102.930 929.090 1104.110 930.270 ;
RECT 1102.930 927.490 1104.110 928.670 ;
RECT 1102.930 749.090 1104.110 750.270 ;
RECT 1102.930 747.490 1104.110 748.670 ;
RECT 1102.930 569.090 1104.110 570.270 ;
RECT 1102.930 567.490 1104.110 568.670 ;
RECT 1102.930 389.090 1104.110 390.270 ;
RECT 1102.930 387.490 1104.110 388.670 ;
RECT 1102.930 209.090 1104.110 210.270 ;
RECT 1102.930 207.490 1104.110 208.670 ;
RECT 1102.930 29.090 1104.110 30.270 ;
RECT 1102.930 27.490 1104.110 28.670 ;
RECT 1102.930 -12.110 1104.110 -10.930 ;
RECT 1102.930 -13.710 1104.110 -12.530 ;
RECT 1282.930 3532.210 1284.110 3533.390 ;
RECT 1282.930 3530.610 1284.110 3531.790 ;
RECT 1282.930 3449.090 1284.110 3450.270 ;
RECT 1282.930 3447.490 1284.110 3448.670 ;
RECT 1282.930 3269.090 1284.110 3270.270 ;
RECT 1282.930 3267.490 1284.110 3268.670 ;
RECT 1282.930 3089.090 1284.110 3090.270 ;
RECT 1282.930 3087.490 1284.110 3088.670 ;
RECT 1282.930 2909.090 1284.110 2910.270 ;
RECT 1282.930 2907.490 1284.110 2908.670 ;
RECT 1282.930 2729.090 1284.110 2730.270 ;
RECT 1282.930 2727.490 1284.110 2728.670 ;
RECT 1282.930 2549.090 1284.110 2550.270 ;
RECT 1282.930 2547.490 1284.110 2548.670 ;
RECT 1282.930 2369.090 1284.110 2370.270 ;
RECT 1282.930 2367.490 1284.110 2368.670 ;
RECT 1282.930 2189.090 1284.110 2190.270 ;
RECT 1282.930 2187.490 1284.110 2188.670 ;
RECT 1282.930 2009.090 1284.110 2010.270 ;
RECT 1282.930 2007.490 1284.110 2008.670 ;
RECT 1282.930 1829.090 1284.110 1830.270 ;
RECT 1282.930 1827.490 1284.110 1828.670 ;
RECT 1282.930 1649.090 1284.110 1650.270 ;
RECT 1282.930 1647.490 1284.110 1648.670 ;
RECT 1282.930 1469.090 1284.110 1470.270 ;
RECT 1282.930 1467.490 1284.110 1468.670 ;
RECT 1282.930 1289.090 1284.110 1290.270 ;
RECT 1282.930 1287.490 1284.110 1288.670 ;
RECT 1282.930 1109.090 1284.110 1110.270 ;
RECT 1282.930 1107.490 1284.110 1108.670 ;
RECT 1282.930 929.090 1284.110 930.270 ;
RECT 1282.930 927.490 1284.110 928.670 ;
RECT 1282.930 749.090 1284.110 750.270 ;
RECT 1282.930 747.490 1284.110 748.670 ;
RECT 1282.930 569.090 1284.110 570.270 ;
RECT 1282.930 567.490 1284.110 568.670 ;
RECT 1282.930 389.090 1284.110 390.270 ;
RECT 1282.930 387.490 1284.110 388.670 ;
RECT 1282.930 209.090 1284.110 210.270 ;
RECT 1282.930 207.490 1284.110 208.670 ;
RECT 1282.930 29.090 1284.110 30.270 ;
RECT 1282.930 27.490 1284.110 28.670 ;
RECT 1282.930 -12.110 1284.110 -10.930 ;
RECT 1282.930 -13.710 1284.110 -12.530 ;
RECT 1462.930 3532.210 1464.110 3533.390 ;
RECT 1462.930 3530.610 1464.110 3531.790 ;
RECT 1462.930 3449.090 1464.110 3450.270 ;
RECT 1462.930 3447.490 1464.110 3448.670 ;
RECT 1462.930 3269.090 1464.110 3270.270 ;
RECT 1462.930 3267.490 1464.110 3268.670 ;
RECT 1462.930 3089.090 1464.110 3090.270 ;
RECT 1462.930 3087.490 1464.110 3088.670 ;
RECT 1462.930 2909.090 1464.110 2910.270 ;
RECT 1462.930 2907.490 1464.110 2908.670 ;
RECT 1462.930 2729.090 1464.110 2730.270 ;
RECT 1462.930 2727.490 1464.110 2728.670 ;
RECT 1462.930 2549.090 1464.110 2550.270 ;
RECT 1462.930 2547.490 1464.110 2548.670 ;
RECT 1462.930 2369.090 1464.110 2370.270 ;
RECT 1462.930 2367.490 1464.110 2368.670 ;
RECT 1462.930 2189.090 1464.110 2190.270 ;
RECT 1462.930 2187.490 1464.110 2188.670 ;
RECT 1462.930 2009.090 1464.110 2010.270 ;
RECT 1462.930 2007.490 1464.110 2008.670 ;
RECT 1462.930 1829.090 1464.110 1830.270 ;
RECT 1462.930 1827.490 1464.110 1828.670 ;
RECT 1462.930 1649.090 1464.110 1650.270 ;
RECT 1462.930 1647.490 1464.110 1648.670 ;
RECT 1462.930 1469.090 1464.110 1470.270 ;
RECT 1462.930 1467.490 1464.110 1468.670 ;
RECT 1462.930 1289.090 1464.110 1290.270 ;
RECT 1462.930 1287.490 1464.110 1288.670 ;
RECT 1462.930 1109.090 1464.110 1110.270 ;
RECT 1462.930 1107.490 1464.110 1108.670 ;
RECT 1462.930 929.090 1464.110 930.270 ;
RECT 1462.930 927.490 1464.110 928.670 ;
RECT 1462.930 749.090 1464.110 750.270 ;
RECT 1462.930 747.490 1464.110 748.670 ;
RECT 1462.930 569.090 1464.110 570.270 ;
RECT 1462.930 567.490 1464.110 568.670 ;
RECT 1462.930 389.090 1464.110 390.270 ;
RECT 1462.930 387.490 1464.110 388.670 ;
RECT 1462.930 209.090 1464.110 210.270 ;
RECT 1462.930 207.490 1464.110 208.670 ;
RECT 1462.930 29.090 1464.110 30.270 ;
RECT 1462.930 27.490 1464.110 28.670 ;
RECT 1462.930 -12.110 1464.110 -10.930 ;
RECT 1462.930 -13.710 1464.110 -12.530 ;
RECT 1642.930 3532.210 1644.110 3533.390 ;
RECT 1642.930 3530.610 1644.110 3531.790 ;
RECT 1642.930 3449.090 1644.110 3450.270 ;
RECT 1642.930 3447.490 1644.110 3448.670 ;
RECT 1642.930 3269.090 1644.110 3270.270 ;
RECT 1642.930 3267.490 1644.110 3268.670 ;
RECT 1642.930 3089.090 1644.110 3090.270 ;
RECT 1642.930 3087.490 1644.110 3088.670 ;
RECT 1642.930 2909.090 1644.110 2910.270 ;
RECT 1642.930 2907.490 1644.110 2908.670 ;
RECT 1642.930 2729.090 1644.110 2730.270 ;
RECT 1642.930 2727.490 1644.110 2728.670 ;
RECT 1642.930 2549.090 1644.110 2550.270 ;
RECT 1642.930 2547.490 1644.110 2548.670 ;
RECT 1642.930 2369.090 1644.110 2370.270 ;
RECT 1642.930 2367.490 1644.110 2368.670 ;
RECT 1642.930 2189.090 1644.110 2190.270 ;
RECT 1642.930 2187.490 1644.110 2188.670 ;
RECT 1642.930 2009.090 1644.110 2010.270 ;
RECT 1642.930 2007.490 1644.110 2008.670 ;
RECT 1642.930 1829.090 1644.110 1830.270 ;
RECT 1642.930 1827.490 1644.110 1828.670 ;
RECT 1642.930 1649.090 1644.110 1650.270 ;
RECT 1642.930 1647.490 1644.110 1648.670 ;
RECT 1642.930 1469.090 1644.110 1470.270 ;
RECT 1642.930 1467.490 1644.110 1468.670 ;
RECT 1642.930 1289.090 1644.110 1290.270 ;
RECT 1642.930 1287.490 1644.110 1288.670 ;
RECT 1642.930 1109.090 1644.110 1110.270 ;
RECT 1642.930 1107.490 1644.110 1108.670 ;
RECT 1642.930 929.090 1644.110 930.270 ;
RECT 1642.930 927.490 1644.110 928.670 ;
RECT 1642.930 749.090 1644.110 750.270 ;
RECT 1642.930 747.490 1644.110 748.670 ;
RECT 1642.930 569.090 1644.110 570.270 ;
RECT 1642.930 567.490 1644.110 568.670 ;
RECT 1642.930 389.090 1644.110 390.270 ;
RECT 1642.930 387.490 1644.110 388.670 ;
RECT 1642.930 209.090 1644.110 210.270 ;
RECT 1642.930 207.490 1644.110 208.670 ;
RECT 1642.930 29.090 1644.110 30.270 ;
RECT 1642.930 27.490 1644.110 28.670 ;
RECT 1642.930 -12.110 1644.110 -10.930 ;
RECT 1642.930 -13.710 1644.110 -12.530 ;
RECT 1822.930 3532.210 1824.110 3533.390 ;
RECT 1822.930 3530.610 1824.110 3531.790 ;
RECT 1822.930 3449.090 1824.110 3450.270 ;
RECT 1822.930 3447.490 1824.110 3448.670 ;
RECT 1822.930 3269.090 1824.110 3270.270 ;
RECT 1822.930 3267.490 1824.110 3268.670 ;
RECT 1822.930 3089.090 1824.110 3090.270 ;
RECT 1822.930 3087.490 1824.110 3088.670 ;
RECT 1822.930 2909.090 1824.110 2910.270 ;
RECT 1822.930 2907.490 1824.110 2908.670 ;
RECT 1822.930 2729.090 1824.110 2730.270 ;
RECT 1822.930 2727.490 1824.110 2728.670 ;
RECT 1822.930 2549.090 1824.110 2550.270 ;
RECT 1822.930 2547.490 1824.110 2548.670 ;
RECT 1822.930 2369.090 1824.110 2370.270 ;
RECT 1822.930 2367.490 1824.110 2368.670 ;
RECT 1822.930 2189.090 1824.110 2190.270 ;
RECT 1822.930 2187.490 1824.110 2188.670 ;
RECT 1822.930 2009.090 1824.110 2010.270 ;
RECT 1822.930 2007.490 1824.110 2008.670 ;
RECT 1822.930 1829.090 1824.110 1830.270 ;
RECT 1822.930 1827.490 1824.110 1828.670 ;
RECT 1822.930 1649.090 1824.110 1650.270 ;
RECT 1822.930 1647.490 1824.110 1648.670 ;
RECT 1822.930 1469.090 1824.110 1470.270 ;
RECT 1822.930 1467.490 1824.110 1468.670 ;
RECT 1822.930 1289.090 1824.110 1290.270 ;
RECT 1822.930 1287.490 1824.110 1288.670 ;
RECT 1822.930 1109.090 1824.110 1110.270 ;
RECT 1822.930 1107.490 1824.110 1108.670 ;
RECT 1822.930 929.090 1824.110 930.270 ;
RECT 1822.930 927.490 1824.110 928.670 ;
RECT 1822.930 749.090 1824.110 750.270 ;
RECT 1822.930 747.490 1824.110 748.670 ;
RECT 1822.930 569.090 1824.110 570.270 ;
RECT 1822.930 567.490 1824.110 568.670 ;
RECT 1822.930 389.090 1824.110 390.270 ;
RECT 1822.930 387.490 1824.110 388.670 ;
RECT 1822.930 209.090 1824.110 210.270 ;
RECT 1822.930 207.490 1824.110 208.670 ;
RECT 1822.930 29.090 1824.110 30.270 ;
RECT 1822.930 27.490 1824.110 28.670 ;
RECT 1822.930 -12.110 1824.110 -10.930 ;
RECT 1822.930 -13.710 1824.110 -12.530 ;
RECT 2002.930 3532.210 2004.110 3533.390 ;
RECT 2002.930 3530.610 2004.110 3531.790 ;
RECT 2002.930 3449.090 2004.110 3450.270 ;
RECT 2002.930 3447.490 2004.110 3448.670 ;
RECT 2002.930 3269.090 2004.110 3270.270 ;
RECT 2002.930 3267.490 2004.110 3268.670 ;
RECT 2002.930 3089.090 2004.110 3090.270 ;
RECT 2002.930 3087.490 2004.110 3088.670 ;
RECT 2002.930 2909.090 2004.110 2910.270 ;
RECT 2002.930 2907.490 2004.110 2908.670 ;
RECT 2002.930 2729.090 2004.110 2730.270 ;
RECT 2002.930 2727.490 2004.110 2728.670 ;
RECT 2002.930 2549.090 2004.110 2550.270 ;
RECT 2002.930 2547.490 2004.110 2548.670 ;
RECT 2002.930 2369.090 2004.110 2370.270 ;
RECT 2002.930 2367.490 2004.110 2368.670 ;
RECT 2002.930 2189.090 2004.110 2190.270 ;
RECT 2002.930 2187.490 2004.110 2188.670 ;
RECT 2002.930 2009.090 2004.110 2010.270 ;
RECT 2002.930 2007.490 2004.110 2008.670 ;
RECT 2002.930 1829.090 2004.110 1830.270 ;
RECT 2002.930 1827.490 2004.110 1828.670 ;
RECT 2002.930 1649.090 2004.110 1650.270 ;
RECT 2002.930 1647.490 2004.110 1648.670 ;
RECT 2002.930 1469.090 2004.110 1470.270 ;
RECT 2002.930 1467.490 2004.110 1468.670 ;
RECT 2002.930 1289.090 2004.110 1290.270 ;
RECT 2002.930 1287.490 2004.110 1288.670 ;
RECT 2002.930 1109.090 2004.110 1110.270 ;
RECT 2002.930 1107.490 2004.110 1108.670 ;
RECT 2002.930 929.090 2004.110 930.270 ;
RECT 2002.930 927.490 2004.110 928.670 ;
RECT 2002.930 749.090 2004.110 750.270 ;
RECT 2002.930 747.490 2004.110 748.670 ;
RECT 2002.930 569.090 2004.110 570.270 ;
RECT 2002.930 567.490 2004.110 568.670 ;
RECT 2002.930 389.090 2004.110 390.270 ;
RECT 2002.930 387.490 2004.110 388.670 ;
RECT 2002.930 209.090 2004.110 210.270 ;
RECT 2002.930 207.490 2004.110 208.670 ;
RECT 2002.930 29.090 2004.110 30.270 ;
RECT 2002.930 27.490 2004.110 28.670 ;
RECT 2002.930 -12.110 2004.110 -10.930 ;
RECT 2002.930 -13.710 2004.110 -12.530 ;
RECT 2182.930 3532.210 2184.110 3533.390 ;
RECT 2182.930 3530.610 2184.110 3531.790 ;
RECT 2182.930 3449.090 2184.110 3450.270 ;
RECT 2182.930 3447.490 2184.110 3448.670 ;
RECT 2182.930 3269.090 2184.110 3270.270 ;
RECT 2182.930 3267.490 2184.110 3268.670 ;
RECT 2182.930 3089.090 2184.110 3090.270 ;
RECT 2182.930 3087.490 2184.110 3088.670 ;
RECT 2182.930 2909.090 2184.110 2910.270 ;
RECT 2182.930 2907.490 2184.110 2908.670 ;
RECT 2182.930 2729.090 2184.110 2730.270 ;
RECT 2182.930 2727.490 2184.110 2728.670 ;
RECT 2182.930 2549.090 2184.110 2550.270 ;
RECT 2182.930 2547.490 2184.110 2548.670 ;
RECT 2182.930 2369.090 2184.110 2370.270 ;
RECT 2182.930 2367.490 2184.110 2368.670 ;
RECT 2182.930 2189.090 2184.110 2190.270 ;
RECT 2182.930 2187.490 2184.110 2188.670 ;
RECT 2182.930 2009.090 2184.110 2010.270 ;
RECT 2182.930 2007.490 2184.110 2008.670 ;
RECT 2182.930 1829.090 2184.110 1830.270 ;
RECT 2182.930 1827.490 2184.110 1828.670 ;
RECT 2182.930 1649.090 2184.110 1650.270 ;
RECT 2182.930 1647.490 2184.110 1648.670 ;
RECT 2182.930 1469.090 2184.110 1470.270 ;
RECT 2182.930 1467.490 2184.110 1468.670 ;
RECT 2182.930 1289.090 2184.110 1290.270 ;
RECT 2182.930 1287.490 2184.110 1288.670 ;
RECT 2182.930 1109.090 2184.110 1110.270 ;
RECT 2182.930 1107.490 2184.110 1108.670 ;
RECT 2182.930 929.090 2184.110 930.270 ;
RECT 2182.930 927.490 2184.110 928.670 ;
RECT 2182.930 749.090 2184.110 750.270 ;
RECT 2182.930 747.490 2184.110 748.670 ;
RECT 2182.930 569.090 2184.110 570.270 ;
RECT 2182.930 567.490 2184.110 568.670 ;
RECT 2182.930 389.090 2184.110 390.270 ;
RECT 2182.930 387.490 2184.110 388.670 ;
RECT 2182.930 209.090 2184.110 210.270 ;
RECT 2182.930 207.490 2184.110 208.670 ;
RECT 2182.930 29.090 2184.110 30.270 ;
RECT 2182.930 27.490 2184.110 28.670 ;
RECT 2182.930 -12.110 2184.110 -10.930 ;
RECT 2182.930 -13.710 2184.110 -12.530 ;
RECT 2362.930 3532.210 2364.110 3533.390 ;
RECT 2362.930 3530.610 2364.110 3531.790 ;
RECT 2362.930 3449.090 2364.110 3450.270 ;
RECT 2362.930 3447.490 2364.110 3448.670 ;
RECT 2362.930 3269.090 2364.110 3270.270 ;
RECT 2362.930 3267.490 2364.110 3268.670 ;
RECT 2362.930 3089.090 2364.110 3090.270 ;
RECT 2362.930 3087.490 2364.110 3088.670 ;
RECT 2362.930 2909.090 2364.110 2910.270 ;
RECT 2362.930 2907.490 2364.110 2908.670 ;
RECT 2362.930 2729.090 2364.110 2730.270 ;
RECT 2362.930 2727.490 2364.110 2728.670 ;
RECT 2362.930 2549.090 2364.110 2550.270 ;
RECT 2362.930 2547.490 2364.110 2548.670 ;
RECT 2362.930 2369.090 2364.110 2370.270 ;
RECT 2362.930 2367.490 2364.110 2368.670 ;
RECT 2362.930 2189.090 2364.110 2190.270 ;
RECT 2362.930 2187.490 2364.110 2188.670 ;
RECT 2362.930 2009.090 2364.110 2010.270 ;
RECT 2362.930 2007.490 2364.110 2008.670 ;
RECT 2362.930 1829.090 2364.110 1830.270 ;
RECT 2362.930 1827.490 2364.110 1828.670 ;
RECT 2362.930 1649.090 2364.110 1650.270 ;
RECT 2362.930 1647.490 2364.110 1648.670 ;
RECT 2362.930 1469.090 2364.110 1470.270 ;
RECT 2362.930 1467.490 2364.110 1468.670 ;
RECT 2362.930 1289.090 2364.110 1290.270 ;
RECT 2362.930 1287.490 2364.110 1288.670 ;
RECT 2362.930 1109.090 2364.110 1110.270 ;
RECT 2362.930 1107.490 2364.110 1108.670 ;
RECT 2362.930 929.090 2364.110 930.270 ;
RECT 2362.930 927.490 2364.110 928.670 ;
RECT 2362.930 749.090 2364.110 750.270 ;
RECT 2362.930 747.490 2364.110 748.670 ;
RECT 2362.930 569.090 2364.110 570.270 ;
RECT 2362.930 567.490 2364.110 568.670 ;
RECT 2362.930 389.090 2364.110 390.270 ;
RECT 2362.930 387.490 2364.110 388.670 ;
RECT 2362.930 209.090 2364.110 210.270 ;
RECT 2362.930 207.490 2364.110 208.670 ;
RECT 2362.930 29.090 2364.110 30.270 ;
RECT 2362.930 27.490 2364.110 28.670 ;
RECT 2362.930 -12.110 2364.110 -10.930 ;
RECT 2362.930 -13.710 2364.110 -12.530 ;
RECT 2542.930 3532.210 2544.110 3533.390 ;
RECT 2542.930 3530.610 2544.110 3531.790 ;
RECT 2542.930 3449.090 2544.110 3450.270 ;
RECT 2542.930 3447.490 2544.110 3448.670 ;
RECT 2542.930 3269.090 2544.110 3270.270 ;
RECT 2542.930 3267.490 2544.110 3268.670 ;
RECT 2542.930 3089.090 2544.110 3090.270 ;
RECT 2542.930 3087.490 2544.110 3088.670 ;
RECT 2542.930 2909.090 2544.110 2910.270 ;
RECT 2542.930 2907.490 2544.110 2908.670 ;
RECT 2542.930 2729.090 2544.110 2730.270 ;
RECT 2542.930 2727.490 2544.110 2728.670 ;
RECT 2542.930 2549.090 2544.110 2550.270 ;
RECT 2542.930 2547.490 2544.110 2548.670 ;
RECT 2542.930 2369.090 2544.110 2370.270 ;
RECT 2542.930 2367.490 2544.110 2368.670 ;
RECT 2542.930 2189.090 2544.110 2190.270 ;
RECT 2542.930 2187.490 2544.110 2188.670 ;
RECT 2542.930 2009.090 2544.110 2010.270 ;
RECT 2542.930 2007.490 2544.110 2008.670 ;
RECT 2542.930 1829.090 2544.110 1830.270 ;
RECT 2542.930 1827.490 2544.110 1828.670 ;
RECT 2542.930 1649.090 2544.110 1650.270 ;
RECT 2542.930 1647.490 2544.110 1648.670 ;
RECT 2542.930 1469.090 2544.110 1470.270 ;
RECT 2542.930 1467.490 2544.110 1468.670 ;
RECT 2542.930 1289.090 2544.110 1290.270 ;
RECT 2542.930 1287.490 2544.110 1288.670 ;
RECT 2542.930 1109.090 2544.110 1110.270 ;
RECT 2542.930 1107.490 2544.110 1108.670 ;
RECT 2542.930 929.090 2544.110 930.270 ;
RECT 2542.930 927.490 2544.110 928.670 ;
RECT 2542.930 749.090 2544.110 750.270 ;
RECT 2542.930 747.490 2544.110 748.670 ;
RECT 2542.930 569.090 2544.110 570.270 ;
RECT 2542.930 567.490 2544.110 568.670 ;
RECT 2542.930 389.090 2544.110 390.270 ;
RECT 2542.930 387.490 2544.110 388.670 ;
RECT 2542.930 209.090 2544.110 210.270 ;
RECT 2542.930 207.490 2544.110 208.670 ;
RECT 2542.930 29.090 2544.110 30.270 ;
RECT 2542.930 27.490 2544.110 28.670 ;
RECT 2542.930 -12.110 2544.110 -10.930 ;
RECT 2542.930 -13.710 2544.110 -12.530 ;
RECT 2722.930 3532.210 2724.110 3533.390 ;
RECT 2722.930 3530.610 2724.110 3531.790 ;
RECT 2722.930 3449.090 2724.110 3450.270 ;
RECT 2722.930 3447.490 2724.110 3448.670 ;
RECT 2722.930 3269.090 2724.110 3270.270 ;
RECT 2722.930 3267.490 2724.110 3268.670 ;
RECT 2722.930 3089.090 2724.110 3090.270 ;
RECT 2722.930 3087.490 2724.110 3088.670 ;
RECT 2722.930 2909.090 2724.110 2910.270 ;
RECT 2722.930 2907.490 2724.110 2908.670 ;
RECT 2722.930 2729.090 2724.110 2730.270 ;
RECT 2722.930 2727.490 2724.110 2728.670 ;
RECT 2722.930 2549.090 2724.110 2550.270 ;
RECT 2722.930 2547.490 2724.110 2548.670 ;
RECT 2722.930 2369.090 2724.110 2370.270 ;
RECT 2722.930 2367.490 2724.110 2368.670 ;
RECT 2722.930 2189.090 2724.110 2190.270 ;
RECT 2722.930 2187.490 2724.110 2188.670 ;
RECT 2722.930 2009.090 2724.110 2010.270 ;
RECT 2722.930 2007.490 2724.110 2008.670 ;
RECT 2722.930 1829.090 2724.110 1830.270 ;
RECT 2722.930 1827.490 2724.110 1828.670 ;
RECT 2722.930 1649.090 2724.110 1650.270 ;
RECT 2722.930 1647.490 2724.110 1648.670 ;
RECT 2722.930 1469.090 2724.110 1470.270 ;
RECT 2722.930 1467.490 2724.110 1468.670 ;
RECT 2722.930 1289.090 2724.110 1290.270 ;
RECT 2722.930 1287.490 2724.110 1288.670 ;
RECT 2722.930 1109.090 2724.110 1110.270 ;
RECT 2722.930 1107.490 2724.110 1108.670 ;
RECT 2722.930 929.090 2724.110 930.270 ;
RECT 2722.930 927.490 2724.110 928.670 ;
RECT 2722.930 749.090 2724.110 750.270 ;
RECT 2722.930 747.490 2724.110 748.670 ;
RECT 2722.930 569.090 2724.110 570.270 ;
RECT 2722.930 567.490 2724.110 568.670 ;
RECT 2722.930 389.090 2724.110 390.270 ;
RECT 2722.930 387.490 2724.110 388.670 ;
RECT 2722.930 209.090 2724.110 210.270 ;
RECT 2722.930 207.490 2724.110 208.670 ;
RECT 2722.930 29.090 2724.110 30.270 ;
RECT 2722.930 27.490 2724.110 28.670 ;
RECT 2722.930 -12.110 2724.110 -10.930 ;
RECT 2722.930 -13.710 2724.110 -12.530 ;
RECT 2902.930 3532.210 2904.110 3533.390 ;
RECT 2902.930 3530.610 2904.110 3531.790 ;
RECT 2902.930 3449.090 2904.110 3450.270 ;
RECT 2902.930 3447.490 2904.110 3448.670 ;
RECT 2902.930 3269.090 2904.110 3270.270 ;
RECT 2902.930 3267.490 2904.110 3268.670 ;
RECT 2902.930 3089.090 2904.110 3090.270 ;
RECT 2902.930 3087.490 2904.110 3088.670 ;
RECT 2902.930 2909.090 2904.110 2910.270 ;
RECT 2902.930 2907.490 2904.110 2908.670 ;
RECT 2902.930 2729.090 2904.110 2730.270 ;
RECT 2902.930 2727.490 2904.110 2728.670 ;
RECT 2902.930 2549.090 2904.110 2550.270 ;
RECT 2902.930 2547.490 2904.110 2548.670 ;
RECT 2902.930 2369.090 2904.110 2370.270 ;
RECT 2902.930 2367.490 2904.110 2368.670 ;
RECT 2902.930 2189.090 2904.110 2190.270 ;
RECT 2902.930 2187.490 2904.110 2188.670 ;
RECT 2902.930 2009.090 2904.110 2010.270 ;
RECT 2902.930 2007.490 2904.110 2008.670 ;
RECT 2902.930 1829.090 2904.110 1830.270 ;
RECT 2902.930 1827.490 2904.110 1828.670 ;
RECT 2902.930 1649.090 2904.110 1650.270 ;
RECT 2902.930 1647.490 2904.110 1648.670 ;
RECT 2902.930 1469.090 2904.110 1470.270 ;
RECT 2902.930 1467.490 2904.110 1468.670 ;
RECT 2902.930 1289.090 2904.110 1290.270 ;
RECT 2902.930 1287.490 2904.110 1288.670 ;
RECT 2902.930 1109.090 2904.110 1110.270 ;
RECT 2902.930 1107.490 2904.110 1108.670 ;
RECT 2902.930 929.090 2904.110 930.270 ;
RECT 2902.930 927.490 2904.110 928.670 ;
RECT 2902.930 749.090 2904.110 750.270 ;
RECT 2902.930 747.490 2904.110 748.670 ;
RECT 2902.930 569.090 2904.110 570.270 ;
RECT 2902.930 567.490 2904.110 568.670 ;
RECT 2902.930 389.090 2904.110 390.270 ;
RECT 2902.930 387.490 2904.110 388.670 ;
RECT 2902.930 209.090 2904.110 210.270 ;
RECT 2902.930 207.490 2904.110 208.670 ;
RECT 2902.930 29.090 2904.110 30.270 ;
RECT 2902.930 27.490 2904.110 28.670 ;
RECT 2902.930 -12.110 2904.110 -10.930 ;
RECT 2902.930 -13.710 2904.110 -12.530 ;
RECT 2936.710 3532.210 2937.890 3533.390 ;
RECT 2936.710 3530.610 2937.890 3531.790 ;
RECT 2936.710 3449.090 2937.890 3450.270 ;
RECT 2936.710 3447.490 2937.890 3448.670 ;
RECT 2936.710 3269.090 2937.890 3270.270 ;
RECT 2936.710 3267.490 2937.890 3268.670 ;
RECT 2936.710 3089.090 2937.890 3090.270 ;
RECT 2936.710 3087.490 2937.890 3088.670 ;
RECT 2936.710 2909.090 2937.890 2910.270 ;
RECT 2936.710 2907.490 2937.890 2908.670 ;
RECT 2936.710 2729.090 2937.890 2730.270 ;
RECT 2936.710 2727.490 2937.890 2728.670 ;
RECT 2936.710 2549.090 2937.890 2550.270 ;
RECT 2936.710 2547.490 2937.890 2548.670 ;
RECT 2936.710 2369.090 2937.890 2370.270 ;
RECT 2936.710 2367.490 2937.890 2368.670 ;
RECT 2936.710 2189.090 2937.890 2190.270 ;
RECT 2936.710 2187.490 2937.890 2188.670 ;
RECT 2936.710 2009.090 2937.890 2010.270 ;
RECT 2936.710 2007.490 2937.890 2008.670 ;
RECT 2936.710 1829.090 2937.890 1830.270 ;
RECT 2936.710 1827.490 2937.890 1828.670 ;
RECT 2936.710 1649.090 2937.890 1650.270 ;
RECT 2936.710 1647.490 2937.890 1648.670 ;
RECT 2936.710 1469.090 2937.890 1470.270 ;
RECT 2936.710 1467.490 2937.890 1468.670 ;
RECT 2936.710 1289.090 2937.890 1290.270 ;
RECT 2936.710 1287.490 2937.890 1288.670 ;
RECT 2936.710 1109.090 2937.890 1110.270 ;
RECT 2936.710 1107.490 2937.890 1108.670 ;
RECT 2936.710 929.090 2937.890 930.270 ;
RECT 2936.710 927.490 2937.890 928.670 ;
RECT 2936.710 749.090 2937.890 750.270 ;
RECT 2936.710 747.490 2937.890 748.670 ;
RECT 2936.710 569.090 2937.890 570.270 ;
RECT 2936.710 567.490 2937.890 568.670 ;
RECT 2936.710 389.090 2937.890 390.270 ;
RECT 2936.710 387.490 2937.890 388.670 ;
RECT 2936.710 209.090 2937.890 210.270 ;
RECT 2936.710 207.490 2937.890 208.670 ;
RECT 2936.710 29.090 2937.890 30.270 ;
RECT 2936.710 27.490 2937.890 28.670 ;
RECT 2936.710 -12.110 2937.890 -10.930 ;
RECT 2936.710 -13.710 2937.890 -12.530 ;
LAYER met5 ;
RECT -19.180 3533.500 -16.180 3533.510 ;
RECT 22.020 3533.500 25.020 3533.510 ;
RECT 202.020 3533.500 205.020 3533.510 ;
RECT 382.020 3533.500 385.020 3533.510 ;
RECT 562.020 3533.500 565.020 3533.510 ;
RECT 742.020 3533.500 745.020 3533.510 ;
RECT 922.020 3533.500 925.020 3533.510 ;
RECT 1102.020 3533.500 1105.020 3533.510 ;
RECT 1282.020 3533.500 1285.020 3533.510 ;
RECT 1462.020 3533.500 1465.020 3533.510 ;
RECT 1642.020 3533.500 1645.020 3533.510 ;
RECT 1822.020 3533.500 1825.020 3533.510 ;
RECT 2002.020 3533.500 2005.020 3533.510 ;
RECT 2182.020 3533.500 2185.020 3533.510 ;
RECT 2362.020 3533.500 2365.020 3533.510 ;
RECT 2542.020 3533.500 2545.020 3533.510 ;
RECT 2722.020 3533.500 2725.020 3533.510 ;
RECT 2902.020 3533.500 2905.020 3533.510 ;
RECT 2935.800 3533.500 2938.800 3533.510 ;
RECT -19.180 3530.500 2938.800 3533.500 ;
RECT -19.180 3530.490 -16.180 3530.500 ;
RECT 22.020 3530.490 25.020 3530.500 ;
RECT 202.020 3530.490 205.020 3530.500 ;
RECT 382.020 3530.490 385.020 3530.500 ;
RECT 562.020 3530.490 565.020 3530.500 ;
RECT 742.020 3530.490 745.020 3530.500 ;
RECT 922.020 3530.490 925.020 3530.500 ;
RECT 1102.020 3530.490 1105.020 3530.500 ;
RECT 1282.020 3530.490 1285.020 3530.500 ;
RECT 1462.020 3530.490 1465.020 3530.500 ;
RECT 1642.020 3530.490 1645.020 3530.500 ;
RECT 1822.020 3530.490 1825.020 3530.500 ;
RECT 2002.020 3530.490 2005.020 3530.500 ;
RECT 2182.020 3530.490 2185.020 3530.500 ;
RECT 2362.020 3530.490 2365.020 3530.500 ;
RECT 2542.020 3530.490 2545.020 3530.500 ;
RECT 2722.020 3530.490 2725.020 3530.500 ;
RECT 2902.020 3530.490 2905.020 3530.500 ;
RECT 2935.800 3530.490 2938.800 3530.500 ;
RECT -19.180 3450.380 -16.180 3450.390 ;
RECT 22.020 3450.380 25.020 3450.390 ;
RECT 202.020 3450.380 205.020 3450.390 ;
RECT 382.020 3450.380 385.020 3450.390 ;
RECT 562.020 3450.380 565.020 3450.390 ;
RECT 742.020 3450.380 745.020 3450.390 ;
RECT 922.020 3450.380 925.020 3450.390 ;
RECT 1102.020 3450.380 1105.020 3450.390 ;
RECT 1282.020 3450.380 1285.020 3450.390 ;
RECT 1462.020 3450.380 1465.020 3450.390 ;
RECT 1642.020 3450.380 1645.020 3450.390 ;
RECT 1822.020 3450.380 1825.020 3450.390 ;
RECT 2002.020 3450.380 2005.020 3450.390 ;
RECT 2182.020 3450.380 2185.020 3450.390 ;
RECT 2362.020 3450.380 2365.020 3450.390 ;
RECT 2542.020 3450.380 2545.020 3450.390 ;
RECT 2722.020 3450.380 2725.020 3450.390 ;
RECT 2902.020 3450.380 2905.020 3450.390 ;
RECT 2935.800 3450.380 2938.800 3450.390 ;
RECT -23.780 3447.380 2943.400 3450.380 ;
RECT -19.180 3447.370 -16.180 3447.380 ;
RECT 22.020 3447.370 25.020 3447.380 ;
RECT 202.020 3447.370 205.020 3447.380 ;
RECT 382.020 3447.370 385.020 3447.380 ;
RECT 562.020 3447.370 565.020 3447.380 ;
RECT 742.020 3447.370 745.020 3447.380 ;
RECT 922.020 3447.370 925.020 3447.380 ;
RECT 1102.020 3447.370 1105.020 3447.380 ;
RECT 1282.020 3447.370 1285.020 3447.380 ;
RECT 1462.020 3447.370 1465.020 3447.380 ;
RECT 1642.020 3447.370 1645.020 3447.380 ;
RECT 1822.020 3447.370 1825.020 3447.380 ;
RECT 2002.020 3447.370 2005.020 3447.380 ;
RECT 2182.020 3447.370 2185.020 3447.380 ;
RECT 2362.020 3447.370 2365.020 3447.380 ;
RECT 2542.020 3447.370 2545.020 3447.380 ;
RECT 2722.020 3447.370 2725.020 3447.380 ;
RECT 2902.020 3447.370 2905.020 3447.380 ;
RECT 2935.800 3447.370 2938.800 3447.380 ;
RECT -19.180 3270.380 -16.180 3270.390 ;
RECT 22.020 3270.380 25.020 3270.390 ;
RECT 202.020 3270.380 205.020 3270.390 ;
RECT 382.020 3270.380 385.020 3270.390 ;
RECT 562.020 3270.380 565.020 3270.390 ;
RECT 742.020 3270.380 745.020 3270.390 ;
RECT 922.020 3270.380 925.020 3270.390 ;
RECT 1102.020 3270.380 1105.020 3270.390 ;
RECT 1282.020 3270.380 1285.020 3270.390 ;
RECT 1462.020 3270.380 1465.020 3270.390 ;
RECT 1642.020 3270.380 1645.020 3270.390 ;
RECT 1822.020 3270.380 1825.020 3270.390 ;
RECT 2002.020 3270.380 2005.020 3270.390 ;
RECT 2182.020 3270.380 2185.020 3270.390 ;
RECT 2362.020 3270.380 2365.020 3270.390 ;
RECT 2542.020 3270.380 2545.020 3270.390 ;
RECT 2722.020 3270.380 2725.020 3270.390 ;
RECT 2902.020 3270.380 2905.020 3270.390 ;
RECT 2935.800 3270.380 2938.800 3270.390 ;
RECT -23.780 3267.380 2943.400 3270.380 ;
RECT -19.180 3267.370 -16.180 3267.380 ;
RECT 22.020 3267.370 25.020 3267.380 ;
RECT 202.020 3267.370 205.020 3267.380 ;
RECT 382.020 3267.370 385.020 3267.380 ;
RECT 562.020 3267.370 565.020 3267.380 ;
RECT 742.020 3267.370 745.020 3267.380 ;
RECT 922.020 3267.370 925.020 3267.380 ;
RECT 1102.020 3267.370 1105.020 3267.380 ;
RECT 1282.020 3267.370 1285.020 3267.380 ;
RECT 1462.020 3267.370 1465.020 3267.380 ;
RECT 1642.020 3267.370 1645.020 3267.380 ;
RECT 1822.020 3267.370 1825.020 3267.380 ;
RECT 2002.020 3267.370 2005.020 3267.380 ;
RECT 2182.020 3267.370 2185.020 3267.380 ;
RECT 2362.020 3267.370 2365.020 3267.380 ;
RECT 2542.020 3267.370 2545.020 3267.380 ;
RECT 2722.020 3267.370 2725.020 3267.380 ;
RECT 2902.020 3267.370 2905.020 3267.380 ;
RECT 2935.800 3267.370 2938.800 3267.380 ;
RECT -19.180 3090.380 -16.180 3090.390 ;
RECT 22.020 3090.380 25.020 3090.390 ;
RECT 202.020 3090.380 205.020 3090.390 ;
RECT 382.020 3090.380 385.020 3090.390 ;
RECT 562.020 3090.380 565.020 3090.390 ;
RECT 742.020 3090.380 745.020 3090.390 ;
RECT 922.020 3090.380 925.020 3090.390 ;
RECT 1102.020 3090.380 1105.020 3090.390 ;
RECT 1282.020 3090.380 1285.020 3090.390 ;
RECT 1462.020 3090.380 1465.020 3090.390 ;
RECT 1642.020 3090.380 1645.020 3090.390 ;
RECT 1822.020 3090.380 1825.020 3090.390 ;
RECT 2002.020 3090.380 2005.020 3090.390 ;
RECT 2182.020 3090.380 2185.020 3090.390 ;
RECT 2362.020 3090.380 2365.020 3090.390 ;
RECT 2542.020 3090.380 2545.020 3090.390 ;
RECT 2722.020 3090.380 2725.020 3090.390 ;
RECT 2902.020 3090.380 2905.020 3090.390 ;
RECT 2935.800 3090.380 2938.800 3090.390 ;
RECT -23.780 3087.380 2943.400 3090.380 ;
RECT -19.180 3087.370 -16.180 3087.380 ;
RECT 22.020 3087.370 25.020 3087.380 ;
RECT 202.020 3087.370 205.020 3087.380 ;
RECT 382.020 3087.370 385.020 3087.380 ;
RECT 562.020 3087.370 565.020 3087.380 ;
RECT 742.020 3087.370 745.020 3087.380 ;
RECT 922.020 3087.370 925.020 3087.380 ;
RECT 1102.020 3087.370 1105.020 3087.380 ;
RECT 1282.020 3087.370 1285.020 3087.380 ;
RECT 1462.020 3087.370 1465.020 3087.380 ;
RECT 1642.020 3087.370 1645.020 3087.380 ;
RECT 1822.020 3087.370 1825.020 3087.380 ;
RECT 2002.020 3087.370 2005.020 3087.380 ;
RECT 2182.020 3087.370 2185.020 3087.380 ;
RECT 2362.020 3087.370 2365.020 3087.380 ;
RECT 2542.020 3087.370 2545.020 3087.380 ;
RECT 2722.020 3087.370 2725.020 3087.380 ;
RECT 2902.020 3087.370 2905.020 3087.380 ;
RECT 2935.800 3087.370 2938.800 3087.380 ;
RECT -19.180 2910.380 -16.180 2910.390 ;
RECT 22.020 2910.380 25.020 2910.390 ;
RECT 202.020 2910.380 205.020 2910.390 ;
RECT 382.020 2910.380 385.020 2910.390 ;
RECT 562.020 2910.380 565.020 2910.390 ;
RECT 742.020 2910.380 745.020 2910.390 ;
RECT 922.020 2910.380 925.020 2910.390 ;
RECT 1102.020 2910.380 1105.020 2910.390 ;
RECT 1282.020 2910.380 1285.020 2910.390 ;
RECT 1462.020 2910.380 1465.020 2910.390 ;
RECT 1642.020 2910.380 1645.020 2910.390 ;
RECT 1822.020 2910.380 1825.020 2910.390 ;
RECT 2002.020 2910.380 2005.020 2910.390 ;
RECT 2182.020 2910.380 2185.020 2910.390 ;
RECT 2362.020 2910.380 2365.020 2910.390 ;
RECT 2542.020 2910.380 2545.020 2910.390 ;
RECT 2722.020 2910.380 2725.020 2910.390 ;
RECT 2902.020 2910.380 2905.020 2910.390 ;
RECT 2935.800 2910.380 2938.800 2910.390 ;
RECT -23.780 2907.380 2943.400 2910.380 ;
RECT -19.180 2907.370 -16.180 2907.380 ;
RECT 22.020 2907.370 25.020 2907.380 ;
RECT 202.020 2907.370 205.020 2907.380 ;
RECT 382.020 2907.370 385.020 2907.380 ;
RECT 562.020 2907.370 565.020 2907.380 ;
RECT 742.020 2907.370 745.020 2907.380 ;
RECT 922.020 2907.370 925.020 2907.380 ;
RECT 1102.020 2907.370 1105.020 2907.380 ;
RECT 1282.020 2907.370 1285.020 2907.380 ;
RECT 1462.020 2907.370 1465.020 2907.380 ;
RECT 1642.020 2907.370 1645.020 2907.380 ;
RECT 1822.020 2907.370 1825.020 2907.380 ;
RECT 2002.020 2907.370 2005.020 2907.380 ;
RECT 2182.020 2907.370 2185.020 2907.380 ;
RECT 2362.020 2907.370 2365.020 2907.380 ;
RECT 2542.020 2907.370 2545.020 2907.380 ;
RECT 2722.020 2907.370 2725.020 2907.380 ;
RECT 2902.020 2907.370 2905.020 2907.380 ;
RECT 2935.800 2907.370 2938.800 2907.380 ;
RECT -19.180 2730.380 -16.180 2730.390 ;
RECT 22.020 2730.380 25.020 2730.390 ;
RECT 202.020 2730.380 205.020 2730.390 ;
RECT 382.020 2730.380 385.020 2730.390 ;
RECT 562.020 2730.380 565.020 2730.390 ;
RECT 742.020 2730.380 745.020 2730.390 ;
RECT 922.020 2730.380 925.020 2730.390 ;
RECT 1102.020 2730.380 1105.020 2730.390 ;
RECT 1282.020 2730.380 1285.020 2730.390 ;
RECT 1462.020 2730.380 1465.020 2730.390 ;
RECT 1642.020 2730.380 1645.020 2730.390 ;
RECT 1822.020 2730.380 1825.020 2730.390 ;
RECT 2002.020 2730.380 2005.020 2730.390 ;
RECT 2182.020 2730.380 2185.020 2730.390 ;
RECT 2362.020 2730.380 2365.020 2730.390 ;
RECT 2542.020 2730.380 2545.020 2730.390 ;
RECT 2722.020 2730.380 2725.020 2730.390 ;
RECT 2902.020 2730.380 2905.020 2730.390 ;
RECT 2935.800 2730.380 2938.800 2730.390 ;
RECT -23.780 2727.380 2943.400 2730.380 ;
RECT -19.180 2727.370 -16.180 2727.380 ;
RECT 22.020 2727.370 25.020 2727.380 ;
RECT 202.020 2727.370 205.020 2727.380 ;
RECT 382.020 2727.370 385.020 2727.380 ;
RECT 562.020 2727.370 565.020 2727.380 ;
RECT 742.020 2727.370 745.020 2727.380 ;
RECT 922.020 2727.370 925.020 2727.380 ;
RECT 1102.020 2727.370 1105.020 2727.380 ;
RECT 1282.020 2727.370 1285.020 2727.380 ;
RECT 1462.020 2727.370 1465.020 2727.380 ;
RECT 1642.020 2727.370 1645.020 2727.380 ;
RECT 1822.020 2727.370 1825.020 2727.380 ;
RECT 2002.020 2727.370 2005.020 2727.380 ;
RECT 2182.020 2727.370 2185.020 2727.380 ;
RECT 2362.020 2727.370 2365.020 2727.380 ;
RECT 2542.020 2727.370 2545.020 2727.380 ;
RECT 2722.020 2727.370 2725.020 2727.380 ;
RECT 2902.020 2727.370 2905.020 2727.380 ;
RECT 2935.800 2727.370 2938.800 2727.380 ;
RECT -19.180 2550.380 -16.180 2550.390 ;
RECT 22.020 2550.380 25.020 2550.390 ;
RECT 202.020 2550.380 205.020 2550.390 ;
RECT 382.020 2550.380 385.020 2550.390 ;
RECT 562.020 2550.380 565.020 2550.390 ;
RECT 742.020 2550.380 745.020 2550.390 ;
RECT 922.020 2550.380 925.020 2550.390 ;
RECT 1102.020 2550.380 1105.020 2550.390 ;
RECT 1282.020 2550.380 1285.020 2550.390 ;
RECT 1462.020 2550.380 1465.020 2550.390 ;
RECT 1642.020 2550.380 1645.020 2550.390 ;
RECT 1822.020 2550.380 1825.020 2550.390 ;
RECT 2002.020 2550.380 2005.020 2550.390 ;
RECT 2182.020 2550.380 2185.020 2550.390 ;
RECT 2362.020 2550.380 2365.020 2550.390 ;
RECT 2542.020 2550.380 2545.020 2550.390 ;
RECT 2722.020 2550.380 2725.020 2550.390 ;
RECT 2902.020 2550.380 2905.020 2550.390 ;
RECT 2935.800 2550.380 2938.800 2550.390 ;
RECT -23.780 2547.380 2943.400 2550.380 ;
RECT -19.180 2547.370 -16.180 2547.380 ;
RECT 22.020 2547.370 25.020 2547.380 ;
RECT 202.020 2547.370 205.020 2547.380 ;
RECT 382.020 2547.370 385.020 2547.380 ;
RECT 562.020 2547.370 565.020 2547.380 ;
RECT 742.020 2547.370 745.020 2547.380 ;
RECT 922.020 2547.370 925.020 2547.380 ;
RECT 1102.020 2547.370 1105.020 2547.380 ;
RECT 1282.020 2547.370 1285.020 2547.380 ;
RECT 1462.020 2547.370 1465.020 2547.380 ;
RECT 1642.020 2547.370 1645.020 2547.380 ;
RECT 1822.020 2547.370 1825.020 2547.380 ;
RECT 2002.020 2547.370 2005.020 2547.380 ;
RECT 2182.020 2547.370 2185.020 2547.380 ;
RECT 2362.020 2547.370 2365.020 2547.380 ;
RECT 2542.020 2547.370 2545.020 2547.380 ;
RECT 2722.020 2547.370 2725.020 2547.380 ;
RECT 2902.020 2547.370 2905.020 2547.380 ;
RECT 2935.800 2547.370 2938.800 2547.380 ;
RECT -19.180 2370.380 -16.180 2370.390 ;
RECT 22.020 2370.380 25.020 2370.390 ;
RECT 202.020 2370.380 205.020 2370.390 ;
RECT 382.020 2370.380 385.020 2370.390 ;
RECT 562.020 2370.380 565.020 2370.390 ;
RECT 742.020 2370.380 745.020 2370.390 ;
RECT 922.020 2370.380 925.020 2370.390 ;
RECT 1102.020 2370.380 1105.020 2370.390 ;
RECT 1282.020 2370.380 1285.020 2370.390 ;
RECT 1462.020 2370.380 1465.020 2370.390 ;
RECT 1642.020 2370.380 1645.020 2370.390 ;
RECT 1822.020 2370.380 1825.020 2370.390 ;
RECT 2002.020 2370.380 2005.020 2370.390 ;
RECT 2182.020 2370.380 2185.020 2370.390 ;
RECT 2362.020 2370.380 2365.020 2370.390 ;
RECT 2542.020 2370.380 2545.020 2370.390 ;
RECT 2722.020 2370.380 2725.020 2370.390 ;
RECT 2902.020 2370.380 2905.020 2370.390 ;
RECT 2935.800 2370.380 2938.800 2370.390 ;
RECT -23.780 2367.380 2943.400 2370.380 ;
RECT -19.180 2367.370 -16.180 2367.380 ;
RECT 22.020 2367.370 25.020 2367.380 ;
RECT 202.020 2367.370 205.020 2367.380 ;
RECT 382.020 2367.370 385.020 2367.380 ;
RECT 562.020 2367.370 565.020 2367.380 ;
RECT 742.020 2367.370 745.020 2367.380 ;
RECT 922.020 2367.370 925.020 2367.380 ;
RECT 1102.020 2367.370 1105.020 2367.380 ;
RECT 1282.020 2367.370 1285.020 2367.380 ;
RECT 1462.020 2367.370 1465.020 2367.380 ;
RECT 1642.020 2367.370 1645.020 2367.380 ;
RECT 1822.020 2367.370 1825.020 2367.380 ;
RECT 2002.020 2367.370 2005.020 2367.380 ;
RECT 2182.020 2367.370 2185.020 2367.380 ;
RECT 2362.020 2367.370 2365.020 2367.380 ;
RECT 2542.020 2367.370 2545.020 2367.380 ;
RECT 2722.020 2367.370 2725.020 2367.380 ;
RECT 2902.020 2367.370 2905.020 2367.380 ;
RECT 2935.800 2367.370 2938.800 2367.380 ;
RECT -19.180 2190.380 -16.180 2190.390 ;
RECT 22.020 2190.380 25.020 2190.390 ;
RECT 202.020 2190.380 205.020 2190.390 ;
RECT 382.020 2190.380 385.020 2190.390 ;
RECT 562.020 2190.380 565.020 2190.390 ;
RECT 742.020 2190.380 745.020 2190.390 ;
RECT 922.020 2190.380 925.020 2190.390 ;
RECT 1102.020 2190.380 1105.020 2190.390 ;
RECT 1282.020 2190.380 1285.020 2190.390 ;
RECT 1462.020 2190.380 1465.020 2190.390 ;
RECT 1642.020 2190.380 1645.020 2190.390 ;
RECT 1822.020 2190.380 1825.020 2190.390 ;
RECT 2002.020 2190.380 2005.020 2190.390 ;
RECT 2182.020 2190.380 2185.020 2190.390 ;
RECT 2362.020 2190.380 2365.020 2190.390 ;
RECT 2542.020 2190.380 2545.020 2190.390 ;
RECT 2722.020 2190.380 2725.020 2190.390 ;
RECT 2902.020 2190.380 2905.020 2190.390 ;
RECT 2935.800 2190.380 2938.800 2190.390 ;
RECT -23.780 2187.380 2943.400 2190.380 ;
RECT -19.180 2187.370 -16.180 2187.380 ;
RECT 22.020 2187.370 25.020 2187.380 ;
RECT 202.020 2187.370 205.020 2187.380 ;
RECT 382.020 2187.370 385.020 2187.380 ;
RECT 562.020 2187.370 565.020 2187.380 ;
RECT 742.020 2187.370 745.020 2187.380 ;
RECT 922.020 2187.370 925.020 2187.380 ;
RECT 1102.020 2187.370 1105.020 2187.380 ;
RECT 1282.020 2187.370 1285.020 2187.380 ;
RECT 1462.020 2187.370 1465.020 2187.380 ;
RECT 1642.020 2187.370 1645.020 2187.380 ;
RECT 1822.020 2187.370 1825.020 2187.380 ;
RECT 2002.020 2187.370 2005.020 2187.380 ;
RECT 2182.020 2187.370 2185.020 2187.380 ;
RECT 2362.020 2187.370 2365.020 2187.380 ;
RECT 2542.020 2187.370 2545.020 2187.380 ;
RECT 2722.020 2187.370 2725.020 2187.380 ;
RECT 2902.020 2187.370 2905.020 2187.380 ;
RECT 2935.800 2187.370 2938.800 2187.380 ;
RECT -19.180 2010.380 -16.180 2010.390 ;
RECT 22.020 2010.380 25.020 2010.390 ;
RECT 202.020 2010.380 205.020 2010.390 ;
RECT 382.020 2010.380 385.020 2010.390 ;
RECT 562.020 2010.380 565.020 2010.390 ;
RECT 742.020 2010.380 745.020 2010.390 ;
RECT 922.020 2010.380 925.020 2010.390 ;
RECT 1102.020 2010.380 1105.020 2010.390 ;
RECT 1282.020 2010.380 1285.020 2010.390 ;
RECT 1462.020 2010.380 1465.020 2010.390 ;
RECT 1642.020 2010.380 1645.020 2010.390 ;
RECT 1822.020 2010.380 1825.020 2010.390 ;
RECT 2002.020 2010.380 2005.020 2010.390 ;
RECT 2182.020 2010.380 2185.020 2010.390 ;
RECT 2362.020 2010.380 2365.020 2010.390 ;
RECT 2542.020 2010.380 2545.020 2010.390 ;
RECT 2722.020 2010.380 2725.020 2010.390 ;
RECT 2902.020 2010.380 2905.020 2010.390 ;
RECT 2935.800 2010.380 2938.800 2010.390 ;
RECT -23.780 2007.380 2943.400 2010.380 ;
RECT -19.180 2007.370 -16.180 2007.380 ;
RECT 22.020 2007.370 25.020 2007.380 ;
RECT 202.020 2007.370 205.020 2007.380 ;
RECT 382.020 2007.370 385.020 2007.380 ;
RECT 562.020 2007.370 565.020 2007.380 ;
RECT 742.020 2007.370 745.020 2007.380 ;
RECT 922.020 2007.370 925.020 2007.380 ;
RECT 1102.020 2007.370 1105.020 2007.380 ;
RECT 1282.020 2007.370 1285.020 2007.380 ;
RECT 1462.020 2007.370 1465.020 2007.380 ;
RECT 1642.020 2007.370 1645.020 2007.380 ;
RECT 1822.020 2007.370 1825.020 2007.380 ;
RECT 2002.020 2007.370 2005.020 2007.380 ;
RECT 2182.020 2007.370 2185.020 2007.380 ;
RECT 2362.020 2007.370 2365.020 2007.380 ;
RECT 2542.020 2007.370 2545.020 2007.380 ;
RECT 2722.020 2007.370 2725.020 2007.380 ;
RECT 2902.020 2007.370 2905.020 2007.380 ;
RECT 2935.800 2007.370 2938.800 2007.380 ;
RECT -19.180 1830.380 -16.180 1830.390 ;
RECT 22.020 1830.380 25.020 1830.390 ;
RECT 202.020 1830.380 205.020 1830.390 ;
RECT 382.020 1830.380 385.020 1830.390 ;
RECT 562.020 1830.380 565.020 1830.390 ;
RECT 742.020 1830.380 745.020 1830.390 ;
RECT 922.020 1830.380 925.020 1830.390 ;
RECT 1102.020 1830.380 1105.020 1830.390 ;
RECT 1282.020 1830.380 1285.020 1830.390 ;
RECT 1462.020 1830.380 1465.020 1830.390 ;
RECT 1642.020 1830.380 1645.020 1830.390 ;
RECT 1822.020 1830.380 1825.020 1830.390 ;
RECT 2002.020 1830.380 2005.020 1830.390 ;
RECT 2182.020 1830.380 2185.020 1830.390 ;
RECT 2362.020 1830.380 2365.020 1830.390 ;
RECT 2542.020 1830.380 2545.020 1830.390 ;
RECT 2722.020 1830.380 2725.020 1830.390 ;
RECT 2902.020 1830.380 2905.020 1830.390 ;
RECT 2935.800 1830.380 2938.800 1830.390 ;
RECT -23.780 1827.380 2943.400 1830.380 ;
RECT -19.180 1827.370 -16.180 1827.380 ;
RECT 22.020 1827.370 25.020 1827.380 ;
RECT 202.020 1827.370 205.020 1827.380 ;
RECT 382.020 1827.370 385.020 1827.380 ;
RECT 562.020 1827.370 565.020 1827.380 ;
RECT 742.020 1827.370 745.020 1827.380 ;
RECT 922.020 1827.370 925.020 1827.380 ;
RECT 1102.020 1827.370 1105.020 1827.380 ;
RECT 1282.020 1827.370 1285.020 1827.380 ;
RECT 1462.020 1827.370 1465.020 1827.380 ;
RECT 1642.020 1827.370 1645.020 1827.380 ;
RECT 1822.020 1827.370 1825.020 1827.380 ;
RECT 2002.020 1827.370 2005.020 1827.380 ;
RECT 2182.020 1827.370 2185.020 1827.380 ;
RECT 2362.020 1827.370 2365.020 1827.380 ;
RECT 2542.020 1827.370 2545.020 1827.380 ;
RECT 2722.020 1827.370 2725.020 1827.380 ;
RECT 2902.020 1827.370 2905.020 1827.380 ;
RECT 2935.800 1827.370 2938.800 1827.380 ;
RECT -19.180 1650.380 -16.180 1650.390 ;
RECT 22.020 1650.380 25.020 1650.390 ;
RECT 202.020 1650.380 205.020 1650.390 ;
RECT 382.020 1650.380 385.020 1650.390 ;
RECT 562.020 1650.380 565.020 1650.390 ;
RECT 742.020 1650.380 745.020 1650.390 ;
RECT 922.020 1650.380 925.020 1650.390 ;
RECT 1102.020 1650.380 1105.020 1650.390 ;
RECT 1282.020 1650.380 1285.020 1650.390 ;
RECT 1462.020 1650.380 1465.020 1650.390 ;
RECT 1642.020 1650.380 1645.020 1650.390 ;
RECT 1822.020 1650.380 1825.020 1650.390 ;
RECT 2002.020 1650.380 2005.020 1650.390 ;
RECT 2182.020 1650.380 2185.020 1650.390 ;
RECT 2362.020 1650.380 2365.020 1650.390 ;
RECT 2542.020 1650.380 2545.020 1650.390 ;
RECT 2722.020 1650.380 2725.020 1650.390 ;
RECT 2902.020 1650.380 2905.020 1650.390 ;
RECT 2935.800 1650.380 2938.800 1650.390 ;
RECT -23.780 1647.380 2943.400 1650.380 ;
RECT -19.180 1647.370 -16.180 1647.380 ;
RECT 22.020 1647.370 25.020 1647.380 ;
RECT 202.020 1647.370 205.020 1647.380 ;
RECT 382.020 1647.370 385.020 1647.380 ;
RECT 562.020 1647.370 565.020 1647.380 ;
RECT 742.020 1647.370 745.020 1647.380 ;
RECT 922.020 1647.370 925.020 1647.380 ;
RECT 1102.020 1647.370 1105.020 1647.380 ;
RECT 1282.020 1647.370 1285.020 1647.380 ;
RECT 1462.020 1647.370 1465.020 1647.380 ;
RECT 1642.020 1647.370 1645.020 1647.380 ;
RECT 1822.020 1647.370 1825.020 1647.380 ;
RECT 2002.020 1647.370 2005.020 1647.380 ;
RECT 2182.020 1647.370 2185.020 1647.380 ;
RECT 2362.020 1647.370 2365.020 1647.380 ;
RECT 2542.020 1647.370 2545.020 1647.380 ;
RECT 2722.020 1647.370 2725.020 1647.380 ;
RECT 2902.020 1647.370 2905.020 1647.380 ;
RECT 2935.800 1647.370 2938.800 1647.380 ;
RECT -19.180 1470.380 -16.180 1470.390 ;
RECT 22.020 1470.380 25.020 1470.390 ;
RECT 202.020 1470.380 205.020 1470.390 ;
RECT 382.020 1470.380 385.020 1470.390 ;
RECT 562.020 1470.380 565.020 1470.390 ;
RECT 742.020 1470.380 745.020 1470.390 ;
RECT 922.020 1470.380 925.020 1470.390 ;
RECT 1102.020 1470.380 1105.020 1470.390 ;
RECT 1282.020 1470.380 1285.020 1470.390 ;
RECT 1462.020 1470.380 1465.020 1470.390 ;
RECT 1642.020 1470.380 1645.020 1470.390 ;
RECT 1822.020 1470.380 1825.020 1470.390 ;
RECT 2002.020 1470.380 2005.020 1470.390 ;
RECT 2182.020 1470.380 2185.020 1470.390 ;
RECT 2362.020 1470.380 2365.020 1470.390 ;
RECT 2542.020 1470.380 2545.020 1470.390 ;
RECT 2722.020 1470.380 2725.020 1470.390 ;
RECT 2902.020 1470.380 2905.020 1470.390 ;
RECT 2935.800 1470.380 2938.800 1470.390 ;
RECT -23.780 1467.380 2943.400 1470.380 ;
RECT -19.180 1467.370 -16.180 1467.380 ;
RECT 22.020 1467.370 25.020 1467.380 ;
RECT 202.020 1467.370 205.020 1467.380 ;
RECT 382.020 1467.370 385.020 1467.380 ;
RECT 562.020 1467.370 565.020 1467.380 ;
RECT 742.020 1467.370 745.020 1467.380 ;
RECT 922.020 1467.370 925.020 1467.380 ;
RECT 1102.020 1467.370 1105.020 1467.380 ;
RECT 1282.020 1467.370 1285.020 1467.380 ;
RECT 1462.020 1467.370 1465.020 1467.380 ;
RECT 1642.020 1467.370 1645.020 1467.380 ;
RECT 1822.020 1467.370 1825.020 1467.380 ;
RECT 2002.020 1467.370 2005.020 1467.380 ;
RECT 2182.020 1467.370 2185.020 1467.380 ;
RECT 2362.020 1467.370 2365.020 1467.380 ;
RECT 2542.020 1467.370 2545.020 1467.380 ;
RECT 2722.020 1467.370 2725.020 1467.380 ;
RECT 2902.020 1467.370 2905.020 1467.380 ;
RECT 2935.800 1467.370 2938.800 1467.380 ;
RECT -19.180 1290.380 -16.180 1290.390 ;
RECT 22.020 1290.380 25.020 1290.390 ;
RECT 202.020 1290.380 205.020 1290.390 ;
RECT 382.020 1290.380 385.020 1290.390 ;
RECT 562.020 1290.380 565.020 1290.390 ;
RECT 742.020 1290.380 745.020 1290.390 ;
RECT 922.020 1290.380 925.020 1290.390 ;
RECT 1102.020 1290.380 1105.020 1290.390 ;
RECT 1282.020 1290.380 1285.020 1290.390 ;
RECT 1462.020 1290.380 1465.020 1290.390 ;
RECT 1642.020 1290.380 1645.020 1290.390 ;
RECT 1822.020 1290.380 1825.020 1290.390 ;
RECT 2002.020 1290.380 2005.020 1290.390 ;
RECT 2182.020 1290.380 2185.020 1290.390 ;
RECT 2362.020 1290.380 2365.020 1290.390 ;
RECT 2542.020 1290.380 2545.020 1290.390 ;
RECT 2722.020 1290.380 2725.020 1290.390 ;
RECT 2902.020 1290.380 2905.020 1290.390 ;
RECT 2935.800 1290.380 2938.800 1290.390 ;
RECT -23.780 1287.380 2943.400 1290.380 ;
RECT -19.180 1287.370 -16.180 1287.380 ;
RECT 22.020 1287.370 25.020 1287.380 ;
RECT 202.020 1287.370 205.020 1287.380 ;
RECT 382.020 1287.370 385.020 1287.380 ;
RECT 562.020 1287.370 565.020 1287.380 ;
RECT 742.020 1287.370 745.020 1287.380 ;
RECT 922.020 1287.370 925.020 1287.380 ;
RECT 1102.020 1287.370 1105.020 1287.380 ;
RECT 1282.020 1287.370 1285.020 1287.380 ;
RECT 1462.020 1287.370 1465.020 1287.380 ;
RECT 1642.020 1287.370 1645.020 1287.380 ;
RECT 1822.020 1287.370 1825.020 1287.380 ;
RECT 2002.020 1287.370 2005.020 1287.380 ;
RECT 2182.020 1287.370 2185.020 1287.380 ;
RECT 2362.020 1287.370 2365.020 1287.380 ;
RECT 2542.020 1287.370 2545.020 1287.380 ;
RECT 2722.020 1287.370 2725.020 1287.380 ;
RECT 2902.020 1287.370 2905.020 1287.380 ;
RECT 2935.800 1287.370 2938.800 1287.380 ;
RECT -19.180 1110.380 -16.180 1110.390 ;
RECT 22.020 1110.380 25.020 1110.390 ;
RECT 202.020 1110.380 205.020 1110.390 ;
RECT 382.020 1110.380 385.020 1110.390 ;
RECT 562.020 1110.380 565.020 1110.390 ;
RECT 742.020 1110.380 745.020 1110.390 ;
RECT 922.020 1110.380 925.020 1110.390 ;
RECT 1102.020 1110.380 1105.020 1110.390 ;
RECT 1282.020 1110.380 1285.020 1110.390 ;
RECT 1462.020 1110.380 1465.020 1110.390 ;
RECT 1642.020 1110.380 1645.020 1110.390 ;
RECT 1822.020 1110.380 1825.020 1110.390 ;
RECT 2002.020 1110.380 2005.020 1110.390 ;
RECT 2182.020 1110.380 2185.020 1110.390 ;
RECT 2362.020 1110.380 2365.020 1110.390 ;
RECT 2542.020 1110.380 2545.020 1110.390 ;
RECT 2722.020 1110.380 2725.020 1110.390 ;
RECT 2902.020 1110.380 2905.020 1110.390 ;
RECT 2935.800 1110.380 2938.800 1110.390 ;
RECT -23.780 1107.380 2943.400 1110.380 ;
RECT -19.180 1107.370 -16.180 1107.380 ;
RECT 22.020 1107.370 25.020 1107.380 ;
RECT 202.020 1107.370 205.020 1107.380 ;
RECT 382.020 1107.370 385.020 1107.380 ;
RECT 562.020 1107.370 565.020 1107.380 ;
RECT 742.020 1107.370 745.020 1107.380 ;
RECT 922.020 1107.370 925.020 1107.380 ;
RECT 1102.020 1107.370 1105.020 1107.380 ;
RECT 1282.020 1107.370 1285.020 1107.380 ;
RECT 1462.020 1107.370 1465.020 1107.380 ;
RECT 1642.020 1107.370 1645.020 1107.380 ;
RECT 1822.020 1107.370 1825.020 1107.380 ;
RECT 2002.020 1107.370 2005.020 1107.380 ;
RECT 2182.020 1107.370 2185.020 1107.380 ;
RECT 2362.020 1107.370 2365.020 1107.380 ;
RECT 2542.020 1107.370 2545.020 1107.380 ;
RECT 2722.020 1107.370 2725.020 1107.380 ;
RECT 2902.020 1107.370 2905.020 1107.380 ;
RECT 2935.800 1107.370 2938.800 1107.380 ;
RECT -19.180 930.380 -16.180 930.390 ;
RECT 22.020 930.380 25.020 930.390 ;
RECT 202.020 930.380 205.020 930.390 ;
RECT 382.020 930.380 385.020 930.390 ;
RECT 562.020 930.380 565.020 930.390 ;
RECT 742.020 930.380 745.020 930.390 ;
RECT 922.020 930.380 925.020 930.390 ;
RECT 1102.020 930.380 1105.020 930.390 ;
RECT 1282.020 930.380 1285.020 930.390 ;
RECT 1462.020 930.380 1465.020 930.390 ;
RECT 1642.020 930.380 1645.020 930.390 ;
RECT 1822.020 930.380 1825.020 930.390 ;
RECT 2002.020 930.380 2005.020 930.390 ;
RECT 2182.020 930.380 2185.020 930.390 ;
RECT 2362.020 930.380 2365.020 930.390 ;
RECT 2542.020 930.380 2545.020 930.390 ;
RECT 2722.020 930.380 2725.020 930.390 ;
RECT 2902.020 930.380 2905.020 930.390 ;
RECT 2935.800 930.380 2938.800 930.390 ;
RECT -23.780 927.380 2943.400 930.380 ;
RECT -19.180 927.370 -16.180 927.380 ;
RECT 22.020 927.370 25.020 927.380 ;
RECT 202.020 927.370 205.020 927.380 ;
RECT 382.020 927.370 385.020 927.380 ;
RECT 562.020 927.370 565.020 927.380 ;
RECT 742.020 927.370 745.020 927.380 ;
RECT 922.020 927.370 925.020 927.380 ;
RECT 1102.020 927.370 1105.020 927.380 ;
RECT 1282.020 927.370 1285.020 927.380 ;
RECT 1462.020 927.370 1465.020 927.380 ;
RECT 1642.020 927.370 1645.020 927.380 ;
RECT 1822.020 927.370 1825.020 927.380 ;
RECT 2002.020 927.370 2005.020 927.380 ;
RECT 2182.020 927.370 2185.020 927.380 ;
RECT 2362.020 927.370 2365.020 927.380 ;
RECT 2542.020 927.370 2545.020 927.380 ;
RECT 2722.020 927.370 2725.020 927.380 ;
RECT 2902.020 927.370 2905.020 927.380 ;
RECT 2935.800 927.370 2938.800 927.380 ;
RECT -19.180 750.380 -16.180 750.390 ;
RECT 22.020 750.380 25.020 750.390 ;
RECT 202.020 750.380 205.020 750.390 ;
RECT 382.020 750.380 385.020 750.390 ;
RECT 562.020 750.380 565.020 750.390 ;
RECT 742.020 750.380 745.020 750.390 ;
RECT 922.020 750.380 925.020 750.390 ;
RECT 1102.020 750.380 1105.020 750.390 ;
RECT 1282.020 750.380 1285.020 750.390 ;
RECT 1462.020 750.380 1465.020 750.390 ;
RECT 1642.020 750.380 1645.020 750.390 ;
RECT 1822.020 750.380 1825.020 750.390 ;
RECT 2002.020 750.380 2005.020 750.390 ;
RECT 2182.020 750.380 2185.020 750.390 ;
RECT 2362.020 750.380 2365.020 750.390 ;
RECT 2542.020 750.380 2545.020 750.390 ;
RECT 2722.020 750.380 2725.020 750.390 ;
RECT 2902.020 750.380 2905.020 750.390 ;
RECT 2935.800 750.380 2938.800 750.390 ;
RECT -23.780 747.380 2943.400 750.380 ;
RECT -19.180 747.370 -16.180 747.380 ;
RECT 22.020 747.370 25.020 747.380 ;
RECT 202.020 747.370 205.020 747.380 ;
RECT 382.020 747.370 385.020 747.380 ;
RECT 562.020 747.370 565.020 747.380 ;
RECT 742.020 747.370 745.020 747.380 ;
RECT 922.020 747.370 925.020 747.380 ;
RECT 1102.020 747.370 1105.020 747.380 ;
RECT 1282.020 747.370 1285.020 747.380 ;
RECT 1462.020 747.370 1465.020 747.380 ;
RECT 1642.020 747.370 1645.020 747.380 ;
RECT 1822.020 747.370 1825.020 747.380 ;
RECT 2002.020 747.370 2005.020 747.380 ;
RECT 2182.020 747.370 2185.020 747.380 ;
RECT 2362.020 747.370 2365.020 747.380 ;
RECT 2542.020 747.370 2545.020 747.380 ;
RECT 2722.020 747.370 2725.020 747.380 ;
RECT 2902.020 747.370 2905.020 747.380 ;
RECT 2935.800 747.370 2938.800 747.380 ;
RECT -19.180 570.380 -16.180 570.390 ;
RECT 22.020 570.380 25.020 570.390 ;
RECT 202.020 570.380 205.020 570.390 ;
RECT 382.020 570.380 385.020 570.390 ;
RECT 562.020 570.380 565.020 570.390 ;
RECT 742.020 570.380 745.020 570.390 ;
RECT 922.020 570.380 925.020 570.390 ;
RECT 1102.020 570.380 1105.020 570.390 ;
RECT 1282.020 570.380 1285.020 570.390 ;
RECT 1462.020 570.380 1465.020 570.390 ;
RECT 1642.020 570.380 1645.020 570.390 ;
RECT 1822.020 570.380 1825.020 570.390 ;
RECT 2002.020 570.380 2005.020 570.390 ;
RECT 2182.020 570.380 2185.020 570.390 ;
RECT 2362.020 570.380 2365.020 570.390 ;
RECT 2542.020 570.380 2545.020 570.390 ;
RECT 2722.020 570.380 2725.020 570.390 ;
RECT 2902.020 570.380 2905.020 570.390 ;
RECT 2935.800 570.380 2938.800 570.390 ;
RECT -23.780 567.380 2943.400 570.380 ;
RECT -19.180 567.370 -16.180 567.380 ;
RECT 22.020 567.370 25.020 567.380 ;
RECT 202.020 567.370 205.020 567.380 ;
RECT 382.020 567.370 385.020 567.380 ;
RECT 562.020 567.370 565.020 567.380 ;
RECT 742.020 567.370 745.020 567.380 ;
RECT 922.020 567.370 925.020 567.380 ;
RECT 1102.020 567.370 1105.020 567.380 ;
RECT 1282.020 567.370 1285.020 567.380 ;
RECT 1462.020 567.370 1465.020 567.380 ;
RECT 1642.020 567.370 1645.020 567.380 ;
RECT 1822.020 567.370 1825.020 567.380 ;
RECT 2002.020 567.370 2005.020 567.380 ;
RECT 2182.020 567.370 2185.020 567.380 ;
RECT 2362.020 567.370 2365.020 567.380 ;
RECT 2542.020 567.370 2545.020 567.380 ;
RECT 2722.020 567.370 2725.020 567.380 ;
RECT 2902.020 567.370 2905.020 567.380 ;
RECT 2935.800 567.370 2938.800 567.380 ;
RECT -19.180 390.380 -16.180 390.390 ;
RECT 22.020 390.380 25.020 390.390 ;
RECT 202.020 390.380 205.020 390.390 ;
RECT 382.020 390.380 385.020 390.390 ;
RECT 562.020 390.380 565.020 390.390 ;
RECT 742.020 390.380 745.020 390.390 ;
RECT 922.020 390.380 925.020 390.390 ;
RECT 1102.020 390.380 1105.020 390.390 ;
RECT 1282.020 390.380 1285.020 390.390 ;
RECT 1462.020 390.380 1465.020 390.390 ;
RECT 1642.020 390.380 1645.020 390.390 ;
RECT 1822.020 390.380 1825.020 390.390 ;
RECT 2002.020 390.380 2005.020 390.390 ;
RECT 2182.020 390.380 2185.020 390.390 ;
RECT 2362.020 390.380 2365.020 390.390 ;
RECT 2542.020 390.380 2545.020 390.390 ;
RECT 2722.020 390.380 2725.020 390.390 ;
RECT 2902.020 390.380 2905.020 390.390 ;
RECT 2935.800 390.380 2938.800 390.390 ;
RECT -23.780 387.380 2943.400 390.380 ;
RECT -19.180 387.370 -16.180 387.380 ;
RECT 22.020 387.370 25.020 387.380 ;
RECT 202.020 387.370 205.020 387.380 ;
RECT 382.020 387.370 385.020 387.380 ;
RECT 562.020 387.370 565.020 387.380 ;
RECT 742.020 387.370 745.020 387.380 ;
RECT 922.020 387.370 925.020 387.380 ;
RECT 1102.020 387.370 1105.020 387.380 ;
RECT 1282.020 387.370 1285.020 387.380 ;
RECT 1462.020 387.370 1465.020 387.380 ;
RECT 1642.020 387.370 1645.020 387.380 ;
RECT 1822.020 387.370 1825.020 387.380 ;
RECT 2002.020 387.370 2005.020 387.380 ;
RECT 2182.020 387.370 2185.020 387.380 ;
RECT 2362.020 387.370 2365.020 387.380 ;
RECT 2542.020 387.370 2545.020 387.380 ;
RECT 2722.020 387.370 2725.020 387.380 ;
RECT 2902.020 387.370 2905.020 387.380 ;
RECT 2935.800 387.370 2938.800 387.380 ;
RECT -19.180 210.380 -16.180 210.390 ;
RECT 22.020 210.380 25.020 210.390 ;
RECT 202.020 210.380 205.020 210.390 ;
RECT 382.020 210.380 385.020 210.390 ;
RECT 562.020 210.380 565.020 210.390 ;
RECT 742.020 210.380 745.020 210.390 ;
RECT 922.020 210.380 925.020 210.390 ;
RECT 1102.020 210.380 1105.020 210.390 ;
RECT 1282.020 210.380 1285.020 210.390 ;
RECT 1462.020 210.380 1465.020 210.390 ;
RECT 1642.020 210.380 1645.020 210.390 ;
RECT 1822.020 210.380 1825.020 210.390 ;
RECT 2002.020 210.380 2005.020 210.390 ;
RECT 2182.020 210.380 2185.020 210.390 ;
RECT 2362.020 210.380 2365.020 210.390 ;
RECT 2542.020 210.380 2545.020 210.390 ;
RECT 2722.020 210.380 2725.020 210.390 ;
RECT 2902.020 210.380 2905.020 210.390 ;
RECT 2935.800 210.380 2938.800 210.390 ;
RECT -23.780 207.380 2943.400 210.380 ;
RECT -19.180 207.370 -16.180 207.380 ;
RECT 22.020 207.370 25.020 207.380 ;
RECT 202.020 207.370 205.020 207.380 ;
RECT 382.020 207.370 385.020 207.380 ;
RECT 562.020 207.370 565.020 207.380 ;
RECT 742.020 207.370 745.020 207.380 ;
RECT 922.020 207.370 925.020 207.380 ;
RECT 1102.020 207.370 1105.020 207.380 ;
RECT 1282.020 207.370 1285.020 207.380 ;
RECT 1462.020 207.370 1465.020 207.380 ;
RECT 1642.020 207.370 1645.020 207.380 ;
RECT 1822.020 207.370 1825.020 207.380 ;
RECT 2002.020 207.370 2005.020 207.380 ;
RECT 2182.020 207.370 2185.020 207.380 ;
RECT 2362.020 207.370 2365.020 207.380 ;
RECT 2542.020 207.370 2545.020 207.380 ;
RECT 2722.020 207.370 2725.020 207.380 ;
RECT 2902.020 207.370 2905.020 207.380 ;
RECT 2935.800 207.370 2938.800 207.380 ;
RECT -19.180 30.380 -16.180 30.390 ;
RECT 22.020 30.380 25.020 30.390 ;
RECT 202.020 30.380 205.020 30.390 ;
RECT 382.020 30.380 385.020 30.390 ;
RECT 562.020 30.380 565.020 30.390 ;
RECT 742.020 30.380 745.020 30.390 ;
RECT 922.020 30.380 925.020 30.390 ;
RECT 1102.020 30.380 1105.020 30.390 ;
RECT 1282.020 30.380 1285.020 30.390 ;
RECT 1462.020 30.380 1465.020 30.390 ;
RECT 1642.020 30.380 1645.020 30.390 ;
RECT 1822.020 30.380 1825.020 30.390 ;
RECT 2002.020 30.380 2005.020 30.390 ;
RECT 2182.020 30.380 2185.020 30.390 ;
RECT 2362.020 30.380 2365.020 30.390 ;
RECT 2542.020 30.380 2545.020 30.390 ;
RECT 2722.020 30.380 2725.020 30.390 ;
RECT 2902.020 30.380 2905.020 30.390 ;
RECT 2935.800 30.380 2938.800 30.390 ;
RECT -23.780 27.380 2943.400 30.380 ;
RECT -19.180 27.370 -16.180 27.380 ;
RECT 22.020 27.370 25.020 27.380 ;
RECT 202.020 27.370 205.020 27.380 ;
RECT 382.020 27.370 385.020 27.380 ;
RECT 562.020 27.370 565.020 27.380 ;
RECT 742.020 27.370 745.020 27.380 ;
RECT 922.020 27.370 925.020 27.380 ;
RECT 1102.020 27.370 1105.020 27.380 ;
RECT 1282.020 27.370 1285.020 27.380 ;
RECT 1462.020 27.370 1465.020 27.380 ;
RECT 1642.020 27.370 1645.020 27.380 ;
RECT 1822.020 27.370 1825.020 27.380 ;
RECT 2002.020 27.370 2005.020 27.380 ;
RECT 2182.020 27.370 2185.020 27.380 ;
RECT 2362.020 27.370 2365.020 27.380 ;
RECT 2542.020 27.370 2545.020 27.380 ;
RECT 2722.020 27.370 2725.020 27.380 ;
RECT 2902.020 27.370 2905.020 27.380 ;
RECT 2935.800 27.370 2938.800 27.380 ;
RECT -19.180 -10.820 -16.180 -10.810 ;
RECT 22.020 -10.820 25.020 -10.810 ;
RECT 202.020 -10.820 205.020 -10.810 ;
RECT 382.020 -10.820 385.020 -10.810 ;
RECT 562.020 -10.820 565.020 -10.810 ;
RECT 742.020 -10.820 745.020 -10.810 ;
RECT 922.020 -10.820 925.020 -10.810 ;
RECT 1102.020 -10.820 1105.020 -10.810 ;
RECT 1282.020 -10.820 1285.020 -10.810 ;
RECT 1462.020 -10.820 1465.020 -10.810 ;
RECT 1642.020 -10.820 1645.020 -10.810 ;
RECT 1822.020 -10.820 1825.020 -10.810 ;
RECT 2002.020 -10.820 2005.020 -10.810 ;
RECT 2182.020 -10.820 2185.020 -10.810 ;
RECT 2362.020 -10.820 2365.020 -10.810 ;
RECT 2542.020 -10.820 2545.020 -10.810 ;
RECT 2722.020 -10.820 2725.020 -10.810 ;
RECT 2902.020 -10.820 2905.020 -10.810 ;
RECT 2935.800 -10.820 2938.800 -10.810 ;
RECT -19.180 -13.820 2938.800 -10.820 ;
RECT -19.180 -13.830 -16.180 -13.820 ;
RECT 22.020 -13.830 25.020 -13.820 ;
RECT 202.020 -13.830 205.020 -13.820 ;
RECT 382.020 -13.830 385.020 -13.820 ;
RECT 562.020 -13.830 565.020 -13.820 ;
RECT 742.020 -13.830 745.020 -13.820 ;
RECT 922.020 -13.830 925.020 -13.820 ;
RECT 1102.020 -13.830 1105.020 -13.820 ;
RECT 1282.020 -13.830 1285.020 -13.820 ;
RECT 1462.020 -13.830 1465.020 -13.820 ;
RECT 1642.020 -13.830 1645.020 -13.820 ;
RECT 1822.020 -13.830 1825.020 -13.820 ;
RECT 2002.020 -13.830 2005.020 -13.820 ;
RECT 2182.020 -13.830 2185.020 -13.820 ;
RECT 2362.020 -13.830 2365.020 -13.820 ;
RECT 2542.020 -13.830 2545.020 -13.820 ;
RECT 2722.020 -13.830 2725.020 -13.820 ;
RECT 2902.020 -13.830 2905.020 -13.820 ;
RECT 2935.800 -13.830 2938.800 -13.820 ;
END
END vccd2
PIN vssd2
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT -23.780 -18.420 -20.780 3538.100 ;
RECT 112.020 -18.420 115.020 3538.100 ;
RECT 292.020 -18.420 295.020 3538.100 ;
RECT 472.020 -18.420 475.020 3538.100 ;
RECT 652.020 -18.420 655.020 3538.100 ;
RECT 832.020 -18.420 835.020 3538.100 ;
RECT 1012.020 -18.420 1015.020 3538.100 ;
RECT 1192.020 -18.420 1195.020 3538.100 ;
RECT 1372.020 -18.420 1375.020 3538.100 ;
RECT 1552.020 -18.420 1555.020 3538.100 ;
RECT 1732.020 -18.420 1735.020 3538.100 ;
RECT 1912.020 -18.420 1915.020 3538.100 ;
RECT 2092.020 -18.420 2095.020 3538.100 ;
RECT 2272.020 -18.420 2275.020 3538.100 ;
RECT 2452.020 -18.420 2455.020 3538.100 ;
RECT 2632.020 -18.420 2635.020 3538.100 ;
RECT 2812.020 -18.420 2815.020 3538.100 ;
RECT 2940.400 -18.420 2943.400 3538.100 ;
LAYER via4 ;
RECT -22.870 3536.810 -21.690 3537.990 ;
RECT -22.870 3535.210 -21.690 3536.390 ;
RECT -22.870 3359.090 -21.690 3360.270 ;
RECT -22.870 3357.490 -21.690 3358.670 ;
RECT -22.870 3179.090 -21.690 3180.270 ;
RECT -22.870 3177.490 -21.690 3178.670 ;
RECT -22.870 2999.090 -21.690 3000.270 ;
RECT -22.870 2997.490 -21.690 2998.670 ;
RECT -22.870 2819.090 -21.690 2820.270 ;
RECT -22.870 2817.490 -21.690 2818.670 ;
RECT -22.870 2639.090 -21.690 2640.270 ;
RECT -22.870 2637.490 -21.690 2638.670 ;
RECT -22.870 2459.090 -21.690 2460.270 ;
RECT -22.870 2457.490 -21.690 2458.670 ;
RECT -22.870 2279.090 -21.690 2280.270 ;
RECT -22.870 2277.490 -21.690 2278.670 ;
RECT -22.870 2099.090 -21.690 2100.270 ;
RECT -22.870 2097.490 -21.690 2098.670 ;
RECT -22.870 1919.090 -21.690 1920.270 ;
RECT -22.870 1917.490 -21.690 1918.670 ;
RECT -22.870 1739.090 -21.690 1740.270 ;
RECT -22.870 1737.490 -21.690 1738.670 ;
RECT -22.870 1559.090 -21.690 1560.270 ;
RECT -22.870 1557.490 -21.690 1558.670 ;
RECT -22.870 1379.090 -21.690 1380.270 ;
RECT -22.870 1377.490 -21.690 1378.670 ;
RECT -22.870 1199.090 -21.690 1200.270 ;
RECT -22.870 1197.490 -21.690 1198.670 ;
RECT -22.870 1019.090 -21.690 1020.270 ;
RECT -22.870 1017.490 -21.690 1018.670 ;
RECT -22.870 839.090 -21.690 840.270 ;
RECT -22.870 837.490 -21.690 838.670 ;
RECT -22.870 659.090 -21.690 660.270 ;
RECT -22.870 657.490 -21.690 658.670 ;
RECT -22.870 479.090 -21.690 480.270 ;
RECT -22.870 477.490 -21.690 478.670 ;
RECT -22.870 299.090 -21.690 300.270 ;
RECT -22.870 297.490 -21.690 298.670 ;
RECT -22.870 119.090 -21.690 120.270 ;
RECT -22.870 117.490 -21.690 118.670 ;
RECT -22.870 -16.710 -21.690 -15.530 ;
RECT -22.870 -18.310 -21.690 -17.130 ;
RECT 112.930 3536.810 114.110 3537.990 ;
RECT 112.930 3535.210 114.110 3536.390 ;
RECT 112.930 3359.090 114.110 3360.270 ;
RECT 112.930 3357.490 114.110 3358.670 ;
RECT 112.930 3179.090 114.110 3180.270 ;
RECT 112.930 3177.490 114.110 3178.670 ;
RECT 112.930 2999.090 114.110 3000.270 ;
RECT 112.930 2997.490 114.110 2998.670 ;
RECT 112.930 2819.090 114.110 2820.270 ;
RECT 112.930 2817.490 114.110 2818.670 ;
RECT 112.930 2639.090 114.110 2640.270 ;
RECT 112.930 2637.490 114.110 2638.670 ;
RECT 112.930 2459.090 114.110 2460.270 ;
RECT 112.930 2457.490 114.110 2458.670 ;
RECT 112.930 2279.090 114.110 2280.270 ;
RECT 112.930 2277.490 114.110 2278.670 ;
RECT 112.930 2099.090 114.110 2100.270 ;
RECT 112.930 2097.490 114.110 2098.670 ;
RECT 112.930 1919.090 114.110 1920.270 ;
RECT 112.930 1917.490 114.110 1918.670 ;
RECT 112.930 1739.090 114.110 1740.270 ;
RECT 112.930 1737.490 114.110 1738.670 ;
RECT 112.930 1559.090 114.110 1560.270 ;
RECT 112.930 1557.490 114.110 1558.670 ;
RECT 112.930 1379.090 114.110 1380.270 ;
RECT 112.930 1377.490 114.110 1378.670 ;
RECT 112.930 1199.090 114.110 1200.270 ;
RECT 112.930 1197.490 114.110 1198.670 ;
RECT 112.930 1019.090 114.110 1020.270 ;
RECT 112.930 1017.490 114.110 1018.670 ;
RECT 112.930 839.090 114.110 840.270 ;
RECT 112.930 837.490 114.110 838.670 ;
RECT 112.930 659.090 114.110 660.270 ;
RECT 112.930 657.490 114.110 658.670 ;
RECT 112.930 479.090 114.110 480.270 ;
RECT 112.930 477.490 114.110 478.670 ;
RECT 112.930 299.090 114.110 300.270 ;
RECT 112.930 297.490 114.110 298.670 ;
RECT 112.930 119.090 114.110 120.270 ;
RECT 112.930 117.490 114.110 118.670 ;
RECT 112.930 -16.710 114.110 -15.530 ;
RECT 112.930 -18.310 114.110 -17.130 ;
RECT 292.930 3536.810 294.110 3537.990 ;
RECT 292.930 3535.210 294.110 3536.390 ;
RECT 292.930 3359.090 294.110 3360.270 ;
RECT 292.930 3357.490 294.110 3358.670 ;
RECT 292.930 3179.090 294.110 3180.270 ;
RECT 292.930 3177.490 294.110 3178.670 ;
RECT 292.930 2999.090 294.110 3000.270 ;
RECT 292.930 2997.490 294.110 2998.670 ;
RECT 292.930 2819.090 294.110 2820.270 ;
RECT 292.930 2817.490 294.110 2818.670 ;
RECT 292.930 2639.090 294.110 2640.270 ;
RECT 292.930 2637.490 294.110 2638.670 ;
RECT 292.930 2459.090 294.110 2460.270 ;
RECT 292.930 2457.490 294.110 2458.670 ;
RECT 292.930 2279.090 294.110 2280.270 ;
RECT 292.930 2277.490 294.110 2278.670 ;
RECT 292.930 2099.090 294.110 2100.270 ;
RECT 292.930 2097.490 294.110 2098.670 ;
RECT 292.930 1919.090 294.110 1920.270 ;
RECT 292.930 1917.490 294.110 1918.670 ;
RECT 292.930 1739.090 294.110 1740.270 ;
RECT 292.930 1737.490 294.110 1738.670 ;
RECT 292.930 1559.090 294.110 1560.270 ;
RECT 292.930 1557.490 294.110 1558.670 ;
RECT 292.930 1379.090 294.110 1380.270 ;
RECT 292.930 1377.490 294.110 1378.670 ;
RECT 292.930 1199.090 294.110 1200.270 ;
RECT 292.930 1197.490 294.110 1198.670 ;
RECT 292.930 1019.090 294.110 1020.270 ;
RECT 292.930 1017.490 294.110 1018.670 ;
RECT 292.930 839.090 294.110 840.270 ;
RECT 292.930 837.490 294.110 838.670 ;
RECT 292.930 659.090 294.110 660.270 ;
RECT 292.930 657.490 294.110 658.670 ;
RECT 292.930 479.090 294.110 480.270 ;
RECT 292.930 477.490 294.110 478.670 ;
RECT 292.930 299.090 294.110 300.270 ;
RECT 292.930 297.490 294.110 298.670 ;
RECT 292.930 119.090 294.110 120.270 ;
RECT 292.930 117.490 294.110 118.670 ;
RECT 292.930 -16.710 294.110 -15.530 ;
RECT 292.930 -18.310 294.110 -17.130 ;
RECT 472.930 3536.810 474.110 3537.990 ;
RECT 472.930 3535.210 474.110 3536.390 ;
RECT 472.930 3359.090 474.110 3360.270 ;
RECT 472.930 3357.490 474.110 3358.670 ;
RECT 472.930 3179.090 474.110 3180.270 ;
RECT 472.930 3177.490 474.110 3178.670 ;
RECT 472.930 2999.090 474.110 3000.270 ;
RECT 472.930 2997.490 474.110 2998.670 ;
RECT 472.930 2819.090 474.110 2820.270 ;
RECT 472.930 2817.490 474.110 2818.670 ;
RECT 472.930 2639.090 474.110 2640.270 ;
RECT 472.930 2637.490 474.110 2638.670 ;
RECT 472.930 2459.090 474.110 2460.270 ;
RECT 472.930 2457.490 474.110 2458.670 ;
RECT 472.930 2279.090 474.110 2280.270 ;
RECT 472.930 2277.490 474.110 2278.670 ;
RECT 472.930 2099.090 474.110 2100.270 ;
RECT 472.930 2097.490 474.110 2098.670 ;
RECT 472.930 1919.090 474.110 1920.270 ;
RECT 472.930 1917.490 474.110 1918.670 ;
RECT 472.930 1739.090 474.110 1740.270 ;
RECT 472.930 1737.490 474.110 1738.670 ;
RECT 472.930 1559.090 474.110 1560.270 ;
RECT 472.930 1557.490 474.110 1558.670 ;
RECT 472.930 1379.090 474.110 1380.270 ;
RECT 472.930 1377.490 474.110 1378.670 ;
RECT 472.930 1199.090 474.110 1200.270 ;
RECT 472.930 1197.490 474.110 1198.670 ;
RECT 472.930 1019.090 474.110 1020.270 ;
RECT 472.930 1017.490 474.110 1018.670 ;
RECT 472.930 839.090 474.110 840.270 ;
RECT 472.930 837.490 474.110 838.670 ;
RECT 472.930 659.090 474.110 660.270 ;
RECT 472.930 657.490 474.110 658.670 ;
RECT 472.930 479.090 474.110 480.270 ;
RECT 472.930 477.490 474.110 478.670 ;
RECT 472.930 299.090 474.110 300.270 ;
RECT 472.930 297.490 474.110 298.670 ;
RECT 472.930 119.090 474.110 120.270 ;
RECT 472.930 117.490 474.110 118.670 ;
RECT 472.930 -16.710 474.110 -15.530 ;
RECT 472.930 -18.310 474.110 -17.130 ;
RECT 652.930 3536.810 654.110 3537.990 ;
RECT 652.930 3535.210 654.110 3536.390 ;
RECT 652.930 3359.090 654.110 3360.270 ;
RECT 652.930 3357.490 654.110 3358.670 ;
RECT 652.930 3179.090 654.110 3180.270 ;
RECT 652.930 3177.490 654.110 3178.670 ;
RECT 652.930 2999.090 654.110 3000.270 ;
RECT 652.930 2997.490 654.110 2998.670 ;
RECT 652.930 2819.090 654.110 2820.270 ;
RECT 652.930 2817.490 654.110 2818.670 ;
RECT 652.930 2639.090 654.110 2640.270 ;
RECT 652.930 2637.490 654.110 2638.670 ;
RECT 652.930 2459.090 654.110 2460.270 ;
RECT 652.930 2457.490 654.110 2458.670 ;
RECT 652.930 2279.090 654.110 2280.270 ;
RECT 652.930 2277.490 654.110 2278.670 ;
RECT 652.930 2099.090 654.110 2100.270 ;
RECT 652.930 2097.490 654.110 2098.670 ;
RECT 652.930 1919.090 654.110 1920.270 ;
RECT 652.930 1917.490 654.110 1918.670 ;
RECT 652.930 1739.090 654.110 1740.270 ;
RECT 652.930 1737.490 654.110 1738.670 ;
RECT 652.930 1559.090 654.110 1560.270 ;
RECT 652.930 1557.490 654.110 1558.670 ;
RECT 652.930 1379.090 654.110 1380.270 ;
RECT 652.930 1377.490 654.110 1378.670 ;
RECT 652.930 1199.090 654.110 1200.270 ;
RECT 652.930 1197.490 654.110 1198.670 ;
RECT 652.930 1019.090 654.110 1020.270 ;
RECT 652.930 1017.490 654.110 1018.670 ;
RECT 652.930 839.090 654.110 840.270 ;
RECT 652.930 837.490 654.110 838.670 ;
RECT 652.930 659.090 654.110 660.270 ;
RECT 652.930 657.490 654.110 658.670 ;
RECT 652.930 479.090 654.110 480.270 ;
RECT 652.930 477.490 654.110 478.670 ;
RECT 652.930 299.090 654.110 300.270 ;
RECT 652.930 297.490 654.110 298.670 ;
RECT 652.930 119.090 654.110 120.270 ;
RECT 652.930 117.490 654.110 118.670 ;
RECT 652.930 -16.710 654.110 -15.530 ;
RECT 652.930 -18.310 654.110 -17.130 ;
RECT 832.930 3536.810 834.110 3537.990 ;
RECT 832.930 3535.210 834.110 3536.390 ;
RECT 832.930 3359.090 834.110 3360.270 ;
RECT 832.930 3357.490 834.110 3358.670 ;
RECT 832.930 3179.090 834.110 3180.270 ;
RECT 832.930 3177.490 834.110 3178.670 ;
RECT 832.930 2999.090 834.110 3000.270 ;
RECT 832.930 2997.490 834.110 2998.670 ;
RECT 832.930 2819.090 834.110 2820.270 ;
RECT 832.930 2817.490 834.110 2818.670 ;
RECT 832.930 2639.090 834.110 2640.270 ;
RECT 832.930 2637.490 834.110 2638.670 ;
RECT 832.930 2459.090 834.110 2460.270 ;
RECT 832.930 2457.490 834.110 2458.670 ;
RECT 832.930 2279.090 834.110 2280.270 ;
RECT 832.930 2277.490 834.110 2278.670 ;
RECT 832.930 2099.090 834.110 2100.270 ;
RECT 832.930 2097.490 834.110 2098.670 ;
RECT 832.930 1919.090 834.110 1920.270 ;
RECT 832.930 1917.490 834.110 1918.670 ;
RECT 832.930 1739.090 834.110 1740.270 ;
RECT 832.930 1737.490 834.110 1738.670 ;
RECT 832.930 1559.090 834.110 1560.270 ;
RECT 832.930 1557.490 834.110 1558.670 ;
RECT 832.930 1379.090 834.110 1380.270 ;
RECT 832.930 1377.490 834.110 1378.670 ;
RECT 832.930 1199.090 834.110 1200.270 ;
RECT 832.930 1197.490 834.110 1198.670 ;
RECT 832.930 1019.090 834.110 1020.270 ;
RECT 832.930 1017.490 834.110 1018.670 ;
RECT 832.930 839.090 834.110 840.270 ;
RECT 832.930 837.490 834.110 838.670 ;
RECT 832.930 659.090 834.110 660.270 ;
RECT 832.930 657.490 834.110 658.670 ;
RECT 832.930 479.090 834.110 480.270 ;
RECT 832.930 477.490 834.110 478.670 ;
RECT 832.930 299.090 834.110 300.270 ;
RECT 832.930 297.490 834.110 298.670 ;
RECT 832.930 119.090 834.110 120.270 ;
RECT 832.930 117.490 834.110 118.670 ;
RECT 832.930 -16.710 834.110 -15.530 ;
RECT 832.930 -18.310 834.110 -17.130 ;
RECT 1012.930 3536.810 1014.110 3537.990 ;
RECT 1012.930 3535.210 1014.110 3536.390 ;
RECT 1012.930 3359.090 1014.110 3360.270 ;
RECT 1012.930 3357.490 1014.110 3358.670 ;
RECT 1012.930 3179.090 1014.110 3180.270 ;
RECT 1012.930 3177.490 1014.110 3178.670 ;
RECT 1012.930 2999.090 1014.110 3000.270 ;
RECT 1012.930 2997.490 1014.110 2998.670 ;
RECT 1012.930 2819.090 1014.110 2820.270 ;
RECT 1012.930 2817.490 1014.110 2818.670 ;
RECT 1012.930 2639.090 1014.110 2640.270 ;
RECT 1012.930 2637.490 1014.110 2638.670 ;
RECT 1012.930 2459.090 1014.110 2460.270 ;
RECT 1012.930 2457.490 1014.110 2458.670 ;
RECT 1012.930 2279.090 1014.110 2280.270 ;
RECT 1012.930 2277.490 1014.110 2278.670 ;
RECT 1012.930 2099.090 1014.110 2100.270 ;
RECT 1012.930 2097.490 1014.110 2098.670 ;
RECT 1012.930 1919.090 1014.110 1920.270 ;
RECT 1012.930 1917.490 1014.110 1918.670 ;
RECT 1012.930 1739.090 1014.110 1740.270 ;
RECT 1012.930 1737.490 1014.110 1738.670 ;
RECT 1012.930 1559.090 1014.110 1560.270 ;
RECT 1012.930 1557.490 1014.110 1558.670 ;
RECT 1012.930 1379.090 1014.110 1380.270 ;
RECT 1012.930 1377.490 1014.110 1378.670 ;
RECT 1012.930 1199.090 1014.110 1200.270 ;
RECT 1012.930 1197.490 1014.110 1198.670 ;
RECT 1012.930 1019.090 1014.110 1020.270 ;
RECT 1012.930 1017.490 1014.110 1018.670 ;
RECT 1012.930 839.090 1014.110 840.270 ;
RECT 1012.930 837.490 1014.110 838.670 ;
RECT 1012.930 659.090 1014.110 660.270 ;
RECT 1012.930 657.490 1014.110 658.670 ;
RECT 1012.930 479.090 1014.110 480.270 ;
RECT 1012.930 477.490 1014.110 478.670 ;
RECT 1012.930 299.090 1014.110 300.270 ;
RECT 1012.930 297.490 1014.110 298.670 ;
RECT 1012.930 119.090 1014.110 120.270 ;
RECT 1012.930 117.490 1014.110 118.670 ;
RECT 1012.930 -16.710 1014.110 -15.530 ;
RECT 1012.930 -18.310 1014.110 -17.130 ;
RECT 1192.930 3536.810 1194.110 3537.990 ;
RECT 1192.930 3535.210 1194.110 3536.390 ;
RECT 1192.930 3359.090 1194.110 3360.270 ;
RECT 1192.930 3357.490 1194.110 3358.670 ;
RECT 1192.930 3179.090 1194.110 3180.270 ;
RECT 1192.930 3177.490 1194.110 3178.670 ;
RECT 1192.930 2999.090 1194.110 3000.270 ;
RECT 1192.930 2997.490 1194.110 2998.670 ;
RECT 1192.930 2819.090 1194.110 2820.270 ;
RECT 1192.930 2817.490 1194.110 2818.670 ;
RECT 1192.930 2639.090 1194.110 2640.270 ;
RECT 1192.930 2637.490 1194.110 2638.670 ;
RECT 1192.930 2459.090 1194.110 2460.270 ;
RECT 1192.930 2457.490 1194.110 2458.670 ;
RECT 1192.930 2279.090 1194.110 2280.270 ;
RECT 1192.930 2277.490 1194.110 2278.670 ;
RECT 1192.930 2099.090 1194.110 2100.270 ;
RECT 1192.930 2097.490 1194.110 2098.670 ;
RECT 1192.930 1919.090 1194.110 1920.270 ;
RECT 1192.930 1917.490 1194.110 1918.670 ;
RECT 1192.930 1739.090 1194.110 1740.270 ;
RECT 1192.930 1737.490 1194.110 1738.670 ;
RECT 1192.930 1559.090 1194.110 1560.270 ;
RECT 1192.930 1557.490 1194.110 1558.670 ;
RECT 1192.930 1379.090 1194.110 1380.270 ;
RECT 1192.930 1377.490 1194.110 1378.670 ;
RECT 1192.930 1199.090 1194.110 1200.270 ;
RECT 1192.930 1197.490 1194.110 1198.670 ;
RECT 1192.930 1019.090 1194.110 1020.270 ;
RECT 1192.930 1017.490 1194.110 1018.670 ;
RECT 1192.930 839.090 1194.110 840.270 ;
RECT 1192.930 837.490 1194.110 838.670 ;
RECT 1192.930 659.090 1194.110 660.270 ;
RECT 1192.930 657.490 1194.110 658.670 ;
RECT 1192.930 479.090 1194.110 480.270 ;
RECT 1192.930 477.490 1194.110 478.670 ;
RECT 1192.930 299.090 1194.110 300.270 ;
RECT 1192.930 297.490 1194.110 298.670 ;
RECT 1192.930 119.090 1194.110 120.270 ;
RECT 1192.930 117.490 1194.110 118.670 ;
RECT 1192.930 -16.710 1194.110 -15.530 ;
RECT 1192.930 -18.310 1194.110 -17.130 ;
RECT 1372.930 3536.810 1374.110 3537.990 ;
RECT 1372.930 3535.210 1374.110 3536.390 ;
RECT 1372.930 3359.090 1374.110 3360.270 ;
RECT 1372.930 3357.490 1374.110 3358.670 ;
RECT 1372.930 3179.090 1374.110 3180.270 ;
RECT 1372.930 3177.490 1374.110 3178.670 ;
RECT 1372.930 2999.090 1374.110 3000.270 ;
RECT 1372.930 2997.490 1374.110 2998.670 ;
RECT 1372.930 2819.090 1374.110 2820.270 ;
RECT 1372.930 2817.490 1374.110 2818.670 ;
RECT 1372.930 2639.090 1374.110 2640.270 ;
RECT 1372.930 2637.490 1374.110 2638.670 ;
RECT 1372.930 2459.090 1374.110 2460.270 ;
RECT 1372.930 2457.490 1374.110 2458.670 ;
RECT 1372.930 2279.090 1374.110 2280.270 ;
RECT 1372.930 2277.490 1374.110 2278.670 ;
RECT 1372.930 2099.090 1374.110 2100.270 ;
RECT 1372.930 2097.490 1374.110 2098.670 ;
RECT 1372.930 1919.090 1374.110 1920.270 ;
RECT 1372.930 1917.490 1374.110 1918.670 ;
RECT 1372.930 1739.090 1374.110 1740.270 ;
RECT 1372.930 1737.490 1374.110 1738.670 ;
RECT 1372.930 1559.090 1374.110 1560.270 ;
RECT 1372.930 1557.490 1374.110 1558.670 ;
RECT 1372.930 1379.090 1374.110 1380.270 ;
RECT 1372.930 1377.490 1374.110 1378.670 ;
RECT 1372.930 1199.090 1374.110 1200.270 ;
RECT 1372.930 1197.490 1374.110 1198.670 ;
RECT 1372.930 1019.090 1374.110 1020.270 ;
RECT 1372.930 1017.490 1374.110 1018.670 ;
RECT 1372.930 839.090 1374.110 840.270 ;
RECT 1372.930 837.490 1374.110 838.670 ;
RECT 1372.930 659.090 1374.110 660.270 ;
RECT 1372.930 657.490 1374.110 658.670 ;
RECT 1372.930 479.090 1374.110 480.270 ;
RECT 1372.930 477.490 1374.110 478.670 ;
RECT 1372.930 299.090 1374.110 300.270 ;
RECT 1372.930 297.490 1374.110 298.670 ;
RECT 1372.930 119.090 1374.110 120.270 ;
RECT 1372.930 117.490 1374.110 118.670 ;
RECT 1372.930 -16.710 1374.110 -15.530 ;
RECT 1372.930 -18.310 1374.110 -17.130 ;
RECT 1552.930 3536.810 1554.110 3537.990 ;
RECT 1552.930 3535.210 1554.110 3536.390 ;
RECT 1552.930 3359.090 1554.110 3360.270 ;
RECT 1552.930 3357.490 1554.110 3358.670 ;
RECT 1552.930 3179.090 1554.110 3180.270 ;
RECT 1552.930 3177.490 1554.110 3178.670 ;
RECT 1552.930 2999.090 1554.110 3000.270 ;
RECT 1552.930 2997.490 1554.110 2998.670 ;
RECT 1552.930 2819.090 1554.110 2820.270 ;
RECT 1552.930 2817.490 1554.110 2818.670 ;
RECT 1552.930 2639.090 1554.110 2640.270 ;
RECT 1552.930 2637.490 1554.110 2638.670 ;
RECT 1552.930 2459.090 1554.110 2460.270 ;
RECT 1552.930 2457.490 1554.110 2458.670 ;
RECT 1552.930 2279.090 1554.110 2280.270 ;
RECT 1552.930 2277.490 1554.110 2278.670 ;
RECT 1552.930 2099.090 1554.110 2100.270 ;
RECT 1552.930 2097.490 1554.110 2098.670 ;
RECT 1552.930 1919.090 1554.110 1920.270 ;
RECT 1552.930 1917.490 1554.110 1918.670 ;
RECT 1552.930 1739.090 1554.110 1740.270 ;
RECT 1552.930 1737.490 1554.110 1738.670 ;
RECT 1552.930 1559.090 1554.110 1560.270 ;
RECT 1552.930 1557.490 1554.110 1558.670 ;
RECT 1552.930 1379.090 1554.110 1380.270 ;
RECT 1552.930 1377.490 1554.110 1378.670 ;
RECT 1552.930 1199.090 1554.110 1200.270 ;
RECT 1552.930 1197.490 1554.110 1198.670 ;
RECT 1552.930 1019.090 1554.110 1020.270 ;
RECT 1552.930 1017.490 1554.110 1018.670 ;
RECT 1552.930 839.090 1554.110 840.270 ;
RECT 1552.930 837.490 1554.110 838.670 ;
RECT 1552.930 659.090 1554.110 660.270 ;
RECT 1552.930 657.490 1554.110 658.670 ;
RECT 1552.930 479.090 1554.110 480.270 ;
RECT 1552.930 477.490 1554.110 478.670 ;
RECT 1552.930 299.090 1554.110 300.270 ;
RECT 1552.930 297.490 1554.110 298.670 ;
RECT 1552.930 119.090 1554.110 120.270 ;
RECT 1552.930 117.490 1554.110 118.670 ;
RECT 1552.930 -16.710 1554.110 -15.530 ;
RECT 1552.930 -18.310 1554.110 -17.130 ;
RECT 1732.930 3536.810 1734.110 3537.990 ;
RECT 1732.930 3535.210 1734.110 3536.390 ;
RECT 1732.930 3359.090 1734.110 3360.270 ;
RECT 1732.930 3357.490 1734.110 3358.670 ;
RECT 1732.930 3179.090 1734.110 3180.270 ;
RECT 1732.930 3177.490 1734.110 3178.670 ;
RECT 1732.930 2999.090 1734.110 3000.270 ;
RECT 1732.930 2997.490 1734.110 2998.670 ;
RECT 1732.930 2819.090 1734.110 2820.270 ;
RECT 1732.930 2817.490 1734.110 2818.670 ;
RECT 1732.930 2639.090 1734.110 2640.270 ;
RECT 1732.930 2637.490 1734.110 2638.670 ;
RECT 1732.930 2459.090 1734.110 2460.270 ;
RECT 1732.930 2457.490 1734.110 2458.670 ;
RECT 1732.930 2279.090 1734.110 2280.270 ;
RECT 1732.930 2277.490 1734.110 2278.670 ;
RECT 1732.930 2099.090 1734.110 2100.270 ;
RECT 1732.930 2097.490 1734.110 2098.670 ;
RECT 1732.930 1919.090 1734.110 1920.270 ;
RECT 1732.930 1917.490 1734.110 1918.670 ;
RECT 1732.930 1739.090 1734.110 1740.270 ;
RECT 1732.930 1737.490 1734.110 1738.670 ;
RECT 1732.930 1559.090 1734.110 1560.270 ;
RECT 1732.930 1557.490 1734.110 1558.670 ;
RECT 1732.930 1379.090 1734.110 1380.270 ;
RECT 1732.930 1377.490 1734.110 1378.670 ;
RECT 1732.930 1199.090 1734.110 1200.270 ;
RECT 1732.930 1197.490 1734.110 1198.670 ;
RECT 1732.930 1019.090 1734.110 1020.270 ;
RECT 1732.930 1017.490 1734.110 1018.670 ;
RECT 1732.930 839.090 1734.110 840.270 ;
RECT 1732.930 837.490 1734.110 838.670 ;
RECT 1732.930 659.090 1734.110 660.270 ;
RECT 1732.930 657.490 1734.110 658.670 ;
RECT 1732.930 479.090 1734.110 480.270 ;
RECT 1732.930 477.490 1734.110 478.670 ;
RECT 1732.930 299.090 1734.110 300.270 ;
RECT 1732.930 297.490 1734.110 298.670 ;
RECT 1732.930 119.090 1734.110 120.270 ;
RECT 1732.930 117.490 1734.110 118.670 ;
RECT 1732.930 -16.710 1734.110 -15.530 ;
RECT 1732.930 -18.310 1734.110 -17.130 ;
RECT 1912.930 3536.810 1914.110 3537.990 ;
RECT 1912.930 3535.210 1914.110 3536.390 ;
RECT 1912.930 3359.090 1914.110 3360.270 ;
RECT 1912.930 3357.490 1914.110 3358.670 ;
RECT 1912.930 3179.090 1914.110 3180.270 ;
RECT 1912.930 3177.490 1914.110 3178.670 ;
RECT 1912.930 2999.090 1914.110 3000.270 ;
RECT 1912.930 2997.490 1914.110 2998.670 ;
RECT 1912.930 2819.090 1914.110 2820.270 ;
RECT 1912.930 2817.490 1914.110 2818.670 ;
RECT 1912.930 2639.090 1914.110 2640.270 ;
RECT 1912.930 2637.490 1914.110 2638.670 ;
RECT 1912.930 2459.090 1914.110 2460.270 ;
RECT 1912.930 2457.490 1914.110 2458.670 ;
RECT 1912.930 2279.090 1914.110 2280.270 ;
RECT 1912.930 2277.490 1914.110 2278.670 ;
RECT 1912.930 2099.090 1914.110 2100.270 ;
RECT 1912.930 2097.490 1914.110 2098.670 ;
RECT 1912.930 1919.090 1914.110 1920.270 ;
RECT 1912.930 1917.490 1914.110 1918.670 ;
RECT 1912.930 1739.090 1914.110 1740.270 ;
RECT 1912.930 1737.490 1914.110 1738.670 ;
RECT 1912.930 1559.090 1914.110 1560.270 ;
RECT 1912.930 1557.490 1914.110 1558.670 ;
RECT 1912.930 1379.090 1914.110 1380.270 ;
RECT 1912.930 1377.490 1914.110 1378.670 ;
RECT 1912.930 1199.090 1914.110 1200.270 ;
RECT 1912.930 1197.490 1914.110 1198.670 ;
RECT 1912.930 1019.090 1914.110 1020.270 ;
RECT 1912.930 1017.490 1914.110 1018.670 ;
RECT 1912.930 839.090 1914.110 840.270 ;
RECT 1912.930 837.490 1914.110 838.670 ;
RECT 1912.930 659.090 1914.110 660.270 ;
RECT 1912.930 657.490 1914.110 658.670 ;
RECT 1912.930 479.090 1914.110 480.270 ;
RECT 1912.930 477.490 1914.110 478.670 ;
RECT 1912.930 299.090 1914.110 300.270 ;
RECT 1912.930 297.490 1914.110 298.670 ;
RECT 1912.930 119.090 1914.110 120.270 ;
RECT 1912.930 117.490 1914.110 118.670 ;
RECT 1912.930 -16.710 1914.110 -15.530 ;
RECT 1912.930 -18.310 1914.110 -17.130 ;
RECT 2092.930 3536.810 2094.110 3537.990 ;
RECT 2092.930 3535.210 2094.110 3536.390 ;
RECT 2092.930 3359.090 2094.110 3360.270 ;
RECT 2092.930 3357.490 2094.110 3358.670 ;
RECT 2092.930 3179.090 2094.110 3180.270 ;
RECT 2092.930 3177.490 2094.110 3178.670 ;
RECT 2092.930 2999.090 2094.110 3000.270 ;
RECT 2092.930 2997.490 2094.110 2998.670 ;
RECT 2092.930 2819.090 2094.110 2820.270 ;
RECT 2092.930 2817.490 2094.110 2818.670 ;
RECT 2092.930 2639.090 2094.110 2640.270 ;
RECT 2092.930 2637.490 2094.110 2638.670 ;
RECT 2092.930 2459.090 2094.110 2460.270 ;
RECT 2092.930 2457.490 2094.110 2458.670 ;
RECT 2092.930 2279.090 2094.110 2280.270 ;
RECT 2092.930 2277.490 2094.110 2278.670 ;
RECT 2092.930 2099.090 2094.110 2100.270 ;
RECT 2092.930 2097.490 2094.110 2098.670 ;
RECT 2092.930 1919.090 2094.110 1920.270 ;
RECT 2092.930 1917.490 2094.110 1918.670 ;
RECT 2092.930 1739.090 2094.110 1740.270 ;
RECT 2092.930 1737.490 2094.110 1738.670 ;
RECT 2092.930 1559.090 2094.110 1560.270 ;
RECT 2092.930 1557.490 2094.110 1558.670 ;
RECT 2092.930 1379.090 2094.110 1380.270 ;
RECT 2092.930 1377.490 2094.110 1378.670 ;
RECT 2092.930 1199.090 2094.110 1200.270 ;
RECT 2092.930 1197.490 2094.110 1198.670 ;
RECT 2092.930 1019.090 2094.110 1020.270 ;
RECT 2092.930 1017.490 2094.110 1018.670 ;
RECT 2092.930 839.090 2094.110 840.270 ;
RECT 2092.930 837.490 2094.110 838.670 ;
RECT 2092.930 659.090 2094.110 660.270 ;
RECT 2092.930 657.490 2094.110 658.670 ;
RECT 2092.930 479.090 2094.110 480.270 ;
RECT 2092.930 477.490 2094.110 478.670 ;
RECT 2092.930 299.090 2094.110 300.270 ;
RECT 2092.930 297.490 2094.110 298.670 ;
RECT 2092.930 119.090 2094.110 120.270 ;
RECT 2092.930 117.490 2094.110 118.670 ;
RECT 2092.930 -16.710 2094.110 -15.530 ;
RECT 2092.930 -18.310 2094.110 -17.130 ;
RECT 2272.930 3536.810 2274.110 3537.990 ;
RECT 2272.930 3535.210 2274.110 3536.390 ;
RECT 2272.930 3359.090 2274.110 3360.270 ;
RECT 2272.930 3357.490 2274.110 3358.670 ;
RECT 2272.930 3179.090 2274.110 3180.270 ;
RECT 2272.930 3177.490 2274.110 3178.670 ;
RECT 2272.930 2999.090 2274.110 3000.270 ;
RECT 2272.930 2997.490 2274.110 2998.670 ;
RECT 2272.930 2819.090 2274.110 2820.270 ;
RECT 2272.930 2817.490 2274.110 2818.670 ;
RECT 2272.930 2639.090 2274.110 2640.270 ;
RECT 2272.930 2637.490 2274.110 2638.670 ;
RECT 2272.930 2459.090 2274.110 2460.270 ;
RECT 2272.930 2457.490 2274.110 2458.670 ;
RECT 2272.930 2279.090 2274.110 2280.270 ;
RECT 2272.930 2277.490 2274.110 2278.670 ;
RECT 2272.930 2099.090 2274.110 2100.270 ;
RECT 2272.930 2097.490 2274.110 2098.670 ;
RECT 2272.930 1919.090 2274.110 1920.270 ;
RECT 2272.930 1917.490 2274.110 1918.670 ;
RECT 2272.930 1739.090 2274.110 1740.270 ;
RECT 2272.930 1737.490 2274.110 1738.670 ;
RECT 2272.930 1559.090 2274.110 1560.270 ;
RECT 2272.930 1557.490 2274.110 1558.670 ;
RECT 2272.930 1379.090 2274.110 1380.270 ;
RECT 2272.930 1377.490 2274.110 1378.670 ;
RECT 2272.930 1199.090 2274.110 1200.270 ;
RECT 2272.930 1197.490 2274.110 1198.670 ;
RECT 2272.930 1019.090 2274.110 1020.270 ;
RECT 2272.930 1017.490 2274.110 1018.670 ;
RECT 2272.930 839.090 2274.110 840.270 ;
RECT 2272.930 837.490 2274.110 838.670 ;
RECT 2272.930 659.090 2274.110 660.270 ;
RECT 2272.930 657.490 2274.110 658.670 ;
RECT 2272.930 479.090 2274.110 480.270 ;
RECT 2272.930 477.490 2274.110 478.670 ;
RECT 2272.930 299.090 2274.110 300.270 ;
RECT 2272.930 297.490 2274.110 298.670 ;
RECT 2272.930 119.090 2274.110 120.270 ;
RECT 2272.930 117.490 2274.110 118.670 ;
RECT 2272.930 -16.710 2274.110 -15.530 ;
RECT 2272.930 -18.310 2274.110 -17.130 ;
RECT 2452.930 3536.810 2454.110 3537.990 ;
RECT 2452.930 3535.210 2454.110 3536.390 ;
RECT 2452.930 3359.090 2454.110 3360.270 ;
RECT 2452.930 3357.490 2454.110 3358.670 ;
RECT 2452.930 3179.090 2454.110 3180.270 ;
RECT 2452.930 3177.490 2454.110 3178.670 ;
RECT 2452.930 2999.090 2454.110 3000.270 ;
RECT 2452.930 2997.490 2454.110 2998.670 ;
RECT 2452.930 2819.090 2454.110 2820.270 ;
RECT 2452.930 2817.490 2454.110 2818.670 ;
RECT 2452.930 2639.090 2454.110 2640.270 ;
RECT 2452.930 2637.490 2454.110 2638.670 ;
RECT 2452.930 2459.090 2454.110 2460.270 ;
RECT 2452.930 2457.490 2454.110 2458.670 ;
RECT 2452.930 2279.090 2454.110 2280.270 ;
RECT 2452.930 2277.490 2454.110 2278.670 ;
RECT 2452.930 2099.090 2454.110 2100.270 ;
RECT 2452.930 2097.490 2454.110 2098.670 ;
RECT 2452.930 1919.090 2454.110 1920.270 ;
RECT 2452.930 1917.490 2454.110 1918.670 ;
RECT 2452.930 1739.090 2454.110 1740.270 ;
RECT 2452.930 1737.490 2454.110 1738.670 ;
RECT 2452.930 1559.090 2454.110 1560.270 ;
RECT 2452.930 1557.490 2454.110 1558.670 ;
RECT 2452.930 1379.090 2454.110 1380.270 ;
RECT 2452.930 1377.490 2454.110 1378.670 ;
RECT 2452.930 1199.090 2454.110 1200.270 ;
RECT 2452.930 1197.490 2454.110 1198.670 ;
RECT 2452.930 1019.090 2454.110 1020.270 ;
RECT 2452.930 1017.490 2454.110 1018.670 ;
RECT 2452.930 839.090 2454.110 840.270 ;
RECT 2452.930 837.490 2454.110 838.670 ;
RECT 2452.930 659.090 2454.110 660.270 ;
RECT 2452.930 657.490 2454.110 658.670 ;
RECT 2452.930 479.090 2454.110 480.270 ;
RECT 2452.930 477.490 2454.110 478.670 ;
RECT 2452.930 299.090 2454.110 300.270 ;
RECT 2452.930 297.490 2454.110 298.670 ;
RECT 2452.930 119.090 2454.110 120.270 ;
RECT 2452.930 117.490 2454.110 118.670 ;
RECT 2452.930 -16.710 2454.110 -15.530 ;
RECT 2452.930 -18.310 2454.110 -17.130 ;
RECT 2632.930 3536.810 2634.110 3537.990 ;
RECT 2632.930 3535.210 2634.110 3536.390 ;
RECT 2632.930 3359.090 2634.110 3360.270 ;
RECT 2632.930 3357.490 2634.110 3358.670 ;
RECT 2632.930 3179.090 2634.110 3180.270 ;
RECT 2632.930 3177.490 2634.110 3178.670 ;
RECT 2632.930 2999.090 2634.110 3000.270 ;
RECT 2632.930 2997.490 2634.110 2998.670 ;
RECT 2632.930 2819.090 2634.110 2820.270 ;
RECT 2632.930 2817.490 2634.110 2818.670 ;
RECT 2632.930 2639.090 2634.110 2640.270 ;
RECT 2632.930 2637.490 2634.110 2638.670 ;
RECT 2632.930 2459.090 2634.110 2460.270 ;
RECT 2632.930 2457.490 2634.110 2458.670 ;
RECT 2632.930 2279.090 2634.110 2280.270 ;
RECT 2632.930 2277.490 2634.110 2278.670 ;
RECT 2632.930 2099.090 2634.110 2100.270 ;
RECT 2632.930 2097.490 2634.110 2098.670 ;
RECT 2632.930 1919.090 2634.110 1920.270 ;
RECT 2632.930 1917.490 2634.110 1918.670 ;
RECT 2632.930 1739.090 2634.110 1740.270 ;
RECT 2632.930 1737.490 2634.110 1738.670 ;
RECT 2632.930 1559.090 2634.110 1560.270 ;
RECT 2632.930 1557.490 2634.110 1558.670 ;
RECT 2632.930 1379.090 2634.110 1380.270 ;
RECT 2632.930 1377.490 2634.110 1378.670 ;
RECT 2632.930 1199.090 2634.110 1200.270 ;
RECT 2632.930 1197.490 2634.110 1198.670 ;
RECT 2632.930 1019.090 2634.110 1020.270 ;
RECT 2632.930 1017.490 2634.110 1018.670 ;
RECT 2632.930 839.090 2634.110 840.270 ;
RECT 2632.930 837.490 2634.110 838.670 ;
RECT 2632.930 659.090 2634.110 660.270 ;
RECT 2632.930 657.490 2634.110 658.670 ;
RECT 2632.930 479.090 2634.110 480.270 ;
RECT 2632.930 477.490 2634.110 478.670 ;
RECT 2632.930 299.090 2634.110 300.270 ;
RECT 2632.930 297.490 2634.110 298.670 ;
RECT 2632.930 119.090 2634.110 120.270 ;
RECT 2632.930 117.490 2634.110 118.670 ;
RECT 2632.930 -16.710 2634.110 -15.530 ;
RECT 2632.930 -18.310 2634.110 -17.130 ;
RECT 2812.930 3536.810 2814.110 3537.990 ;
RECT 2812.930 3535.210 2814.110 3536.390 ;
RECT 2812.930 3359.090 2814.110 3360.270 ;
RECT 2812.930 3357.490 2814.110 3358.670 ;
RECT 2812.930 3179.090 2814.110 3180.270 ;
RECT 2812.930 3177.490 2814.110 3178.670 ;
RECT 2812.930 2999.090 2814.110 3000.270 ;
RECT 2812.930 2997.490 2814.110 2998.670 ;
RECT 2812.930 2819.090 2814.110 2820.270 ;
RECT 2812.930 2817.490 2814.110 2818.670 ;
RECT 2812.930 2639.090 2814.110 2640.270 ;
RECT 2812.930 2637.490 2814.110 2638.670 ;
RECT 2812.930 2459.090 2814.110 2460.270 ;
RECT 2812.930 2457.490 2814.110 2458.670 ;
RECT 2812.930 2279.090 2814.110 2280.270 ;
RECT 2812.930 2277.490 2814.110 2278.670 ;
RECT 2812.930 2099.090 2814.110 2100.270 ;
RECT 2812.930 2097.490 2814.110 2098.670 ;
RECT 2812.930 1919.090 2814.110 1920.270 ;
RECT 2812.930 1917.490 2814.110 1918.670 ;
RECT 2812.930 1739.090 2814.110 1740.270 ;
RECT 2812.930 1737.490 2814.110 1738.670 ;
RECT 2812.930 1559.090 2814.110 1560.270 ;
RECT 2812.930 1557.490 2814.110 1558.670 ;
RECT 2812.930 1379.090 2814.110 1380.270 ;
RECT 2812.930 1377.490 2814.110 1378.670 ;
RECT 2812.930 1199.090 2814.110 1200.270 ;
RECT 2812.930 1197.490 2814.110 1198.670 ;
RECT 2812.930 1019.090 2814.110 1020.270 ;
RECT 2812.930 1017.490 2814.110 1018.670 ;
RECT 2812.930 839.090 2814.110 840.270 ;
RECT 2812.930 837.490 2814.110 838.670 ;
RECT 2812.930 659.090 2814.110 660.270 ;
RECT 2812.930 657.490 2814.110 658.670 ;
RECT 2812.930 479.090 2814.110 480.270 ;
RECT 2812.930 477.490 2814.110 478.670 ;
RECT 2812.930 299.090 2814.110 300.270 ;
RECT 2812.930 297.490 2814.110 298.670 ;
RECT 2812.930 119.090 2814.110 120.270 ;
RECT 2812.930 117.490 2814.110 118.670 ;
RECT 2812.930 -16.710 2814.110 -15.530 ;
RECT 2812.930 -18.310 2814.110 -17.130 ;
RECT 2941.310 3536.810 2942.490 3537.990 ;
RECT 2941.310 3535.210 2942.490 3536.390 ;
RECT 2941.310 3359.090 2942.490 3360.270 ;
RECT 2941.310 3357.490 2942.490 3358.670 ;
RECT 2941.310 3179.090 2942.490 3180.270 ;
RECT 2941.310 3177.490 2942.490 3178.670 ;
RECT 2941.310 2999.090 2942.490 3000.270 ;
RECT 2941.310 2997.490 2942.490 2998.670 ;
RECT 2941.310 2819.090 2942.490 2820.270 ;
RECT 2941.310 2817.490 2942.490 2818.670 ;
RECT 2941.310 2639.090 2942.490 2640.270 ;
RECT 2941.310 2637.490 2942.490 2638.670 ;
RECT 2941.310 2459.090 2942.490 2460.270 ;
RECT 2941.310 2457.490 2942.490 2458.670 ;
RECT 2941.310 2279.090 2942.490 2280.270 ;
RECT 2941.310 2277.490 2942.490 2278.670 ;
RECT 2941.310 2099.090 2942.490 2100.270 ;
RECT 2941.310 2097.490 2942.490 2098.670 ;
RECT 2941.310 1919.090 2942.490 1920.270 ;
RECT 2941.310 1917.490 2942.490 1918.670 ;
RECT 2941.310 1739.090 2942.490 1740.270 ;
RECT 2941.310 1737.490 2942.490 1738.670 ;
RECT 2941.310 1559.090 2942.490 1560.270 ;
RECT 2941.310 1557.490 2942.490 1558.670 ;
RECT 2941.310 1379.090 2942.490 1380.270 ;
RECT 2941.310 1377.490 2942.490 1378.670 ;
RECT 2941.310 1199.090 2942.490 1200.270 ;
RECT 2941.310 1197.490 2942.490 1198.670 ;
RECT 2941.310 1019.090 2942.490 1020.270 ;
RECT 2941.310 1017.490 2942.490 1018.670 ;
RECT 2941.310 839.090 2942.490 840.270 ;
RECT 2941.310 837.490 2942.490 838.670 ;
RECT 2941.310 659.090 2942.490 660.270 ;
RECT 2941.310 657.490 2942.490 658.670 ;
RECT 2941.310 479.090 2942.490 480.270 ;
RECT 2941.310 477.490 2942.490 478.670 ;
RECT 2941.310 299.090 2942.490 300.270 ;
RECT 2941.310 297.490 2942.490 298.670 ;
RECT 2941.310 119.090 2942.490 120.270 ;
RECT 2941.310 117.490 2942.490 118.670 ;
RECT 2941.310 -16.710 2942.490 -15.530 ;
RECT 2941.310 -18.310 2942.490 -17.130 ;
LAYER met5 ;
RECT -23.780 3538.100 -20.780 3538.110 ;
RECT 112.020 3538.100 115.020 3538.110 ;
RECT 292.020 3538.100 295.020 3538.110 ;
RECT 472.020 3538.100 475.020 3538.110 ;
RECT 652.020 3538.100 655.020 3538.110 ;
RECT 832.020 3538.100 835.020 3538.110 ;
RECT 1012.020 3538.100 1015.020 3538.110 ;
RECT 1192.020 3538.100 1195.020 3538.110 ;
RECT 1372.020 3538.100 1375.020 3538.110 ;
RECT 1552.020 3538.100 1555.020 3538.110 ;
RECT 1732.020 3538.100 1735.020 3538.110 ;
RECT 1912.020 3538.100 1915.020 3538.110 ;
RECT 2092.020 3538.100 2095.020 3538.110 ;
RECT 2272.020 3538.100 2275.020 3538.110 ;
RECT 2452.020 3538.100 2455.020 3538.110 ;
RECT 2632.020 3538.100 2635.020 3538.110 ;
RECT 2812.020 3538.100 2815.020 3538.110 ;
RECT 2940.400 3538.100 2943.400 3538.110 ;
RECT -23.780 3535.100 2943.400 3538.100 ;
RECT -23.780 3535.090 -20.780 3535.100 ;
RECT 112.020 3535.090 115.020 3535.100 ;
RECT 292.020 3535.090 295.020 3535.100 ;
RECT 472.020 3535.090 475.020 3535.100 ;
RECT 652.020 3535.090 655.020 3535.100 ;
RECT 832.020 3535.090 835.020 3535.100 ;
RECT 1012.020 3535.090 1015.020 3535.100 ;
RECT 1192.020 3535.090 1195.020 3535.100 ;
RECT 1372.020 3535.090 1375.020 3535.100 ;
RECT 1552.020 3535.090 1555.020 3535.100 ;
RECT 1732.020 3535.090 1735.020 3535.100 ;
RECT 1912.020 3535.090 1915.020 3535.100 ;
RECT 2092.020 3535.090 2095.020 3535.100 ;
RECT 2272.020 3535.090 2275.020 3535.100 ;
RECT 2452.020 3535.090 2455.020 3535.100 ;
RECT 2632.020 3535.090 2635.020 3535.100 ;
RECT 2812.020 3535.090 2815.020 3535.100 ;
RECT 2940.400 3535.090 2943.400 3535.100 ;
RECT -23.780 3360.380 -20.780 3360.390 ;
RECT 112.020 3360.380 115.020 3360.390 ;
RECT 292.020 3360.380 295.020 3360.390 ;
RECT 472.020 3360.380 475.020 3360.390 ;
RECT 652.020 3360.380 655.020 3360.390 ;
RECT 832.020 3360.380 835.020 3360.390 ;
RECT 1012.020 3360.380 1015.020 3360.390 ;
RECT 1192.020 3360.380 1195.020 3360.390 ;
RECT 1372.020 3360.380 1375.020 3360.390 ;
RECT 1552.020 3360.380 1555.020 3360.390 ;
RECT 1732.020 3360.380 1735.020 3360.390 ;
RECT 1912.020 3360.380 1915.020 3360.390 ;
RECT 2092.020 3360.380 2095.020 3360.390 ;
RECT 2272.020 3360.380 2275.020 3360.390 ;
RECT 2452.020 3360.380 2455.020 3360.390 ;
RECT 2632.020 3360.380 2635.020 3360.390 ;
RECT 2812.020 3360.380 2815.020 3360.390 ;
RECT 2940.400 3360.380 2943.400 3360.390 ;
RECT -23.780 3357.380 2943.400 3360.380 ;
RECT -23.780 3357.370 -20.780 3357.380 ;
RECT 112.020 3357.370 115.020 3357.380 ;
RECT 292.020 3357.370 295.020 3357.380 ;
RECT 472.020 3357.370 475.020 3357.380 ;
RECT 652.020 3357.370 655.020 3357.380 ;
RECT 832.020 3357.370 835.020 3357.380 ;
RECT 1012.020 3357.370 1015.020 3357.380 ;
RECT 1192.020 3357.370 1195.020 3357.380 ;
RECT 1372.020 3357.370 1375.020 3357.380 ;
RECT 1552.020 3357.370 1555.020 3357.380 ;
RECT 1732.020 3357.370 1735.020 3357.380 ;
RECT 1912.020 3357.370 1915.020 3357.380 ;
RECT 2092.020 3357.370 2095.020 3357.380 ;
RECT 2272.020 3357.370 2275.020 3357.380 ;
RECT 2452.020 3357.370 2455.020 3357.380 ;
RECT 2632.020 3357.370 2635.020 3357.380 ;
RECT 2812.020 3357.370 2815.020 3357.380 ;
RECT 2940.400 3357.370 2943.400 3357.380 ;
RECT -23.780 3180.380 -20.780 3180.390 ;
RECT 112.020 3180.380 115.020 3180.390 ;
RECT 292.020 3180.380 295.020 3180.390 ;
RECT 472.020 3180.380 475.020 3180.390 ;
RECT 652.020 3180.380 655.020 3180.390 ;
RECT 832.020 3180.380 835.020 3180.390 ;
RECT 1012.020 3180.380 1015.020 3180.390 ;
RECT 1192.020 3180.380 1195.020 3180.390 ;
RECT 1372.020 3180.380 1375.020 3180.390 ;
RECT 1552.020 3180.380 1555.020 3180.390 ;
RECT 1732.020 3180.380 1735.020 3180.390 ;
RECT 1912.020 3180.380 1915.020 3180.390 ;
RECT 2092.020 3180.380 2095.020 3180.390 ;
RECT 2272.020 3180.380 2275.020 3180.390 ;
RECT 2452.020 3180.380 2455.020 3180.390 ;
RECT 2632.020 3180.380 2635.020 3180.390 ;
RECT 2812.020 3180.380 2815.020 3180.390 ;
RECT 2940.400 3180.380 2943.400 3180.390 ;
RECT -23.780 3177.380 2943.400 3180.380 ;
RECT -23.780 3177.370 -20.780 3177.380 ;
RECT 112.020 3177.370 115.020 3177.380 ;
RECT 292.020 3177.370 295.020 3177.380 ;
RECT 472.020 3177.370 475.020 3177.380 ;
RECT 652.020 3177.370 655.020 3177.380 ;
RECT 832.020 3177.370 835.020 3177.380 ;
RECT 1012.020 3177.370 1015.020 3177.380 ;
RECT 1192.020 3177.370 1195.020 3177.380 ;
RECT 1372.020 3177.370 1375.020 3177.380 ;
RECT 1552.020 3177.370 1555.020 3177.380 ;
RECT 1732.020 3177.370 1735.020 3177.380 ;
RECT 1912.020 3177.370 1915.020 3177.380 ;
RECT 2092.020 3177.370 2095.020 3177.380 ;
RECT 2272.020 3177.370 2275.020 3177.380 ;
RECT 2452.020 3177.370 2455.020 3177.380 ;
RECT 2632.020 3177.370 2635.020 3177.380 ;
RECT 2812.020 3177.370 2815.020 3177.380 ;
RECT 2940.400 3177.370 2943.400 3177.380 ;
RECT -23.780 3000.380 -20.780 3000.390 ;
RECT 112.020 3000.380 115.020 3000.390 ;
RECT 292.020 3000.380 295.020 3000.390 ;
RECT 472.020 3000.380 475.020 3000.390 ;
RECT 652.020 3000.380 655.020 3000.390 ;
RECT 832.020 3000.380 835.020 3000.390 ;
RECT 1012.020 3000.380 1015.020 3000.390 ;
RECT 1192.020 3000.380 1195.020 3000.390 ;
RECT 1372.020 3000.380 1375.020 3000.390 ;
RECT 1552.020 3000.380 1555.020 3000.390 ;
RECT 1732.020 3000.380 1735.020 3000.390 ;
RECT 1912.020 3000.380 1915.020 3000.390 ;
RECT 2092.020 3000.380 2095.020 3000.390 ;
RECT 2272.020 3000.380 2275.020 3000.390 ;
RECT 2452.020 3000.380 2455.020 3000.390 ;
RECT 2632.020 3000.380 2635.020 3000.390 ;
RECT 2812.020 3000.380 2815.020 3000.390 ;
RECT 2940.400 3000.380 2943.400 3000.390 ;
RECT -23.780 2997.380 2943.400 3000.380 ;
RECT -23.780 2997.370 -20.780 2997.380 ;
RECT 112.020 2997.370 115.020 2997.380 ;
RECT 292.020 2997.370 295.020 2997.380 ;
RECT 472.020 2997.370 475.020 2997.380 ;
RECT 652.020 2997.370 655.020 2997.380 ;
RECT 832.020 2997.370 835.020 2997.380 ;
RECT 1012.020 2997.370 1015.020 2997.380 ;
RECT 1192.020 2997.370 1195.020 2997.380 ;
RECT 1372.020 2997.370 1375.020 2997.380 ;
RECT 1552.020 2997.370 1555.020 2997.380 ;
RECT 1732.020 2997.370 1735.020 2997.380 ;
RECT 1912.020 2997.370 1915.020 2997.380 ;
RECT 2092.020 2997.370 2095.020 2997.380 ;
RECT 2272.020 2997.370 2275.020 2997.380 ;
RECT 2452.020 2997.370 2455.020 2997.380 ;
RECT 2632.020 2997.370 2635.020 2997.380 ;
RECT 2812.020 2997.370 2815.020 2997.380 ;
RECT 2940.400 2997.370 2943.400 2997.380 ;
RECT -23.780 2820.380 -20.780 2820.390 ;
RECT 112.020 2820.380 115.020 2820.390 ;
RECT 292.020 2820.380 295.020 2820.390 ;
RECT 472.020 2820.380 475.020 2820.390 ;
RECT 652.020 2820.380 655.020 2820.390 ;
RECT 832.020 2820.380 835.020 2820.390 ;
RECT 1012.020 2820.380 1015.020 2820.390 ;
RECT 1192.020 2820.380 1195.020 2820.390 ;
RECT 1372.020 2820.380 1375.020 2820.390 ;
RECT 1552.020 2820.380 1555.020 2820.390 ;
RECT 1732.020 2820.380 1735.020 2820.390 ;
RECT 1912.020 2820.380 1915.020 2820.390 ;
RECT 2092.020 2820.380 2095.020 2820.390 ;
RECT 2272.020 2820.380 2275.020 2820.390 ;
RECT 2452.020 2820.380 2455.020 2820.390 ;
RECT 2632.020 2820.380 2635.020 2820.390 ;
RECT 2812.020 2820.380 2815.020 2820.390 ;
RECT 2940.400 2820.380 2943.400 2820.390 ;
RECT -23.780 2817.380 2943.400 2820.380 ;
RECT -23.780 2817.370 -20.780 2817.380 ;
RECT 112.020 2817.370 115.020 2817.380 ;
RECT 292.020 2817.370 295.020 2817.380 ;
RECT 472.020 2817.370 475.020 2817.380 ;
RECT 652.020 2817.370 655.020 2817.380 ;
RECT 832.020 2817.370 835.020 2817.380 ;
RECT 1012.020 2817.370 1015.020 2817.380 ;
RECT 1192.020 2817.370 1195.020 2817.380 ;
RECT 1372.020 2817.370 1375.020 2817.380 ;
RECT 1552.020 2817.370 1555.020 2817.380 ;
RECT 1732.020 2817.370 1735.020 2817.380 ;
RECT 1912.020 2817.370 1915.020 2817.380 ;
RECT 2092.020 2817.370 2095.020 2817.380 ;
RECT 2272.020 2817.370 2275.020 2817.380 ;
RECT 2452.020 2817.370 2455.020 2817.380 ;
RECT 2632.020 2817.370 2635.020 2817.380 ;
RECT 2812.020 2817.370 2815.020 2817.380 ;
RECT 2940.400 2817.370 2943.400 2817.380 ;
RECT -23.780 2640.380 -20.780 2640.390 ;
RECT 112.020 2640.380 115.020 2640.390 ;
RECT 292.020 2640.380 295.020 2640.390 ;
RECT 472.020 2640.380 475.020 2640.390 ;
RECT 652.020 2640.380 655.020 2640.390 ;
RECT 832.020 2640.380 835.020 2640.390 ;
RECT 1012.020 2640.380 1015.020 2640.390 ;
RECT 1192.020 2640.380 1195.020 2640.390 ;
RECT 1372.020 2640.380 1375.020 2640.390 ;
RECT 1552.020 2640.380 1555.020 2640.390 ;
RECT 1732.020 2640.380 1735.020 2640.390 ;
RECT 1912.020 2640.380 1915.020 2640.390 ;
RECT 2092.020 2640.380 2095.020 2640.390 ;
RECT 2272.020 2640.380 2275.020 2640.390 ;
RECT 2452.020 2640.380 2455.020 2640.390 ;
RECT 2632.020 2640.380 2635.020 2640.390 ;
RECT 2812.020 2640.380 2815.020 2640.390 ;
RECT 2940.400 2640.380 2943.400 2640.390 ;
RECT -23.780 2637.380 2943.400 2640.380 ;
RECT -23.780 2637.370 -20.780 2637.380 ;
RECT 112.020 2637.370 115.020 2637.380 ;
RECT 292.020 2637.370 295.020 2637.380 ;
RECT 472.020 2637.370 475.020 2637.380 ;
RECT 652.020 2637.370 655.020 2637.380 ;
RECT 832.020 2637.370 835.020 2637.380 ;
RECT 1012.020 2637.370 1015.020 2637.380 ;
RECT 1192.020 2637.370 1195.020 2637.380 ;
RECT 1372.020 2637.370 1375.020 2637.380 ;
RECT 1552.020 2637.370 1555.020 2637.380 ;
RECT 1732.020 2637.370 1735.020 2637.380 ;
RECT 1912.020 2637.370 1915.020 2637.380 ;
RECT 2092.020 2637.370 2095.020 2637.380 ;
RECT 2272.020 2637.370 2275.020 2637.380 ;
RECT 2452.020 2637.370 2455.020 2637.380 ;
RECT 2632.020 2637.370 2635.020 2637.380 ;
RECT 2812.020 2637.370 2815.020 2637.380 ;
RECT 2940.400 2637.370 2943.400 2637.380 ;
RECT -23.780 2460.380 -20.780 2460.390 ;
RECT 112.020 2460.380 115.020 2460.390 ;
RECT 292.020 2460.380 295.020 2460.390 ;
RECT 472.020 2460.380 475.020 2460.390 ;
RECT 652.020 2460.380 655.020 2460.390 ;
RECT 832.020 2460.380 835.020 2460.390 ;
RECT 1012.020 2460.380 1015.020 2460.390 ;
RECT 1192.020 2460.380 1195.020 2460.390 ;
RECT 1372.020 2460.380 1375.020 2460.390 ;
RECT 1552.020 2460.380 1555.020 2460.390 ;
RECT 1732.020 2460.380 1735.020 2460.390 ;
RECT 1912.020 2460.380 1915.020 2460.390 ;
RECT 2092.020 2460.380 2095.020 2460.390 ;
RECT 2272.020 2460.380 2275.020 2460.390 ;
RECT 2452.020 2460.380 2455.020 2460.390 ;
RECT 2632.020 2460.380 2635.020 2460.390 ;
RECT 2812.020 2460.380 2815.020 2460.390 ;
RECT 2940.400 2460.380 2943.400 2460.390 ;
RECT -23.780 2457.380 2943.400 2460.380 ;
RECT -23.780 2457.370 -20.780 2457.380 ;
RECT 112.020 2457.370 115.020 2457.380 ;
RECT 292.020 2457.370 295.020 2457.380 ;
RECT 472.020 2457.370 475.020 2457.380 ;
RECT 652.020 2457.370 655.020 2457.380 ;
RECT 832.020 2457.370 835.020 2457.380 ;
RECT 1012.020 2457.370 1015.020 2457.380 ;
RECT 1192.020 2457.370 1195.020 2457.380 ;
RECT 1372.020 2457.370 1375.020 2457.380 ;
RECT 1552.020 2457.370 1555.020 2457.380 ;
RECT 1732.020 2457.370 1735.020 2457.380 ;
RECT 1912.020 2457.370 1915.020 2457.380 ;
RECT 2092.020 2457.370 2095.020 2457.380 ;
RECT 2272.020 2457.370 2275.020 2457.380 ;
RECT 2452.020 2457.370 2455.020 2457.380 ;
RECT 2632.020 2457.370 2635.020 2457.380 ;
RECT 2812.020 2457.370 2815.020 2457.380 ;
RECT 2940.400 2457.370 2943.400 2457.380 ;
RECT -23.780 2280.380 -20.780 2280.390 ;
RECT 112.020 2280.380 115.020 2280.390 ;
RECT 292.020 2280.380 295.020 2280.390 ;
RECT 472.020 2280.380 475.020 2280.390 ;
RECT 652.020 2280.380 655.020 2280.390 ;
RECT 832.020 2280.380 835.020 2280.390 ;
RECT 1012.020 2280.380 1015.020 2280.390 ;
RECT 1192.020 2280.380 1195.020 2280.390 ;
RECT 1372.020 2280.380 1375.020 2280.390 ;
RECT 1552.020 2280.380 1555.020 2280.390 ;
RECT 1732.020 2280.380 1735.020 2280.390 ;
RECT 1912.020 2280.380 1915.020 2280.390 ;
RECT 2092.020 2280.380 2095.020 2280.390 ;
RECT 2272.020 2280.380 2275.020 2280.390 ;
RECT 2452.020 2280.380 2455.020 2280.390 ;
RECT 2632.020 2280.380 2635.020 2280.390 ;
RECT 2812.020 2280.380 2815.020 2280.390 ;
RECT 2940.400 2280.380 2943.400 2280.390 ;
RECT -23.780 2277.380 2943.400 2280.380 ;
RECT -23.780 2277.370 -20.780 2277.380 ;
RECT 112.020 2277.370 115.020 2277.380 ;
RECT 292.020 2277.370 295.020 2277.380 ;
RECT 472.020 2277.370 475.020 2277.380 ;
RECT 652.020 2277.370 655.020 2277.380 ;
RECT 832.020 2277.370 835.020 2277.380 ;
RECT 1012.020 2277.370 1015.020 2277.380 ;
RECT 1192.020 2277.370 1195.020 2277.380 ;
RECT 1372.020 2277.370 1375.020 2277.380 ;
RECT 1552.020 2277.370 1555.020 2277.380 ;
RECT 1732.020 2277.370 1735.020 2277.380 ;
RECT 1912.020 2277.370 1915.020 2277.380 ;
RECT 2092.020 2277.370 2095.020 2277.380 ;
RECT 2272.020 2277.370 2275.020 2277.380 ;
RECT 2452.020 2277.370 2455.020 2277.380 ;
RECT 2632.020 2277.370 2635.020 2277.380 ;
RECT 2812.020 2277.370 2815.020 2277.380 ;
RECT 2940.400 2277.370 2943.400 2277.380 ;
RECT -23.780 2100.380 -20.780 2100.390 ;
RECT 112.020 2100.380 115.020 2100.390 ;
RECT 292.020 2100.380 295.020 2100.390 ;
RECT 472.020 2100.380 475.020 2100.390 ;
RECT 652.020 2100.380 655.020 2100.390 ;
RECT 832.020 2100.380 835.020 2100.390 ;
RECT 1012.020 2100.380 1015.020 2100.390 ;
RECT 1192.020 2100.380 1195.020 2100.390 ;
RECT 1372.020 2100.380 1375.020 2100.390 ;
RECT 1552.020 2100.380 1555.020 2100.390 ;
RECT 1732.020 2100.380 1735.020 2100.390 ;
RECT 1912.020 2100.380 1915.020 2100.390 ;
RECT 2092.020 2100.380 2095.020 2100.390 ;
RECT 2272.020 2100.380 2275.020 2100.390 ;
RECT 2452.020 2100.380 2455.020 2100.390 ;
RECT 2632.020 2100.380 2635.020 2100.390 ;
RECT 2812.020 2100.380 2815.020 2100.390 ;
RECT 2940.400 2100.380 2943.400 2100.390 ;
RECT -23.780 2097.380 2943.400 2100.380 ;
RECT -23.780 2097.370 -20.780 2097.380 ;
RECT 112.020 2097.370 115.020 2097.380 ;
RECT 292.020 2097.370 295.020 2097.380 ;
RECT 472.020 2097.370 475.020 2097.380 ;
RECT 652.020 2097.370 655.020 2097.380 ;
RECT 832.020 2097.370 835.020 2097.380 ;
RECT 1012.020 2097.370 1015.020 2097.380 ;
RECT 1192.020 2097.370 1195.020 2097.380 ;
RECT 1372.020 2097.370 1375.020 2097.380 ;
RECT 1552.020 2097.370 1555.020 2097.380 ;
RECT 1732.020 2097.370 1735.020 2097.380 ;
RECT 1912.020 2097.370 1915.020 2097.380 ;
RECT 2092.020 2097.370 2095.020 2097.380 ;
RECT 2272.020 2097.370 2275.020 2097.380 ;
RECT 2452.020 2097.370 2455.020 2097.380 ;
RECT 2632.020 2097.370 2635.020 2097.380 ;
RECT 2812.020 2097.370 2815.020 2097.380 ;
RECT 2940.400 2097.370 2943.400 2097.380 ;
RECT -23.780 1920.380 -20.780 1920.390 ;
RECT 112.020 1920.380 115.020 1920.390 ;
RECT 292.020 1920.380 295.020 1920.390 ;
RECT 472.020 1920.380 475.020 1920.390 ;
RECT 652.020 1920.380 655.020 1920.390 ;
RECT 832.020 1920.380 835.020 1920.390 ;
RECT 1012.020 1920.380 1015.020 1920.390 ;
RECT 1192.020 1920.380 1195.020 1920.390 ;
RECT 1372.020 1920.380 1375.020 1920.390 ;
RECT 1552.020 1920.380 1555.020 1920.390 ;
RECT 1732.020 1920.380 1735.020 1920.390 ;
RECT 1912.020 1920.380 1915.020 1920.390 ;
RECT 2092.020 1920.380 2095.020 1920.390 ;
RECT 2272.020 1920.380 2275.020 1920.390 ;
RECT 2452.020 1920.380 2455.020 1920.390 ;
RECT 2632.020 1920.380 2635.020 1920.390 ;
RECT 2812.020 1920.380 2815.020 1920.390 ;
RECT 2940.400 1920.380 2943.400 1920.390 ;
RECT -23.780 1917.380 2943.400 1920.380 ;
RECT -23.780 1917.370 -20.780 1917.380 ;
RECT 112.020 1917.370 115.020 1917.380 ;
RECT 292.020 1917.370 295.020 1917.380 ;
RECT 472.020 1917.370 475.020 1917.380 ;
RECT 652.020 1917.370 655.020 1917.380 ;
RECT 832.020 1917.370 835.020 1917.380 ;
RECT 1012.020 1917.370 1015.020 1917.380 ;
RECT 1192.020 1917.370 1195.020 1917.380 ;
RECT 1372.020 1917.370 1375.020 1917.380 ;
RECT 1552.020 1917.370 1555.020 1917.380 ;
RECT 1732.020 1917.370 1735.020 1917.380 ;
RECT 1912.020 1917.370 1915.020 1917.380 ;
RECT 2092.020 1917.370 2095.020 1917.380 ;
RECT 2272.020 1917.370 2275.020 1917.380 ;
RECT 2452.020 1917.370 2455.020 1917.380 ;
RECT 2632.020 1917.370 2635.020 1917.380 ;
RECT 2812.020 1917.370 2815.020 1917.380 ;
RECT 2940.400 1917.370 2943.400 1917.380 ;
RECT -23.780 1740.380 -20.780 1740.390 ;
RECT 112.020 1740.380 115.020 1740.390 ;
RECT 292.020 1740.380 295.020 1740.390 ;
RECT 472.020 1740.380 475.020 1740.390 ;
RECT 652.020 1740.380 655.020 1740.390 ;
RECT 832.020 1740.380 835.020 1740.390 ;
RECT 1012.020 1740.380 1015.020 1740.390 ;
RECT 1192.020 1740.380 1195.020 1740.390 ;
RECT 1372.020 1740.380 1375.020 1740.390 ;
RECT 1552.020 1740.380 1555.020 1740.390 ;
RECT 1732.020 1740.380 1735.020 1740.390 ;
RECT 1912.020 1740.380 1915.020 1740.390 ;
RECT 2092.020 1740.380 2095.020 1740.390 ;
RECT 2272.020 1740.380 2275.020 1740.390 ;
RECT 2452.020 1740.380 2455.020 1740.390 ;
RECT 2632.020 1740.380 2635.020 1740.390 ;
RECT 2812.020 1740.380 2815.020 1740.390 ;
RECT 2940.400 1740.380 2943.400 1740.390 ;
RECT -23.780 1737.380 2943.400 1740.380 ;
RECT -23.780 1737.370 -20.780 1737.380 ;
RECT 112.020 1737.370 115.020 1737.380 ;
RECT 292.020 1737.370 295.020 1737.380 ;
RECT 472.020 1737.370 475.020 1737.380 ;
RECT 652.020 1737.370 655.020 1737.380 ;
RECT 832.020 1737.370 835.020 1737.380 ;
RECT 1012.020 1737.370 1015.020 1737.380 ;
RECT 1192.020 1737.370 1195.020 1737.380 ;
RECT 1372.020 1737.370 1375.020 1737.380 ;
RECT 1552.020 1737.370 1555.020 1737.380 ;
RECT 1732.020 1737.370 1735.020 1737.380 ;
RECT 1912.020 1737.370 1915.020 1737.380 ;
RECT 2092.020 1737.370 2095.020 1737.380 ;
RECT 2272.020 1737.370 2275.020 1737.380 ;
RECT 2452.020 1737.370 2455.020 1737.380 ;
RECT 2632.020 1737.370 2635.020 1737.380 ;
RECT 2812.020 1737.370 2815.020 1737.380 ;
RECT 2940.400 1737.370 2943.400 1737.380 ;
RECT -23.780 1560.380 -20.780 1560.390 ;
RECT 112.020 1560.380 115.020 1560.390 ;
RECT 292.020 1560.380 295.020 1560.390 ;
RECT 472.020 1560.380 475.020 1560.390 ;
RECT 652.020 1560.380 655.020 1560.390 ;
RECT 832.020 1560.380 835.020 1560.390 ;
RECT 1012.020 1560.380 1015.020 1560.390 ;
RECT 1192.020 1560.380 1195.020 1560.390 ;
RECT 1372.020 1560.380 1375.020 1560.390 ;
RECT 1552.020 1560.380 1555.020 1560.390 ;
RECT 1732.020 1560.380 1735.020 1560.390 ;
RECT 1912.020 1560.380 1915.020 1560.390 ;
RECT 2092.020 1560.380 2095.020 1560.390 ;
RECT 2272.020 1560.380 2275.020 1560.390 ;
RECT 2452.020 1560.380 2455.020 1560.390 ;
RECT 2632.020 1560.380 2635.020 1560.390 ;
RECT 2812.020 1560.380 2815.020 1560.390 ;
RECT 2940.400 1560.380 2943.400 1560.390 ;
RECT -23.780 1557.380 2943.400 1560.380 ;
RECT -23.780 1557.370 -20.780 1557.380 ;
RECT 112.020 1557.370 115.020 1557.380 ;
RECT 292.020 1557.370 295.020 1557.380 ;
RECT 472.020 1557.370 475.020 1557.380 ;
RECT 652.020 1557.370 655.020 1557.380 ;
RECT 832.020 1557.370 835.020 1557.380 ;
RECT 1012.020 1557.370 1015.020 1557.380 ;
RECT 1192.020 1557.370 1195.020 1557.380 ;
RECT 1372.020 1557.370 1375.020 1557.380 ;
RECT 1552.020 1557.370 1555.020 1557.380 ;
RECT 1732.020 1557.370 1735.020 1557.380 ;
RECT 1912.020 1557.370 1915.020 1557.380 ;
RECT 2092.020 1557.370 2095.020 1557.380 ;
RECT 2272.020 1557.370 2275.020 1557.380 ;
RECT 2452.020 1557.370 2455.020 1557.380 ;
RECT 2632.020 1557.370 2635.020 1557.380 ;
RECT 2812.020 1557.370 2815.020 1557.380 ;
RECT 2940.400 1557.370 2943.400 1557.380 ;
RECT -23.780 1380.380 -20.780 1380.390 ;
RECT 112.020 1380.380 115.020 1380.390 ;
RECT 292.020 1380.380 295.020 1380.390 ;
RECT 472.020 1380.380 475.020 1380.390 ;
RECT 652.020 1380.380 655.020 1380.390 ;
RECT 832.020 1380.380 835.020 1380.390 ;
RECT 1012.020 1380.380 1015.020 1380.390 ;
RECT 1192.020 1380.380 1195.020 1380.390 ;
RECT 1372.020 1380.380 1375.020 1380.390 ;
RECT 1552.020 1380.380 1555.020 1380.390 ;
RECT 1732.020 1380.380 1735.020 1380.390 ;
RECT 1912.020 1380.380 1915.020 1380.390 ;
RECT 2092.020 1380.380 2095.020 1380.390 ;
RECT 2272.020 1380.380 2275.020 1380.390 ;
RECT 2452.020 1380.380 2455.020 1380.390 ;
RECT 2632.020 1380.380 2635.020 1380.390 ;
RECT 2812.020 1380.380 2815.020 1380.390 ;
RECT 2940.400 1380.380 2943.400 1380.390 ;
RECT -23.780 1377.380 2943.400 1380.380 ;
RECT -23.780 1377.370 -20.780 1377.380 ;
RECT 112.020 1377.370 115.020 1377.380 ;
RECT 292.020 1377.370 295.020 1377.380 ;
RECT 472.020 1377.370 475.020 1377.380 ;
RECT 652.020 1377.370 655.020 1377.380 ;
RECT 832.020 1377.370 835.020 1377.380 ;
RECT 1012.020 1377.370 1015.020 1377.380 ;
RECT 1192.020 1377.370 1195.020 1377.380 ;
RECT 1372.020 1377.370 1375.020 1377.380 ;
RECT 1552.020 1377.370 1555.020 1377.380 ;
RECT 1732.020 1377.370 1735.020 1377.380 ;
RECT 1912.020 1377.370 1915.020 1377.380 ;
RECT 2092.020 1377.370 2095.020 1377.380 ;
RECT 2272.020 1377.370 2275.020 1377.380 ;
RECT 2452.020 1377.370 2455.020 1377.380 ;
RECT 2632.020 1377.370 2635.020 1377.380 ;
RECT 2812.020 1377.370 2815.020 1377.380 ;
RECT 2940.400 1377.370 2943.400 1377.380 ;
RECT -23.780 1200.380 -20.780 1200.390 ;
RECT 112.020 1200.380 115.020 1200.390 ;
RECT 292.020 1200.380 295.020 1200.390 ;
RECT 472.020 1200.380 475.020 1200.390 ;
RECT 652.020 1200.380 655.020 1200.390 ;
RECT 832.020 1200.380 835.020 1200.390 ;
RECT 1012.020 1200.380 1015.020 1200.390 ;
RECT 1192.020 1200.380 1195.020 1200.390 ;
RECT 1372.020 1200.380 1375.020 1200.390 ;
RECT 1552.020 1200.380 1555.020 1200.390 ;
RECT 1732.020 1200.380 1735.020 1200.390 ;
RECT 1912.020 1200.380 1915.020 1200.390 ;
RECT 2092.020 1200.380 2095.020 1200.390 ;
RECT 2272.020 1200.380 2275.020 1200.390 ;
RECT 2452.020 1200.380 2455.020 1200.390 ;
RECT 2632.020 1200.380 2635.020 1200.390 ;
RECT 2812.020 1200.380 2815.020 1200.390 ;
RECT 2940.400 1200.380 2943.400 1200.390 ;
RECT -23.780 1197.380 2943.400 1200.380 ;
RECT -23.780 1197.370 -20.780 1197.380 ;
RECT 112.020 1197.370 115.020 1197.380 ;
RECT 292.020 1197.370 295.020 1197.380 ;
RECT 472.020 1197.370 475.020 1197.380 ;
RECT 652.020 1197.370 655.020 1197.380 ;
RECT 832.020 1197.370 835.020 1197.380 ;
RECT 1012.020 1197.370 1015.020 1197.380 ;
RECT 1192.020 1197.370 1195.020 1197.380 ;
RECT 1372.020 1197.370 1375.020 1197.380 ;
RECT 1552.020 1197.370 1555.020 1197.380 ;
RECT 1732.020 1197.370 1735.020 1197.380 ;
RECT 1912.020 1197.370 1915.020 1197.380 ;
RECT 2092.020 1197.370 2095.020 1197.380 ;
RECT 2272.020 1197.370 2275.020 1197.380 ;
RECT 2452.020 1197.370 2455.020 1197.380 ;
RECT 2632.020 1197.370 2635.020 1197.380 ;
RECT 2812.020 1197.370 2815.020 1197.380 ;
RECT 2940.400 1197.370 2943.400 1197.380 ;
RECT -23.780 1020.380 -20.780 1020.390 ;
RECT 112.020 1020.380 115.020 1020.390 ;
RECT 292.020 1020.380 295.020 1020.390 ;
RECT 472.020 1020.380 475.020 1020.390 ;
RECT 652.020 1020.380 655.020 1020.390 ;
RECT 832.020 1020.380 835.020 1020.390 ;
RECT 1012.020 1020.380 1015.020 1020.390 ;
RECT 1192.020 1020.380 1195.020 1020.390 ;
RECT 1372.020 1020.380 1375.020 1020.390 ;
RECT 1552.020 1020.380 1555.020 1020.390 ;
RECT 1732.020 1020.380 1735.020 1020.390 ;
RECT 1912.020 1020.380 1915.020 1020.390 ;
RECT 2092.020 1020.380 2095.020 1020.390 ;
RECT 2272.020 1020.380 2275.020 1020.390 ;
RECT 2452.020 1020.380 2455.020 1020.390 ;
RECT 2632.020 1020.380 2635.020 1020.390 ;
RECT 2812.020 1020.380 2815.020 1020.390 ;
RECT 2940.400 1020.380 2943.400 1020.390 ;
RECT -23.780 1017.380 2943.400 1020.380 ;
RECT -23.780 1017.370 -20.780 1017.380 ;
RECT 112.020 1017.370 115.020 1017.380 ;
RECT 292.020 1017.370 295.020 1017.380 ;
RECT 472.020 1017.370 475.020 1017.380 ;
RECT 652.020 1017.370 655.020 1017.380 ;
RECT 832.020 1017.370 835.020 1017.380 ;
RECT 1012.020 1017.370 1015.020 1017.380 ;
RECT 1192.020 1017.370 1195.020 1017.380 ;
RECT 1372.020 1017.370 1375.020 1017.380 ;
RECT 1552.020 1017.370 1555.020 1017.380 ;
RECT 1732.020 1017.370 1735.020 1017.380 ;
RECT 1912.020 1017.370 1915.020 1017.380 ;
RECT 2092.020 1017.370 2095.020 1017.380 ;
RECT 2272.020 1017.370 2275.020 1017.380 ;
RECT 2452.020 1017.370 2455.020 1017.380 ;
RECT 2632.020 1017.370 2635.020 1017.380 ;
RECT 2812.020 1017.370 2815.020 1017.380 ;
RECT 2940.400 1017.370 2943.400 1017.380 ;
RECT -23.780 840.380 -20.780 840.390 ;
RECT 112.020 840.380 115.020 840.390 ;
RECT 292.020 840.380 295.020 840.390 ;
RECT 472.020 840.380 475.020 840.390 ;
RECT 652.020 840.380 655.020 840.390 ;
RECT 832.020 840.380 835.020 840.390 ;
RECT 1012.020 840.380 1015.020 840.390 ;
RECT 1192.020 840.380 1195.020 840.390 ;
RECT 1372.020 840.380 1375.020 840.390 ;
RECT 1552.020 840.380 1555.020 840.390 ;
RECT 1732.020 840.380 1735.020 840.390 ;
RECT 1912.020 840.380 1915.020 840.390 ;
RECT 2092.020 840.380 2095.020 840.390 ;
RECT 2272.020 840.380 2275.020 840.390 ;
RECT 2452.020 840.380 2455.020 840.390 ;
RECT 2632.020 840.380 2635.020 840.390 ;
RECT 2812.020 840.380 2815.020 840.390 ;
RECT 2940.400 840.380 2943.400 840.390 ;
RECT -23.780 837.380 2943.400 840.380 ;
RECT -23.780 837.370 -20.780 837.380 ;
RECT 112.020 837.370 115.020 837.380 ;
RECT 292.020 837.370 295.020 837.380 ;
RECT 472.020 837.370 475.020 837.380 ;
RECT 652.020 837.370 655.020 837.380 ;
RECT 832.020 837.370 835.020 837.380 ;
RECT 1012.020 837.370 1015.020 837.380 ;
RECT 1192.020 837.370 1195.020 837.380 ;
RECT 1372.020 837.370 1375.020 837.380 ;
RECT 1552.020 837.370 1555.020 837.380 ;
RECT 1732.020 837.370 1735.020 837.380 ;
RECT 1912.020 837.370 1915.020 837.380 ;
RECT 2092.020 837.370 2095.020 837.380 ;
RECT 2272.020 837.370 2275.020 837.380 ;
RECT 2452.020 837.370 2455.020 837.380 ;
RECT 2632.020 837.370 2635.020 837.380 ;
RECT 2812.020 837.370 2815.020 837.380 ;
RECT 2940.400 837.370 2943.400 837.380 ;
RECT -23.780 660.380 -20.780 660.390 ;
RECT 112.020 660.380 115.020 660.390 ;
RECT 292.020 660.380 295.020 660.390 ;
RECT 472.020 660.380 475.020 660.390 ;
RECT 652.020 660.380 655.020 660.390 ;
RECT 832.020 660.380 835.020 660.390 ;
RECT 1012.020 660.380 1015.020 660.390 ;
RECT 1192.020 660.380 1195.020 660.390 ;
RECT 1372.020 660.380 1375.020 660.390 ;
RECT 1552.020 660.380 1555.020 660.390 ;
RECT 1732.020 660.380 1735.020 660.390 ;
RECT 1912.020 660.380 1915.020 660.390 ;
RECT 2092.020 660.380 2095.020 660.390 ;
RECT 2272.020 660.380 2275.020 660.390 ;
RECT 2452.020 660.380 2455.020 660.390 ;
RECT 2632.020 660.380 2635.020 660.390 ;
RECT 2812.020 660.380 2815.020 660.390 ;
RECT 2940.400 660.380 2943.400 660.390 ;
RECT -23.780 657.380 2943.400 660.380 ;
RECT -23.780 657.370 -20.780 657.380 ;
RECT 112.020 657.370 115.020 657.380 ;
RECT 292.020 657.370 295.020 657.380 ;
RECT 472.020 657.370 475.020 657.380 ;
RECT 652.020 657.370 655.020 657.380 ;
RECT 832.020 657.370 835.020 657.380 ;
RECT 1012.020 657.370 1015.020 657.380 ;
RECT 1192.020 657.370 1195.020 657.380 ;
RECT 1372.020 657.370 1375.020 657.380 ;
RECT 1552.020 657.370 1555.020 657.380 ;
RECT 1732.020 657.370 1735.020 657.380 ;
RECT 1912.020 657.370 1915.020 657.380 ;
RECT 2092.020 657.370 2095.020 657.380 ;
RECT 2272.020 657.370 2275.020 657.380 ;
RECT 2452.020 657.370 2455.020 657.380 ;
RECT 2632.020 657.370 2635.020 657.380 ;
RECT 2812.020 657.370 2815.020 657.380 ;
RECT 2940.400 657.370 2943.400 657.380 ;
RECT -23.780 480.380 -20.780 480.390 ;
RECT 112.020 480.380 115.020 480.390 ;
RECT 292.020 480.380 295.020 480.390 ;
RECT 472.020 480.380 475.020 480.390 ;
RECT 652.020 480.380 655.020 480.390 ;
RECT 832.020 480.380 835.020 480.390 ;
RECT 1012.020 480.380 1015.020 480.390 ;
RECT 1192.020 480.380 1195.020 480.390 ;
RECT 1372.020 480.380 1375.020 480.390 ;
RECT 1552.020 480.380 1555.020 480.390 ;
RECT 1732.020 480.380 1735.020 480.390 ;
RECT 1912.020 480.380 1915.020 480.390 ;
RECT 2092.020 480.380 2095.020 480.390 ;
RECT 2272.020 480.380 2275.020 480.390 ;
RECT 2452.020 480.380 2455.020 480.390 ;
RECT 2632.020 480.380 2635.020 480.390 ;
RECT 2812.020 480.380 2815.020 480.390 ;
RECT 2940.400 480.380 2943.400 480.390 ;
RECT -23.780 477.380 2943.400 480.380 ;
RECT -23.780 477.370 -20.780 477.380 ;
RECT 112.020 477.370 115.020 477.380 ;
RECT 292.020 477.370 295.020 477.380 ;
RECT 472.020 477.370 475.020 477.380 ;
RECT 652.020 477.370 655.020 477.380 ;
RECT 832.020 477.370 835.020 477.380 ;
RECT 1012.020 477.370 1015.020 477.380 ;
RECT 1192.020 477.370 1195.020 477.380 ;
RECT 1372.020 477.370 1375.020 477.380 ;
RECT 1552.020 477.370 1555.020 477.380 ;
RECT 1732.020 477.370 1735.020 477.380 ;
RECT 1912.020 477.370 1915.020 477.380 ;
RECT 2092.020 477.370 2095.020 477.380 ;
RECT 2272.020 477.370 2275.020 477.380 ;
RECT 2452.020 477.370 2455.020 477.380 ;
RECT 2632.020 477.370 2635.020 477.380 ;
RECT 2812.020 477.370 2815.020 477.380 ;
RECT 2940.400 477.370 2943.400 477.380 ;
RECT -23.780 300.380 -20.780 300.390 ;
RECT 112.020 300.380 115.020 300.390 ;
RECT 292.020 300.380 295.020 300.390 ;
RECT 472.020 300.380 475.020 300.390 ;
RECT 652.020 300.380 655.020 300.390 ;
RECT 832.020 300.380 835.020 300.390 ;
RECT 1012.020 300.380 1015.020 300.390 ;
RECT 1192.020 300.380 1195.020 300.390 ;
RECT 1372.020 300.380 1375.020 300.390 ;
RECT 1552.020 300.380 1555.020 300.390 ;
RECT 1732.020 300.380 1735.020 300.390 ;
RECT 1912.020 300.380 1915.020 300.390 ;
RECT 2092.020 300.380 2095.020 300.390 ;
RECT 2272.020 300.380 2275.020 300.390 ;
RECT 2452.020 300.380 2455.020 300.390 ;
RECT 2632.020 300.380 2635.020 300.390 ;
RECT 2812.020 300.380 2815.020 300.390 ;
RECT 2940.400 300.380 2943.400 300.390 ;
RECT -23.780 297.380 2943.400 300.380 ;
RECT -23.780 297.370 -20.780 297.380 ;
RECT 112.020 297.370 115.020 297.380 ;
RECT 292.020 297.370 295.020 297.380 ;
RECT 472.020 297.370 475.020 297.380 ;
RECT 652.020 297.370 655.020 297.380 ;
RECT 832.020 297.370 835.020 297.380 ;
RECT 1012.020 297.370 1015.020 297.380 ;
RECT 1192.020 297.370 1195.020 297.380 ;
RECT 1372.020 297.370 1375.020 297.380 ;
RECT 1552.020 297.370 1555.020 297.380 ;
RECT 1732.020 297.370 1735.020 297.380 ;
RECT 1912.020 297.370 1915.020 297.380 ;
RECT 2092.020 297.370 2095.020 297.380 ;
RECT 2272.020 297.370 2275.020 297.380 ;
RECT 2452.020 297.370 2455.020 297.380 ;
RECT 2632.020 297.370 2635.020 297.380 ;
RECT 2812.020 297.370 2815.020 297.380 ;
RECT 2940.400 297.370 2943.400 297.380 ;
RECT -23.780 120.380 -20.780 120.390 ;
RECT 112.020 120.380 115.020 120.390 ;
RECT 292.020 120.380 295.020 120.390 ;
RECT 472.020 120.380 475.020 120.390 ;
RECT 652.020 120.380 655.020 120.390 ;
RECT 832.020 120.380 835.020 120.390 ;
RECT 1012.020 120.380 1015.020 120.390 ;
RECT 1192.020 120.380 1195.020 120.390 ;
RECT 1372.020 120.380 1375.020 120.390 ;
RECT 1552.020 120.380 1555.020 120.390 ;
RECT 1732.020 120.380 1735.020 120.390 ;
RECT 1912.020 120.380 1915.020 120.390 ;
RECT 2092.020 120.380 2095.020 120.390 ;
RECT 2272.020 120.380 2275.020 120.390 ;
RECT 2452.020 120.380 2455.020 120.390 ;
RECT 2632.020 120.380 2635.020 120.390 ;
RECT 2812.020 120.380 2815.020 120.390 ;
RECT 2940.400 120.380 2943.400 120.390 ;
RECT -23.780 117.380 2943.400 120.380 ;
RECT -23.780 117.370 -20.780 117.380 ;
RECT 112.020 117.370 115.020 117.380 ;
RECT 292.020 117.370 295.020 117.380 ;
RECT 472.020 117.370 475.020 117.380 ;
RECT 652.020 117.370 655.020 117.380 ;
RECT 832.020 117.370 835.020 117.380 ;
RECT 1012.020 117.370 1015.020 117.380 ;
RECT 1192.020 117.370 1195.020 117.380 ;
RECT 1372.020 117.370 1375.020 117.380 ;
RECT 1552.020 117.370 1555.020 117.380 ;
RECT 1732.020 117.370 1735.020 117.380 ;
RECT 1912.020 117.370 1915.020 117.380 ;
RECT 2092.020 117.370 2095.020 117.380 ;
RECT 2272.020 117.370 2275.020 117.380 ;
RECT 2452.020 117.370 2455.020 117.380 ;
RECT 2632.020 117.370 2635.020 117.380 ;
RECT 2812.020 117.370 2815.020 117.380 ;
RECT 2940.400 117.370 2943.400 117.380 ;
RECT -23.780 -15.420 -20.780 -15.410 ;
RECT 112.020 -15.420 115.020 -15.410 ;
RECT 292.020 -15.420 295.020 -15.410 ;
RECT 472.020 -15.420 475.020 -15.410 ;
RECT 652.020 -15.420 655.020 -15.410 ;
RECT 832.020 -15.420 835.020 -15.410 ;
RECT 1012.020 -15.420 1015.020 -15.410 ;
RECT 1192.020 -15.420 1195.020 -15.410 ;
RECT 1372.020 -15.420 1375.020 -15.410 ;
RECT 1552.020 -15.420 1555.020 -15.410 ;
RECT 1732.020 -15.420 1735.020 -15.410 ;
RECT 1912.020 -15.420 1915.020 -15.410 ;
RECT 2092.020 -15.420 2095.020 -15.410 ;
RECT 2272.020 -15.420 2275.020 -15.410 ;
RECT 2452.020 -15.420 2455.020 -15.410 ;
RECT 2632.020 -15.420 2635.020 -15.410 ;
RECT 2812.020 -15.420 2815.020 -15.410 ;
RECT 2940.400 -15.420 2943.400 -15.410 ;
RECT -23.780 -18.420 2943.400 -15.420 ;
RECT -23.780 -18.430 -20.780 -18.420 ;
RECT 112.020 -18.430 115.020 -18.420 ;
RECT 292.020 -18.430 295.020 -18.420 ;
RECT 472.020 -18.430 475.020 -18.420 ;
RECT 652.020 -18.430 655.020 -18.420 ;
RECT 832.020 -18.430 835.020 -18.420 ;
RECT 1012.020 -18.430 1015.020 -18.420 ;
RECT 1192.020 -18.430 1195.020 -18.420 ;
RECT 1372.020 -18.430 1375.020 -18.420 ;
RECT 1552.020 -18.430 1555.020 -18.420 ;
RECT 1732.020 -18.430 1735.020 -18.420 ;
RECT 1912.020 -18.430 1915.020 -18.420 ;
RECT 2092.020 -18.430 2095.020 -18.420 ;
RECT 2272.020 -18.430 2275.020 -18.420 ;
RECT 2452.020 -18.430 2455.020 -18.420 ;
RECT 2632.020 -18.430 2635.020 -18.420 ;
RECT 2812.020 -18.430 2815.020 -18.420 ;
RECT 2940.400 -18.430 2943.400 -18.420 ;
END
END vssd2
PIN vdda1
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT -28.380 -23.020 -25.380 3542.700 ;
RECT 40.020 -27.620 43.020 3547.300 ;
RECT 220.020 -27.620 223.020 3547.300 ;
RECT 400.020 -27.620 403.020 3547.300 ;
RECT 580.020 -27.620 583.020 3547.300 ;
RECT 760.020 -27.620 763.020 3547.300 ;
RECT 940.020 -27.620 943.020 3547.300 ;
RECT 1120.020 -27.620 1123.020 3547.300 ;
RECT 1300.020 -27.620 1303.020 3547.300 ;
RECT 1480.020 -27.620 1483.020 3547.300 ;
RECT 1660.020 -27.620 1663.020 3547.300 ;
RECT 1840.020 -27.620 1843.020 3547.300 ;
RECT 2020.020 -27.620 2023.020 3547.300 ;
RECT 2200.020 -27.620 2203.020 3547.300 ;
RECT 2380.020 -27.620 2383.020 3547.300 ;
RECT 2560.020 -27.620 2563.020 3547.300 ;
RECT 2740.020 -27.620 2743.020 3547.300 ;
RECT 2945.000 -23.020 2948.000 3542.700 ;
LAYER via4 ;
RECT -27.470 3541.410 -26.290 3542.590 ;
RECT -27.470 3539.810 -26.290 3540.990 ;
RECT -27.470 3467.090 -26.290 3468.270 ;
RECT -27.470 3465.490 -26.290 3466.670 ;
RECT -27.470 3287.090 -26.290 3288.270 ;
RECT -27.470 3285.490 -26.290 3286.670 ;
RECT -27.470 3107.090 -26.290 3108.270 ;
RECT -27.470 3105.490 -26.290 3106.670 ;
RECT -27.470 2927.090 -26.290 2928.270 ;
RECT -27.470 2925.490 -26.290 2926.670 ;
RECT -27.470 2747.090 -26.290 2748.270 ;
RECT -27.470 2745.490 -26.290 2746.670 ;
RECT -27.470 2567.090 -26.290 2568.270 ;
RECT -27.470 2565.490 -26.290 2566.670 ;
RECT -27.470 2387.090 -26.290 2388.270 ;
RECT -27.470 2385.490 -26.290 2386.670 ;
RECT -27.470 2207.090 -26.290 2208.270 ;
RECT -27.470 2205.490 -26.290 2206.670 ;
RECT -27.470 2027.090 -26.290 2028.270 ;
RECT -27.470 2025.490 -26.290 2026.670 ;
RECT -27.470 1847.090 -26.290 1848.270 ;
RECT -27.470 1845.490 -26.290 1846.670 ;
RECT -27.470 1667.090 -26.290 1668.270 ;
RECT -27.470 1665.490 -26.290 1666.670 ;
RECT -27.470 1487.090 -26.290 1488.270 ;
RECT -27.470 1485.490 -26.290 1486.670 ;
RECT -27.470 1307.090 -26.290 1308.270 ;
RECT -27.470 1305.490 -26.290 1306.670 ;
RECT -27.470 1127.090 -26.290 1128.270 ;
RECT -27.470 1125.490 -26.290 1126.670 ;
RECT -27.470 947.090 -26.290 948.270 ;
RECT -27.470 945.490 -26.290 946.670 ;
RECT -27.470 767.090 -26.290 768.270 ;
RECT -27.470 765.490 -26.290 766.670 ;
RECT -27.470 587.090 -26.290 588.270 ;
RECT -27.470 585.490 -26.290 586.670 ;
RECT -27.470 407.090 -26.290 408.270 ;
RECT -27.470 405.490 -26.290 406.670 ;
RECT -27.470 227.090 -26.290 228.270 ;
RECT -27.470 225.490 -26.290 226.670 ;
RECT -27.470 47.090 -26.290 48.270 ;
RECT -27.470 45.490 -26.290 46.670 ;
RECT -27.470 -21.310 -26.290 -20.130 ;
RECT -27.470 -22.910 -26.290 -21.730 ;
RECT 40.930 3541.410 42.110 3542.590 ;
RECT 40.930 3539.810 42.110 3540.990 ;
RECT 40.930 3467.090 42.110 3468.270 ;
RECT 40.930 3465.490 42.110 3466.670 ;
RECT 40.930 3287.090 42.110 3288.270 ;
RECT 40.930 3285.490 42.110 3286.670 ;
RECT 40.930 3107.090 42.110 3108.270 ;
RECT 40.930 3105.490 42.110 3106.670 ;
RECT 40.930 2927.090 42.110 2928.270 ;
RECT 40.930 2925.490 42.110 2926.670 ;
RECT 40.930 2747.090 42.110 2748.270 ;
RECT 40.930 2745.490 42.110 2746.670 ;
RECT 40.930 2567.090 42.110 2568.270 ;
RECT 40.930 2565.490 42.110 2566.670 ;
RECT 40.930 2387.090 42.110 2388.270 ;
RECT 40.930 2385.490 42.110 2386.670 ;
RECT 40.930 2207.090 42.110 2208.270 ;
RECT 40.930 2205.490 42.110 2206.670 ;
RECT 40.930 2027.090 42.110 2028.270 ;
RECT 40.930 2025.490 42.110 2026.670 ;
RECT 40.930 1847.090 42.110 1848.270 ;
RECT 40.930 1845.490 42.110 1846.670 ;
RECT 40.930 1667.090 42.110 1668.270 ;
RECT 40.930 1665.490 42.110 1666.670 ;
RECT 40.930 1487.090 42.110 1488.270 ;
RECT 40.930 1485.490 42.110 1486.670 ;
RECT 40.930 1307.090 42.110 1308.270 ;
RECT 40.930 1305.490 42.110 1306.670 ;
RECT 40.930 1127.090 42.110 1128.270 ;
RECT 40.930 1125.490 42.110 1126.670 ;
RECT 40.930 947.090 42.110 948.270 ;
RECT 40.930 945.490 42.110 946.670 ;
RECT 40.930 767.090 42.110 768.270 ;
RECT 40.930 765.490 42.110 766.670 ;
RECT 40.930 587.090 42.110 588.270 ;
RECT 40.930 585.490 42.110 586.670 ;
RECT 40.930 407.090 42.110 408.270 ;
RECT 40.930 405.490 42.110 406.670 ;
RECT 40.930 227.090 42.110 228.270 ;
RECT 40.930 225.490 42.110 226.670 ;
RECT 40.930 47.090 42.110 48.270 ;
RECT 40.930 45.490 42.110 46.670 ;
RECT 40.930 -21.310 42.110 -20.130 ;
RECT 40.930 -22.910 42.110 -21.730 ;
RECT 220.930 3541.410 222.110 3542.590 ;
RECT 220.930 3539.810 222.110 3540.990 ;
RECT 220.930 3467.090 222.110 3468.270 ;
RECT 220.930 3465.490 222.110 3466.670 ;
RECT 220.930 3287.090 222.110 3288.270 ;
RECT 220.930 3285.490 222.110 3286.670 ;
RECT 220.930 3107.090 222.110 3108.270 ;
RECT 220.930 3105.490 222.110 3106.670 ;
RECT 220.930 2927.090 222.110 2928.270 ;
RECT 220.930 2925.490 222.110 2926.670 ;
RECT 220.930 2747.090 222.110 2748.270 ;
RECT 220.930 2745.490 222.110 2746.670 ;
RECT 220.930 2567.090 222.110 2568.270 ;
RECT 220.930 2565.490 222.110 2566.670 ;
RECT 220.930 2387.090 222.110 2388.270 ;
RECT 220.930 2385.490 222.110 2386.670 ;
RECT 220.930 2207.090 222.110 2208.270 ;
RECT 220.930 2205.490 222.110 2206.670 ;
RECT 220.930 2027.090 222.110 2028.270 ;
RECT 220.930 2025.490 222.110 2026.670 ;
RECT 220.930 1847.090 222.110 1848.270 ;
RECT 220.930 1845.490 222.110 1846.670 ;
RECT 220.930 1667.090 222.110 1668.270 ;
RECT 220.930 1665.490 222.110 1666.670 ;
RECT 220.930 1487.090 222.110 1488.270 ;
RECT 220.930 1485.490 222.110 1486.670 ;
RECT 220.930 1307.090 222.110 1308.270 ;
RECT 220.930 1305.490 222.110 1306.670 ;
RECT 220.930 1127.090 222.110 1128.270 ;
RECT 220.930 1125.490 222.110 1126.670 ;
RECT 220.930 947.090 222.110 948.270 ;
RECT 220.930 945.490 222.110 946.670 ;
RECT 220.930 767.090 222.110 768.270 ;
RECT 220.930 765.490 222.110 766.670 ;
RECT 220.930 587.090 222.110 588.270 ;
RECT 220.930 585.490 222.110 586.670 ;
RECT 220.930 407.090 222.110 408.270 ;
RECT 220.930 405.490 222.110 406.670 ;
RECT 220.930 227.090 222.110 228.270 ;
RECT 220.930 225.490 222.110 226.670 ;
RECT 220.930 47.090 222.110 48.270 ;
RECT 220.930 45.490 222.110 46.670 ;
RECT 220.930 -21.310 222.110 -20.130 ;
RECT 220.930 -22.910 222.110 -21.730 ;
RECT 400.930 3541.410 402.110 3542.590 ;
RECT 400.930 3539.810 402.110 3540.990 ;
RECT 400.930 3467.090 402.110 3468.270 ;
RECT 400.930 3465.490 402.110 3466.670 ;
RECT 400.930 3287.090 402.110 3288.270 ;
RECT 400.930 3285.490 402.110 3286.670 ;
RECT 400.930 3107.090 402.110 3108.270 ;
RECT 400.930 3105.490 402.110 3106.670 ;
RECT 400.930 2927.090 402.110 2928.270 ;
RECT 400.930 2925.490 402.110 2926.670 ;
RECT 400.930 2747.090 402.110 2748.270 ;
RECT 400.930 2745.490 402.110 2746.670 ;
RECT 400.930 2567.090 402.110 2568.270 ;
RECT 400.930 2565.490 402.110 2566.670 ;
RECT 400.930 2387.090 402.110 2388.270 ;
RECT 400.930 2385.490 402.110 2386.670 ;
RECT 400.930 2207.090 402.110 2208.270 ;
RECT 400.930 2205.490 402.110 2206.670 ;
RECT 400.930 2027.090 402.110 2028.270 ;
RECT 400.930 2025.490 402.110 2026.670 ;
RECT 400.930 1847.090 402.110 1848.270 ;
RECT 400.930 1845.490 402.110 1846.670 ;
RECT 400.930 1667.090 402.110 1668.270 ;
RECT 400.930 1665.490 402.110 1666.670 ;
RECT 400.930 1487.090 402.110 1488.270 ;
RECT 400.930 1485.490 402.110 1486.670 ;
RECT 400.930 1307.090 402.110 1308.270 ;
RECT 400.930 1305.490 402.110 1306.670 ;
RECT 400.930 1127.090 402.110 1128.270 ;
RECT 400.930 1125.490 402.110 1126.670 ;
RECT 400.930 947.090 402.110 948.270 ;
RECT 400.930 945.490 402.110 946.670 ;
RECT 400.930 767.090 402.110 768.270 ;
RECT 400.930 765.490 402.110 766.670 ;
RECT 400.930 587.090 402.110 588.270 ;
RECT 400.930 585.490 402.110 586.670 ;
RECT 400.930 407.090 402.110 408.270 ;
RECT 400.930 405.490 402.110 406.670 ;
RECT 400.930 227.090 402.110 228.270 ;
RECT 400.930 225.490 402.110 226.670 ;
RECT 400.930 47.090 402.110 48.270 ;
RECT 400.930 45.490 402.110 46.670 ;
RECT 400.930 -21.310 402.110 -20.130 ;
RECT 400.930 -22.910 402.110 -21.730 ;
RECT 580.930 3541.410 582.110 3542.590 ;
RECT 580.930 3539.810 582.110 3540.990 ;
RECT 580.930 3467.090 582.110 3468.270 ;
RECT 580.930 3465.490 582.110 3466.670 ;
RECT 580.930 3287.090 582.110 3288.270 ;
RECT 580.930 3285.490 582.110 3286.670 ;
RECT 580.930 3107.090 582.110 3108.270 ;
RECT 580.930 3105.490 582.110 3106.670 ;
RECT 580.930 2927.090 582.110 2928.270 ;
RECT 580.930 2925.490 582.110 2926.670 ;
RECT 580.930 2747.090 582.110 2748.270 ;
RECT 580.930 2745.490 582.110 2746.670 ;
RECT 580.930 2567.090 582.110 2568.270 ;
RECT 580.930 2565.490 582.110 2566.670 ;
RECT 580.930 2387.090 582.110 2388.270 ;
RECT 580.930 2385.490 582.110 2386.670 ;
RECT 580.930 2207.090 582.110 2208.270 ;
RECT 580.930 2205.490 582.110 2206.670 ;
RECT 580.930 2027.090 582.110 2028.270 ;
RECT 580.930 2025.490 582.110 2026.670 ;
RECT 580.930 1847.090 582.110 1848.270 ;
RECT 580.930 1845.490 582.110 1846.670 ;
RECT 580.930 1667.090 582.110 1668.270 ;
RECT 580.930 1665.490 582.110 1666.670 ;
RECT 580.930 1487.090 582.110 1488.270 ;
RECT 580.930 1485.490 582.110 1486.670 ;
RECT 580.930 1307.090 582.110 1308.270 ;
RECT 580.930 1305.490 582.110 1306.670 ;
RECT 580.930 1127.090 582.110 1128.270 ;
RECT 580.930 1125.490 582.110 1126.670 ;
RECT 580.930 947.090 582.110 948.270 ;
RECT 580.930 945.490 582.110 946.670 ;
RECT 580.930 767.090 582.110 768.270 ;
RECT 580.930 765.490 582.110 766.670 ;
RECT 580.930 587.090 582.110 588.270 ;
RECT 580.930 585.490 582.110 586.670 ;
RECT 580.930 407.090 582.110 408.270 ;
RECT 580.930 405.490 582.110 406.670 ;
RECT 580.930 227.090 582.110 228.270 ;
RECT 580.930 225.490 582.110 226.670 ;
RECT 580.930 47.090 582.110 48.270 ;
RECT 580.930 45.490 582.110 46.670 ;
RECT 580.930 -21.310 582.110 -20.130 ;
RECT 580.930 -22.910 582.110 -21.730 ;
RECT 760.930 3541.410 762.110 3542.590 ;
RECT 760.930 3539.810 762.110 3540.990 ;
RECT 760.930 3467.090 762.110 3468.270 ;
RECT 760.930 3465.490 762.110 3466.670 ;
RECT 760.930 3287.090 762.110 3288.270 ;
RECT 760.930 3285.490 762.110 3286.670 ;
RECT 760.930 3107.090 762.110 3108.270 ;
RECT 760.930 3105.490 762.110 3106.670 ;
RECT 760.930 2927.090 762.110 2928.270 ;
RECT 760.930 2925.490 762.110 2926.670 ;
RECT 760.930 2747.090 762.110 2748.270 ;
RECT 760.930 2745.490 762.110 2746.670 ;
RECT 760.930 2567.090 762.110 2568.270 ;
RECT 760.930 2565.490 762.110 2566.670 ;
RECT 760.930 2387.090 762.110 2388.270 ;
RECT 760.930 2385.490 762.110 2386.670 ;
RECT 760.930 2207.090 762.110 2208.270 ;
RECT 760.930 2205.490 762.110 2206.670 ;
RECT 760.930 2027.090 762.110 2028.270 ;
RECT 760.930 2025.490 762.110 2026.670 ;
RECT 760.930 1847.090 762.110 1848.270 ;
RECT 760.930 1845.490 762.110 1846.670 ;
RECT 760.930 1667.090 762.110 1668.270 ;
RECT 760.930 1665.490 762.110 1666.670 ;
RECT 760.930 1487.090 762.110 1488.270 ;
RECT 760.930 1485.490 762.110 1486.670 ;
RECT 760.930 1307.090 762.110 1308.270 ;
RECT 760.930 1305.490 762.110 1306.670 ;
RECT 760.930 1127.090 762.110 1128.270 ;
RECT 760.930 1125.490 762.110 1126.670 ;
RECT 760.930 947.090 762.110 948.270 ;
RECT 760.930 945.490 762.110 946.670 ;
RECT 760.930 767.090 762.110 768.270 ;
RECT 760.930 765.490 762.110 766.670 ;
RECT 760.930 587.090 762.110 588.270 ;
RECT 760.930 585.490 762.110 586.670 ;
RECT 760.930 407.090 762.110 408.270 ;
RECT 760.930 405.490 762.110 406.670 ;
RECT 760.930 227.090 762.110 228.270 ;
RECT 760.930 225.490 762.110 226.670 ;
RECT 760.930 47.090 762.110 48.270 ;
RECT 760.930 45.490 762.110 46.670 ;
RECT 760.930 -21.310 762.110 -20.130 ;
RECT 760.930 -22.910 762.110 -21.730 ;
RECT 940.930 3541.410 942.110 3542.590 ;
RECT 940.930 3539.810 942.110 3540.990 ;
RECT 940.930 3467.090 942.110 3468.270 ;
RECT 940.930 3465.490 942.110 3466.670 ;
RECT 940.930 3287.090 942.110 3288.270 ;
RECT 940.930 3285.490 942.110 3286.670 ;
RECT 940.930 3107.090 942.110 3108.270 ;
RECT 940.930 3105.490 942.110 3106.670 ;
RECT 940.930 2927.090 942.110 2928.270 ;
RECT 940.930 2925.490 942.110 2926.670 ;
RECT 940.930 2747.090 942.110 2748.270 ;
RECT 940.930 2745.490 942.110 2746.670 ;
RECT 940.930 2567.090 942.110 2568.270 ;
RECT 940.930 2565.490 942.110 2566.670 ;
RECT 940.930 2387.090 942.110 2388.270 ;
RECT 940.930 2385.490 942.110 2386.670 ;
RECT 940.930 2207.090 942.110 2208.270 ;
RECT 940.930 2205.490 942.110 2206.670 ;
RECT 940.930 2027.090 942.110 2028.270 ;
RECT 940.930 2025.490 942.110 2026.670 ;
RECT 940.930 1847.090 942.110 1848.270 ;
RECT 940.930 1845.490 942.110 1846.670 ;
RECT 940.930 1667.090 942.110 1668.270 ;
RECT 940.930 1665.490 942.110 1666.670 ;
RECT 940.930 1487.090 942.110 1488.270 ;
RECT 940.930 1485.490 942.110 1486.670 ;
RECT 940.930 1307.090 942.110 1308.270 ;
RECT 940.930 1305.490 942.110 1306.670 ;
RECT 940.930 1127.090 942.110 1128.270 ;
RECT 940.930 1125.490 942.110 1126.670 ;
RECT 940.930 947.090 942.110 948.270 ;
RECT 940.930 945.490 942.110 946.670 ;
RECT 940.930 767.090 942.110 768.270 ;
RECT 940.930 765.490 942.110 766.670 ;
RECT 940.930 587.090 942.110 588.270 ;
RECT 940.930 585.490 942.110 586.670 ;
RECT 940.930 407.090 942.110 408.270 ;
RECT 940.930 405.490 942.110 406.670 ;
RECT 940.930 227.090 942.110 228.270 ;
RECT 940.930 225.490 942.110 226.670 ;
RECT 940.930 47.090 942.110 48.270 ;
RECT 940.930 45.490 942.110 46.670 ;
RECT 940.930 -21.310 942.110 -20.130 ;
RECT 940.930 -22.910 942.110 -21.730 ;
RECT 1120.930 3541.410 1122.110 3542.590 ;
RECT 1120.930 3539.810 1122.110 3540.990 ;
RECT 1120.930 3467.090 1122.110 3468.270 ;
RECT 1120.930 3465.490 1122.110 3466.670 ;
RECT 1120.930 3287.090 1122.110 3288.270 ;
RECT 1120.930 3285.490 1122.110 3286.670 ;
RECT 1120.930 3107.090 1122.110 3108.270 ;
RECT 1120.930 3105.490 1122.110 3106.670 ;
RECT 1120.930 2927.090 1122.110 2928.270 ;
RECT 1120.930 2925.490 1122.110 2926.670 ;
RECT 1120.930 2747.090 1122.110 2748.270 ;
RECT 1120.930 2745.490 1122.110 2746.670 ;
RECT 1120.930 2567.090 1122.110 2568.270 ;
RECT 1120.930 2565.490 1122.110 2566.670 ;
RECT 1120.930 2387.090 1122.110 2388.270 ;
RECT 1120.930 2385.490 1122.110 2386.670 ;
RECT 1120.930 2207.090 1122.110 2208.270 ;
RECT 1120.930 2205.490 1122.110 2206.670 ;
RECT 1120.930 2027.090 1122.110 2028.270 ;
RECT 1120.930 2025.490 1122.110 2026.670 ;
RECT 1120.930 1847.090 1122.110 1848.270 ;
RECT 1120.930 1845.490 1122.110 1846.670 ;
RECT 1120.930 1667.090 1122.110 1668.270 ;
RECT 1120.930 1665.490 1122.110 1666.670 ;
RECT 1120.930 1487.090 1122.110 1488.270 ;
RECT 1120.930 1485.490 1122.110 1486.670 ;
RECT 1120.930 1307.090 1122.110 1308.270 ;
RECT 1120.930 1305.490 1122.110 1306.670 ;
RECT 1120.930 1127.090 1122.110 1128.270 ;
RECT 1120.930 1125.490 1122.110 1126.670 ;
RECT 1120.930 947.090 1122.110 948.270 ;
RECT 1120.930 945.490 1122.110 946.670 ;
RECT 1120.930 767.090 1122.110 768.270 ;
RECT 1120.930 765.490 1122.110 766.670 ;
RECT 1120.930 587.090 1122.110 588.270 ;
RECT 1120.930 585.490 1122.110 586.670 ;
RECT 1120.930 407.090 1122.110 408.270 ;
RECT 1120.930 405.490 1122.110 406.670 ;
RECT 1120.930 227.090 1122.110 228.270 ;
RECT 1120.930 225.490 1122.110 226.670 ;
RECT 1120.930 47.090 1122.110 48.270 ;
RECT 1120.930 45.490 1122.110 46.670 ;
RECT 1120.930 -21.310 1122.110 -20.130 ;
RECT 1120.930 -22.910 1122.110 -21.730 ;
RECT 1300.930 3541.410 1302.110 3542.590 ;
RECT 1300.930 3539.810 1302.110 3540.990 ;
RECT 1300.930 3467.090 1302.110 3468.270 ;
RECT 1300.930 3465.490 1302.110 3466.670 ;
RECT 1300.930 3287.090 1302.110 3288.270 ;
RECT 1300.930 3285.490 1302.110 3286.670 ;
RECT 1300.930 3107.090 1302.110 3108.270 ;
RECT 1300.930 3105.490 1302.110 3106.670 ;
RECT 1300.930 2927.090 1302.110 2928.270 ;
RECT 1300.930 2925.490 1302.110 2926.670 ;
RECT 1300.930 2747.090 1302.110 2748.270 ;
RECT 1300.930 2745.490 1302.110 2746.670 ;
RECT 1300.930 2567.090 1302.110 2568.270 ;
RECT 1300.930 2565.490 1302.110 2566.670 ;
RECT 1300.930 2387.090 1302.110 2388.270 ;
RECT 1300.930 2385.490 1302.110 2386.670 ;
RECT 1300.930 2207.090 1302.110 2208.270 ;
RECT 1300.930 2205.490 1302.110 2206.670 ;
RECT 1300.930 2027.090 1302.110 2028.270 ;
RECT 1300.930 2025.490 1302.110 2026.670 ;
RECT 1300.930 1847.090 1302.110 1848.270 ;
RECT 1300.930 1845.490 1302.110 1846.670 ;
RECT 1300.930 1667.090 1302.110 1668.270 ;
RECT 1300.930 1665.490 1302.110 1666.670 ;
RECT 1300.930 1487.090 1302.110 1488.270 ;
RECT 1300.930 1485.490 1302.110 1486.670 ;
RECT 1300.930 1307.090 1302.110 1308.270 ;
RECT 1300.930 1305.490 1302.110 1306.670 ;
RECT 1300.930 1127.090 1302.110 1128.270 ;
RECT 1300.930 1125.490 1302.110 1126.670 ;
RECT 1300.930 947.090 1302.110 948.270 ;
RECT 1300.930 945.490 1302.110 946.670 ;
RECT 1300.930 767.090 1302.110 768.270 ;
RECT 1300.930 765.490 1302.110 766.670 ;
RECT 1300.930 587.090 1302.110 588.270 ;
RECT 1300.930 585.490 1302.110 586.670 ;
RECT 1300.930 407.090 1302.110 408.270 ;
RECT 1300.930 405.490 1302.110 406.670 ;
RECT 1300.930 227.090 1302.110 228.270 ;
RECT 1300.930 225.490 1302.110 226.670 ;
RECT 1300.930 47.090 1302.110 48.270 ;
RECT 1300.930 45.490 1302.110 46.670 ;
RECT 1300.930 -21.310 1302.110 -20.130 ;
RECT 1300.930 -22.910 1302.110 -21.730 ;
RECT 1480.930 3541.410 1482.110 3542.590 ;
RECT 1480.930 3539.810 1482.110 3540.990 ;
RECT 1480.930 3467.090 1482.110 3468.270 ;
RECT 1480.930 3465.490 1482.110 3466.670 ;
RECT 1480.930 3287.090 1482.110 3288.270 ;
RECT 1480.930 3285.490 1482.110 3286.670 ;
RECT 1480.930 3107.090 1482.110 3108.270 ;
RECT 1480.930 3105.490 1482.110 3106.670 ;
RECT 1480.930 2927.090 1482.110 2928.270 ;
RECT 1480.930 2925.490 1482.110 2926.670 ;
RECT 1480.930 2747.090 1482.110 2748.270 ;
RECT 1480.930 2745.490 1482.110 2746.670 ;
RECT 1480.930 2567.090 1482.110 2568.270 ;
RECT 1480.930 2565.490 1482.110 2566.670 ;
RECT 1480.930 2387.090 1482.110 2388.270 ;
RECT 1480.930 2385.490 1482.110 2386.670 ;
RECT 1480.930 2207.090 1482.110 2208.270 ;
RECT 1480.930 2205.490 1482.110 2206.670 ;
RECT 1480.930 2027.090 1482.110 2028.270 ;
RECT 1480.930 2025.490 1482.110 2026.670 ;
RECT 1480.930 1847.090 1482.110 1848.270 ;
RECT 1480.930 1845.490 1482.110 1846.670 ;
RECT 1480.930 1667.090 1482.110 1668.270 ;
RECT 1480.930 1665.490 1482.110 1666.670 ;
RECT 1480.930 1487.090 1482.110 1488.270 ;
RECT 1480.930 1485.490 1482.110 1486.670 ;
RECT 1480.930 1307.090 1482.110 1308.270 ;
RECT 1480.930 1305.490 1482.110 1306.670 ;
RECT 1480.930 1127.090 1482.110 1128.270 ;
RECT 1480.930 1125.490 1482.110 1126.670 ;
RECT 1480.930 947.090 1482.110 948.270 ;
RECT 1480.930 945.490 1482.110 946.670 ;
RECT 1480.930 767.090 1482.110 768.270 ;
RECT 1480.930 765.490 1482.110 766.670 ;
RECT 1480.930 587.090 1482.110 588.270 ;
RECT 1480.930 585.490 1482.110 586.670 ;
RECT 1480.930 407.090 1482.110 408.270 ;
RECT 1480.930 405.490 1482.110 406.670 ;
RECT 1480.930 227.090 1482.110 228.270 ;
RECT 1480.930 225.490 1482.110 226.670 ;
RECT 1480.930 47.090 1482.110 48.270 ;
RECT 1480.930 45.490 1482.110 46.670 ;
RECT 1480.930 -21.310 1482.110 -20.130 ;
RECT 1480.930 -22.910 1482.110 -21.730 ;
RECT 1660.930 3541.410 1662.110 3542.590 ;
RECT 1660.930 3539.810 1662.110 3540.990 ;
RECT 1660.930 3467.090 1662.110 3468.270 ;
RECT 1660.930 3465.490 1662.110 3466.670 ;
RECT 1660.930 3287.090 1662.110 3288.270 ;
RECT 1660.930 3285.490 1662.110 3286.670 ;
RECT 1660.930 3107.090 1662.110 3108.270 ;
RECT 1660.930 3105.490 1662.110 3106.670 ;
RECT 1660.930 2927.090 1662.110 2928.270 ;
RECT 1660.930 2925.490 1662.110 2926.670 ;
RECT 1660.930 2747.090 1662.110 2748.270 ;
RECT 1660.930 2745.490 1662.110 2746.670 ;
RECT 1660.930 2567.090 1662.110 2568.270 ;
RECT 1660.930 2565.490 1662.110 2566.670 ;
RECT 1660.930 2387.090 1662.110 2388.270 ;
RECT 1660.930 2385.490 1662.110 2386.670 ;
RECT 1660.930 2207.090 1662.110 2208.270 ;
RECT 1660.930 2205.490 1662.110 2206.670 ;
RECT 1660.930 2027.090 1662.110 2028.270 ;
RECT 1660.930 2025.490 1662.110 2026.670 ;
RECT 1660.930 1847.090 1662.110 1848.270 ;
RECT 1660.930 1845.490 1662.110 1846.670 ;
RECT 1660.930 1667.090 1662.110 1668.270 ;
RECT 1660.930 1665.490 1662.110 1666.670 ;
RECT 1660.930 1487.090 1662.110 1488.270 ;
RECT 1660.930 1485.490 1662.110 1486.670 ;
RECT 1660.930 1307.090 1662.110 1308.270 ;
RECT 1660.930 1305.490 1662.110 1306.670 ;
RECT 1660.930 1127.090 1662.110 1128.270 ;
RECT 1660.930 1125.490 1662.110 1126.670 ;
RECT 1660.930 947.090 1662.110 948.270 ;
RECT 1660.930 945.490 1662.110 946.670 ;
RECT 1660.930 767.090 1662.110 768.270 ;
RECT 1660.930 765.490 1662.110 766.670 ;
RECT 1660.930 587.090 1662.110 588.270 ;
RECT 1660.930 585.490 1662.110 586.670 ;
RECT 1660.930 407.090 1662.110 408.270 ;
RECT 1660.930 405.490 1662.110 406.670 ;
RECT 1660.930 227.090 1662.110 228.270 ;
RECT 1660.930 225.490 1662.110 226.670 ;
RECT 1660.930 47.090 1662.110 48.270 ;
RECT 1660.930 45.490 1662.110 46.670 ;
RECT 1660.930 -21.310 1662.110 -20.130 ;
RECT 1660.930 -22.910 1662.110 -21.730 ;
RECT 1840.930 3541.410 1842.110 3542.590 ;
RECT 1840.930 3539.810 1842.110 3540.990 ;
RECT 1840.930 3467.090 1842.110 3468.270 ;
RECT 1840.930 3465.490 1842.110 3466.670 ;
RECT 1840.930 3287.090 1842.110 3288.270 ;
RECT 1840.930 3285.490 1842.110 3286.670 ;
RECT 1840.930 3107.090 1842.110 3108.270 ;
RECT 1840.930 3105.490 1842.110 3106.670 ;
RECT 1840.930 2927.090 1842.110 2928.270 ;
RECT 1840.930 2925.490 1842.110 2926.670 ;
RECT 1840.930 2747.090 1842.110 2748.270 ;
RECT 1840.930 2745.490 1842.110 2746.670 ;
RECT 1840.930 2567.090 1842.110 2568.270 ;
RECT 1840.930 2565.490 1842.110 2566.670 ;
RECT 1840.930 2387.090 1842.110 2388.270 ;
RECT 1840.930 2385.490 1842.110 2386.670 ;
RECT 1840.930 2207.090 1842.110 2208.270 ;
RECT 1840.930 2205.490 1842.110 2206.670 ;
RECT 1840.930 2027.090 1842.110 2028.270 ;
RECT 1840.930 2025.490 1842.110 2026.670 ;
RECT 1840.930 1847.090 1842.110 1848.270 ;
RECT 1840.930 1845.490 1842.110 1846.670 ;
RECT 1840.930 1667.090 1842.110 1668.270 ;
RECT 1840.930 1665.490 1842.110 1666.670 ;
RECT 1840.930 1487.090 1842.110 1488.270 ;
RECT 1840.930 1485.490 1842.110 1486.670 ;
RECT 1840.930 1307.090 1842.110 1308.270 ;
RECT 1840.930 1305.490 1842.110 1306.670 ;
RECT 1840.930 1127.090 1842.110 1128.270 ;
RECT 1840.930 1125.490 1842.110 1126.670 ;
RECT 1840.930 947.090 1842.110 948.270 ;
RECT 1840.930 945.490 1842.110 946.670 ;
RECT 1840.930 767.090 1842.110 768.270 ;
RECT 1840.930 765.490 1842.110 766.670 ;
RECT 1840.930 587.090 1842.110 588.270 ;
RECT 1840.930 585.490 1842.110 586.670 ;
RECT 1840.930 407.090 1842.110 408.270 ;
RECT 1840.930 405.490 1842.110 406.670 ;
RECT 1840.930 227.090 1842.110 228.270 ;
RECT 1840.930 225.490 1842.110 226.670 ;
RECT 1840.930 47.090 1842.110 48.270 ;
RECT 1840.930 45.490 1842.110 46.670 ;
RECT 1840.930 -21.310 1842.110 -20.130 ;
RECT 1840.930 -22.910 1842.110 -21.730 ;
RECT 2020.930 3541.410 2022.110 3542.590 ;
RECT 2020.930 3539.810 2022.110 3540.990 ;
RECT 2020.930 3467.090 2022.110 3468.270 ;
RECT 2020.930 3465.490 2022.110 3466.670 ;
RECT 2020.930 3287.090 2022.110 3288.270 ;
RECT 2020.930 3285.490 2022.110 3286.670 ;
RECT 2020.930 3107.090 2022.110 3108.270 ;
RECT 2020.930 3105.490 2022.110 3106.670 ;
RECT 2020.930 2927.090 2022.110 2928.270 ;
RECT 2020.930 2925.490 2022.110 2926.670 ;
RECT 2020.930 2747.090 2022.110 2748.270 ;
RECT 2020.930 2745.490 2022.110 2746.670 ;
RECT 2020.930 2567.090 2022.110 2568.270 ;
RECT 2020.930 2565.490 2022.110 2566.670 ;
RECT 2020.930 2387.090 2022.110 2388.270 ;
RECT 2020.930 2385.490 2022.110 2386.670 ;
RECT 2020.930 2207.090 2022.110 2208.270 ;
RECT 2020.930 2205.490 2022.110 2206.670 ;
RECT 2020.930 2027.090 2022.110 2028.270 ;
RECT 2020.930 2025.490 2022.110 2026.670 ;
RECT 2020.930 1847.090 2022.110 1848.270 ;
RECT 2020.930 1845.490 2022.110 1846.670 ;
RECT 2020.930 1667.090 2022.110 1668.270 ;
RECT 2020.930 1665.490 2022.110 1666.670 ;
RECT 2020.930 1487.090 2022.110 1488.270 ;
RECT 2020.930 1485.490 2022.110 1486.670 ;
RECT 2020.930 1307.090 2022.110 1308.270 ;
RECT 2020.930 1305.490 2022.110 1306.670 ;
RECT 2020.930 1127.090 2022.110 1128.270 ;
RECT 2020.930 1125.490 2022.110 1126.670 ;
RECT 2020.930 947.090 2022.110 948.270 ;
RECT 2020.930 945.490 2022.110 946.670 ;
RECT 2020.930 767.090 2022.110 768.270 ;
RECT 2020.930 765.490 2022.110 766.670 ;
RECT 2020.930 587.090 2022.110 588.270 ;
RECT 2020.930 585.490 2022.110 586.670 ;
RECT 2020.930 407.090 2022.110 408.270 ;
RECT 2020.930 405.490 2022.110 406.670 ;
RECT 2020.930 227.090 2022.110 228.270 ;
RECT 2020.930 225.490 2022.110 226.670 ;
RECT 2020.930 47.090 2022.110 48.270 ;
RECT 2020.930 45.490 2022.110 46.670 ;
RECT 2020.930 -21.310 2022.110 -20.130 ;
RECT 2020.930 -22.910 2022.110 -21.730 ;
RECT 2200.930 3541.410 2202.110 3542.590 ;
RECT 2200.930 3539.810 2202.110 3540.990 ;
RECT 2200.930 3467.090 2202.110 3468.270 ;
RECT 2200.930 3465.490 2202.110 3466.670 ;
RECT 2200.930 3287.090 2202.110 3288.270 ;
RECT 2200.930 3285.490 2202.110 3286.670 ;
RECT 2200.930 3107.090 2202.110 3108.270 ;
RECT 2200.930 3105.490 2202.110 3106.670 ;
RECT 2200.930 2927.090 2202.110 2928.270 ;
RECT 2200.930 2925.490 2202.110 2926.670 ;
RECT 2200.930 2747.090 2202.110 2748.270 ;
RECT 2200.930 2745.490 2202.110 2746.670 ;
RECT 2200.930 2567.090 2202.110 2568.270 ;
RECT 2200.930 2565.490 2202.110 2566.670 ;
RECT 2200.930 2387.090 2202.110 2388.270 ;
RECT 2200.930 2385.490 2202.110 2386.670 ;
RECT 2200.930 2207.090 2202.110 2208.270 ;
RECT 2200.930 2205.490 2202.110 2206.670 ;
RECT 2200.930 2027.090 2202.110 2028.270 ;
RECT 2200.930 2025.490 2202.110 2026.670 ;
RECT 2200.930 1847.090 2202.110 1848.270 ;
RECT 2200.930 1845.490 2202.110 1846.670 ;
RECT 2200.930 1667.090 2202.110 1668.270 ;
RECT 2200.930 1665.490 2202.110 1666.670 ;
RECT 2200.930 1487.090 2202.110 1488.270 ;
RECT 2200.930 1485.490 2202.110 1486.670 ;
RECT 2200.930 1307.090 2202.110 1308.270 ;
RECT 2200.930 1305.490 2202.110 1306.670 ;
RECT 2200.930 1127.090 2202.110 1128.270 ;
RECT 2200.930 1125.490 2202.110 1126.670 ;
RECT 2200.930 947.090 2202.110 948.270 ;
RECT 2200.930 945.490 2202.110 946.670 ;
RECT 2200.930 767.090 2202.110 768.270 ;
RECT 2200.930 765.490 2202.110 766.670 ;
RECT 2200.930 587.090 2202.110 588.270 ;
RECT 2200.930 585.490 2202.110 586.670 ;
RECT 2200.930 407.090 2202.110 408.270 ;
RECT 2200.930 405.490 2202.110 406.670 ;
RECT 2200.930 227.090 2202.110 228.270 ;
RECT 2200.930 225.490 2202.110 226.670 ;
RECT 2200.930 47.090 2202.110 48.270 ;
RECT 2200.930 45.490 2202.110 46.670 ;
RECT 2200.930 -21.310 2202.110 -20.130 ;
RECT 2200.930 -22.910 2202.110 -21.730 ;
RECT 2380.930 3541.410 2382.110 3542.590 ;
RECT 2380.930 3539.810 2382.110 3540.990 ;
RECT 2380.930 3467.090 2382.110 3468.270 ;
RECT 2380.930 3465.490 2382.110 3466.670 ;
RECT 2380.930 3287.090 2382.110 3288.270 ;
RECT 2380.930 3285.490 2382.110 3286.670 ;
RECT 2380.930 3107.090 2382.110 3108.270 ;
RECT 2380.930 3105.490 2382.110 3106.670 ;
RECT 2380.930 2927.090 2382.110 2928.270 ;
RECT 2380.930 2925.490 2382.110 2926.670 ;
RECT 2380.930 2747.090 2382.110 2748.270 ;
RECT 2380.930 2745.490 2382.110 2746.670 ;
RECT 2380.930 2567.090 2382.110 2568.270 ;
RECT 2380.930 2565.490 2382.110 2566.670 ;
RECT 2380.930 2387.090 2382.110 2388.270 ;
RECT 2380.930 2385.490 2382.110 2386.670 ;
RECT 2380.930 2207.090 2382.110 2208.270 ;
RECT 2380.930 2205.490 2382.110 2206.670 ;
RECT 2380.930 2027.090 2382.110 2028.270 ;
RECT 2380.930 2025.490 2382.110 2026.670 ;
RECT 2380.930 1847.090 2382.110 1848.270 ;
RECT 2380.930 1845.490 2382.110 1846.670 ;
RECT 2380.930 1667.090 2382.110 1668.270 ;
RECT 2380.930 1665.490 2382.110 1666.670 ;
RECT 2380.930 1487.090 2382.110 1488.270 ;
RECT 2380.930 1485.490 2382.110 1486.670 ;
RECT 2380.930 1307.090 2382.110 1308.270 ;
RECT 2380.930 1305.490 2382.110 1306.670 ;
RECT 2380.930 1127.090 2382.110 1128.270 ;
RECT 2380.930 1125.490 2382.110 1126.670 ;
RECT 2380.930 947.090 2382.110 948.270 ;
RECT 2380.930 945.490 2382.110 946.670 ;
RECT 2380.930 767.090 2382.110 768.270 ;
RECT 2380.930 765.490 2382.110 766.670 ;
RECT 2380.930 587.090 2382.110 588.270 ;
RECT 2380.930 585.490 2382.110 586.670 ;
RECT 2380.930 407.090 2382.110 408.270 ;
RECT 2380.930 405.490 2382.110 406.670 ;
RECT 2380.930 227.090 2382.110 228.270 ;
RECT 2380.930 225.490 2382.110 226.670 ;
RECT 2380.930 47.090 2382.110 48.270 ;
RECT 2380.930 45.490 2382.110 46.670 ;
RECT 2380.930 -21.310 2382.110 -20.130 ;
RECT 2380.930 -22.910 2382.110 -21.730 ;
RECT 2560.930 3541.410 2562.110 3542.590 ;
RECT 2560.930 3539.810 2562.110 3540.990 ;
RECT 2560.930 3467.090 2562.110 3468.270 ;
RECT 2560.930 3465.490 2562.110 3466.670 ;
RECT 2560.930 3287.090 2562.110 3288.270 ;
RECT 2560.930 3285.490 2562.110 3286.670 ;
RECT 2560.930 3107.090 2562.110 3108.270 ;
RECT 2560.930 3105.490 2562.110 3106.670 ;
RECT 2560.930 2927.090 2562.110 2928.270 ;
RECT 2560.930 2925.490 2562.110 2926.670 ;
RECT 2560.930 2747.090 2562.110 2748.270 ;
RECT 2560.930 2745.490 2562.110 2746.670 ;
RECT 2560.930 2567.090 2562.110 2568.270 ;
RECT 2560.930 2565.490 2562.110 2566.670 ;
RECT 2560.930 2387.090 2562.110 2388.270 ;
RECT 2560.930 2385.490 2562.110 2386.670 ;
RECT 2560.930 2207.090 2562.110 2208.270 ;
RECT 2560.930 2205.490 2562.110 2206.670 ;
RECT 2560.930 2027.090 2562.110 2028.270 ;
RECT 2560.930 2025.490 2562.110 2026.670 ;
RECT 2560.930 1847.090 2562.110 1848.270 ;
RECT 2560.930 1845.490 2562.110 1846.670 ;
RECT 2560.930 1667.090 2562.110 1668.270 ;
RECT 2560.930 1665.490 2562.110 1666.670 ;
RECT 2560.930 1487.090 2562.110 1488.270 ;
RECT 2560.930 1485.490 2562.110 1486.670 ;
RECT 2560.930 1307.090 2562.110 1308.270 ;
RECT 2560.930 1305.490 2562.110 1306.670 ;
RECT 2560.930 1127.090 2562.110 1128.270 ;
RECT 2560.930 1125.490 2562.110 1126.670 ;
RECT 2560.930 947.090 2562.110 948.270 ;
RECT 2560.930 945.490 2562.110 946.670 ;
RECT 2560.930 767.090 2562.110 768.270 ;
RECT 2560.930 765.490 2562.110 766.670 ;
RECT 2560.930 587.090 2562.110 588.270 ;
RECT 2560.930 585.490 2562.110 586.670 ;
RECT 2560.930 407.090 2562.110 408.270 ;
RECT 2560.930 405.490 2562.110 406.670 ;
RECT 2560.930 227.090 2562.110 228.270 ;
RECT 2560.930 225.490 2562.110 226.670 ;
RECT 2560.930 47.090 2562.110 48.270 ;
RECT 2560.930 45.490 2562.110 46.670 ;
RECT 2560.930 -21.310 2562.110 -20.130 ;
RECT 2560.930 -22.910 2562.110 -21.730 ;
RECT 2740.930 3541.410 2742.110 3542.590 ;
RECT 2740.930 3539.810 2742.110 3540.990 ;
RECT 2740.930 3467.090 2742.110 3468.270 ;
RECT 2740.930 3465.490 2742.110 3466.670 ;
RECT 2740.930 3287.090 2742.110 3288.270 ;
RECT 2740.930 3285.490 2742.110 3286.670 ;
RECT 2740.930 3107.090 2742.110 3108.270 ;
RECT 2740.930 3105.490 2742.110 3106.670 ;
RECT 2740.930 2927.090 2742.110 2928.270 ;
RECT 2740.930 2925.490 2742.110 2926.670 ;
RECT 2740.930 2747.090 2742.110 2748.270 ;
RECT 2740.930 2745.490 2742.110 2746.670 ;
RECT 2740.930 2567.090 2742.110 2568.270 ;
RECT 2740.930 2565.490 2742.110 2566.670 ;
RECT 2740.930 2387.090 2742.110 2388.270 ;
RECT 2740.930 2385.490 2742.110 2386.670 ;
RECT 2740.930 2207.090 2742.110 2208.270 ;
RECT 2740.930 2205.490 2742.110 2206.670 ;
RECT 2740.930 2027.090 2742.110 2028.270 ;
RECT 2740.930 2025.490 2742.110 2026.670 ;
RECT 2740.930 1847.090 2742.110 1848.270 ;
RECT 2740.930 1845.490 2742.110 1846.670 ;
RECT 2740.930 1667.090 2742.110 1668.270 ;
RECT 2740.930 1665.490 2742.110 1666.670 ;
RECT 2740.930 1487.090 2742.110 1488.270 ;
RECT 2740.930 1485.490 2742.110 1486.670 ;
RECT 2740.930 1307.090 2742.110 1308.270 ;
RECT 2740.930 1305.490 2742.110 1306.670 ;
RECT 2740.930 1127.090 2742.110 1128.270 ;
RECT 2740.930 1125.490 2742.110 1126.670 ;
RECT 2740.930 947.090 2742.110 948.270 ;
RECT 2740.930 945.490 2742.110 946.670 ;
RECT 2740.930 767.090 2742.110 768.270 ;
RECT 2740.930 765.490 2742.110 766.670 ;
RECT 2740.930 587.090 2742.110 588.270 ;
RECT 2740.930 585.490 2742.110 586.670 ;
RECT 2740.930 407.090 2742.110 408.270 ;
RECT 2740.930 405.490 2742.110 406.670 ;
RECT 2740.930 227.090 2742.110 228.270 ;
RECT 2740.930 225.490 2742.110 226.670 ;
RECT 2740.930 47.090 2742.110 48.270 ;
RECT 2740.930 45.490 2742.110 46.670 ;
RECT 2740.930 -21.310 2742.110 -20.130 ;
RECT 2740.930 -22.910 2742.110 -21.730 ;
RECT 2945.910 3541.410 2947.090 3542.590 ;
RECT 2945.910 3539.810 2947.090 3540.990 ;
RECT 2945.910 3467.090 2947.090 3468.270 ;
RECT 2945.910 3465.490 2947.090 3466.670 ;
RECT 2945.910 3287.090 2947.090 3288.270 ;
RECT 2945.910 3285.490 2947.090 3286.670 ;
RECT 2945.910 3107.090 2947.090 3108.270 ;
RECT 2945.910 3105.490 2947.090 3106.670 ;
RECT 2945.910 2927.090 2947.090 2928.270 ;
RECT 2945.910 2925.490 2947.090 2926.670 ;
RECT 2945.910 2747.090 2947.090 2748.270 ;
RECT 2945.910 2745.490 2947.090 2746.670 ;
RECT 2945.910 2567.090 2947.090 2568.270 ;
RECT 2945.910 2565.490 2947.090 2566.670 ;
RECT 2945.910 2387.090 2947.090 2388.270 ;
RECT 2945.910 2385.490 2947.090 2386.670 ;
RECT 2945.910 2207.090 2947.090 2208.270 ;
RECT 2945.910 2205.490 2947.090 2206.670 ;
RECT 2945.910 2027.090 2947.090 2028.270 ;
RECT 2945.910 2025.490 2947.090 2026.670 ;
RECT 2945.910 1847.090 2947.090 1848.270 ;
RECT 2945.910 1845.490 2947.090 1846.670 ;
RECT 2945.910 1667.090 2947.090 1668.270 ;
RECT 2945.910 1665.490 2947.090 1666.670 ;
RECT 2945.910 1487.090 2947.090 1488.270 ;
RECT 2945.910 1485.490 2947.090 1486.670 ;
RECT 2945.910 1307.090 2947.090 1308.270 ;
RECT 2945.910 1305.490 2947.090 1306.670 ;
RECT 2945.910 1127.090 2947.090 1128.270 ;
RECT 2945.910 1125.490 2947.090 1126.670 ;
RECT 2945.910 947.090 2947.090 948.270 ;
RECT 2945.910 945.490 2947.090 946.670 ;
RECT 2945.910 767.090 2947.090 768.270 ;
RECT 2945.910 765.490 2947.090 766.670 ;
RECT 2945.910 587.090 2947.090 588.270 ;
RECT 2945.910 585.490 2947.090 586.670 ;
RECT 2945.910 407.090 2947.090 408.270 ;
RECT 2945.910 405.490 2947.090 406.670 ;
RECT 2945.910 227.090 2947.090 228.270 ;
RECT 2945.910 225.490 2947.090 226.670 ;
RECT 2945.910 47.090 2947.090 48.270 ;
RECT 2945.910 45.490 2947.090 46.670 ;
RECT 2945.910 -21.310 2947.090 -20.130 ;
RECT 2945.910 -22.910 2947.090 -21.730 ;
LAYER met5 ;
RECT -28.380 3542.700 -25.380 3542.710 ;
RECT 40.020 3542.700 43.020 3542.710 ;
RECT 220.020 3542.700 223.020 3542.710 ;
RECT 400.020 3542.700 403.020 3542.710 ;
RECT 580.020 3542.700 583.020 3542.710 ;
RECT 760.020 3542.700 763.020 3542.710 ;
RECT 940.020 3542.700 943.020 3542.710 ;
RECT 1120.020 3542.700 1123.020 3542.710 ;
RECT 1300.020 3542.700 1303.020 3542.710 ;
RECT 1480.020 3542.700 1483.020 3542.710 ;
RECT 1660.020 3542.700 1663.020 3542.710 ;
RECT 1840.020 3542.700 1843.020 3542.710 ;
RECT 2020.020 3542.700 2023.020 3542.710 ;
RECT 2200.020 3542.700 2203.020 3542.710 ;
RECT 2380.020 3542.700 2383.020 3542.710 ;
RECT 2560.020 3542.700 2563.020 3542.710 ;
RECT 2740.020 3542.700 2743.020 3542.710 ;
RECT 2945.000 3542.700 2948.000 3542.710 ;
RECT -28.380 3539.700 2948.000 3542.700 ;
RECT -28.380 3539.690 -25.380 3539.700 ;
RECT 40.020 3539.690 43.020 3539.700 ;
RECT 220.020 3539.690 223.020 3539.700 ;
RECT 400.020 3539.690 403.020 3539.700 ;
RECT 580.020 3539.690 583.020 3539.700 ;
RECT 760.020 3539.690 763.020 3539.700 ;
RECT 940.020 3539.690 943.020 3539.700 ;
RECT 1120.020 3539.690 1123.020 3539.700 ;
RECT 1300.020 3539.690 1303.020 3539.700 ;
RECT 1480.020 3539.690 1483.020 3539.700 ;
RECT 1660.020 3539.690 1663.020 3539.700 ;
RECT 1840.020 3539.690 1843.020 3539.700 ;
RECT 2020.020 3539.690 2023.020 3539.700 ;
RECT 2200.020 3539.690 2203.020 3539.700 ;
RECT 2380.020 3539.690 2383.020 3539.700 ;
RECT 2560.020 3539.690 2563.020 3539.700 ;
RECT 2740.020 3539.690 2743.020 3539.700 ;
RECT 2945.000 3539.690 2948.000 3539.700 ;
RECT -28.380 3468.380 -25.380 3468.390 ;
RECT 40.020 3468.380 43.020 3468.390 ;
RECT 220.020 3468.380 223.020 3468.390 ;
RECT 400.020 3468.380 403.020 3468.390 ;
RECT 580.020 3468.380 583.020 3468.390 ;
RECT 760.020 3468.380 763.020 3468.390 ;
RECT 940.020 3468.380 943.020 3468.390 ;
RECT 1120.020 3468.380 1123.020 3468.390 ;
RECT 1300.020 3468.380 1303.020 3468.390 ;
RECT 1480.020 3468.380 1483.020 3468.390 ;
RECT 1660.020 3468.380 1663.020 3468.390 ;
RECT 1840.020 3468.380 1843.020 3468.390 ;
RECT 2020.020 3468.380 2023.020 3468.390 ;
RECT 2200.020 3468.380 2203.020 3468.390 ;
RECT 2380.020 3468.380 2383.020 3468.390 ;
RECT 2560.020 3468.380 2563.020 3468.390 ;
RECT 2740.020 3468.380 2743.020 3468.390 ;
RECT 2945.000 3468.380 2948.000 3468.390 ;
RECT -32.980 3465.380 2952.600 3468.380 ;
RECT -28.380 3465.370 -25.380 3465.380 ;
RECT 40.020 3465.370 43.020 3465.380 ;
RECT 220.020 3465.370 223.020 3465.380 ;
RECT 400.020 3465.370 403.020 3465.380 ;
RECT 580.020 3465.370 583.020 3465.380 ;
RECT 760.020 3465.370 763.020 3465.380 ;
RECT 940.020 3465.370 943.020 3465.380 ;
RECT 1120.020 3465.370 1123.020 3465.380 ;
RECT 1300.020 3465.370 1303.020 3465.380 ;
RECT 1480.020 3465.370 1483.020 3465.380 ;
RECT 1660.020 3465.370 1663.020 3465.380 ;
RECT 1840.020 3465.370 1843.020 3465.380 ;
RECT 2020.020 3465.370 2023.020 3465.380 ;
RECT 2200.020 3465.370 2203.020 3465.380 ;
RECT 2380.020 3465.370 2383.020 3465.380 ;
RECT 2560.020 3465.370 2563.020 3465.380 ;
RECT 2740.020 3465.370 2743.020 3465.380 ;
RECT 2945.000 3465.370 2948.000 3465.380 ;
RECT -28.380 3288.380 -25.380 3288.390 ;
RECT 40.020 3288.380 43.020 3288.390 ;
RECT 220.020 3288.380 223.020 3288.390 ;
RECT 400.020 3288.380 403.020 3288.390 ;
RECT 580.020 3288.380 583.020 3288.390 ;
RECT 760.020 3288.380 763.020 3288.390 ;
RECT 940.020 3288.380 943.020 3288.390 ;
RECT 1120.020 3288.380 1123.020 3288.390 ;
RECT 1300.020 3288.380 1303.020 3288.390 ;
RECT 1480.020 3288.380 1483.020 3288.390 ;
RECT 1660.020 3288.380 1663.020 3288.390 ;
RECT 1840.020 3288.380 1843.020 3288.390 ;
RECT 2020.020 3288.380 2023.020 3288.390 ;
RECT 2200.020 3288.380 2203.020 3288.390 ;
RECT 2380.020 3288.380 2383.020 3288.390 ;
RECT 2560.020 3288.380 2563.020 3288.390 ;
RECT 2740.020 3288.380 2743.020 3288.390 ;
RECT 2945.000 3288.380 2948.000 3288.390 ;
RECT -32.980 3285.380 2952.600 3288.380 ;
RECT -28.380 3285.370 -25.380 3285.380 ;
RECT 40.020 3285.370 43.020 3285.380 ;
RECT 220.020 3285.370 223.020 3285.380 ;
RECT 400.020 3285.370 403.020 3285.380 ;
RECT 580.020 3285.370 583.020 3285.380 ;
RECT 760.020 3285.370 763.020 3285.380 ;
RECT 940.020 3285.370 943.020 3285.380 ;
RECT 1120.020 3285.370 1123.020 3285.380 ;
RECT 1300.020 3285.370 1303.020 3285.380 ;
RECT 1480.020 3285.370 1483.020 3285.380 ;
RECT 1660.020 3285.370 1663.020 3285.380 ;
RECT 1840.020 3285.370 1843.020 3285.380 ;
RECT 2020.020 3285.370 2023.020 3285.380 ;
RECT 2200.020 3285.370 2203.020 3285.380 ;
RECT 2380.020 3285.370 2383.020 3285.380 ;
RECT 2560.020 3285.370 2563.020 3285.380 ;
RECT 2740.020 3285.370 2743.020 3285.380 ;
RECT 2945.000 3285.370 2948.000 3285.380 ;
RECT -28.380 3108.380 -25.380 3108.390 ;
RECT 40.020 3108.380 43.020 3108.390 ;
RECT 220.020 3108.380 223.020 3108.390 ;
RECT 400.020 3108.380 403.020 3108.390 ;
RECT 580.020 3108.380 583.020 3108.390 ;
RECT 760.020 3108.380 763.020 3108.390 ;
RECT 940.020 3108.380 943.020 3108.390 ;
RECT 1120.020 3108.380 1123.020 3108.390 ;
RECT 1300.020 3108.380 1303.020 3108.390 ;
RECT 1480.020 3108.380 1483.020 3108.390 ;
RECT 1660.020 3108.380 1663.020 3108.390 ;
RECT 1840.020 3108.380 1843.020 3108.390 ;
RECT 2020.020 3108.380 2023.020 3108.390 ;
RECT 2200.020 3108.380 2203.020 3108.390 ;
RECT 2380.020 3108.380 2383.020 3108.390 ;
RECT 2560.020 3108.380 2563.020 3108.390 ;
RECT 2740.020 3108.380 2743.020 3108.390 ;
RECT 2945.000 3108.380 2948.000 3108.390 ;
RECT -32.980 3105.380 2952.600 3108.380 ;
RECT -28.380 3105.370 -25.380 3105.380 ;
RECT 40.020 3105.370 43.020 3105.380 ;
RECT 220.020 3105.370 223.020 3105.380 ;
RECT 400.020 3105.370 403.020 3105.380 ;
RECT 580.020 3105.370 583.020 3105.380 ;
RECT 760.020 3105.370 763.020 3105.380 ;
RECT 940.020 3105.370 943.020 3105.380 ;
RECT 1120.020 3105.370 1123.020 3105.380 ;
RECT 1300.020 3105.370 1303.020 3105.380 ;
RECT 1480.020 3105.370 1483.020 3105.380 ;
RECT 1660.020 3105.370 1663.020 3105.380 ;
RECT 1840.020 3105.370 1843.020 3105.380 ;
RECT 2020.020 3105.370 2023.020 3105.380 ;
RECT 2200.020 3105.370 2203.020 3105.380 ;
RECT 2380.020 3105.370 2383.020 3105.380 ;
RECT 2560.020 3105.370 2563.020 3105.380 ;
RECT 2740.020 3105.370 2743.020 3105.380 ;
RECT 2945.000 3105.370 2948.000 3105.380 ;
RECT -28.380 2928.380 -25.380 2928.390 ;
RECT 40.020 2928.380 43.020 2928.390 ;
RECT 220.020 2928.380 223.020 2928.390 ;
RECT 400.020 2928.380 403.020 2928.390 ;
RECT 580.020 2928.380 583.020 2928.390 ;
RECT 760.020 2928.380 763.020 2928.390 ;
RECT 940.020 2928.380 943.020 2928.390 ;
RECT 1120.020 2928.380 1123.020 2928.390 ;
RECT 1300.020 2928.380 1303.020 2928.390 ;
RECT 1480.020 2928.380 1483.020 2928.390 ;
RECT 1660.020 2928.380 1663.020 2928.390 ;
RECT 1840.020 2928.380 1843.020 2928.390 ;
RECT 2020.020 2928.380 2023.020 2928.390 ;
RECT 2200.020 2928.380 2203.020 2928.390 ;
RECT 2380.020 2928.380 2383.020 2928.390 ;
RECT 2560.020 2928.380 2563.020 2928.390 ;
RECT 2740.020 2928.380 2743.020 2928.390 ;
RECT 2945.000 2928.380 2948.000 2928.390 ;
RECT -32.980 2925.380 2952.600 2928.380 ;
RECT -28.380 2925.370 -25.380 2925.380 ;
RECT 40.020 2925.370 43.020 2925.380 ;
RECT 220.020 2925.370 223.020 2925.380 ;
RECT 400.020 2925.370 403.020 2925.380 ;
RECT 580.020 2925.370 583.020 2925.380 ;
RECT 760.020 2925.370 763.020 2925.380 ;
RECT 940.020 2925.370 943.020 2925.380 ;
RECT 1120.020 2925.370 1123.020 2925.380 ;
RECT 1300.020 2925.370 1303.020 2925.380 ;
RECT 1480.020 2925.370 1483.020 2925.380 ;
RECT 1660.020 2925.370 1663.020 2925.380 ;
RECT 1840.020 2925.370 1843.020 2925.380 ;
RECT 2020.020 2925.370 2023.020 2925.380 ;
RECT 2200.020 2925.370 2203.020 2925.380 ;
RECT 2380.020 2925.370 2383.020 2925.380 ;
RECT 2560.020 2925.370 2563.020 2925.380 ;
RECT 2740.020 2925.370 2743.020 2925.380 ;
RECT 2945.000 2925.370 2948.000 2925.380 ;
RECT -28.380 2748.380 -25.380 2748.390 ;
RECT 40.020 2748.380 43.020 2748.390 ;
RECT 220.020 2748.380 223.020 2748.390 ;
RECT 400.020 2748.380 403.020 2748.390 ;
RECT 580.020 2748.380 583.020 2748.390 ;
RECT 760.020 2748.380 763.020 2748.390 ;
RECT 940.020 2748.380 943.020 2748.390 ;
RECT 1120.020 2748.380 1123.020 2748.390 ;
RECT 1300.020 2748.380 1303.020 2748.390 ;
RECT 1480.020 2748.380 1483.020 2748.390 ;
RECT 1660.020 2748.380 1663.020 2748.390 ;
RECT 1840.020 2748.380 1843.020 2748.390 ;
RECT 2020.020 2748.380 2023.020 2748.390 ;
RECT 2200.020 2748.380 2203.020 2748.390 ;
RECT 2380.020 2748.380 2383.020 2748.390 ;
RECT 2560.020 2748.380 2563.020 2748.390 ;
RECT 2740.020 2748.380 2743.020 2748.390 ;
RECT 2945.000 2748.380 2948.000 2748.390 ;
RECT -32.980 2745.380 2952.600 2748.380 ;
RECT -28.380 2745.370 -25.380 2745.380 ;
RECT 40.020 2745.370 43.020 2745.380 ;
RECT 220.020 2745.370 223.020 2745.380 ;
RECT 400.020 2745.370 403.020 2745.380 ;
RECT 580.020 2745.370 583.020 2745.380 ;
RECT 760.020 2745.370 763.020 2745.380 ;
RECT 940.020 2745.370 943.020 2745.380 ;
RECT 1120.020 2745.370 1123.020 2745.380 ;
RECT 1300.020 2745.370 1303.020 2745.380 ;
RECT 1480.020 2745.370 1483.020 2745.380 ;
RECT 1660.020 2745.370 1663.020 2745.380 ;
RECT 1840.020 2745.370 1843.020 2745.380 ;
RECT 2020.020 2745.370 2023.020 2745.380 ;
RECT 2200.020 2745.370 2203.020 2745.380 ;
RECT 2380.020 2745.370 2383.020 2745.380 ;
RECT 2560.020 2745.370 2563.020 2745.380 ;
RECT 2740.020 2745.370 2743.020 2745.380 ;
RECT 2945.000 2745.370 2948.000 2745.380 ;
RECT -28.380 2568.380 -25.380 2568.390 ;
RECT 40.020 2568.380 43.020 2568.390 ;
RECT 220.020 2568.380 223.020 2568.390 ;
RECT 400.020 2568.380 403.020 2568.390 ;
RECT 580.020 2568.380 583.020 2568.390 ;
RECT 760.020 2568.380 763.020 2568.390 ;
RECT 940.020 2568.380 943.020 2568.390 ;
RECT 1120.020 2568.380 1123.020 2568.390 ;
RECT 1300.020 2568.380 1303.020 2568.390 ;
RECT 1480.020 2568.380 1483.020 2568.390 ;
RECT 1660.020 2568.380 1663.020 2568.390 ;
RECT 1840.020 2568.380 1843.020 2568.390 ;
RECT 2020.020 2568.380 2023.020 2568.390 ;
RECT 2200.020 2568.380 2203.020 2568.390 ;
RECT 2380.020 2568.380 2383.020 2568.390 ;
RECT 2560.020 2568.380 2563.020 2568.390 ;
RECT 2740.020 2568.380 2743.020 2568.390 ;
RECT 2945.000 2568.380 2948.000 2568.390 ;
RECT -32.980 2565.380 2952.600 2568.380 ;
RECT -28.380 2565.370 -25.380 2565.380 ;
RECT 40.020 2565.370 43.020 2565.380 ;
RECT 220.020 2565.370 223.020 2565.380 ;
RECT 400.020 2565.370 403.020 2565.380 ;
RECT 580.020 2565.370 583.020 2565.380 ;
RECT 760.020 2565.370 763.020 2565.380 ;
RECT 940.020 2565.370 943.020 2565.380 ;
RECT 1120.020 2565.370 1123.020 2565.380 ;
RECT 1300.020 2565.370 1303.020 2565.380 ;
RECT 1480.020 2565.370 1483.020 2565.380 ;
RECT 1660.020 2565.370 1663.020 2565.380 ;
RECT 1840.020 2565.370 1843.020 2565.380 ;
RECT 2020.020 2565.370 2023.020 2565.380 ;
RECT 2200.020 2565.370 2203.020 2565.380 ;
RECT 2380.020 2565.370 2383.020 2565.380 ;
RECT 2560.020 2565.370 2563.020 2565.380 ;
RECT 2740.020 2565.370 2743.020 2565.380 ;
RECT 2945.000 2565.370 2948.000 2565.380 ;
RECT -28.380 2388.380 -25.380 2388.390 ;
RECT 40.020 2388.380 43.020 2388.390 ;
RECT 220.020 2388.380 223.020 2388.390 ;
RECT 400.020 2388.380 403.020 2388.390 ;
RECT 580.020 2388.380 583.020 2388.390 ;
RECT 760.020 2388.380 763.020 2388.390 ;
RECT 940.020 2388.380 943.020 2388.390 ;
RECT 1120.020 2388.380 1123.020 2388.390 ;
RECT 1300.020 2388.380 1303.020 2388.390 ;
RECT 1480.020 2388.380 1483.020 2388.390 ;
RECT 1660.020 2388.380 1663.020 2388.390 ;
RECT 1840.020 2388.380 1843.020 2388.390 ;
RECT 2020.020 2388.380 2023.020 2388.390 ;
RECT 2200.020 2388.380 2203.020 2388.390 ;
RECT 2380.020 2388.380 2383.020 2388.390 ;
RECT 2560.020 2388.380 2563.020 2388.390 ;
RECT 2740.020 2388.380 2743.020 2388.390 ;
RECT 2945.000 2388.380 2948.000 2388.390 ;
RECT -32.980 2385.380 2952.600 2388.380 ;
RECT -28.380 2385.370 -25.380 2385.380 ;
RECT 40.020 2385.370 43.020 2385.380 ;
RECT 220.020 2385.370 223.020 2385.380 ;
RECT 400.020 2385.370 403.020 2385.380 ;
RECT 580.020 2385.370 583.020 2385.380 ;
RECT 760.020 2385.370 763.020 2385.380 ;
RECT 940.020 2385.370 943.020 2385.380 ;
RECT 1120.020 2385.370 1123.020 2385.380 ;
RECT 1300.020 2385.370 1303.020 2385.380 ;
RECT 1480.020 2385.370 1483.020 2385.380 ;
RECT 1660.020 2385.370 1663.020 2385.380 ;
RECT 1840.020 2385.370 1843.020 2385.380 ;
RECT 2020.020 2385.370 2023.020 2385.380 ;
RECT 2200.020 2385.370 2203.020 2385.380 ;
RECT 2380.020 2385.370 2383.020 2385.380 ;
RECT 2560.020 2385.370 2563.020 2385.380 ;
RECT 2740.020 2385.370 2743.020 2385.380 ;
RECT 2945.000 2385.370 2948.000 2385.380 ;
RECT -28.380 2208.380 -25.380 2208.390 ;
RECT 40.020 2208.380 43.020 2208.390 ;
RECT 220.020 2208.380 223.020 2208.390 ;
RECT 400.020 2208.380 403.020 2208.390 ;
RECT 580.020 2208.380 583.020 2208.390 ;
RECT 760.020 2208.380 763.020 2208.390 ;
RECT 940.020 2208.380 943.020 2208.390 ;
RECT 1120.020 2208.380 1123.020 2208.390 ;
RECT 1300.020 2208.380 1303.020 2208.390 ;
RECT 1480.020 2208.380 1483.020 2208.390 ;
RECT 1660.020 2208.380 1663.020 2208.390 ;
RECT 1840.020 2208.380 1843.020 2208.390 ;
RECT 2020.020 2208.380 2023.020 2208.390 ;
RECT 2200.020 2208.380 2203.020 2208.390 ;
RECT 2380.020 2208.380 2383.020 2208.390 ;
RECT 2560.020 2208.380 2563.020 2208.390 ;
RECT 2740.020 2208.380 2743.020 2208.390 ;
RECT 2945.000 2208.380 2948.000 2208.390 ;
RECT -32.980 2205.380 2952.600 2208.380 ;
RECT -28.380 2205.370 -25.380 2205.380 ;
RECT 40.020 2205.370 43.020 2205.380 ;
RECT 220.020 2205.370 223.020 2205.380 ;
RECT 400.020 2205.370 403.020 2205.380 ;
RECT 580.020 2205.370 583.020 2205.380 ;
RECT 760.020 2205.370 763.020 2205.380 ;
RECT 940.020 2205.370 943.020 2205.380 ;
RECT 1120.020 2205.370 1123.020 2205.380 ;
RECT 1300.020 2205.370 1303.020 2205.380 ;
RECT 1480.020 2205.370 1483.020 2205.380 ;
RECT 1660.020 2205.370 1663.020 2205.380 ;
RECT 1840.020 2205.370 1843.020 2205.380 ;
RECT 2020.020 2205.370 2023.020 2205.380 ;
RECT 2200.020 2205.370 2203.020 2205.380 ;
RECT 2380.020 2205.370 2383.020 2205.380 ;
RECT 2560.020 2205.370 2563.020 2205.380 ;
RECT 2740.020 2205.370 2743.020 2205.380 ;
RECT 2945.000 2205.370 2948.000 2205.380 ;
RECT -28.380 2028.380 -25.380 2028.390 ;
RECT 40.020 2028.380 43.020 2028.390 ;
RECT 220.020 2028.380 223.020 2028.390 ;
RECT 400.020 2028.380 403.020 2028.390 ;
RECT 580.020 2028.380 583.020 2028.390 ;
RECT 760.020 2028.380 763.020 2028.390 ;
RECT 940.020 2028.380 943.020 2028.390 ;
RECT 1120.020 2028.380 1123.020 2028.390 ;
RECT 1300.020 2028.380 1303.020 2028.390 ;
RECT 1480.020 2028.380 1483.020 2028.390 ;
RECT 1660.020 2028.380 1663.020 2028.390 ;
RECT 1840.020 2028.380 1843.020 2028.390 ;
RECT 2020.020 2028.380 2023.020 2028.390 ;
RECT 2200.020 2028.380 2203.020 2028.390 ;
RECT 2380.020 2028.380 2383.020 2028.390 ;
RECT 2560.020 2028.380 2563.020 2028.390 ;
RECT 2740.020 2028.380 2743.020 2028.390 ;
RECT 2945.000 2028.380 2948.000 2028.390 ;
RECT -32.980 2025.380 2952.600 2028.380 ;
RECT -28.380 2025.370 -25.380 2025.380 ;
RECT 40.020 2025.370 43.020 2025.380 ;
RECT 220.020 2025.370 223.020 2025.380 ;
RECT 400.020 2025.370 403.020 2025.380 ;
RECT 580.020 2025.370 583.020 2025.380 ;
RECT 760.020 2025.370 763.020 2025.380 ;
RECT 940.020 2025.370 943.020 2025.380 ;
RECT 1120.020 2025.370 1123.020 2025.380 ;
RECT 1300.020 2025.370 1303.020 2025.380 ;
RECT 1480.020 2025.370 1483.020 2025.380 ;
RECT 1660.020 2025.370 1663.020 2025.380 ;
RECT 1840.020 2025.370 1843.020 2025.380 ;
RECT 2020.020 2025.370 2023.020 2025.380 ;
RECT 2200.020 2025.370 2203.020 2025.380 ;
RECT 2380.020 2025.370 2383.020 2025.380 ;
RECT 2560.020 2025.370 2563.020 2025.380 ;
RECT 2740.020 2025.370 2743.020 2025.380 ;
RECT 2945.000 2025.370 2948.000 2025.380 ;
RECT -28.380 1848.380 -25.380 1848.390 ;
RECT 40.020 1848.380 43.020 1848.390 ;
RECT 220.020 1848.380 223.020 1848.390 ;
RECT 400.020 1848.380 403.020 1848.390 ;
RECT 580.020 1848.380 583.020 1848.390 ;
RECT 760.020 1848.380 763.020 1848.390 ;
RECT 940.020 1848.380 943.020 1848.390 ;
RECT 1120.020 1848.380 1123.020 1848.390 ;
RECT 1300.020 1848.380 1303.020 1848.390 ;
RECT 1480.020 1848.380 1483.020 1848.390 ;
RECT 1660.020 1848.380 1663.020 1848.390 ;
RECT 1840.020 1848.380 1843.020 1848.390 ;
RECT 2020.020 1848.380 2023.020 1848.390 ;
RECT 2200.020 1848.380 2203.020 1848.390 ;
RECT 2380.020 1848.380 2383.020 1848.390 ;
RECT 2560.020 1848.380 2563.020 1848.390 ;
RECT 2740.020 1848.380 2743.020 1848.390 ;
RECT 2945.000 1848.380 2948.000 1848.390 ;
RECT -32.980 1845.380 2952.600 1848.380 ;
RECT -28.380 1845.370 -25.380 1845.380 ;
RECT 40.020 1845.370 43.020 1845.380 ;
RECT 220.020 1845.370 223.020 1845.380 ;
RECT 400.020 1845.370 403.020 1845.380 ;
RECT 580.020 1845.370 583.020 1845.380 ;
RECT 760.020 1845.370 763.020 1845.380 ;
RECT 940.020 1845.370 943.020 1845.380 ;
RECT 1120.020 1845.370 1123.020 1845.380 ;
RECT 1300.020 1845.370 1303.020 1845.380 ;
RECT 1480.020 1845.370 1483.020 1845.380 ;
RECT 1660.020 1845.370 1663.020 1845.380 ;
RECT 1840.020 1845.370 1843.020 1845.380 ;
RECT 2020.020 1845.370 2023.020 1845.380 ;
RECT 2200.020 1845.370 2203.020 1845.380 ;
RECT 2380.020 1845.370 2383.020 1845.380 ;
RECT 2560.020 1845.370 2563.020 1845.380 ;
RECT 2740.020 1845.370 2743.020 1845.380 ;
RECT 2945.000 1845.370 2948.000 1845.380 ;
RECT -28.380 1668.380 -25.380 1668.390 ;
RECT 40.020 1668.380 43.020 1668.390 ;
RECT 220.020 1668.380 223.020 1668.390 ;
RECT 400.020 1668.380 403.020 1668.390 ;
RECT 580.020 1668.380 583.020 1668.390 ;
RECT 760.020 1668.380 763.020 1668.390 ;
RECT 940.020 1668.380 943.020 1668.390 ;
RECT 1120.020 1668.380 1123.020 1668.390 ;
RECT 1300.020 1668.380 1303.020 1668.390 ;
RECT 1480.020 1668.380 1483.020 1668.390 ;
RECT 1660.020 1668.380 1663.020 1668.390 ;
RECT 1840.020 1668.380 1843.020 1668.390 ;
RECT 2020.020 1668.380 2023.020 1668.390 ;
RECT 2200.020 1668.380 2203.020 1668.390 ;
RECT 2380.020 1668.380 2383.020 1668.390 ;
RECT 2560.020 1668.380 2563.020 1668.390 ;
RECT 2740.020 1668.380 2743.020 1668.390 ;
RECT 2945.000 1668.380 2948.000 1668.390 ;
RECT -32.980 1665.380 2952.600 1668.380 ;
RECT -28.380 1665.370 -25.380 1665.380 ;
RECT 40.020 1665.370 43.020 1665.380 ;
RECT 220.020 1665.370 223.020 1665.380 ;
RECT 400.020 1665.370 403.020 1665.380 ;
RECT 580.020 1665.370 583.020 1665.380 ;
RECT 760.020 1665.370 763.020 1665.380 ;
RECT 940.020 1665.370 943.020 1665.380 ;
RECT 1120.020 1665.370 1123.020 1665.380 ;
RECT 1300.020 1665.370 1303.020 1665.380 ;
RECT 1480.020 1665.370 1483.020 1665.380 ;
RECT 1660.020 1665.370 1663.020 1665.380 ;
RECT 1840.020 1665.370 1843.020 1665.380 ;
RECT 2020.020 1665.370 2023.020 1665.380 ;
RECT 2200.020 1665.370 2203.020 1665.380 ;
RECT 2380.020 1665.370 2383.020 1665.380 ;
RECT 2560.020 1665.370 2563.020 1665.380 ;
RECT 2740.020 1665.370 2743.020 1665.380 ;
RECT 2945.000 1665.370 2948.000 1665.380 ;
RECT -28.380 1488.380 -25.380 1488.390 ;
RECT 40.020 1488.380 43.020 1488.390 ;
RECT 220.020 1488.380 223.020 1488.390 ;
RECT 400.020 1488.380 403.020 1488.390 ;
RECT 580.020 1488.380 583.020 1488.390 ;
RECT 760.020 1488.380 763.020 1488.390 ;
RECT 940.020 1488.380 943.020 1488.390 ;
RECT 1120.020 1488.380 1123.020 1488.390 ;
RECT 1300.020 1488.380 1303.020 1488.390 ;
RECT 1480.020 1488.380 1483.020 1488.390 ;
RECT 1660.020 1488.380 1663.020 1488.390 ;
RECT 1840.020 1488.380 1843.020 1488.390 ;
RECT 2020.020 1488.380 2023.020 1488.390 ;
RECT 2200.020 1488.380 2203.020 1488.390 ;
RECT 2380.020 1488.380 2383.020 1488.390 ;
RECT 2560.020 1488.380 2563.020 1488.390 ;
RECT 2740.020 1488.380 2743.020 1488.390 ;
RECT 2945.000 1488.380 2948.000 1488.390 ;
RECT -32.980 1485.380 2952.600 1488.380 ;
RECT -28.380 1485.370 -25.380 1485.380 ;
RECT 40.020 1485.370 43.020 1485.380 ;
RECT 220.020 1485.370 223.020 1485.380 ;
RECT 400.020 1485.370 403.020 1485.380 ;
RECT 580.020 1485.370 583.020 1485.380 ;
RECT 760.020 1485.370 763.020 1485.380 ;
RECT 940.020 1485.370 943.020 1485.380 ;
RECT 1120.020 1485.370 1123.020 1485.380 ;
RECT 1300.020 1485.370 1303.020 1485.380 ;
RECT 1480.020 1485.370 1483.020 1485.380 ;
RECT 1660.020 1485.370 1663.020 1485.380 ;
RECT 1840.020 1485.370 1843.020 1485.380 ;
RECT 2020.020 1485.370 2023.020 1485.380 ;
RECT 2200.020 1485.370 2203.020 1485.380 ;
RECT 2380.020 1485.370 2383.020 1485.380 ;
RECT 2560.020 1485.370 2563.020 1485.380 ;
RECT 2740.020 1485.370 2743.020 1485.380 ;
RECT 2945.000 1485.370 2948.000 1485.380 ;
RECT -28.380 1308.380 -25.380 1308.390 ;
RECT 40.020 1308.380 43.020 1308.390 ;
RECT 220.020 1308.380 223.020 1308.390 ;
RECT 400.020 1308.380 403.020 1308.390 ;
RECT 580.020 1308.380 583.020 1308.390 ;
RECT 760.020 1308.380 763.020 1308.390 ;
RECT 940.020 1308.380 943.020 1308.390 ;
RECT 1120.020 1308.380 1123.020 1308.390 ;
RECT 1300.020 1308.380 1303.020 1308.390 ;
RECT 1480.020 1308.380 1483.020 1308.390 ;
RECT 1660.020 1308.380 1663.020 1308.390 ;
RECT 1840.020 1308.380 1843.020 1308.390 ;
RECT 2020.020 1308.380 2023.020 1308.390 ;
RECT 2200.020 1308.380 2203.020 1308.390 ;
RECT 2380.020 1308.380 2383.020 1308.390 ;
RECT 2560.020 1308.380 2563.020 1308.390 ;
RECT 2740.020 1308.380 2743.020 1308.390 ;
RECT 2945.000 1308.380 2948.000 1308.390 ;
RECT -32.980 1305.380 2952.600 1308.380 ;
RECT -28.380 1305.370 -25.380 1305.380 ;
RECT 40.020 1305.370 43.020 1305.380 ;
RECT 220.020 1305.370 223.020 1305.380 ;
RECT 400.020 1305.370 403.020 1305.380 ;
RECT 580.020 1305.370 583.020 1305.380 ;
RECT 760.020 1305.370 763.020 1305.380 ;
RECT 940.020 1305.370 943.020 1305.380 ;
RECT 1120.020 1305.370 1123.020 1305.380 ;
RECT 1300.020 1305.370 1303.020 1305.380 ;
RECT 1480.020 1305.370 1483.020 1305.380 ;
RECT 1660.020 1305.370 1663.020 1305.380 ;
RECT 1840.020 1305.370 1843.020 1305.380 ;
RECT 2020.020 1305.370 2023.020 1305.380 ;
RECT 2200.020 1305.370 2203.020 1305.380 ;
RECT 2380.020 1305.370 2383.020 1305.380 ;
RECT 2560.020 1305.370 2563.020 1305.380 ;
RECT 2740.020 1305.370 2743.020 1305.380 ;
RECT 2945.000 1305.370 2948.000 1305.380 ;
RECT -28.380 1128.380 -25.380 1128.390 ;
RECT 40.020 1128.380 43.020 1128.390 ;
RECT 220.020 1128.380 223.020 1128.390 ;
RECT 400.020 1128.380 403.020 1128.390 ;
RECT 580.020 1128.380 583.020 1128.390 ;
RECT 760.020 1128.380 763.020 1128.390 ;
RECT 940.020 1128.380 943.020 1128.390 ;
RECT 1120.020 1128.380 1123.020 1128.390 ;
RECT 1300.020 1128.380 1303.020 1128.390 ;
RECT 1480.020 1128.380 1483.020 1128.390 ;
RECT 1660.020 1128.380 1663.020 1128.390 ;
RECT 1840.020 1128.380 1843.020 1128.390 ;
RECT 2020.020 1128.380 2023.020 1128.390 ;
RECT 2200.020 1128.380 2203.020 1128.390 ;
RECT 2380.020 1128.380 2383.020 1128.390 ;
RECT 2560.020 1128.380 2563.020 1128.390 ;
RECT 2740.020 1128.380 2743.020 1128.390 ;
RECT 2945.000 1128.380 2948.000 1128.390 ;
RECT -32.980 1125.380 2952.600 1128.380 ;
RECT -28.380 1125.370 -25.380 1125.380 ;
RECT 40.020 1125.370 43.020 1125.380 ;
RECT 220.020 1125.370 223.020 1125.380 ;
RECT 400.020 1125.370 403.020 1125.380 ;
RECT 580.020 1125.370 583.020 1125.380 ;
RECT 760.020 1125.370 763.020 1125.380 ;
RECT 940.020 1125.370 943.020 1125.380 ;
RECT 1120.020 1125.370 1123.020 1125.380 ;
RECT 1300.020 1125.370 1303.020 1125.380 ;
RECT 1480.020 1125.370 1483.020 1125.380 ;
RECT 1660.020 1125.370 1663.020 1125.380 ;
RECT 1840.020 1125.370 1843.020 1125.380 ;
RECT 2020.020 1125.370 2023.020 1125.380 ;
RECT 2200.020 1125.370 2203.020 1125.380 ;
RECT 2380.020 1125.370 2383.020 1125.380 ;
RECT 2560.020 1125.370 2563.020 1125.380 ;
RECT 2740.020 1125.370 2743.020 1125.380 ;
RECT 2945.000 1125.370 2948.000 1125.380 ;
RECT -28.380 948.380 -25.380 948.390 ;
RECT 40.020 948.380 43.020 948.390 ;
RECT 220.020 948.380 223.020 948.390 ;
RECT 400.020 948.380 403.020 948.390 ;
RECT 580.020 948.380 583.020 948.390 ;
RECT 760.020 948.380 763.020 948.390 ;
RECT 940.020 948.380 943.020 948.390 ;
RECT 1120.020 948.380 1123.020 948.390 ;
RECT 1300.020 948.380 1303.020 948.390 ;
RECT 1480.020 948.380 1483.020 948.390 ;
RECT 1660.020 948.380 1663.020 948.390 ;
RECT 1840.020 948.380 1843.020 948.390 ;
RECT 2020.020 948.380 2023.020 948.390 ;
RECT 2200.020 948.380 2203.020 948.390 ;
RECT 2380.020 948.380 2383.020 948.390 ;
RECT 2560.020 948.380 2563.020 948.390 ;
RECT 2740.020 948.380 2743.020 948.390 ;
RECT 2945.000 948.380 2948.000 948.390 ;
RECT -32.980 945.380 2952.600 948.380 ;
RECT -28.380 945.370 -25.380 945.380 ;
RECT 40.020 945.370 43.020 945.380 ;
RECT 220.020 945.370 223.020 945.380 ;
RECT 400.020 945.370 403.020 945.380 ;
RECT 580.020 945.370 583.020 945.380 ;
RECT 760.020 945.370 763.020 945.380 ;
RECT 940.020 945.370 943.020 945.380 ;
RECT 1120.020 945.370 1123.020 945.380 ;
RECT 1300.020 945.370 1303.020 945.380 ;
RECT 1480.020 945.370 1483.020 945.380 ;
RECT 1660.020 945.370 1663.020 945.380 ;
RECT 1840.020 945.370 1843.020 945.380 ;
RECT 2020.020 945.370 2023.020 945.380 ;
RECT 2200.020 945.370 2203.020 945.380 ;
RECT 2380.020 945.370 2383.020 945.380 ;
RECT 2560.020 945.370 2563.020 945.380 ;
RECT 2740.020 945.370 2743.020 945.380 ;
RECT 2945.000 945.370 2948.000 945.380 ;
RECT -28.380 768.380 -25.380 768.390 ;
RECT 40.020 768.380 43.020 768.390 ;
RECT 220.020 768.380 223.020 768.390 ;
RECT 400.020 768.380 403.020 768.390 ;
RECT 580.020 768.380 583.020 768.390 ;
RECT 760.020 768.380 763.020 768.390 ;
RECT 940.020 768.380 943.020 768.390 ;
RECT 1120.020 768.380 1123.020 768.390 ;
RECT 1300.020 768.380 1303.020 768.390 ;
RECT 1480.020 768.380 1483.020 768.390 ;
RECT 1660.020 768.380 1663.020 768.390 ;
RECT 1840.020 768.380 1843.020 768.390 ;
RECT 2020.020 768.380 2023.020 768.390 ;
RECT 2200.020 768.380 2203.020 768.390 ;
RECT 2380.020 768.380 2383.020 768.390 ;
RECT 2560.020 768.380 2563.020 768.390 ;
RECT 2740.020 768.380 2743.020 768.390 ;
RECT 2945.000 768.380 2948.000 768.390 ;
RECT -32.980 765.380 2952.600 768.380 ;
RECT -28.380 765.370 -25.380 765.380 ;
RECT 40.020 765.370 43.020 765.380 ;
RECT 220.020 765.370 223.020 765.380 ;
RECT 400.020 765.370 403.020 765.380 ;
RECT 580.020 765.370 583.020 765.380 ;
RECT 760.020 765.370 763.020 765.380 ;
RECT 940.020 765.370 943.020 765.380 ;
RECT 1120.020 765.370 1123.020 765.380 ;
RECT 1300.020 765.370 1303.020 765.380 ;
RECT 1480.020 765.370 1483.020 765.380 ;
RECT 1660.020 765.370 1663.020 765.380 ;
RECT 1840.020 765.370 1843.020 765.380 ;
RECT 2020.020 765.370 2023.020 765.380 ;
RECT 2200.020 765.370 2203.020 765.380 ;
RECT 2380.020 765.370 2383.020 765.380 ;
RECT 2560.020 765.370 2563.020 765.380 ;
RECT 2740.020 765.370 2743.020 765.380 ;
RECT 2945.000 765.370 2948.000 765.380 ;
RECT -28.380 588.380 -25.380 588.390 ;
RECT 40.020 588.380 43.020 588.390 ;
RECT 220.020 588.380 223.020 588.390 ;
RECT 400.020 588.380 403.020 588.390 ;
RECT 580.020 588.380 583.020 588.390 ;
RECT 760.020 588.380 763.020 588.390 ;
RECT 940.020 588.380 943.020 588.390 ;
RECT 1120.020 588.380 1123.020 588.390 ;
RECT 1300.020 588.380 1303.020 588.390 ;
RECT 1480.020 588.380 1483.020 588.390 ;
RECT 1660.020 588.380 1663.020 588.390 ;
RECT 1840.020 588.380 1843.020 588.390 ;
RECT 2020.020 588.380 2023.020 588.390 ;
RECT 2200.020 588.380 2203.020 588.390 ;
RECT 2380.020 588.380 2383.020 588.390 ;
RECT 2560.020 588.380 2563.020 588.390 ;
RECT 2740.020 588.380 2743.020 588.390 ;
RECT 2945.000 588.380 2948.000 588.390 ;
RECT -32.980 585.380 2952.600 588.380 ;
RECT -28.380 585.370 -25.380 585.380 ;
RECT 40.020 585.370 43.020 585.380 ;
RECT 220.020 585.370 223.020 585.380 ;
RECT 400.020 585.370 403.020 585.380 ;
RECT 580.020 585.370 583.020 585.380 ;
RECT 760.020 585.370 763.020 585.380 ;
RECT 940.020 585.370 943.020 585.380 ;
RECT 1120.020 585.370 1123.020 585.380 ;
RECT 1300.020 585.370 1303.020 585.380 ;
RECT 1480.020 585.370 1483.020 585.380 ;
RECT 1660.020 585.370 1663.020 585.380 ;
RECT 1840.020 585.370 1843.020 585.380 ;
RECT 2020.020 585.370 2023.020 585.380 ;
RECT 2200.020 585.370 2203.020 585.380 ;
RECT 2380.020 585.370 2383.020 585.380 ;
RECT 2560.020 585.370 2563.020 585.380 ;
RECT 2740.020 585.370 2743.020 585.380 ;
RECT 2945.000 585.370 2948.000 585.380 ;
RECT -28.380 408.380 -25.380 408.390 ;
RECT 40.020 408.380 43.020 408.390 ;
RECT 220.020 408.380 223.020 408.390 ;
RECT 400.020 408.380 403.020 408.390 ;
RECT 580.020 408.380 583.020 408.390 ;
RECT 760.020 408.380 763.020 408.390 ;
RECT 940.020 408.380 943.020 408.390 ;
RECT 1120.020 408.380 1123.020 408.390 ;
RECT 1300.020 408.380 1303.020 408.390 ;
RECT 1480.020 408.380 1483.020 408.390 ;
RECT 1660.020 408.380 1663.020 408.390 ;
RECT 1840.020 408.380 1843.020 408.390 ;
RECT 2020.020 408.380 2023.020 408.390 ;
RECT 2200.020 408.380 2203.020 408.390 ;
RECT 2380.020 408.380 2383.020 408.390 ;
RECT 2560.020 408.380 2563.020 408.390 ;
RECT 2740.020 408.380 2743.020 408.390 ;
RECT 2945.000 408.380 2948.000 408.390 ;
RECT -32.980 405.380 2952.600 408.380 ;
RECT -28.380 405.370 -25.380 405.380 ;
RECT 40.020 405.370 43.020 405.380 ;
RECT 220.020 405.370 223.020 405.380 ;
RECT 400.020 405.370 403.020 405.380 ;
RECT 580.020 405.370 583.020 405.380 ;
RECT 760.020 405.370 763.020 405.380 ;
RECT 940.020 405.370 943.020 405.380 ;
RECT 1120.020 405.370 1123.020 405.380 ;
RECT 1300.020 405.370 1303.020 405.380 ;
RECT 1480.020 405.370 1483.020 405.380 ;
RECT 1660.020 405.370 1663.020 405.380 ;
RECT 1840.020 405.370 1843.020 405.380 ;
RECT 2020.020 405.370 2023.020 405.380 ;
RECT 2200.020 405.370 2203.020 405.380 ;
RECT 2380.020 405.370 2383.020 405.380 ;
RECT 2560.020 405.370 2563.020 405.380 ;
RECT 2740.020 405.370 2743.020 405.380 ;
RECT 2945.000 405.370 2948.000 405.380 ;
RECT -28.380 228.380 -25.380 228.390 ;
RECT 40.020 228.380 43.020 228.390 ;
RECT 220.020 228.380 223.020 228.390 ;
RECT 400.020 228.380 403.020 228.390 ;
RECT 580.020 228.380 583.020 228.390 ;
RECT 760.020 228.380 763.020 228.390 ;
RECT 940.020 228.380 943.020 228.390 ;
RECT 1120.020 228.380 1123.020 228.390 ;
RECT 1300.020 228.380 1303.020 228.390 ;
RECT 1480.020 228.380 1483.020 228.390 ;
RECT 1660.020 228.380 1663.020 228.390 ;
RECT 1840.020 228.380 1843.020 228.390 ;
RECT 2020.020 228.380 2023.020 228.390 ;
RECT 2200.020 228.380 2203.020 228.390 ;
RECT 2380.020 228.380 2383.020 228.390 ;
RECT 2560.020 228.380 2563.020 228.390 ;
RECT 2740.020 228.380 2743.020 228.390 ;
RECT 2945.000 228.380 2948.000 228.390 ;
RECT -32.980 225.380 2952.600 228.380 ;
RECT -28.380 225.370 -25.380 225.380 ;
RECT 40.020 225.370 43.020 225.380 ;
RECT 220.020 225.370 223.020 225.380 ;
RECT 400.020 225.370 403.020 225.380 ;
RECT 580.020 225.370 583.020 225.380 ;
RECT 760.020 225.370 763.020 225.380 ;
RECT 940.020 225.370 943.020 225.380 ;
RECT 1120.020 225.370 1123.020 225.380 ;
RECT 1300.020 225.370 1303.020 225.380 ;
RECT 1480.020 225.370 1483.020 225.380 ;
RECT 1660.020 225.370 1663.020 225.380 ;
RECT 1840.020 225.370 1843.020 225.380 ;
RECT 2020.020 225.370 2023.020 225.380 ;
RECT 2200.020 225.370 2203.020 225.380 ;
RECT 2380.020 225.370 2383.020 225.380 ;
RECT 2560.020 225.370 2563.020 225.380 ;
RECT 2740.020 225.370 2743.020 225.380 ;
RECT 2945.000 225.370 2948.000 225.380 ;
RECT -28.380 48.380 -25.380 48.390 ;
RECT 40.020 48.380 43.020 48.390 ;
RECT 220.020 48.380 223.020 48.390 ;
RECT 400.020 48.380 403.020 48.390 ;
RECT 580.020 48.380 583.020 48.390 ;
RECT 760.020 48.380 763.020 48.390 ;
RECT 940.020 48.380 943.020 48.390 ;
RECT 1120.020 48.380 1123.020 48.390 ;
RECT 1300.020 48.380 1303.020 48.390 ;
RECT 1480.020 48.380 1483.020 48.390 ;
RECT 1660.020 48.380 1663.020 48.390 ;
RECT 1840.020 48.380 1843.020 48.390 ;
RECT 2020.020 48.380 2023.020 48.390 ;
RECT 2200.020 48.380 2203.020 48.390 ;
RECT 2380.020 48.380 2383.020 48.390 ;
RECT 2560.020 48.380 2563.020 48.390 ;
RECT 2740.020 48.380 2743.020 48.390 ;
RECT 2945.000 48.380 2948.000 48.390 ;
RECT -32.980 45.380 2952.600 48.380 ;
RECT -28.380 45.370 -25.380 45.380 ;
RECT 40.020 45.370 43.020 45.380 ;
RECT 220.020 45.370 223.020 45.380 ;
RECT 400.020 45.370 403.020 45.380 ;
RECT 580.020 45.370 583.020 45.380 ;
RECT 760.020 45.370 763.020 45.380 ;
RECT 940.020 45.370 943.020 45.380 ;
RECT 1120.020 45.370 1123.020 45.380 ;
RECT 1300.020 45.370 1303.020 45.380 ;
RECT 1480.020 45.370 1483.020 45.380 ;
RECT 1660.020 45.370 1663.020 45.380 ;
RECT 1840.020 45.370 1843.020 45.380 ;
RECT 2020.020 45.370 2023.020 45.380 ;
RECT 2200.020 45.370 2203.020 45.380 ;
RECT 2380.020 45.370 2383.020 45.380 ;
RECT 2560.020 45.370 2563.020 45.380 ;
RECT 2740.020 45.370 2743.020 45.380 ;
RECT 2945.000 45.370 2948.000 45.380 ;
RECT -28.380 -20.020 -25.380 -20.010 ;
RECT 40.020 -20.020 43.020 -20.010 ;
RECT 220.020 -20.020 223.020 -20.010 ;
RECT 400.020 -20.020 403.020 -20.010 ;
RECT 580.020 -20.020 583.020 -20.010 ;
RECT 760.020 -20.020 763.020 -20.010 ;
RECT 940.020 -20.020 943.020 -20.010 ;
RECT 1120.020 -20.020 1123.020 -20.010 ;
RECT 1300.020 -20.020 1303.020 -20.010 ;
RECT 1480.020 -20.020 1483.020 -20.010 ;
RECT 1660.020 -20.020 1663.020 -20.010 ;
RECT 1840.020 -20.020 1843.020 -20.010 ;
RECT 2020.020 -20.020 2023.020 -20.010 ;
RECT 2200.020 -20.020 2203.020 -20.010 ;
RECT 2380.020 -20.020 2383.020 -20.010 ;
RECT 2560.020 -20.020 2563.020 -20.010 ;
RECT 2740.020 -20.020 2743.020 -20.010 ;
RECT 2945.000 -20.020 2948.000 -20.010 ;
RECT -28.380 -23.020 2948.000 -20.020 ;
RECT -28.380 -23.030 -25.380 -23.020 ;
RECT 40.020 -23.030 43.020 -23.020 ;
RECT 220.020 -23.030 223.020 -23.020 ;
RECT 400.020 -23.030 403.020 -23.020 ;
RECT 580.020 -23.030 583.020 -23.020 ;
RECT 760.020 -23.030 763.020 -23.020 ;
RECT 940.020 -23.030 943.020 -23.020 ;
RECT 1120.020 -23.030 1123.020 -23.020 ;
RECT 1300.020 -23.030 1303.020 -23.020 ;
RECT 1480.020 -23.030 1483.020 -23.020 ;
RECT 1660.020 -23.030 1663.020 -23.020 ;
RECT 1840.020 -23.030 1843.020 -23.020 ;
RECT 2020.020 -23.030 2023.020 -23.020 ;
RECT 2200.020 -23.030 2203.020 -23.020 ;
RECT 2380.020 -23.030 2383.020 -23.020 ;
RECT 2560.020 -23.030 2563.020 -23.020 ;
RECT 2740.020 -23.030 2743.020 -23.020 ;
RECT 2945.000 -23.030 2948.000 -23.020 ;
END
END vdda1
PIN vssa1
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT -32.980 -27.620 -29.980 3547.300 ;
RECT 130.020 -27.620 133.020 3547.300 ;
RECT 310.020 -27.620 313.020 3547.300 ;
RECT 490.020 -27.620 493.020 3547.300 ;
RECT 670.020 -27.620 673.020 3547.300 ;
RECT 850.020 -27.620 853.020 3547.300 ;
RECT 1030.020 -27.620 1033.020 3547.300 ;
RECT 1210.020 -27.620 1213.020 3547.300 ;
RECT 1390.020 -27.620 1393.020 3547.300 ;
RECT 1570.020 -27.620 1573.020 3547.300 ;
RECT 1750.020 -27.620 1753.020 3547.300 ;
RECT 1930.020 -27.620 1933.020 3547.300 ;
RECT 2110.020 -27.620 2113.020 3547.300 ;
RECT 2290.020 -27.620 2293.020 3547.300 ;
RECT 2470.020 -27.620 2473.020 3547.300 ;
RECT 2650.020 -27.620 2653.020 3547.300 ;
RECT 2830.020 -27.620 2833.020 3547.300 ;
RECT 2949.600 -27.620 2952.600 3547.300 ;
LAYER via4 ;
RECT -32.070 3546.010 -30.890 3547.190 ;
RECT -32.070 3544.410 -30.890 3545.590 ;
RECT -32.070 3377.090 -30.890 3378.270 ;
RECT -32.070 3375.490 -30.890 3376.670 ;
RECT -32.070 3197.090 -30.890 3198.270 ;
RECT -32.070 3195.490 -30.890 3196.670 ;
RECT -32.070 3017.090 -30.890 3018.270 ;
RECT -32.070 3015.490 -30.890 3016.670 ;
RECT -32.070 2837.090 -30.890 2838.270 ;
RECT -32.070 2835.490 -30.890 2836.670 ;
RECT -32.070 2657.090 -30.890 2658.270 ;
RECT -32.070 2655.490 -30.890 2656.670 ;
RECT -32.070 2477.090 -30.890 2478.270 ;
RECT -32.070 2475.490 -30.890 2476.670 ;
RECT -32.070 2297.090 -30.890 2298.270 ;
RECT -32.070 2295.490 -30.890 2296.670 ;
RECT -32.070 2117.090 -30.890 2118.270 ;
RECT -32.070 2115.490 -30.890 2116.670 ;
RECT -32.070 1937.090 -30.890 1938.270 ;
RECT -32.070 1935.490 -30.890 1936.670 ;
RECT -32.070 1757.090 -30.890 1758.270 ;
RECT -32.070 1755.490 -30.890 1756.670 ;
RECT -32.070 1577.090 -30.890 1578.270 ;
RECT -32.070 1575.490 -30.890 1576.670 ;
RECT -32.070 1397.090 -30.890 1398.270 ;
RECT -32.070 1395.490 -30.890 1396.670 ;
RECT -32.070 1217.090 -30.890 1218.270 ;
RECT -32.070 1215.490 -30.890 1216.670 ;
RECT -32.070 1037.090 -30.890 1038.270 ;
RECT -32.070 1035.490 -30.890 1036.670 ;
RECT -32.070 857.090 -30.890 858.270 ;
RECT -32.070 855.490 -30.890 856.670 ;
RECT -32.070 677.090 -30.890 678.270 ;
RECT -32.070 675.490 -30.890 676.670 ;
RECT -32.070 497.090 -30.890 498.270 ;
RECT -32.070 495.490 -30.890 496.670 ;
RECT -32.070 317.090 -30.890 318.270 ;
RECT -32.070 315.490 -30.890 316.670 ;
RECT -32.070 137.090 -30.890 138.270 ;
RECT -32.070 135.490 -30.890 136.670 ;
RECT -32.070 -25.910 -30.890 -24.730 ;
RECT -32.070 -27.510 -30.890 -26.330 ;
RECT 130.930 3546.010 132.110 3547.190 ;
RECT 130.930 3544.410 132.110 3545.590 ;
RECT 130.930 3377.090 132.110 3378.270 ;
RECT 130.930 3375.490 132.110 3376.670 ;
RECT 130.930 3197.090 132.110 3198.270 ;
RECT 130.930 3195.490 132.110 3196.670 ;
RECT 130.930 3017.090 132.110 3018.270 ;
RECT 130.930 3015.490 132.110 3016.670 ;
RECT 130.930 2837.090 132.110 2838.270 ;
RECT 130.930 2835.490 132.110 2836.670 ;
RECT 130.930 2657.090 132.110 2658.270 ;
RECT 130.930 2655.490 132.110 2656.670 ;
RECT 130.930 2477.090 132.110 2478.270 ;
RECT 130.930 2475.490 132.110 2476.670 ;
RECT 130.930 2297.090 132.110 2298.270 ;
RECT 130.930 2295.490 132.110 2296.670 ;
RECT 130.930 2117.090 132.110 2118.270 ;
RECT 130.930 2115.490 132.110 2116.670 ;
RECT 130.930 1937.090 132.110 1938.270 ;
RECT 130.930 1935.490 132.110 1936.670 ;
RECT 130.930 1757.090 132.110 1758.270 ;
RECT 130.930 1755.490 132.110 1756.670 ;
RECT 130.930 1577.090 132.110 1578.270 ;
RECT 130.930 1575.490 132.110 1576.670 ;
RECT 130.930 1397.090 132.110 1398.270 ;
RECT 130.930 1395.490 132.110 1396.670 ;
RECT 130.930 1217.090 132.110 1218.270 ;
RECT 130.930 1215.490 132.110 1216.670 ;
RECT 130.930 1037.090 132.110 1038.270 ;
RECT 130.930 1035.490 132.110 1036.670 ;
RECT 130.930 857.090 132.110 858.270 ;
RECT 130.930 855.490 132.110 856.670 ;
RECT 130.930 677.090 132.110 678.270 ;
RECT 130.930 675.490 132.110 676.670 ;
RECT 130.930 497.090 132.110 498.270 ;
RECT 130.930 495.490 132.110 496.670 ;
RECT 130.930 317.090 132.110 318.270 ;
RECT 130.930 315.490 132.110 316.670 ;
RECT 130.930 137.090 132.110 138.270 ;
RECT 130.930 135.490 132.110 136.670 ;
RECT 130.930 -25.910 132.110 -24.730 ;
RECT 130.930 -27.510 132.110 -26.330 ;
RECT 310.930 3546.010 312.110 3547.190 ;
RECT 310.930 3544.410 312.110 3545.590 ;
RECT 310.930 3377.090 312.110 3378.270 ;
RECT 310.930 3375.490 312.110 3376.670 ;
RECT 310.930 3197.090 312.110 3198.270 ;
RECT 310.930 3195.490 312.110 3196.670 ;
RECT 310.930 3017.090 312.110 3018.270 ;
RECT 310.930 3015.490 312.110 3016.670 ;
RECT 310.930 2837.090 312.110 2838.270 ;
RECT 310.930 2835.490 312.110 2836.670 ;
RECT 310.930 2657.090 312.110 2658.270 ;
RECT 310.930 2655.490 312.110 2656.670 ;
RECT 310.930 2477.090 312.110 2478.270 ;
RECT 310.930 2475.490 312.110 2476.670 ;
RECT 310.930 2297.090 312.110 2298.270 ;
RECT 310.930 2295.490 312.110 2296.670 ;
RECT 310.930 2117.090 312.110 2118.270 ;
RECT 310.930 2115.490 312.110 2116.670 ;
RECT 310.930 1937.090 312.110 1938.270 ;
RECT 310.930 1935.490 312.110 1936.670 ;
RECT 310.930 1757.090 312.110 1758.270 ;
RECT 310.930 1755.490 312.110 1756.670 ;
RECT 310.930 1577.090 312.110 1578.270 ;
RECT 310.930 1575.490 312.110 1576.670 ;
RECT 310.930 1397.090 312.110 1398.270 ;
RECT 310.930 1395.490 312.110 1396.670 ;
RECT 310.930 1217.090 312.110 1218.270 ;
RECT 310.930 1215.490 312.110 1216.670 ;
RECT 310.930 1037.090 312.110 1038.270 ;
RECT 310.930 1035.490 312.110 1036.670 ;
RECT 310.930 857.090 312.110 858.270 ;
RECT 310.930 855.490 312.110 856.670 ;
RECT 310.930 677.090 312.110 678.270 ;
RECT 310.930 675.490 312.110 676.670 ;
RECT 310.930 497.090 312.110 498.270 ;
RECT 310.930 495.490 312.110 496.670 ;
RECT 310.930 317.090 312.110 318.270 ;
RECT 310.930 315.490 312.110 316.670 ;
RECT 310.930 137.090 312.110 138.270 ;
RECT 310.930 135.490 312.110 136.670 ;
RECT 310.930 -25.910 312.110 -24.730 ;
RECT 310.930 -27.510 312.110 -26.330 ;
RECT 490.930 3546.010 492.110 3547.190 ;
RECT 490.930 3544.410 492.110 3545.590 ;
RECT 490.930 3377.090 492.110 3378.270 ;
RECT 490.930 3375.490 492.110 3376.670 ;
RECT 490.930 3197.090 492.110 3198.270 ;
RECT 490.930 3195.490 492.110 3196.670 ;
RECT 490.930 3017.090 492.110 3018.270 ;
RECT 490.930 3015.490 492.110 3016.670 ;
RECT 490.930 2837.090 492.110 2838.270 ;
RECT 490.930 2835.490 492.110 2836.670 ;
RECT 490.930 2657.090 492.110 2658.270 ;
RECT 490.930 2655.490 492.110 2656.670 ;
RECT 490.930 2477.090 492.110 2478.270 ;
RECT 490.930 2475.490 492.110 2476.670 ;
RECT 490.930 2297.090 492.110 2298.270 ;
RECT 490.930 2295.490 492.110 2296.670 ;
RECT 490.930 2117.090 492.110 2118.270 ;
RECT 490.930 2115.490 492.110 2116.670 ;
RECT 490.930 1937.090 492.110 1938.270 ;
RECT 490.930 1935.490 492.110 1936.670 ;
RECT 490.930 1757.090 492.110 1758.270 ;
RECT 490.930 1755.490 492.110 1756.670 ;
RECT 490.930 1577.090 492.110 1578.270 ;
RECT 490.930 1575.490 492.110 1576.670 ;
RECT 490.930 1397.090 492.110 1398.270 ;
RECT 490.930 1395.490 492.110 1396.670 ;
RECT 490.930 1217.090 492.110 1218.270 ;
RECT 490.930 1215.490 492.110 1216.670 ;
RECT 490.930 1037.090 492.110 1038.270 ;
RECT 490.930 1035.490 492.110 1036.670 ;
RECT 490.930 857.090 492.110 858.270 ;
RECT 490.930 855.490 492.110 856.670 ;
RECT 490.930 677.090 492.110 678.270 ;
RECT 490.930 675.490 492.110 676.670 ;
RECT 490.930 497.090 492.110 498.270 ;
RECT 490.930 495.490 492.110 496.670 ;
RECT 490.930 317.090 492.110 318.270 ;
RECT 490.930 315.490 492.110 316.670 ;
RECT 490.930 137.090 492.110 138.270 ;
RECT 490.930 135.490 492.110 136.670 ;
RECT 490.930 -25.910 492.110 -24.730 ;
RECT 490.930 -27.510 492.110 -26.330 ;
RECT 670.930 3546.010 672.110 3547.190 ;
RECT 670.930 3544.410 672.110 3545.590 ;
RECT 670.930 3377.090 672.110 3378.270 ;
RECT 670.930 3375.490 672.110 3376.670 ;
RECT 670.930 3197.090 672.110 3198.270 ;
RECT 670.930 3195.490 672.110 3196.670 ;
RECT 670.930 3017.090 672.110 3018.270 ;
RECT 670.930 3015.490 672.110 3016.670 ;
RECT 670.930 2837.090 672.110 2838.270 ;
RECT 670.930 2835.490 672.110 2836.670 ;
RECT 670.930 2657.090 672.110 2658.270 ;
RECT 670.930 2655.490 672.110 2656.670 ;
RECT 670.930 2477.090 672.110 2478.270 ;
RECT 670.930 2475.490 672.110 2476.670 ;
RECT 670.930 2297.090 672.110 2298.270 ;
RECT 670.930 2295.490 672.110 2296.670 ;
RECT 670.930 2117.090 672.110 2118.270 ;
RECT 670.930 2115.490 672.110 2116.670 ;
RECT 670.930 1937.090 672.110 1938.270 ;
RECT 670.930 1935.490 672.110 1936.670 ;
RECT 670.930 1757.090 672.110 1758.270 ;
RECT 670.930 1755.490 672.110 1756.670 ;
RECT 670.930 1577.090 672.110 1578.270 ;
RECT 670.930 1575.490 672.110 1576.670 ;
RECT 670.930 1397.090 672.110 1398.270 ;
RECT 670.930 1395.490 672.110 1396.670 ;
RECT 670.930 1217.090 672.110 1218.270 ;
RECT 670.930 1215.490 672.110 1216.670 ;
RECT 670.930 1037.090 672.110 1038.270 ;
RECT 670.930 1035.490 672.110 1036.670 ;
RECT 670.930 857.090 672.110 858.270 ;
RECT 670.930 855.490 672.110 856.670 ;
RECT 670.930 677.090 672.110 678.270 ;
RECT 670.930 675.490 672.110 676.670 ;
RECT 670.930 497.090 672.110 498.270 ;
RECT 670.930 495.490 672.110 496.670 ;
RECT 670.930 317.090 672.110 318.270 ;
RECT 670.930 315.490 672.110 316.670 ;
RECT 670.930 137.090 672.110 138.270 ;
RECT 670.930 135.490 672.110 136.670 ;
RECT 670.930 -25.910 672.110 -24.730 ;
RECT 670.930 -27.510 672.110 -26.330 ;
RECT 850.930 3546.010 852.110 3547.190 ;
RECT 850.930 3544.410 852.110 3545.590 ;
RECT 850.930 3377.090 852.110 3378.270 ;
RECT 850.930 3375.490 852.110 3376.670 ;
RECT 850.930 3197.090 852.110 3198.270 ;
RECT 850.930 3195.490 852.110 3196.670 ;
RECT 850.930 3017.090 852.110 3018.270 ;
RECT 850.930 3015.490 852.110 3016.670 ;
RECT 850.930 2837.090 852.110 2838.270 ;
RECT 850.930 2835.490 852.110 2836.670 ;
RECT 850.930 2657.090 852.110 2658.270 ;
RECT 850.930 2655.490 852.110 2656.670 ;
RECT 850.930 2477.090 852.110 2478.270 ;
RECT 850.930 2475.490 852.110 2476.670 ;
RECT 850.930 2297.090 852.110 2298.270 ;
RECT 850.930 2295.490 852.110 2296.670 ;
RECT 850.930 2117.090 852.110 2118.270 ;
RECT 850.930 2115.490 852.110 2116.670 ;
RECT 850.930 1937.090 852.110 1938.270 ;
RECT 850.930 1935.490 852.110 1936.670 ;
RECT 850.930 1757.090 852.110 1758.270 ;
RECT 850.930 1755.490 852.110 1756.670 ;
RECT 850.930 1577.090 852.110 1578.270 ;
RECT 850.930 1575.490 852.110 1576.670 ;
RECT 850.930 1397.090 852.110 1398.270 ;
RECT 850.930 1395.490 852.110 1396.670 ;
RECT 850.930 1217.090 852.110 1218.270 ;
RECT 850.930 1215.490 852.110 1216.670 ;
RECT 850.930 1037.090 852.110 1038.270 ;
RECT 850.930 1035.490 852.110 1036.670 ;
RECT 850.930 857.090 852.110 858.270 ;
RECT 850.930 855.490 852.110 856.670 ;
RECT 850.930 677.090 852.110 678.270 ;
RECT 850.930 675.490 852.110 676.670 ;
RECT 850.930 497.090 852.110 498.270 ;
RECT 850.930 495.490 852.110 496.670 ;
RECT 850.930 317.090 852.110 318.270 ;
RECT 850.930 315.490 852.110 316.670 ;
RECT 850.930 137.090 852.110 138.270 ;
RECT 850.930 135.490 852.110 136.670 ;
RECT 850.930 -25.910 852.110 -24.730 ;
RECT 850.930 -27.510 852.110 -26.330 ;
RECT 1030.930 3546.010 1032.110 3547.190 ;
RECT 1030.930 3544.410 1032.110 3545.590 ;
RECT 1030.930 3377.090 1032.110 3378.270 ;
RECT 1030.930 3375.490 1032.110 3376.670 ;
RECT 1030.930 3197.090 1032.110 3198.270 ;
RECT 1030.930 3195.490 1032.110 3196.670 ;
RECT 1030.930 3017.090 1032.110 3018.270 ;
RECT 1030.930 3015.490 1032.110 3016.670 ;
RECT 1030.930 2837.090 1032.110 2838.270 ;
RECT 1030.930 2835.490 1032.110 2836.670 ;
RECT 1030.930 2657.090 1032.110 2658.270 ;
RECT 1030.930 2655.490 1032.110 2656.670 ;
RECT 1030.930 2477.090 1032.110 2478.270 ;
RECT 1030.930 2475.490 1032.110 2476.670 ;
RECT 1030.930 2297.090 1032.110 2298.270 ;
RECT 1030.930 2295.490 1032.110 2296.670 ;
RECT 1030.930 2117.090 1032.110 2118.270 ;
RECT 1030.930 2115.490 1032.110 2116.670 ;
RECT 1030.930 1937.090 1032.110 1938.270 ;
RECT 1030.930 1935.490 1032.110 1936.670 ;
RECT 1030.930 1757.090 1032.110 1758.270 ;
RECT 1030.930 1755.490 1032.110 1756.670 ;
RECT 1030.930 1577.090 1032.110 1578.270 ;
RECT 1030.930 1575.490 1032.110 1576.670 ;
RECT 1030.930 1397.090 1032.110 1398.270 ;
RECT 1030.930 1395.490 1032.110 1396.670 ;
RECT 1030.930 1217.090 1032.110 1218.270 ;
RECT 1030.930 1215.490 1032.110 1216.670 ;
RECT 1030.930 1037.090 1032.110 1038.270 ;
RECT 1030.930 1035.490 1032.110 1036.670 ;
RECT 1030.930 857.090 1032.110 858.270 ;
RECT 1030.930 855.490 1032.110 856.670 ;
RECT 1030.930 677.090 1032.110 678.270 ;
RECT 1030.930 675.490 1032.110 676.670 ;
RECT 1030.930 497.090 1032.110 498.270 ;
RECT 1030.930 495.490 1032.110 496.670 ;
RECT 1030.930 317.090 1032.110 318.270 ;
RECT 1030.930 315.490 1032.110 316.670 ;
RECT 1030.930 137.090 1032.110 138.270 ;
RECT 1030.930 135.490 1032.110 136.670 ;
RECT 1030.930 -25.910 1032.110 -24.730 ;
RECT 1030.930 -27.510 1032.110 -26.330 ;
RECT 1210.930 3546.010 1212.110 3547.190 ;
RECT 1210.930 3544.410 1212.110 3545.590 ;
RECT 1210.930 3377.090 1212.110 3378.270 ;
RECT 1210.930 3375.490 1212.110 3376.670 ;
RECT 1210.930 3197.090 1212.110 3198.270 ;
RECT 1210.930 3195.490 1212.110 3196.670 ;
RECT 1210.930 3017.090 1212.110 3018.270 ;
RECT 1210.930 3015.490 1212.110 3016.670 ;
RECT 1210.930 2837.090 1212.110 2838.270 ;
RECT 1210.930 2835.490 1212.110 2836.670 ;
RECT 1210.930 2657.090 1212.110 2658.270 ;
RECT 1210.930 2655.490 1212.110 2656.670 ;
RECT 1210.930 2477.090 1212.110 2478.270 ;
RECT 1210.930 2475.490 1212.110 2476.670 ;
RECT 1210.930 2297.090 1212.110 2298.270 ;
RECT 1210.930 2295.490 1212.110 2296.670 ;
RECT 1210.930 2117.090 1212.110 2118.270 ;
RECT 1210.930 2115.490 1212.110 2116.670 ;
RECT 1210.930 1937.090 1212.110 1938.270 ;
RECT 1210.930 1935.490 1212.110 1936.670 ;
RECT 1210.930 1757.090 1212.110 1758.270 ;
RECT 1210.930 1755.490 1212.110 1756.670 ;
RECT 1210.930 1577.090 1212.110 1578.270 ;
RECT 1210.930 1575.490 1212.110 1576.670 ;
RECT 1210.930 1397.090 1212.110 1398.270 ;
RECT 1210.930 1395.490 1212.110 1396.670 ;
RECT 1210.930 1217.090 1212.110 1218.270 ;
RECT 1210.930 1215.490 1212.110 1216.670 ;
RECT 1210.930 1037.090 1212.110 1038.270 ;
RECT 1210.930 1035.490 1212.110 1036.670 ;
RECT 1210.930 857.090 1212.110 858.270 ;
RECT 1210.930 855.490 1212.110 856.670 ;
RECT 1210.930 677.090 1212.110 678.270 ;
RECT 1210.930 675.490 1212.110 676.670 ;
RECT 1210.930 497.090 1212.110 498.270 ;
RECT 1210.930 495.490 1212.110 496.670 ;
RECT 1210.930 317.090 1212.110 318.270 ;
RECT 1210.930 315.490 1212.110 316.670 ;
RECT 1210.930 137.090 1212.110 138.270 ;
RECT 1210.930 135.490 1212.110 136.670 ;
RECT 1210.930 -25.910 1212.110 -24.730 ;
RECT 1210.930 -27.510 1212.110 -26.330 ;
RECT 1390.930 3546.010 1392.110 3547.190 ;
RECT 1390.930 3544.410 1392.110 3545.590 ;
RECT 1390.930 3377.090 1392.110 3378.270 ;
RECT 1390.930 3375.490 1392.110 3376.670 ;
RECT 1390.930 3197.090 1392.110 3198.270 ;
RECT 1390.930 3195.490 1392.110 3196.670 ;
RECT 1390.930 3017.090 1392.110 3018.270 ;
RECT 1390.930 3015.490 1392.110 3016.670 ;
RECT 1390.930 2837.090 1392.110 2838.270 ;
RECT 1390.930 2835.490 1392.110 2836.670 ;
RECT 1390.930 2657.090 1392.110 2658.270 ;
RECT 1390.930 2655.490 1392.110 2656.670 ;
RECT 1390.930 2477.090 1392.110 2478.270 ;
RECT 1390.930 2475.490 1392.110 2476.670 ;
RECT 1390.930 2297.090 1392.110 2298.270 ;
RECT 1390.930 2295.490 1392.110 2296.670 ;
RECT 1390.930 2117.090 1392.110 2118.270 ;
RECT 1390.930 2115.490 1392.110 2116.670 ;
RECT 1390.930 1937.090 1392.110 1938.270 ;
RECT 1390.930 1935.490 1392.110 1936.670 ;
RECT 1390.930 1757.090 1392.110 1758.270 ;
RECT 1390.930 1755.490 1392.110 1756.670 ;
RECT 1390.930 1577.090 1392.110 1578.270 ;
RECT 1390.930 1575.490 1392.110 1576.670 ;
RECT 1390.930 1397.090 1392.110 1398.270 ;
RECT 1390.930 1395.490 1392.110 1396.670 ;
RECT 1390.930 1217.090 1392.110 1218.270 ;
RECT 1390.930 1215.490 1392.110 1216.670 ;
RECT 1390.930 1037.090 1392.110 1038.270 ;
RECT 1390.930 1035.490 1392.110 1036.670 ;
RECT 1390.930 857.090 1392.110 858.270 ;
RECT 1390.930 855.490 1392.110 856.670 ;
RECT 1390.930 677.090 1392.110 678.270 ;
RECT 1390.930 675.490 1392.110 676.670 ;
RECT 1390.930 497.090 1392.110 498.270 ;
RECT 1390.930 495.490 1392.110 496.670 ;
RECT 1390.930 317.090 1392.110 318.270 ;
RECT 1390.930 315.490 1392.110 316.670 ;
RECT 1390.930 137.090 1392.110 138.270 ;
RECT 1390.930 135.490 1392.110 136.670 ;
RECT 1390.930 -25.910 1392.110 -24.730 ;
RECT 1390.930 -27.510 1392.110 -26.330 ;
RECT 1570.930 3546.010 1572.110 3547.190 ;
RECT 1570.930 3544.410 1572.110 3545.590 ;
RECT 1570.930 3377.090 1572.110 3378.270 ;
RECT 1570.930 3375.490 1572.110 3376.670 ;
RECT 1570.930 3197.090 1572.110 3198.270 ;
RECT 1570.930 3195.490 1572.110 3196.670 ;
RECT 1570.930 3017.090 1572.110 3018.270 ;
RECT 1570.930 3015.490 1572.110 3016.670 ;
RECT 1570.930 2837.090 1572.110 2838.270 ;
RECT 1570.930 2835.490 1572.110 2836.670 ;
RECT 1570.930 2657.090 1572.110 2658.270 ;
RECT 1570.930 2655.490 1572.110 2656.670 ;
RECT 1570.930 2477.090 1572.110 2478.270 ;
RECT 1570.930 2475.490 1572.110 2476.670 ;
RECT 1570.930 2297.090 1572.110 2298.270 ;
RECT 1570.930 2295.490 1572.110 2296.670 ;
RECT 1570.930 2117.090 1572.110 2118.270 ;
RECT 1570.930 2115.490 1572.110 2116.670 ;
RECT 1570.930 1937.090 1572.110 1938.270 ;
RECT 1570.930 1935.490 1572.110 1936.670 ;
RECT 1570.930 1757.090 1572.110 1758.270 ;
RECT 1570.930 1755.490 1572.110 1756.670 ;
RECT 1570.930 1577.090 1572.110 1578.270 ;
RECT 1570.930 1575.490 1572.110 1576.670 ;
RECT 1570.930 1397.090 1572.110 1398.270 ;
RECT 1570.930 1395.490 1572.110 1396.670 ;
RECT 1570.930 1217.090 1572.110 1218.270 ;
RECT 1570.930 1215.490 1572.110 1216.670 ;
RECT 1570.930 1037.090 1572.110 1038.270 ;
RECT 1570.930 1035.490 1572.110 1036.670 ;
RECT 1570.930 857.090 1572.110 858.270 ;
RECT 1570.930 855.490 1572.110 856.670 ;
RECT 1570.930 677.090 1572.110 678.270 ;
RECT 1570.930 675.490 1572.110 676.670 ;
RECT 1570.930 497.090 1572.110 498.270 ;
RECT 1570.930 495.490 1572.110 496.670 ;
RECT 1570.930 317.090 1572.110 318.270 ;
RECT 1570.930 315.490 1572.110 316.670 ;
RECT 1570.930 137.090 1572.110 138.270 ;
RECT 1570.930 135.490 1572.110 136.670 ;
RECT 1570.930 -25.910 1572.110 -24.730 ;
RECT 1570.930 -27.510 1572.110 -26.330 ;
RECT 1750.930 3546.010 1752.110 3547.190 ;
RECT 1750.930 3544.410 1752.110 3545.590 ;
RECT 1750.930 3377.090 1752.110 3378.270 ;
RECT 1750.930 3375.490 1752.110 3376.670 ;
RECT 1750.930 3197.090 1752.110 3198.270 ;
RECT 1750.930 3195.490 1752.110 3196.670 ;
RECT 1750.930 3017.090 1752.110 3018.270 ;
RECT 1750.930 3015.490 1752.110 3016.670 ;
RECT 1750.930 2837.090 1752.110 2838.270 ;
RECT 1750.930 2835.490 1752.110 2836.670 ;
RECT 1750.930 2657.090 1752.110 2658.270 ;
RECT 1750.930 2655.490 1752.110 2656.670 ;
RECT 1750.930 2477.090 1752.110 2478.270 ;
RECT 1750.930 2475.490 1752.110 2476.670 ;
RECT 1750.930 2297.090 1752.110 2298.270 ;
RECT 1750.930 2295.490 1752.110 2296.670 ;
RECT 1750.930 2117.090 1752.110 2118.270 ;
RECT 1750.930 2115.490 1752.110 2116.670 ;
RECT 1750.930 1937.090 1752.110 1938.270 ;
RECT 1750.930 1935.490 1752.110 1936.670 ;
RECT 1750.930 1757.090 1752.110 1758.270 ;
RECT 1750.930 1755.490 1752.110 1756.670 ;
RECT 1750.930 1577.090 1752.110 1578.270 ;
RECT 1750.930 1575.490 1752.110 1576.670 ;
RECT 1750.930 1397.090 1752.110 1398.270 ;
RECT 1750.930 1395.490 1752.110 1396.670 ;
RECT 1750.930 1217.090 1752.110 1218.270 ;
RECT 1750.930 1215.490 1752.110 1216.670 ;
RECT 1750.930 1037.090 1752.110 1038.270 ;
RECT 1750.930 1035.490 1752.110 1036.670 ;
RECT 1750.930 857.090 1752.110 858.270 ;
RECT 1750.930 855.490 1752.110 856.670 ;
RECT 1750.930 677.090 1752.110 678.270 ;
RECT 1750.930 675.490 1752.110 676.670 ;
RECT 1750.930 497.090 1752.110 498.270 ;
RECT 1750.930 495.490 1752.110 496.670 ;
RECT 1750.930 317.090 1752.110 318.270 ;
RECT 1750.930 315.490 1752.110 316.670 ;
RECT 1750.930 137.090 1752.110 138.270 ;
RECT 1750.930 135.490 1752.110 136.670 ;
RECT 1750.930 -25.910 1752.110 -24.730 ;
RECT 1750.930 -27.510 1752.110 -26.330 ;
RECT 1930.930 3546.010 1932.110 3547.190 ;
RECT 1930.930 3544.410 1932.110 3545.590 ;
RECT 1930.930 3377.090 1932.110 3378.270 ;
RECT 1930.930 3375.490 1932.110 3376.670 ;
RECT 1930.930 3197.090 1932.110 3198.270 ;
RECT 1930.930 3195.490 1932.110 3196.670 ;
RECT 1930.930 3017.090 1932.110 3018.270 ;
RECT 1930.930 3015.490 1932.110 3016.670 ;
RECT 1930.930 2837.090 1932.110 2838.270 ;
RECT 1930.930 2835.490 1932.110 2836.670 ;
RECT 1930.930 2657.090 1932.110 2658.270 ;
RECT 1930.930 2655.490 1932.110 2656.670 ;
RECT 1930.930 2477.090 1932.110 2478.270 ;
RECT 1930.930 2475.490 1932.110 2476.670 ;
RECT 1930.930 2297.090 1932.110 2298.270 ;
RECT 1930.930 2295.490 1932.110 2296.670 ;
RECT 1930.930 2117.090 1932.110 2118.270 ;
RECT 1930.930 2115.490 1932.110 2116.670 ;
RECT 1930.930 1937.090 1932.110 1938.270 ;
RECT 1930.930 1935.490 1932.110 1936.670 ;
RECT 1930.930 1757.090 1932.110 1758.270 ;
RECT 1930.930 1755.490 1932.110 1756.670 ;
RECT 1930.930 1577.090 1932.110 1578.270 ;
RECT 1930.930 1575.490 1932.110 1576.670 ;
RECT 1930.930 1397.090 1932.110 1398.270 ;
RECT 1930.930 1395.490 1932.110 1396.670 ;
RECT 1930.930 1217.090 1932.110 1218.270 ;
RECT 1930.930 1215.490 1932.110 1216.670 ;
RECT 1930.930 1037.090 1932.110 1038.270 ;
RECT 1930.930 1035.490 1932.110 1036.670 ;
RECT 1930.930 857.090 1932.110 858.270 ;
RECT 1930.930 855.490 1932.110 856.670 ;
RECT 1930.930 677.090 1932.110 678.270 ;
RECT 1930.930 675.490 1932.110 676.670 ;
RECT 1930.930 497.090 1932.110 498.270 ;
RECT 1930.930 495.490 1932.110 496.670 ;
RECT 1930.930 317.090 1932.110 318.270 ;
RECT 1930.930 315.490 1932.110 316.670 ;
RECT 1930.930 137.090 1932.110 138.270 ;
RECT 1930.930 135.490 1932.110 136.670 ;
RECT 1930.930 -25.910 1932.110 -24.730 ;
RECT 1930.930 -27.510 1932.110 -26.330 ;
RECT 2110.930 3546.010 2112.110 3547.190 ;
RECT 2110.930 3544.410 2112.110 3545.590 ;
RECT 2110.930 3377.090 2112.110 3378.270 ;
RECT 2110.930 3375.490 2112.110 3376.670 ;
RECT 2110.930 3197.090 2112.110 3198.270 ;
RECT 2110.930 3195.490 2112.110 3196.670 ;
RECT 2110.930 3017.090 2112.110 3018.270 ;
RECT 2110.930 3015.490 2112.110 3016.670 ;
RECT 2110.930 2837.090 2112.110 2838.270 ;
RECT 2110.930 2835.490 2112.110 2836.670 ;
RECT 2110.930 2657.090 2112.110 2658.270 ;
RECT 2110.930 2655.490 2112.110 2656.670 ;
RECT 2110.930 2477.090 2112.110 2478.270 ;
RECT 2110.930 2475.490 2112.110 2476.670 ;
RECT 2110.930 2297.090 2112.110 2298.270 ;
RECT 2110.930 2295.490 2112.110 2296.670 ;
RECT 2110.930 2117.090 2112.110 2118.270 ;
RECT 2110.930 2115.490 2112.110 2116.670 ;
RECT 2110.930 1937.090 2112.110 1938.270 ;
RECT 2110.930 1935.490 2112.110 1936.670 ;
RECT 2110.930 1757.090 2112.110 1758.270 ;
RECT 2110.930 1755.490 2112.110 1756.670 ;
RECT 2110.930 1577.090 2112.110 1578.270 ;
RECT 2110.930 1575.490 2112.110 1576.670 ;
RECT 2110.930 1397.090 2112.110 1398.270 ;
RECT 2110.930 1395.490 2112.110 1396.670 ;
RECT 2110.930 1217.090 2112.110 1218.270 ;
RECT 2110.930 1215.490 2112.110 1216.670 ;
RECT 2110.930 1037.090 2112.110 1038.270 ;
RECT 2110.930 1035.490 2112.110 1036.670 ;
RECT 2110.930 857.090 2112.110 858.270 ;
RECT 2110.930 855.490 2112.110 856.670 ;
RECT 2110.930 677.090 2112.110 678.270 ;
RECT 2110.930 675.490 2112.110 676.670 ;
RECT 2110.930 497.090 2112.110 498.270 ;
RECT 2110.930 495.490 2112.110 496.670 ;
RECT 2110.930 317.090 2112.110 318.270 ;
RECT 2110.930 315.490 2112.110 316.670 ;
RECT 2110.930 137.090 2112.110 138.270 ;
RECT 2110.930 135.490 2112.110 136.670 ;
RECT 2110.930 -25.910 2112.110 -24.730 ;
RECT 2110.930 -27.510 2112.110 -26.330 ;
RECT 2290.930 3546.010 2292.110 3547.190 ;
RECT 2290.930 3544.410 2292.110 3545.590 ;
RECT 2290.930 3377.090 2292.110 3378.270 ;
RECT 2290.930 3375.490 2292.110 3376.670 ;
RECT 2290.930 3197.090 2292.110 3198.270 ;
RECT 2290.930 3195.490 2292.110 3196.670 ;
RECT 2290.930 3017.090 2292.110 3018.270 ;
RECT 2290.930 3015.490 2292.110 3016.670 ;
RECT 2290.930 2837.090 2292.110 2838.270 ;
RECT 2290.930 2835.490 2292.110 2836.670 ;
RECT 2290.930 2657.090 2292.110 2658.270 ;
RECT 2290.930 2655.490 2292.110 2656.670 ;
RECT 2290.930 2477.090 2292.110 2478.270 ;
RECT 2290.930 2475.490 2292.110 2476.670 ;
RECT 2290.930 2297.090 2292.110 2298.270 ;
RECT 2290.930 2295.490 2292.110 2296.670 ;
RECT 2290.930 2117.090 2292.110 2118.270 ;
RECT 2290.930 2115.490 2292.110 2116.670 ;
RECT 2290.930 1937.090 2292.110 1938.270 ;
RECT 2290.930 1935.490 2292.110 1936.670 ;
RECT 2290.930 1757.090 2292.110 1758.270 ;
RECT 2290.930 1755.490 2292.110 1756.670 ;
RECT 2290.930 1577.090 2292.110 1578.270 ;
RECT 2290.930 1575.490 2292.110 1576.670 ;
RECT 2290.930 1397.090 2292.110 1398.270 ;
RECT 2290.930 1395.490 2292.110 1396.670 ;
RECT 2290.930 1217.090 2292.110 1218.270 ;
RECT 2290.930 1215.490 2292.110 1216.670 ;
RECT 2290.930 1037.090 2292.110 1038.270 ;
RECT 2290.930 1035.490 2292.110 1036.670 ;
RECT 2290.930 857.090 2292.110 858.270 ;
RECT 2290.930 855.490 2292.110 856.670 ;
RECT 2290.930 677.090 2292.110 678.270 ;
RECT 2290.930 675.490 2292.110 676.670 ;
RECT 2290.930 497.090 2292.110 498.270 ;
RECT 2290.930 495.490 2292.110 496.670 ;
RECT 2290.930 317.090 2292.110 318.270 ;
RECT 2290.930 315.490 2292.110 316.670 ;
RECT 2290.930 137.090 2292.110 138.270 ;
RECT 2290.930 135.490 2292.110 136.670 ;
RECT 2290.930 -25.910 2292.110 -24.730 ;
RECT 2290.930 -27.510 2292.110 -26.330 ;
RECT 2470.930 3546.010 2472.110 3547.190 ;
RECT 2470.930 3544.410 2472.110 3545.590 ;
RECT 2470.930 3377.090 2472.110 3378.270 ;
RECT 2470.930 3375.490 2472.110 3376.670 ;
RECT 2470.930 3197.090 2472.110 3198.270 ;
RECT 2470.930 3195.490 2472.110 3196.670 ;
RECT 2470.930 3017.090 2472.110 3018.270 ;
RECT 2470.930 3015.490 2472.110 3016.670 ;
RECT 2470.930 2837.090 2472.110 2838.270 ;
RECT 2470.930 2835.490 2472.110 2836.670 ;
RECT 2470.930 2657.090 2472.110 2658.270 ;
RECT 2470.930 2655.490 2472.110 2656.670 ;
RECT 2470.930 2477.090 2472.110 2478.270 ;
RECT 2470.930 2475.490 2472.110 2476.670 ;
RECT 2470.930 2297.090 2472.110 2298.270 ;
RECT 2470.930 2295.490 2472.110 2296.670 ;
RECT 2470.930 2117.090 2472.110 2118.270 ;
RECT 2470.930 2115.490 2472.110 2116.670 ;
RECT 2470.930 1937.090 2472.110 1938.270 ;
RECT 2470.930 1935.490 2472.110 1936.670 ;
RECT 2470.930 1757.090 2472.110 1758.270 ;
RECT 2470.930 1755.490 2472.110 1756.670 ;
RECT 2470.930 1577.090 2472.110 1578.270 ;
RECT 2470.930 1575.490 2472.110 1576.670 ;
RECT 2470.930 1397.090 2472.110 1398.270 ;
RECT 2470.930 1395.490 2472.110 1396.670 ;
RECT 2470.930 1217.090 2472.110 1218.270 ;
RECT 2470.930 1215.490 2472.110 1216.670 ;
RECT 2470.930 1037.090 2472.110 1038.270 ;
RECT 2470.930 1035.490 2472.110 1036.670 ;
RECT 2470.930 857.090 2472.110 858.270 ;
RECT 2470.930 855.490 2472.110 856.670 ;
RECT 2470.930 677.090 2472.110 678.270 ;
RECT 2470.930 675.490 2472.110 676.670 ;
RECT 2470.930 497.090 2472.110 498.270 ;
RECT 2470.930 495.490 2472.110 496.670 ;
RECT 2470.930 317.090 2472.110 318.270 ;
RECT 2470.930 315.490 2472.110 316.670 ;
RECT 2470.930 137.090 2472.110 138.270 ;
RECT 2470.930 135.490 2472.110 136.670 ;
RECT 2470.930 -25.910 2472.110 -24.730 ;
RECT 2470.930 -27.510 2472.110 -26.330 ;
RECT 2650.930 3546.010 2652.110 3547.190 ;
RECT 2650.930 3544.410 2652.110 3545.590 ;
RECT 2650.930 3377.090 2652.110 3378.270 ;
RECT 2650.930 3375.490 2652.110 3376.670 ;
RECT 2650.930 3197.090 2652.110 3198.270 ;
RECT 2650.930 3195.490 2652.110 3196.670 ;
RECT 2650.930 3017.090 2652.110 3018.270 ;
RECT 2650.930 3015.490 2652.110 3016.670 ;
RECT 2650.930 2837.090 2652.110 2838.270 ;
RECT 2650.930 2835.490 2652.110 2836.670 ;
RECT 2650.930 2657.090 2652.110 2658.270 ;
RECT 2650.930 2655.490 2652.110 2656.670 ;
RECT 2650.930 2477.090 2652.110 2478.270 ;
RECT 2650.930 2475.490 2652.110 2476.670 ;
RECT 2650.930 2297.090 2652.110 2298.270 ;
RECT 2650.930 2295.490 2652.110 2296.670 ;
RECT 2650.930 2117.090 2652.110 2118.270 ;
RECT 2650.930 2115.490 2652.110 2116.670 ;
RECT 2650.930 1937.090 2652.110 1938.270 ;
RECT 2650.930 1935.490 2652.110 1936.670 ;
RECT 2650.930 1757.090 2652.110 1758.270 ;
RECT 2650.930 1755.490 2652.110 1756.670 ;
RECT 2650.930 1577.090 2652.110 1578.270 ;
RECT 2650.930 1575.490 2652.110 1576.670 ;
RECT 2650.930 1397.090 2652.110 1398.270 ;
RECT 2650.930 1395.490 2652.110 1396.670 ;
RECT 2650.930 1217.090 2652.110 1218.270 ;
RECT 2650.930 1215.490 2652.110 1216.670 ;
RECT 2650.930 1037.090 2652.110 1038.270 ;
RECT 2650.930 1035.490 2652.110 1036.670 ;
RECT 2650.930 857.090 2652.110 858.270 ;
RECT 2650.930 855.490 2652.110 856.670 ;
RECT 2650.930 677.090 2652.110 678.270 ;
RECT 2650.930 675.490 2652.110 676.670 ;
RECT 2650.930 497.090 2652.110 498.270 ;
RECT 2650.930 495.490 2652.110 496.670 ;
RECT 2650.930 317.090 2652.110 318.270 ;
RECT 2650.930 315.490 2652.110 316.670 ;
RECT 2650.930 137.090 2652.110 138.270 ;
RECT 2650.930 135.490 2652.110 136.670 ;
RECT 2650.930 -25.910 2652.110 -24.730 ;
RECT 2650.930 -27.510 2652.110 -26.330 ;
RECT 2830.930 3546.010 2832.110 3547.190 ;
RECT 2830.930 3544.410 2832.110 3545.590 ;
RECT 2830.930 3377.090 2832.110 3378.270 ;
RECT 2830.930 3375.490 2832.110 3376.670 ;
RECT 2830.930 3197.090 2832.110 3198.270 ;
RECT 2830.930 3195.490 2832.110 3196.670 ;
RECT 2830.930 3017.090 2832.110 3018.270 ;
RECT 2830.930 3015.490 2832.110 3016.670 ;
RECT 2830.930 2837.090 2832.110 2838.270 ;
RECT 2830.930 2835.490 2832.110 2836.670 ;
RECT 2830.930 2657.090 2832.110 2658.270 ;
RECT 2830.930 2655.490 2832.110 2656.670 ;
RECT 2830.930 2477.090 2832.110 2478.270 ;
RECT 2830.930 2475.490 2832.110 2476.670 ;
RECT 2830.930 2297.090 2832.110 2298.270 ;
RECT 2830.930 2295.490 2832.110 2296.670 ;
RECT 2830.930 2117.090 2832.110 2118.270 ;
RECT 2830.930 2115.490 2832.110 2116.670 ;
RECT 2830.930 1937.090 2832.110 1938.270 ;
RECT 2830.930 1935.490 2832.110 1936.670 ;
RECT 2830.930 1757.090 2832.110 1758.270 ;
RECT 2830.930 1755.490 2832.110 1756.670 ;
RECT 2830.930 1577.090 2832.110 1578.270 ;
RECT 2830.930 1575.490 2832.110 1576.670 ;
RECT 2830.930 1397.090 2832.110 1398.270 ;
RECT 2830.930 1395.490 2832.110 1396.670 ;
RECT 2830.930 1217.090 2832.110 1218.270 ;
RECT 2830.930 1215.490 2832.110 1216.670 ;
RECT 2830.930 1037.090 2832.110 1038.270 ;
RECT 2830.930 1035.490 2832.110 1036.670 ;
RECT 2830.930 857.090 2832.110 858.270 ;
RECT 2830.930 855.490 2832.110 856.670 ;
RECT 2830.930 677.090 2832.110 678.270 ;
RECT 2830.930 675.490 2832.110 676.670 ;
RECT 2830.930 497.090 2832.110 498.270 ;
RECT 2830.930 495.490 2832.110 496.670 ;
RECT 2830.930 317.090 2832.110 318.270 ;
RECT 2830.930 315.490 2832.110 316.670 ;
RECT 2830.930 137.090 2832.110 138.270 ;
RECT 2830.930 135.490 2832.110 136.670 ;
RECT 2830.930 -25.910 2832.110 -24.730 ;
RECT 2830.930 -27.510 2832.110 -26.330 ;
RECT 2950.510 3546.010 2951.690 3547.190 ;
RECT 2950.510 3544.410 2951.690 3545.590 ;
RECT 2950.510 3377.090 2951.690 3378.270 ;
RECT 2950.510 3375.490 2951.690 3376.670 ;
RECT 2950.510 3197.090 2951.690 3198.270 ;
RECT 2950.510 3195.490 2951.690 3196.670 ;
RECT 2950.510 3017.090 2951.690 3018.270 ;
RECT 2950.510 3015.490 2951.690 3016.670 ;
RECT 2950.510 2837.090 2951.690 2838.270 ;
RECT 2950.510 2835.490 2951.690 2836.670 ;
RECT 2950.510 2657.090 2951.690 2658.270 ;
RECT 2950.510 2655.490 2951.690 2656.670 ;
RECT 2950.510 2477.090 2951.690 2478.270 ;
RECT 2950.510 2475.490 2951.690 2476.670 ;
RECT 2950.510 2297.090 2951.690 2298.270 ;
RECT 2950.510 2295.490 2951.690 2296.670 ;
RECT 2950.510 2117.090 2951.690 2118.270 ;
RECT 2950.510 2115.490 2951.690 2116.670 ;
RECT 2950.510 1937.090 2951.690 1938.270 ;
RECT 2950.510 1935.490 2951.690 1936.670 ;
RECT 2950.510 1757.090 2951.690 1758.270 ;
RECT 2950.510 1755.490 2951.690 1756.670 ;
RECT 2950.510 1577.090 2951.690 1578.270 ;
RECT 2950.510 1575.490 2951.690 1576.670 ;
RECT 2950.510 1397.090 2951.690 1398.270 ;
RECT 2950.510 1395.490 2951.690 1396.670 ;
RECT 2950.510 1217.090 2951.690 1218.270 ;
RECT 2950.510 1215.490 2951.690 1216.670 ;
RECT 2950.510 1037.090 2951.690 1038.270 ;
RECT 2950.510 1035.490 2951.690 1036.670 ;
RECT 2950.510 857.090 2951.690 858.270 ;
RECT 2950.510 855.490 2951.690 856.670 ;
RECT 2950.510 677.090 2951.690 678.270 ;
RECT 2950.510 675.490 2951.690 676.670 ;
RECT 2950.510 497.090 2951.690 498.270 ;
RECT 2950.510 495.490 2951.690 496.670 ;
RECT 2950.510 317.090 2951.690 318.270 ;
RECT 2950.510 315.490 2951.690 316.670 ;
RECT 2950.510 137.090 2951.690 138.270 ;
RECT 2950.510 135.490 2951.690 136.670 ;
RECT 2950.510 -25.910 2951.690 -24.730 ;
RECT 2950.510 -27.510 2951.690 -26.330 ;
LAYER met5 ;
RECT -32.980 3547.300 -29.980 3547.310 ;
RECT 130.020 3547.300 133.020 3547.310 ;
RECT 310.020 3547.300 313.020 3547.310 ;
RECT 490.020 3547.300 493.020 3547.310 ;
RECT 670.020 3547.300 673.020 3547.310 ;
RECT 850.020 3547.300 853.020 3547.310 ;
RECT 1030.020 3547.300 1033.020 3547.310 ;
RECT 1210.020 3547.300 1213.020 3547.310 ;
RECT 1390.020 3547.300 1393.020 3547.310 ;
RECT 1570.020 3547.300 1573.020 3547.310 ;
RECT 1750.020 3547.300 1753.020 3547.310 ;
RECT 1930.020 3547.300 1933.020 3547.310 ;
RECT 2110.020 3547.300 2113.020 3547.310 ;
RECT 2290.020 3547.300 2293.020 3547.310 ;
RECT 2470.020 3547.300 2473.020 3547.310 ;
RECT 2650.020 3547.300 2653.020 3547.310 ;
RECT 2830.020 3547.300 2833.020 3547.310 ;
RECT 2949.600 3547.300 2952.600 3547.310 ;
RECT -32.980 3544.300 2952.600 3547.300 ;
RECT -32.980 3544.290 -29.980 3544.300 ;
RECT 130.020 3544.290 133.020 3544.300 ;
RECT 310.020 3544.290 313.020 3544.300 ;
RECT 490.020 3544.290 493.020 3544.300 ;
RECT 670.020 3544.290 673.020 3544.300 ;
RECT 850.020 3544.290 853.020 3544.300 ;
RECT 1030.020 3544.290 1033.020 3544.300 ;
RECT 1210.020 3544.290 1213.020 3544.300 ;
RECT 1390.020 3544.290 1393.020 3544.300 ;
RECT 1570.020 3544.290 1573.020 3544.300 ;
RECT 1750.020 3544.290 1753.020 3544.300 ;
RECT 1930.020 3544.290 1933.020 3544.300 ;
RECT 2110.020 3544.290 2113.020 3544.300 ;
RECT 2290.020 3544.290 2293.020 3544.300 ;
RECT 2470.020 3544.290 2473.020 3544.300 ;
RECT 2650.020 3544.290 2653.020 3544.300 ;
RECT 2830.020 3544.290 2833.020 3544.300 ;
RECT 2949.600 3544.290 2952.600 3544.300 ;
RECT -32.980 3378.380 -29.980 3378.390 ;
RECT 130.020 3378.380 133.020 3378.390 ;
RECT 310.020 3378.380 313.020 3378.390 ;
RECT 490.020 3378.380 493.020 3378.390 ;
RECT 670.020 3378.380 673.020 3378.390 ;
RECT 850.020 3378.380 853.020 3378.390 ;
RECT 1030.020 3378.380 1033.020 3378.390 ;
RECT 1210.020 3378.380 1213.020 3378.390 ;
RECT 1390.020 3378.380 1393.020 3378.390 ;
RECT 1570.020 3378.380 1573.020 3378.390 ;
RECT 1750.020 3378.380 1753.020 3378.390 ;
RECT 1930.020 3378.380 1933.020 3378.390 ;
RECT 2110.020 3378.380 2113.020 3378.390 ;
RECT 2290.020 3378.380 2293.020 3378.390 ;
RECT 2470.020 3378.380 2473.020 3378.390 ;
RECT 2650.020 3378.380 2653.020 3378.390 ;
RECT 2830.020 3378.380 2833.020 3378.390 ;
RECT 2949.600 3378.380 2952.600 3378.390 ;
RECT -32.980 3375.380 2952.600 3378.380 ;
RECT -32.980 3375.370 -29.980 3375.380 ;
RECT 130.020 3375.370 133.020 3375.380 ;
RECT 310.020 3375.370 313.020 3375.380 ;
RECT 490.020 3375.370 493.020 3375.380 ;
RECT 670.020 3375.370 673.020 3375.380 ;
RECT 850.020 3375.370 853.020 3375.380 ;
RECT 1030.020 3375.370 1033.020 3375.380 ;
RECT 1210.020 3375.370 1213.020 3375.380 ;
RECT 1390.020 3375.370 1393.020 3375.380 ;
RECT 1570.020 3375.370 1573.020 3375.380 ;
RECT 1750.020 3375.370 1753.020 3375.380 ;
RECT 1930.020 3375.370 1933.020 3375.380 ;
RECT 2110.020 3375.370 2113.020 3375.380 ;
RECT 2290.020 3375.370 2293.020 3375.380 ;
RECT 2470.020 3375.370 2473.020 3375.380 ;
RECT 2650.020 3375.370 2653.020 3375.380 ;
RECT 2830.020 3375.370 2833.020 3375.380 ;
RECT 2949.600 3375.370 2952.600 3375.380 ;
RECT -32.980 3198.380 -29.980 3198.390 ;
RECT 130.020 3198.380 133.020 3198.390 ;
RECT 310.020 3198.380 313.020 3198.390 ;
RECT 490.020 3198.380 493.020 3198.390 ;
RECT 670.020 3198.380 673.020 3198.390 ;
RECT 850.020 3198.380 853.020 3198.390 ;
RECT 1030.020 3198.380 1033.020 3198.390 ;
RECT 1210.020 3198.380 1213.020 3198.390 ;
RECT 1390.020 3198.380 1393.020 3198.390 ;
RECT 1570.020 3198.380 1573.020 3198.390 ;
RECT 1750.020 3198.380 1753.020 3198.390 ;
RECT 1930.020 3198.380 1933.020 3198.390 ;
RECT 2110.020 3198.380 2113.020 3198.390 ;
RECT 2290.020 3198.380 2293.020 3198.390 ;
RECT 2470.020 3198.380 2473.020 3198.390 ;
RECT 2650.020 3198.380 2653.020 3198.390 ;
RECT 2830.020 3198.380 2833.020 3198.390 ;
RECT 2949.600 3198.380 2952.600 3198.390 ;
RECT -32.980 3195.380 2952.600 3198.380 ;
RECT -32.980 3195.370 -29.980 3195.380 ;
RECT 130.020 3195.370 133.020 3195.380 ;
RECT 310.020 3195.370 313.020 3195.380 ;
RECT 490.020 3195.370 493.020 3195.380 ;
RECT 670.020 3195.370 673.020 3195.380 ;
RECT 850.020 3195.370 853.020 3195.380 ;
RECT 1030.020 3195.370 1033.020 3195.380 ;
RECT 1210.020 3195.370 1213.020 3195.380 ;
RECT 1390.020 3195.370 1393.020 3195.380 ;
RECT 1570.020 3195.370 1573.020 3195.380 ;
RECT 1750.020 3195.370 1753.020 3195.380 ;
RECT 1930.020 3195.370 1933.020 3195.380 ;
RECT 2110.020 3195.370 2113.020 3195.380 ;
RECT 2290.020 3195.370 2293.020 3195.380 ;
RECT 2470.020 3195.370 2473.020 3195.380 ;
RECT 2650.020 3195.370 2653.020 3195.380 ;
RECT 2830.020 3195.370 2833.020 3195.380 ;
RECT 2949.600 3195.370 2952.600 3195.380 ;
RECT -32.980 3018.380 -29.980 3018.390 ;
RECT 130.020 3018.380 133.020 3018.390 ;
RECT 310.020 3018.380 313.020 3018.390 ;
RECT 490.020 3018.380 493.020 3018.390 ;
RECT 670.020 3018.380 673.020 3018.390 ;
RECT 850.020 3018.380 853.020 3018.390 ;
RECT 1030.020 3018.380 1033.020 3018.390 ;
RECT 1210.020 3018.380 1213.020 3018.390 ;
RECT 1390.020 3018.380 1393.020 3018.390 ;
RECT 1570.020 3018.380 1573.020 3018.390 ;
RECT 1750.020 3018.380 1753.020 3018.390 ;
RECT 1930.020 3018.380 1933.020 3018.390 ;
RECT 2110.020 3018.380 2113.020 3018.390 ;
RECT 2290.020 3018.380 2293.020 3018.390 ;
RECT 2470.020 3018.380 2473.020 3018.390 ;
RECT 2650.020 3018.380 2653.020 3018.390 ;
RECT 2830.020 3018.380 2833.020 3018.390 ;
RECT 2949.600 3018.380 2952.600 3018.390 ;
RECT -32.980 3015.380 2952.600 3018.380 ;
RECT -32.980 3015.370 -29.980 3015.380 ;
RECT 130.020 3015.370 133.020 3015.380 ;
RECT 310.020 3015.370 313.020 3015.380 ;
RECT 490.020 3015.370 493.020 3015.380 ;
RECT 670.020 3015.370 673.020 3015.380 ;
RECT 850.020 3015.370 853.020 3015.380 ;
RECT 1030.020 3015.370 1033.020 3015.380 ;
RECT 1210.020 3015.370 1213.020 3015.380 ;
RECT 1390.020 3015.370 1393.020 3015.380 ;
RECT 1570.020 3015.370 1573.020 3015.380 ;
RECT 1750.020 3015.370 1753.020 3015.380 ;
RECT 1930.020 3015.370 1933.020 3015.380 ;
RECT 2110.020 3015.370 2113.020 3015.380 ;
RECT 2290.020 3015.370 2293.020 3015.380 ;
RECT 2470.020 3015.370 2473.020 3015.380 ;
RECT 2650.020 3015.370 2653.020 3015.380 ;
RECT 2830.020 3015.370 2833.020 3015.380 ;
RECT 2949.600 3015.370 2952.600 3015.380 ;
RECT -32.980 2838.380 -29.980 2838.390 ;
RECT 130.020 2838.380 133.020 2838.390 ;
RECT 310.020 2838.380 313.020 2838.390 ;
RECT 490.020 2838.380 493.020 2838.390 ;
RECT 670.020 2838.380 673.020 2838.390 ;
RECT 850.020 2838.380 853.020 2838.390 ;
RECT 1030.020 2838.380 1033.020 2838.390 ;
RECT 1210.020 2838.380 1213.020 2838.390 ;
RECT 1390.020 2838.380 1393.020 2838.390 ;
RECT 1570.020 2838.380 1573.020 2838.390 ;
RECT 1750.020 2838.380 1753.020 2838.390 ;
RECT 1930.020 2838.380 1933.020 2838.390 ;
RECT 2110.020 2838.380 2113.020 2838.390 ;
RECT 2290.020 2838.380 2293.020 2838.390 ;
RECT 2470.020 2838.380 2473.020 2838.390 ;
RECT 2650.020 2838.380 2653.020 2838.390 ;
RECT 2830.020 2838.380 2833.020 2838.390 ;
RECT 2949.600 2838.380 2952.600 2838.390 ;
RECT -32.980 2835.380 2952.600 2838.380 ;
RECT -32.980 2835.370 -29.980 2835.380 ;
RECT 130.020 2835.370 133.020 2835.380 ;
RECT 310.020 2835.370 313.020 2835.380 ;
RECT 490.020 2835.370 493.020 2835.380 ;
RECT 670.020 2835.370 673.020 2835.380 ;
RECT 850.020 2835.370 853.020 2835.380 ;
RECT 1030.020 2835.370 1033.020 2835.380 ;
RECT 1210.020 2835.370 1213.020 2835.380 ;
RECT 1390.020 2835.370 1393.020 2835.380 ;
RECT 1570.020 2835.370 1573.020 2835.380 ;
RECT 1750.020 2835.370 1753.020 2835.380 ;
RECT 1930.020 2835.370 1933.020 2835.380 ;
RECT 2110.020 2835.370 2113.020 2835.380 ;
RECT 2290.020 2835.370 2293.020 2835.380 ;
RECT 2470.020 2835.370 2473.020 2835.380 ;
RECT 2650.020 2835.370 2653.020 2835.380 ;
RECT 2830.020 2835.370 2833.020 2835.380 ;
RECT 2949.600 2835.370 2952.600 2835.380 ;
RECT -32.980 2658.380 -29.980 2658.390 ;
RECT 130.020 2658.380 133.020 2658.390 ;
RECT 310.020 2658.380 313.020 2658.390 ;
RECT 490.020 2658.380 493.020 2658.390 ;
RECT 670.020 2658.380 673.020 2658.390 ;
RECT 850.020 2658.380 853.020 2658.390 ;
RECT 1030.020 2658.380 1033.020 2658.390 ;
RECT 1210.020 2658.380 1213.020 2658.390 ;
RECT 1390.020 2658.380 1393.020 2658.390 ;
RECT 1570.020 2658.380 1573.020 2658.390 ;
RECT 1750.020 2658.380 1753.020 2658.390 ;
RECT 1930.020 2658.380 1933.020 2658.390 ;
RECT 2110.020 2658.380 2113.020 2658.390 ;
RECT 2290.020 2658.380 2293.020 2658.390 ;
RECT 2470.020 2658.380 2473.020 2658.390 ;
RECT 2650.020 2658.380 2653.020 2658.390 ;
RECT 2830.020 2658.380 2833.020 2658.390 ;
RECT 2949.600 2658.380 2952.600 2658.390 ;
RECT -32.980 2655.380 2952.600 2658.380 ;
RECT -32.980 2655.370 -29.980 2655.380 ;
RECT 130.020 2655.370 133.020 2655.380 ;
RECT 310.020 2655.370 313.020 2655.380 ;
RECT 490.020 2655.370 493.020 2655.380 ;
RECT 670.020 2655.370 673.020 2655.380 ;
RECT 850.020 2655.370 853.020 2655.380 ;
RECT 1030.020 2655.370 1033.020 2655.380 ;
RECT 1210.020 2655.370 1213.020 2655.380 ;
RECT 1390.020 2655.370 1393.020 2655.380 ;
RECT 1570.020 2655.370 1573.020 2655.380 ;
RECT 1750.020 2655.370 1753.020 2655.380 ;
RECT 1930.020 2655.370 1933.020 2655.380 ;
RECT 2110.020 2655.370 2113.020 2655.380 ;
RECT 2290.020 2655.370 2293.020 2655.380 ;
RECT 2470.020 2655.370 2473.020 2655.380 ;
RECT 2650.020 2655.370 2653.020 2655.380 ;
RECT 2830.020 2655.370 2833.020 2655.380 ;
RECT 2949.600 2655.370 2952.600 2655.380 ;
RECT -32.980 2478.380 -29.980 2478.390 ;
RECT 130.020 2478.380 133.020 2478.390 ;
RECT 310.020 2478.380 313.020 2478.390 ;
RECT 490.020 2478.380 493.020 2478.390 ;
RECT 670.020 2478.380 673.020 2478.390 ;
RECT 850.020 2478.380 853.020 2478.390 ;
RECT 1030.020 2478.380 1033.020 2478.390 ;
RECT 1210.020 2478.380 1213.020 2478.390 ;
RECT 1390.020 2478.380 1393.020 2478.390 ;
RECT 1570.020 2478.380 1573.020 2478.390 ;
RECT 1750.020 2478.380 1753.020 2478.390 ;
RECT 1930.020 2478.380 1933.020 2478.390 ;
RECT 2110.020 2478.380 2113.020 2478.390 ;
RECT 2290.020 2478.380 2293.020 2478.390 ;
RECT 2470.020 2478.380 2473.020 2478.390 ;
RECT 2650.020 2478.380 2653.020 2478.390 ;
RECT 2830.020 2478.380 2833.020 2478.390 ;
RECT 2949.600 2478.380 2952.600 2478.390 ;
RECT -32.980 2475.380 2952.600 2478.380 ;
RECT -32.980 2475.370 -29.980 2475.380 ;
RECT 130.020 2475.370 133.020 2475.380 ;
RECT 310.020 2475.370 313.020 2475.380 ;
RECT 490.020 2475.370 493.020 2475.380 ;
RECT 670.020 2475.370 673.020 2475.380 ;
RECT 850.020 2475.370 853.020 2475.380 ;
RECT 1030.020 2475.370 1033.020 2475.380 ;
RECT 1210.020 2475.370 1213.020 2475.380 ;
RECT 1390.020 2475.370 1393.020 2475.380 ;
RECT 1570.020 2475.370 1573.020 2475.380 ;
RECT 1750.020 2475.370 1753.020 2475.380 ;
RECT 1930.020 2475.370 1933.020 2475.380 ;
RECT 2110.020 2475.370 2113.020 2475.380 ;
RECT 2290.020 2475.370 2293.020 2475.380 ;
RECT 2470.020 2475.370 2473.020 2475.380 ;
RECT 2650.020 2475.370 2653.020 2475.380 ;
RECT 2830.020 2475.370 2833.020 2475.380 ;
RECT 2949.600 2475.370 2952.600 2475.380 ;
RECT -32.980 2298.380 -29.980 2298.390 ;
RECT 130.020 2298.380 133.020 2298.390 ;
RECT 310.020 2298.380 313.020 2298.390 ;
RECT 490.020 2298.380 493.020 2298.390 ;
RECT 670.020 2298.380 673.020 2298.390 ;
RECT 850.020 2298.380 853.020 2298.390 ;
RECT 1030.020 2298.380 1033.020 2298.390 ;
RECT 1210.020 2298.380 1213.020 2298.390 ;
RECT 1390.020 2298.380 1393.020 2298.390 ;
RECT 1570.020 2298.380 1573.020 2298.390 ;
RECT 1750.020 2298.380 1753.020 2298.390 ;
RECT 1930.020 2298.380 1933.020 2298.390 ;
RECT 2110.020 2298.380 2113.020 2298.390 ;
RECT 2290.020 2298.380 2293.020 2298.390 ;
RECT 2470.020 2298.380 2473.020 2298.390 ;
RECT 2650.020 2298.380 2653.020 2298.390 ;
RECT 2830.020 2298.380 2833.020 2298.390 ;
RECT 2949.600 2298.380 2952.600 2298.390 ;
RECT -32.980 2295.380 2952.600 2298.380 ;
RECT -32.980 2295.370 -29.980 2295.380 ;
RECT 130.020 2295.370 133.020 2295.380 ;
RECT 310.020 2295.370 313.020 2295.380 ;
RECT 490.020 2295.370 493.020 2295.380 ;
RECT 670.020 2295.370 673.020 2295.380 ;
RECT 850.020 2295.370 853.020 2295.380 ;
RECT 1030.020 2295.370 1033.020 2295.380 ;
RECT 1210.020 2295.370 1213.020 2295.380 ;
RECT 1390.020 2295.370 1393.020 2295.380 ;
RECT 1570.020 2295.370 1573.020 2295.380 ;
RECT 1750.020 2295.370 1753.020 2295.380 ;
RECT 1930.020 2295.370 1933.020 2295.380 ;
RECT 2110.020 2295.370 2113.020 2295.380 ;
RECT 2290.020 2295.370 2293.020 2295.380 ;
RECT 2470.020 2295.370 2473.020 2295.380 ;
RECT 2650.020 2295.370 2653.020 2295.380 ;
RECT 2830.020 2295.370 2833.020 2295.380 ;
RECT 2949.600 2295.370 2952.600 2295.380 ;
RECT -32.980 2118.380 -29.980 2118.390 ;
RECT 130.020 2118.380 133.020 2118.390 ;
RECT 310.020 2118.380 313.020 2118.390 ;
RECT 490.020 2118.380 493.020 2118.390 ;
RECT 670.020 2118.380 673.020 2118.390 ;
RECT 850.020 2118.380 853.020 2118.390 ;
RECT 1030.020 2118.380 1033.020 2118.390 ;
RECT 1210.020 2118.380 1213.020 2118.390 ;
RECT 1390.020 2118.380 1393.020 2118.390 ;
RECT 1570.020 2118.380 1573.020 2118.390 ;
RECT 1750.020 2118.380 1753.020 2118.390 ;
RECT 1930.020 2118.380 1933.020 2118.390 ;
RECT 2110.020 2118.380 2113.020 2118.390 ;
RECT 2290.020 2118.380 2293.020 2118.390 ;
RECT 2470.020 2118.380 2473.020 2118.390 ;
RECT 2650.020 2118.380 2653.020 2118.390 ;
RECT 2830.020 2118.380 2833.020 2118.390 ;
RECT 2949.600 2118.380 2952.600 2118.390 ;
RECT -32.980 2115.380 2952.600 2118.380 ;
RECT -32.980 2115.370 -29.980 2115.380 ;
RECT 130.020 2115.370 133.020 2115.380 ;
RECT 310.020 2115.370 313.020 2115.380 ;
RECT 490.020 2115.370 493.020 2115.380 ;
RECT 670.020 2115.370 673.020 2115.380 ;
RECT 850.020 2115.370 853.020 2115.380 ;
RECT 1030.020 2115.370 1033.020 2115.380 ;
RECT 1210.020 2115.370 1213.020 2115.380 ;
RECT 1390.020 2115.370 1393.020 2115.380 ;
RECT 1570.020 2115.370 1573.020 2115.380 ;
RECT 1750.020 2115.370 1753.020 2115.380 ;
RECT 1930.020 2115.370 1933.020 2115.380 ;
RECT 2110.020 2115.370 2113.020 2115.380 ;
RECT 2290.020 2115.370 2293.020 2115.380 ;
RECT 2470.020 2115.370 2473.020 2115.380 ;
RECT 2650.020 2115.370 2653.020 2115.380 ;
RECT 2830.020 2115.370 2833.020 2115.380 ;
RECT 2949.600 2115.370 2952.600 2115.380 ;
RECT -32.980 1938.380 -29.980 1938.390 ;
RECT 130.020 1938.380 133.020 1938.390 ;
RECT 310.020 1938.380 313.020 1938.390 ;
RECT 490.020 1938.380 493.020 1938.390 ;
RECT 670.020 1938.380 673.020 1938.390 ;
RECT 850.020 1938.380 853.020 1938.390 ;
RECT 1030.020 1938.380 1033.020 1938.390 ;
RECT 1210.020 1938.380 1213.020 1938.390 ;
RECT 1390.020 1938.380 1393.020 1938.390 ;
RECT 1570.020 1938.380 1573.020 1938.390 ;
RECT 1750.020 1938.380 1753.020 1938.390 ;
RECT 1930.020 1938.380 1933.020 1938.390 ;
RECT 2110.020 1938.380 2113.020 1938.390 ;
RECT 2290.020 1938.380 2293.020 1938.390 ;
RECT 2470.020 1938.380 2473.020 1938.390 ;
RECT 2650.020 1938.380 2653.020 1938.390 ;
RECT 2830.020 1938.380 2833.020 1938.390 ;
RECT 2949.600 1938.380 2952.600 1938.390 ;
RECT -32.980 1935.380 2952.600 1938.380 ;
RECT -32.980 1935.370 -29.980 1935.380 ;
RECT 130.020 1935.370 133.020 1935.380 ;
RECT 310.020 1935.370 313.020 1935.380 ;
RECT 490.020 1935.370 493.020 1935.380 ;
RECT 670.020 1935.370 673.020 1935.380 ;
RECT 850.020 1935.370 853.020 1935.380 ;
RECT 1030.020 1935.370 1033.020 1935.380 ;
RECT 1210.020 1935.370 1213.020 1935.380 ;
RECT 1390.020 1935.370 1393.020 1935.380 ;
RECT 1570.020 1935.370 1573.020 1935.380 ;
RECT 1750.020 1935.370 1753.020 1935.380 ;
RECT 1930.020 1935.370 1933.020 1935.380 ;
RECT 2110.020 1935.370 2113.020 1935.380 ;
RECT 2290.020 1935.370 2293.020 1935.380 ;
RECT 2470.020 1935.370 2473.020 1935.380 ;
RECT 2650.020 1935.370 2653.020 1935.380 ;
RECT 2830.020 1935.370 2833.020 1935.380 ;
RECT 2949.600 1935.370 2952.600 1935.380 ;
RECT -32.980 1758.380 -29.980 1758.390 ;
RECT 130.020 1758.380 133.020 1758.390 ;
RECT 310.020 1758.380 313.020 1758.390 ;
RECT 490.020 1758.380 493.020 1758.390 ;
RECT 670.020 1758.380 673.020 1758.390 ;
RECT 850.020 1758.380 853.020 1758.390 ;
RECT 1030.020 1758.380 1033.020 1758.390 ;
RECT 1210.020 1758.380 1213.020 1758.390 ;
RECT 1390.020 1758.380 1393.020 1758.390 ;
RECT 1570.020 1758.380 1573.020 1758.390 ;
RECT 1750.020 1758.380 1753.020 1758.390 ;
RECT 1930.020 1758.380 1933.020 1758.390 ;
RECT 2110.020 1758.380 2113.020 1758.390 ;
RECT 2290.020 1758.380 2293.020 1758.390 ;
RECT 2470.020 1758.380 2473.020 1758.390 ;
RECT 2650.020 1758.380 2653.020 1758.390 ;
RECT 2830.020 1758.380 2833.020 1758.390 ;
RECT 2949.600 1758.380 2952.600 1758.390 ;
RECT -32.980 1755.380 2952.600 1758.380 ;
RECT -32.980 1755.370 -29.980 1755.380 ;
RECT 130.020 1755.370 133.020 1755.380 ;
RECT 310.020 1755.370 313.020 1755.380 ;
RECT 490.020 1755.370 493.020 1755.380 ;
RECT 670.020 1755.370 673.020 1755.380 ;
RECT 850.020 1755.370 853.020 1755.380 ;
RECT 1030.020 1755.370 1033.020 1755.380 ;
RECT 1210.020 1755.370 1213.020 1755.380 ;
RECT 1390.020 1755.370 1393.020 1755.380 ;
RECT 1570.020 1755.370 1573.020 1755.380 ;
RECT 1750.020 1755.370 1753.020 1755.380 ;
RECT 1930.020 1755.370 1933.020 1755.380 ;
RECT 2110.020 1755.370 2113.020 1755.380 ;
RECT 2290.020 1755.370 2293.020 1755.380 ;
RECT 2470.020 1755.370 2473.020 1755.380 ;
RECT 2650.020 1755.370 2653.020 1755.380 ;
RECT 2830.020 1755.370 2833.020 1755.380 ;
RECT 2949.600 1755.370 2952.600 1755.380 ;
RECT -32.980 1578.380 -29.980 1578.390 ;
RECT 130.020 1578.380 133.020 1578.390 ;
RECT 310.020 1578.380 313.020 1578.390 ;
RECT 490.020 1578.380 493.020 1578.390 ;
RECT 670.020 1578.380 673.020 1578.390 ;
RECT 850.020 1578.380 853.020 1578.390 ;
RECT 1030.020 1578.380 1033.020 1578.390 ;
RECT 1210.020 1578.380 1213.020 1578.390 ;
RECT 1390.020 1578.380 1393.020 1578.390 ;
RECT 1570.020 1578.380 1573.020 1578.390 ;
RECT 1750.020 1578.380 1753.020 1578.390 ;
RECT 1930.020 1578.380 1933.020 1578.390 ;
RECT 2110.020 1578.380 2113.020 1578.390 ;
RECT 2290.020 1578.380 2293.020 1578.390 ;
RECT 2470.020 1578.380 2473.020 1578.390 ;
RECT 2650.020 1578.380 2653.020 1578.390 ;
RECT 2830.020 1578.380 2833.020 1578.390 ;
RECT 2949.600 1578.380 2952.600 1578.390 ;
RECT -32.980 1575.380 2952.600 1578.380 ;
RECT -32.980 1575.370 -29.980 1575.380 ;
RECT 130.020 1575.370 133.020 1575.380 ;
RECT 310.020 1575.370 313.020 1575.380 ;
RECT 490.020 1575.370 493.020 1575.380 ;
RECT 670.020 1575.370 673.020 1575.380 ;
RECT 850.020 1575.370 853.020 1575.380 ;
RECT 1030.020 1575.370 1033.020 1575.380 ;
RECT 1210.020 1575.370 1213.020 1575.380 ;
RECT 1390.020 1575.370 1393.020 1575.380 ;
RECT 1570.020 1575.370 1573.020 1575.380 ;
RECT 1750.020 1575.370 1753.020 1575.380 ;
RECT 1930.020 1575.370 1933.020 1575.380 ;
RECT 2110.020 1575.370 2113.020 1575.380 ;
RECT 2290.020 1575.370 2293.020 1575.380 ;
RECT 2470.020 1575.370 2473.020 1575.380 ;
RECT 2650.020 1575.370 2653.020 1575.380 ;
RECT 2830.020 1575.370 2833.020 1575.380 ;
RECT 2949.600 1575.370 2952.600 1575.380 ;
RECT -32.980 1398.380 -29.980 1398.390 ;
RECT 130.020 1398.380 133.020 1398.390 ;
RECT 310.020 1398.380 313.020 1398.390 ;
RECT 490.020 1398.380 493.020 1398.390 ;
RECT 670.020 1398.380 673.020 1398.390 ;
RECT 850.020 1398.380 853.020 1398.390 ;
RECT 1030.020 1398.380 1033.020 1398.390 ;
RECT 1210.020 1398.380 1213.020 1398.390 ;
RECT 1390.020 1398.380 1393.020 1398.390 ;
RECT 1570.020 1398.380 1573.020 1398.390 ;
RECT 1750.020 1398.380 1753.020 1398.390 ;
RECT 1930.020 1398.380 1933.020 1398.390 ;
RECT 2110.020 1398.380 2113.020 1398.390 ;
RECT 2290.020 1398.380 2293.020 1398.390 ;
RECT 2470.020 1398.380 2473.020 1398.390 ;
RECT 2650.020 1398.380 2653.020 1398.390 ;
RECT 2830.020 1398.380 2833.020 1398.390 ;
RECT 2949.600 1398.380 2952.600 1398.390 ;
RECT -32.980 1395.380 2952.600 1398.380 ;
RECT -32.980 1395.370 -29.980 1395.380 ;
RECT 130.020 1395.370 133.020 1395.380 ;
RECT 310.020 1395.370 313.020 1395.380 ;
RECT 490.020 1395.370 493.020 1395.380 ;
RECT 670.020 1395.370 673.020 1395.380 ;
RECT 850.020 1395.370 853.020 1395.380 ;
RECT 1030.020 1395.370 1033.020 1395.380 ;
RECT 1210.020 1395.370 1213.020 1395.380 ;
RECT 1390.020 1395.370 1393.020 1395.380 ;
RECT 1570.020 1395.370 1573.020 1395.380 ;
RECT 1750.020 1395.370 1753.020 1395.380 ;
RECT 1930.020 1395.370 1933.020 1395.380 ;
RECT 2110.020 1395.370 2113.020 1395.380 ;
RECT 2290.020 1395.370 2293.020 1395.380 ;
RECT 2470.020 1395.370 2473.020 1395.380 ;
RECT 2650.020 1395.370 2653.020 1395.380 ;
RECT 2830.020 1395.370 2833.020 1395.380 ;
RECT 2949.600 1395.370 2952.600 1395.380 ;
RECT -32.980 1218.380 -29.980 1218.390 ;
RECT 130.020 1218.380 133.020 1218.390 ;
RECT 310.020 1218.380 313.020 1218.390 ;
RECT 490.020 1218.380 493.020 1218.390 ;
RECT 670.020 1218.380 673.020 1218.390 ;
RECT 850.020 1218.380 853.020 1218.390 ;
RECT 1030.020 1218.380 1033.020 1218.390 ;
RECT 1210.020 1218.380 1213.020 1218.390 ;
RECT 1390.020 1218.380 1393.020 1218.390 ;
RECT 1570.020 1218.380 1573.020 1218.390 ;
RECT 1750.020 1218.380 1753.020 1218.390 ;
RECT 1930.020 1218.380 1933.020 1218.390 ;
RECT 2110.020 1218.380 2113.020 1218.390 ;
RECT 2290.020 1218.380 2293.020 1218.390 ;
RECT 2470.020 1218.380 2473.020 1218.390 ;
RECT 2650.020 1218.380 2653.020 1218.390 ;
RECT 2830.020 1218.380 2833.020 1218.390 ;
RECT 2949.600 1218.380 2952.600 1218.390 ;
RECT -32.980 1215.380 2952.600 1218.380 ;
RECT -32.980 1215.370 -29.980 1215.380 ;
RECT 130.020 1215.370 133.020 1215.380 ;
RECT 310.020 1215.370 313.020 1215.380 ;
RECT 490.020 1215.370 493.020 1215.380 ;
RECT 670.020 1215.370 673.020 1215.380 ;
RECT 850.020 1215.370 853.020 1215.380 ;
RECT 1030.020 1215.370 1033.020 1215.380 ;
RECT 1210.020 1215.370 1213.020 1215.380 ;
RECT 1390.020 1215.370 1393.020 1215.380 ;
RECT 1570.020 1215.370 1573.020 1215.380 ;
RECT 1750.020 1215.370 1753.020 1215.380 ;
RECT 1930.020 1215.370 1933.020 1215.380 ;
RECT 2110.020 1215.370 2113.020 1215.380 ;
RECT 2290.020 1215.370 2293.020 1215.380 ;
RECT 2470.020 1215.370 2473.020 1215.380 ;
RECT 2650.020 1215.370 2653.020 1215.380 ;
RECT 2830.020 1215.370 2833.020 1215.380 ;
RECT 2949.600 1215.370 2952.600 1215.380 ;
RECT -32.980 1038.380 -29.980 1038.390 ;
RECT 130.020 1038.380 133.020 1038.390 ;
RECT 310.020 1038.380 313.020 1038.390 ;
RECT 490.020 1038.380 493.020 1038.390 ;
RECT 670.020 1038.380 673.020 1038.390 ;
RECT 850.020 1038.380 853.020 1038.390 ;
RECT 1030.020 1038.380 1033.020 1038.390 ;
RECT 1210.020 1038.380 1213.020 1038.390 ;
RECT 1390.020 1038.380 1393.020 1038.390 ;
RECT 1570.020 1038.380 1573.020 1038.390 ;
RECT 1750.020 1038.380 1753.020 1038.390 ;
RECT 1930.020 1038.380 1933.020 1038.390 ;
RECT 2110.020 1038.380 2113.020 1038.390 ;
RECT 2290.020 1038.380 2293.020 1038.390 ;
RECT 2470.020 1038.380 2473.020 1038.390 ;
RECT 2650.020 1038.380 2653.020 1038.390 ;
RECT 2830.020 1038.380 2833.020 1038.390 ;
RECT 2949.600 1038.380 2952.600 1038.390 ;
RECT -32.980 1035.380 2952.600 1038.380 ;
RECT -32.980 1035.370 -29.980 1035.380 ;
RECT 130.020 1035.370 133.020 1035.380 ;
RECT 310.020 1035.370 313.020 1035.380 ;
RECT 490.020 1035.370 493.020 1035.380 ;
RECT 670.020 1035.370 673.020 1035.380 ;
RECT 850.020 1035.370 853.020 1035.380 ;
RECT 1030.020 1035.370 1033.020 1035.380 ;
RECT 1210.020 1035.370 1213.020 1035.380 ;
RECT 1390.020 1035.370 1393.020 1035.380 ;
RECT 1570.020 1035.370 1573.020 1035.380 ;
RECT 1750.020 1035.370 1753.020 1035.380 ;
RECT 1930.020 1035.370 1933.020 1035.380 ;
RECT 2110.020 1035.370 2113.020 1035.380 ;
RECT 2290.020 1035.370 2293.020 1035.380 ;
RECT 2470.020 1035.370 2473.020 1035.380 ;
RECT 2650.020 1035.370 2653.020 1035.380 ;
RECT 2830.020 1035.370 2833.020 1035.380 ;
RECT 2949.600 1035.370 2952.600 1035.380 ;
RECT -32.980 858.380 -29.980 858.390 ;
RECT 130.020 858.380 133.020 858.390 ;
RECT 310.020 858.380 313.020 858.390 ;
RECT 490.020 858.380 493.020 858.390 ;
RECT 670.020 858.380 673.020 858.390 ;
RECT 850.020 858.380 853.020 858.390 ;
RECT 1030.020 858.380 1033.020 858.390 ;
RECT 1210.020 858.380 1213.020 858.390 ;
RECT 1390.020 858.380 1393.020 858.390 ;
RECT 1570.020 858.380 1573.020 858.390 ;
RECT 1750.020 858.380 1753.020 858.390 ;
RECT 1930.020 858.380 1933.020 858.390 ;
RECT 2110.020 858.380 2113.020 858.390 ;
RECT 2290.020 858.380 2293.020 858.390 ;
RECT 2470.020 858.380 2473.020 858.390 ;
RECT 2650.020 858.380 2653.020 858.390 ;
RECT 2830.020 858.380 2833.020 858.390 ;
RECT 2949.600 858.380 2952.600 858.390 ;
RECT -32.980 855.380 2952.600 858.380 ;
RECT -32.980 855.370 -29.980 855.380 ;
RECT 130.020 855.370 133.020 855.380 ;
RECT 310.020 855.370 313.020 855.380 ;
RECT 490.020 855.370 493.020 855.380 ;
RECT 670.020 855.370 673.020 855.380 ;
RECT 850.020 855.370 853.020 855.380 ;
RECT 1030.020 855.370 1033.020 855.380 ;
RECT 1210.020 855.370 1213.020 855.380 ;
RECT 1390.020 855.370 1393.020 855.380 ;
RECT 1570.020 855.370 1573.020 855.380 ;
RECT 1750.020 855.370 1753.020 855.380 ;
RECT 1930.020 855.370 1933.020 855.380 ;
RECT 2110.020 855.370 2113.020 855.380 ;
RECT 2290.020 855.370 2293.020 855.380 ;
RECT 2470.020 855.370 2473.020 855.380 ;
RECT 2650.020 855.370 2653.020 855.380 ;
RECT 2830.020 855.370 2833.020 855.380 ;
RECT 2949.600 855.370 2952.600 855.380 ;
RECT -32.980 678.380 -29.980 678.390 ;
RECT 130.020 678.380 133.020 678.390 ;
RECT 310.020 678.380 313.020 678.390 ;
RECT 490.020 678.380 493.020 678.390 ;
RECT 670.020 678.380 673.020 678.390 ;
RECT 850.020 678.380 853.020 678.390 ;
RECT 1030.020 678.380 1033.020 678.390 ;
RECT 1210.020 678.380 1213.020 678.390 ;
RECT 1390.020 678.380 1393.020 678.390 ;
RECT 1570.020 678.380 1573.020 678.390 ;
RECT 1750.020 678.380 1753.020 678.390 ;
RECT 1930.020 678.380 1933.020 678.390 ;
RECT 2110.020 678.380 2113.020 678.390 ;
RECT 2290.020 678.380 2293.020 678.390 ;
RECT 2470.020 678.380 2473.020 678.390 ;
RECT 2650.020 678.380 2653.020 678.390 ;
RECT 2830.020 678.380 2833.020 678.390 ;
RECT 2949.600 678.380 2952.600 678.390 ;
RECT -32.980 675.380 2952.600 678.380 ;
RECT -32.980 675.370 -29.980 675.380 ;
RECT 130.020 675.370 133.020 675.380 ;
RECT 310.020 675.370 313.020 675.380 ;
RECT 490.020 675.370 493.020 675.380 ;
RECT 670.020 675.370 673.020 675.380 ;
RECT 850.020 675.370 853.020 675.380 ;
RECT 1030.020 675.370 1033.020 675.380 ;
RECT 1210.020 675.370 1213.020 675.380 ;
RECT 1390.020 675.370 1393.020 675.380 ;
RECT 1570.020 675.370 1573.020 675.380 ;
RECT 1750.020 675.370 1753.020 675.380 ;
RECT 1930.020 675.370 1933.020 675.380 ;
RECT 2110.020 675.370 2113.020 675.380 ;
RECT 2290.020 675.370 2293.020 675.380 ;
RECT 2470.020 675.370 2473.020 675.380 ;
RECT 2650.020 675.370 2653.020 675.380 ;
RECT 2830.020 675.370 2833.020 675.380 ;
RECT 2949.600 675.370 2952.600 675.380 ;
RECT -32.980 498.380 -29.980 498.390 ;
RECT 130.020 498.380 133.020 498.390 ;
RECT 310.020 498.380 313.020 498.390 ;
RECT 490.020 498.380 493.020 498.390 ;
RECT 670.020 498.380 673.020 498.390 ;
RECT 850.020 498.380 853.020 498.390 ;
RECT 1030.020 498.380 1033.020 498.390 ;
RECT 1210.020 498.380 1213.020 498.390 ;
RECT 1390.020 498.380 1393.020 498.390 ;
RECT 1570.020 498.380 1573.020 498.390 ;
RECT 1750.020 498.380 1753.020 498.390 ;
RECT 1930.020 498.380 1933.020 498.390 ;
RECT 2110.020 498.380 2113.020 498.390 ;
RECT 2290.020 498.380 2293.020 498.390 ;
RECT 2470.020 498.380 2473.020 498.390 ;
RECT 2650.020 498.380 2653.020 498.390 ;
RECT 2830.020 498.380 2833.020 498.390 ;
RECT 2949.600 498.380 2952.600 498.390 ;
RECT -32.980 495.380 2952.600 498.380 ;
RECT -32.980 495.370 -29.980 495.380 ;
RECT 130.020 495.370 133.020 495.380 ;
RECT 310.020 495.370 313.020 495.380 ;
RECT 490.020 495.370 493.020 495.380 ;
RECT 670.020 495.370 673.020 495.380 ;
RECT 850.020 495.370 853.020 495.380 ;
RECT 1030.020 495.370 1033.020 495.380 ;
RECT 1210.020 495.370 1213.020 495.380 ;
RECT 1390.020 495.370 1393.020 495.380 ;
RECT 1570.020 495.370 1573.020 495.380 ;
RECT 1750.020 495.370 1753.020 495.380 ;
RECT 1930.020 495.370 1933.020 495.380 ;
RECT 2110.020 495.370 2113.020 495.380 ;
RECT 2290.020 495.370 2293.020 495.380 ;
RECT 2470.020 495.370 2473.020 495.380 ;
RECT 2650.020 495.370 2653.020 495.380 ;
RECT 2830.020 495.370 2833.020 495.380 ;
RECT 2949.600 495.370 2952.600 495.380 ;
RECT -32.980 318.380 -29.980 318.390 ;
RECT 130.020 318.380 133.020 318.390 ;
RECT 310.020 318.380 313.020 318.390 ;
RECT 490.020 318.380 493.020 318.390 ;
RECT 670.020 318.380 673.020 318.390 ;
RECT 850.020 318.380 853.020 318.390 ;
RECT 1030.020 318.380 1033.020 318.390 ;
RECT 1210.020 318.380 1213.020 318.390 ;
RECT 1390.020 318.380 1393.020 318.390 ;
RECT 1570.020 318.380 1573.020 318.390 ;
RECT 1750.020 318.380 1753.020 318.390 ;
RECT 1930.020 318.380 1933.020 318.390 ;
RECT 2110.020 318.380 2113.020 318.390 ;
RECT 2290.020 318.380 2293.020 318.390 ;
RECT 2470.020 318.380 2473.020 318.390 ;
RECT 2650.020 318.380 2653.020 318.390 ;
RECT 2830.020 318.380 2833.020 318.390 ;
RECT 2949.600 318.380 2952.600 318.390 ;
RECT -32.980 315.380 2952.600 318.380 ;
RECT -32.980 315.370 -29.980 315.380 ;
RECT 130.020 315.370 133.020 315.380 ;
RECT 310.020 315.370 313.020 315.380 ;
RECT 490.020 315.370 493.020 315.380 ;
RECT 670.020 315.370 673.020 315.380 ;
RECT 850.020 315.370 853.020 315.380 ;
RECT 1030.020 315.370 1033.020 315.380 ;
RECT 1210.020 315.370 1213.020 315.380 ;
RECT 1390.020 315.370 1393.020 315.380 ;
RECT 1570.020 315.370 1573.020 315.380 ;
RECT 1750.020 315.370 1753.020 315.380 ;
RECT 1930.020 315.370 1933.020 315.380 ;
RECT 2110.020 315.370 2113.020 315.380 ;
RECT 2290.020 315.370 2293.020 315.380 ;
RECT 2470.020 315.370 2473.020 315.380 ;
RECT 2650.020 315.370 2653.020 315.380 ;
RECT 2830.020 315.370 2833.020 315.380 ;
RECT 2949.600 315.370 2952.600 315.380 ;
RECT -32.980 138.380 -29.980 138.390 ;
RECT 130.020 138.380 133.020 138.390 ;
RECT 310.020 138.380 313.020 138.390 ;
RECT 490.020 138.380 493.020 138.390 ;
RECT 670.020 138.380 673.020 138.390 ;
RECT 850.020 138.380 853.020 138.390 ;
RECT 1030.020 138.380 1033.020 138.390 ;
RECT 1210.020 138.380 1213.020 138.390 ;
RECT 1390.020 138.380 1393.020 138.390 ;
RECT 1570.020 138.380 1573.020 138.390 ;
RECT 1750.020 138.380 1753.020 138.390 ;
RECT 1930.020 138.380 1933.020 138.390 ;
RECT 2110.020 138.380 2113.020 138.390 ;
RECT 2290.020 138.380 2293.020 138.390 ;
RECT 2470.020 138.380 2473.020 138.390 ;
RECT 2650.020 138.380 2653.020 138.390 ;
RECT 2830.020 138.380 2833.020 138.390 ;
RECT 2949.600 138.380 2952.600 138.390 ;
RECT -32.980 135.380 2952.600 138.380 ;
RECT -32.980 135.370 -29.980 135.380 ;
RECT 130.020 135.370 133.020 135.380 ;
RECT 310.020 135.370 313.020 135.380 ;
RECT 490.020 135.370 493.020 135.380 ;
RECT 670.020 135.370 673.020 135.380 ;
RECT 850.020 135.370 853.020 135.380 ;
RECT 1030.020 135.370 1033.020 135.380 ;
RECT 1210.020 135.370 1213.020 135.380 ;
RECT 1390.020 135.370 1393.020 135.380 ;
RECT 1570.020 135.370 1573.020 135.380 ;
RECT 1750.020 135.370 1753.020 135.380 ;
RECT 1930.020 135.370 1933.020 135.380 ;
RECT 2110.020 135.370 2113.020 135.380 ;
RECT 2290.020 135.370 2293.020 135.380 ;
RECT 2470.020 135.370 2473.020 135.380 ;
RECT 2650.020 135.370 2653.020 135.380 ;
RECT 2830.020 135.370 2833.020 135.380 ;
RECT 2949.600 135.370 2952.600 135.380 ;
RECT -32.980 -24.620 -29.980 -24.610 ;
RECT 130.020 -24.620 133.020 -24.610 ;
RECT 310.020 -24.620 313.020 -24.610 ;
RECT 490.020 -24.620 493.020 -24.610 ;
RECT 670.020 -24.620 673.020 -24.610 ;
RECT 850.020 -24.620 853.020 -24.610 ;
RECT 1030.020 -24.620 1033.020 -24.610 ;
RECT 1210.020 -24.620 1213.020 -24.610 ;
RECT 1390.020 -24.620 1393.020 -24.610 ;
RECT 1570.020 -24.620 1573.020 -24.610 ;
RECT 1750.020 -24.620 1753.020 -24.610 ;
RECT 1930.020 -24.620 1933.020 -24.610 ;
RECT 2110.020 -24.620 2113.020 -24.610 ;
RECT 2290.020 -24.620 2293.020 -24.610 ;
RECT 2470.020 -24.620 2473.020 -24.610 ;
RECT 2650.020 -24.620 2653.020 -24.610 ;
RECT 2830.020 -24.620 2833.020 -24.610 ;
RECT 2949.600 -24.620 2952.600 -24.610 ;
RECT -32.980 -27.620 2952.600 -24.620 ;
RECT -32.980 -27.630 -29.980 -27.620 ;
RECT 130.020 -27.630 133.020 -27.620 ;
RECT 310.020 -27.630 313.020 -27.620 ;
RECT 490.020 -27.630 493.020 -27.620 ;
RECT 670.020 -27.630 673.020 -27.620 ;
RECT 850.020 -27.630 853.020 -27.620 ;
RECT 1030.020 -27.630 1033.020 -27.620 ;
RECT 1210.020 -27.630 1213.020 -27.620 ;
RECT 1390.020 -27.630 1393.020 -27.620 ;
RECT 1570.020 -27.630 1573.020 -27.620 ;
RECT 1750.020 -27.630 1753.020 -27.620 ;
RECT 1930.020 -27.630 1933.020 -27.620 ;
RECT 2110.020 -27.630 2113.020 -27.620 ;
RECT 2290.020 -27.630 2293.020 -27.620 ;
RECT 2470.020 -27.630 2473.020 -27.620 ;
RECT 2650.020 -27.630 2653.020 -27.620 ;
RECT 2830.020 -27.630 2833.020 -27.620 ;
RECT 2949.600 -27.630 2952.600 -27.620 ;
END
END vssa1
PIN vdda2
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT -37.580 -32.220 -34.580 3551.900 ;
RECT 58.020 -36.820 61.020 3556.500 ;
RECT 238.020 -36.820 241.020 3556.500 ;
RECT 418.020 -36.820 421.020 3556.500 ;
RECT 598.020 -36.820 601.020 3556.500 ;
RECT 778.020 -36.820 781.020 3556.500 ;
RECT 958.020 -36.820 961.020 3556.500 ;
RECT 1138.020 -36.820 1141.020 3556.500 ;
RECT 1318.020 -36.820 1321.020 3556.500 ;
RECT 1498.020 -36.820 1501.020 3556.500 ;
RECT 1678.020 -36.820 1681.020 3556.500 ;
RECT 1858.020 -36.820 1861.020 3556.500 ;
RECT 2038.020 -36.820 2041.020 3556.500 ;
RECT 2218.020 -36.820 2221.020 3556.500 ;
RECT 2398.020 -36.820 2401.020 3556.500 ;
RECT 2578.020 -36.820 2581.020 3556.500 ;
RECT 2758.020 -36.820 2761.020 3556.500 ;
RECT 2954.200 -32.220 2957.200 3551.900 ;
LAYER via4 ;
RECT -36.670 3550.610 -35.490 3551.790 ;
RECT -36.670 3549.010 -35.490 3550.190 ;
RECT -36.670 3485.090 -35.490 3486.270 ;
RECT -36.670 3483.490 -35.490 3484.670 ;
RECT -36.670 3305.090 -35.490 3306.270 ;
RECT -36.670 3303.490 -35.490 3304.670 ;
RECT -36.670 3125.090 -35.490 3126.270 ;
RECT -36.670 3123.490 -35.490 3124.670 ;
RECT -36.670 2945.090 -35.490 2946.270 ;
RECT -36.670 2943.490 -35.490 2944.670 ;
RECT -36.670 2765.090 -35.490 2766.270 ;
RECT -36.670 2763.490 -35.490 2764.670 ;
RECT -36.670 2585.090 -35.490 2586.270 ;
RECT -36.670 2583.490 -35.490 2584.670 ;
RECT -36.670 2405.090 -35.490 2406.270 ;
RECT -36.670 2403.490 -35.490 2404.670 ;
RECT -36.670 2225.090 -35.490 2226.270 ;
RECT -36.670 2223.490 -35.490 2224.670 ;
RECT -36.670 2045.090 -35.490 2046.270 ;
RECT -36.670 2043.490 -35.490 2044.670 ;
RECT -36.670 1865.090 -35.490 1866.270 ;
RECT -36.670 1863.490 -35.490 1864.670 ;
RECT -36.670 1685.090 -35.490 1686.270 ;
RECT -36.670 1683.490 -35.490 1684.670 ;
RECT -36.670 1505.090 -35.490 1506.270 ;
RECT -36.670 1503.490 -35.490 1504.670 ;
RECT -36.670 1325.090 -35.490 1326.270 ;
RECT -36.670 1323.490 -35.490 1324.670 ;
RECT -36.670 1145.090 -35.490 1146.270 ;
RECT -36.670 1143.490 -35.490 1144.670 ;
RECT -36.670 965.090 -35.490 966.270 ;
RECT -36.670 963.490 -35.490 964.670 ;
RECT -36.670 785.090 -35.490 786.270 ;
RECT -36.670 783.490 -35.490 784.670 ;
RECT -36.670 605.090 -35.490 606.270 ;
RECT -36.670 603.490 -35.490 604.670 ;
RECT -36.670 425.090 -35.490 426.270 ;
RECT -36.670 423.490 -35.490 424.670 ;
RECT -36.670 245.090 -35.490 246.270 ;
RECT -36.670 243.490 -35.490 244.670 ;
RECT -36.670 65.090 -35.490 66.270 ;
RECT -36.670 63.490 -35.490 64.670 ;
RECT -36.670 -30.510 -35.490 -29.330 ;
RECT -36.670 -32.110 -35.490 -30.930 ;
RECT 58.930 3550.610 60.110 3551.790 ;
RECT 58.930 3549.010 60.110 3550.190 ;
RECT 58.930 3485.090 60.110 3486.270 ;
RECT 58.930 3483.490 60.110 3484.670 ;
RECT 58.930 3305.090 60.110 3306.270 ;
RECT 58.930 3303.490 60.110 3304.670 ;
RECT 58.930 3125.090 60.110 3126.270 ;
RECT 58.930 3123.490 60.110 3124.670 ;
RECT 58.930 2945.090 60.110 2946.270 ;
RECT 58.930 2943.490 60.110 2944.670 ;
RECT 58.930 2765.090 60.110 2766.270 ;
RECT 58.930 2763.490 60.110 2764.670 ;
RECT 58.930 2585.090 60.110 2586.270 ;
RECT 58.930 2583.490 60.110 2584.670 ;
RECT 58.930 2405.090 60.110 2406.270 ;
RECT 58.930 2403.490 60.110 2404.670 ;
RECT 58.930 2225.090 60.110 2226.270 ;
RECT 58.930 2223.490 60.110 2224.670 ;
RECT 58.930 2045.090 60.110 2046.270 ;
RECT 58.930 2043.490 60.110 2044.670 ;
RECT 58.930 1865.090 60.110 1866.270 ;
RECT 58.930 1863.490 60.110 1864.670 ;
RECT 58.930 1685.090 60.110 1686.270 ;
RECT 58.930 1683.490 60.110 1684.670 ;
RECT 58.930 1505.090 60.110 1506.270 ;
RECT 58.930 1503.490 60.110 1504.670 ;
RECT 58.930 1325.090 60.110 1326.270 ;
RECT 58.930 1323.490 60.110 1324.670 ;
RECT 58.930 1145.090 60.110 1146.270 ;
RECT 58.930 1143.490 60.110 1144.670 ;
RECT 58.930 965.090 60.110 966.270 ;
RECT 58.930 963.490 60.110 964.670 ;
RECT 58.930 785.090 60.110 786.270 ;
RECT 58.930 783.490 60.110 784.670 ;
RECT 58.930 605.090 60.110 606.270 ;
RECT 58.930 603.490 60.110 604.670 ;
RECT 58.930 425.090 60.110 426.270 ;
RECT 58.930 423.490 60.110 424.670 ;
RECT 58.930 245.090 60.110 246.270 ;
RECT 58.930 243.490 60.110 244.670 ;
RECT 58.930 65.090 60.110 66.270 ;
RECT 58.930 63.490 60.110 64.670 ;
RECT 58.930 -30.510 60.110 -29.330 ;
RECT 58.930 -32.110 60.110 -30.930 ;
RECT 238.930 3550.610 240.110 3551.790 ;
RECT 238.930 3549.010 240.110 3550.190 ;
RECT 238.930 3485.090 240.110 3486.270 ;
RECT 238.930 3483.490 240.110 3484.670 ;
RECT 238.930 3305.090 240.110 3306.270 ;
RECT 238.930 3303.490 240.110 3304.670 ;
RECT 238.930 3125.090 240.110 3126.270 ;
RECT 238.930 3123.490 240.110 3124.670 ;
RECT 238.930 2945.090 240.110 2946.270 ;
RECT 238.930 2943.490 240.110 2944.670 ;
RECT 238.930 2765.090 240.110 2766.270 ;
RECT 238.930 2763.490 240.110 2764.670 ;
RECT 238.930 2585.090 240.110 2586.270 ;
RECT 238.930 2583.490 240.110 2584.670 ;
RECT 238.930 2405.090 240.110 2406.270 ;
RECT 238.930 2403.490 240.110 2404.670 ;
RECT 238.930 2225.090 240.110 2226.270 ;
RECT 238.930 2223.490 240.110 2224.670 ;
RECT 238.930 2045.090 240.110 2046.270 ;
RECT 238.930 2043.490 240.110 2044.670 ;
RECT 238.930 1865.090 240.110 1866.270 ;
RECT 238.930 1863.490 240.110 1864.670 ;
RECT 238.930 1685.090 240.110 1686.270 ;
RECT 238.930 1683.490 240.110 1684.670 ;
RECT 238.930 1505.090 240.110 1506.270 ;
RECT 238.930 1503.490 240.110 1504.670 ;
RECT 238.930 1325.090 240.110 1326.270 ;
RECT 238.930 1323.490 240.110 1324.670 ;
RECT 238.930 1145.090 240.110 1146.270 ;
RECT 238.930 1143.490 240.110 1144.670 ;
RECT 238.930 965.090 240.110 966.270 ;
RECT 238.930 963.490 240.110 964.670 ;
RECT 238.930 785.090 240.110 786.270 ;
RECT 238.930 783.490 240.110 784.670 ;
RECT 238.930 605.090 240.110 606.270 ;
RECT 238.930 603.490 240.110 604.670 ;
RECT 238.930 425.090 240.110 426.270 ;
RECT 238.930 423.490 240.110 424.670 ;
RECT 238.930 245.090 240.110 246.270 ;
RECT 238.930 243.490 240.110 244.670 ;
RECT 238.930 65.090 240.110 66.270 ;
RECT 238.930 63.490 240.110 64.670 ;
RECT 238.930 -30.510 240.110 -29.330 ;
RECT 238.930 -32.110 240.110 -30.930 ;
RECT 418.930 3550.610 420.110 3551.790 ;
RECT 418.930 3549.010 420.110 3550.190 ;
RECT 418.930 3485.090 420.110 3486.270 ;
RECT 418.930 3483.490 420.110 3484.670 ;
RECT 418.930 3305.090 420.110 3306.270 ;
RECT 418.930 3303.490 420.110 3304.670 ;
RECT 418.930 3125.090 420.110 3126.270 ;
RECT 418.930 3123.490 420.110 3124.670 ;
RECT 418.930 2945.090 420.110 2946.270 ;
RECT 418.930 2943.490 420.110 2944.670 ;
RECT 418.930 2765.090 420.110 2766.270 ;
RECT 418.930 2763.490 420.110 2764.670 ;
RECT 418.930 2585.090 420.110 2586.270 ;
RECT 418.930 2583.490 420.110 2584.670 ;
RECT 418.930 2405.090 420.110 2406.270 ;
RECT 418.930 2403.490 420.110 2404.670 ;
RECT 418.930 2225.090 420.110 2226.270 ;
RECT 418.930 2223.490 420.110 2224.670 ;
RECT 418.930 2045.090 420.110 2046.270 ;
RECT 418.930 2043.490 420.110 2044.670 ;
RECT 418.930 1865.090 420.110 1866.270 ;
RECT 418.930 1863.490 420.110 1864.670 ;
RECT 418.930 1685.090 420.110 1686.270 ;
RECT 418.930 1683.490 420.110 1684.670 ;
RECT 418.930 1505.090 420.110 1506.270 ;
RECT 418.930 1503.490 420.110 1504.670 ;
RECT 418.930 1325.090 420.110 1326.270 ;
RECT 418.930 1323.490 420.110 1324.670 ;
RECT 418.930 1145.090 420.110 1146.270 ;
RECT 418.930 1143.490 420.110 1144.670 ;
RECT 418.930 965.090 420.110 966.270 ;
RECT 418.930 963.490 420.110 964.670 ;
RECT 418.930 785.090 420.110 786.270 ;
RECT 418.930 783.490 420.110 784.670 ;
RECT 418.930 605.090 420.110 606.270 ;
RECT 418.930 603.490 420.110 604.670 ;
RECT 418.930 425.090 420.110 426.270 ;
RECT 418.930 423.490 420.110 424.670 ;
RECT 418.930 245.090 420.110 246.270 ;
RECT 418.930 243.490 420.110 244.670 ;
RECT 418.930 65.090 420.110 66.270 ;
RECT 418.930 63.490 420.110 64.670 ;
RECT 418.930 -30.510 420.110 -29.330 ;
RECT 418.930 -32.110 420.110 -30.930 ;
RECT 598.930 3550.610 600.110 3551.790 ;
RECT 598.930 3549.010 600.110 3550.190 ;
RECT 598.930 3485.090 600.110 3486.270 ;
RECT 598.930 3483.490 600.110 3484.670 ;
RECT 598.930 3305.090 600.110 3306.270 ;
RECT 598.930 3303.490 600.110 3304.670 ;
RECT 598.930 3125.090 600.110 3126.270 ;
RECT 598.930 3123.490 600.110 3124.670 ;
RECT 598.930 2945.090 600.110 2946.270 ;
RECT 598.930 2943.490 600.110 2944.670 ;
RECT 598.930 2765.090 600.110 2766.270 ;
RECT 598.930 2763.490 600.110 2764.670 ;
RECT 598.930 2585.090 600.110 2586.270 ;
RECT 598.930 2583.490 600.110 2584.670 ;
RECT 598.930 2405.090 600.110 2406.270 ;
RECT 598.930 2403.490 600.110 2404.670 ;
RECT 598.930 2225.090 600.110 2226.270 ;
RECT 598.930 2223.490 600.110 2224.670 ;
RECT 598.930 2045.090 600.110 2046.270 ;
RECT 598.930 2043.490 600.110 2044.670 ;
RECT 598.930 1865.090 600.110 1866.270 ;
RECT 598.930 1863.490 600.110 1864.670 ;
RECT 598.930 1685.090 600.110 1686.270 ;
RECT 598.930 1683.490 600.110 1684.670 ;
RECT 598.930 1505.090 600.110 1506.270 ;
RECT 598.930 1503.490 600.110 1504.670 ;
RECT 598.930 1325.090 600.110 1326.270 ;
RECT 598.930 1323.490 600.110 1324.670 ;
RECT 598.930 1145.090 600.110 1146.270 ;
RECT 598.930 1143.490 600.110 1144.670 ;
RECT 598.930 965.090 600.110 966.270 ;
RECT 598.930 963.490 600.110 964.670 ;
RECT 598.930 785.090 600.110 786.270 ;
RECT 598.930 783.490 600.110 784.670 ;
RECT 598.930 605.090 600.110 606.270 ;
RECT 598.930 603.490 600.110 604.670 ;
RECT 598.930 425.090 600.110 426.270 ;
RECT 598.930 423.490 600.110 424.670 ;
RECT 598.930 245.090 600.110 246.270 ;
RECT 598.930 243.490 600.110 244.670 ;
RECT 598.930 65.090 600.110 66.270 ;
RECT 598.930 63.490 600.110 64.670 ;
RECT 598.930 -30.510 600.110 -29.330 ;
RECT 598.930 -32.110 600.110 -30.930 ;
RECT 778.930 3550.610 780.110 3551.790 ;
RECT 778.930 3549.010 780.110 3550.190 ;
RECT 778.930 3485.090 780.110 3486.270 ;
RECT 778.930 3483.490 780.110 3484.670 ;
RECT 778.930 3305.090 780.110 3306.270 ;
RECT 778.930 3303.490 780.110 3304.670 ;
RECT 778.930 3125.090 780.110 3126.270 ;
RECT 778.930 3123.490 780.110 3124.670 ;
RECT 778.930 2945.090 780.110 2946.270 ;
RECT 778.930 2943.490 780.110 2944.670 ;
RECT 778.930 2765.090 780.110 2766.270 ;
RECT 778.930 2763.490 780.110 2764.670 ;
RECT 778.930 2585.090 780.110 2586.270 ;
RECT 778.930 2583.490 780.110 2584.670 ;
RECT 778.930 2405.090 780.110 2406.270 ;
RECT 778.930 2403.490 780.110 2404.670 ;
RECT 778.930 2225.090 780.110 2226.270 ;
RECT 778.930 2223.490 780.110 2224.670 ;
RECT 778.930 2045.090 780.110 2046.270 ;
RECT 778.930 2043.490 780.110 2044.670 ;
RECT 778.930 1865.090 780.110 1866.270 ;
RECT 778.930 1863.490 780.110 1864.670 ;
RECT 778.930 1685.090 780.110 1686.270 ;
RECT 778.930 1683.490 780.110 1684.670 ;
RECT 778.930 1505.090 780.110 1506.270 ;
RECT 778.930 1503.490 780.110 1504.670 ;
RECT 778.930 1325.090 780.110 1326.270 ;
RECT 778.930 1323.490 780.110 1324.670 ;
RECT 778.930 1145.090 780.110 1146.270 ;
RECT 778.930 1143.490 780.110 1144.670 ;
RECT 778.930 965.090 780.110 966.270 ;
RECT 778.930 963.490 780.110 964.670 ;
RECT 778.930 785.090 780.110 786.270 ;
RECT 778.930 783.490 780.110 784.670 ;
RECT 778.930 605.090 780.110 606.270 ;
RECT 778.930 603.490 780.110 604.670 ;
RECT 778.930 425.090 780.110 426.270 ;
RECT 778.930 423.490 780.110 424.670 ;
RECT 778.930 245.090 780.110 246.270 ;
RECT 778.930 243.490 780.110 244.670 ;
RECT 778.930 65.090 780.110 66.270 ;
RECT 778.930 63.490 780.110 64.670 ;
RECT 778.930 -30.510 780.110 -29.330 ;
RECT 778.930 -32.110 780.110 -30.930 ;
RECT 958.930 3550.610 960.110 3551.790 ;
RECT 958.930 3549.010 960.110 3550.190 ;
RECT 958.930 3485.090 960.110 3486.270 ;
RECT 958.930 3483.490 960.110 3484.670 ;
RECT 958.930 3305.090 960.110 3306.270 ;
RECT 958.930 3303.490 960.110 3304.670 ;
RECT 958.930 3125.090 960.110 3126.270 ;
RECT 958.930 3123.490 960.110 3124.670 ;
RECT 958.930 2945.090 960.110 2946.270 ;
RECT 958.930 2943.490 960.110 2944.670 ;
RECT 958.930 2765.090 960.110 2766.270 ;
RECT 958.930 2763.490 960.110 2764.670 ;
RECT 958.930 2585.090 960.110 2586.270 ;
RECT 958.930 2583.490 960.110 2584.670 ;
RECT 958.930 2405.090 960.110 2406.270 ;
RECT 958.930 2403.490 960.110 2404.670 ;
RECT 958.930 2225.090 960.110 2226.270 ;
RECT 958.930 2223.490 960.110 2224.670 ;
RECT 958.930 2045.090 960.110 2046.270 ;
RECT 958.930 2043.490 960.110 2044.670 ;
RECT 958.930 1865.090 960.110 1866.270 ;
RECT 958.930 1863.490 960.110 1864.670 ;
RECT 958.930 1685.090 960.110 1686.270 ;
RECT 958.930 1683.490 960.110 1684.670 ;
RECT 958.930 1505.090 960.110 1506.270 ;
RECT 958.930 1503.490 960.110 1504.670 ;
RECT 958.930 1325.090 960.110 1326.270 ;
RECT 958.930 1323.490 960.110 1324.670 ;
RECT 958.930 1145.090 960.110 1146.270 ;
RECT 958.930 1143.490 960.110 1144.670 ;
RECT 958.930 965.090 960.110 966.270 ;
RECT 958.930 963.490 960.110 964.670 ;
RECT 958.930 785.090 960.110 786.270 ;
RECT 958.930 783.490 960.110 784.670 ;
RECT 958.930 605.090 960.110 606.270 ;
RECT 958.930 603.490 960.110 604.670 ;
RECT 958.930 425.090 960.110 426.270 ;
RECT 958.930 423.490 960.110 424.670 ;
RECT 958.930 245.090 960.110 246.270 ;
RECT 958.930 243.490 960.110 244.670 ;
RECT 958.930 65.090 960.110 66.270 ;
RECT 958.930 63.490 960.110 64.670 ;
RECT 958.930 -30.510 960.110 -29.330 ;
RECT 958.930 -32.110 960.110 -30.930 ;
RECT 1138.930 3550.610 1140.110 3551.790 ;
RECT 1138.930 3549.010 1140.110 3550.190 ;
RECT 1138.930 3485.090 1140.110 3486.270 ;
RECT 1138.930 3483.490 1140.110 3484.670 ;
RECT 1138.930 3305.090 1140.110 3306.270 ;
RECT 1138.930 3303.490 1140.110 3304.670 ;
RECT 1138.930 3125.090 1140.110 3126.270 ;
RECT 1138.930 3123.490 1140.110 3124.670 ;
RECT 1138.930 2945.090 1140.110 2946.270 ;
RECT 1138.930 2943.490 1140.110 2944.670 ;
RECT 1138.930 2765.090 1140.110 2766.270 ;
RECT 1138.930 2763.490 1140.110 2764.670 ;
RECT 1138.930 2585.090 1140.110 2586.270 ;
RECT 1138.930 2583.490 1140.110 2584.670 ;
RECT 1138.930 2405.090 1140.110 2406.270 ;
RECT 1138.930 2403.490 1140.110 2404.670 ;
RECT 1138.930 2225.090 1140.110 2226.270 ;
RECT 1138.930 2223.490 1140.110 2224.670 ;
RECT 1138.930 2045.090 1140.110 2046.270 ;
RECT 1138.930 2043.490 1140.110 2044.670 ;
RECT 1138.930 1865.090 1140.110 1866.270 ;
RECT 1138.930 1863.490 1140.110 1864.670 ;
RECT 1138.930 1685.090 1140.110 1686.270 ;
RECT 1138.930 1683.490 1140.110 1684.670 ;
RECT 1138.930 1505.090 1140.110 1506.270 ;
RECT 1138.930 1503.490 1140.110 1504.670 ;
RECT 1138.930 1325.090 1140.110 1326.270 ;
RECT 1138.930 1323.490 1140.110 1324.670 ;
RECT 1138.930 1145.090 1140.110 1146.270 ;
RECT 1138.930 1143.490 1140.110 1144.670 ;
RECT 1138.930 965.090 1140.110 966.270 ;
RECT 1138.930 963.490 1140.110 964.670 ;
RECT 1138.930 785.090 1140.110 786.270 ;
RECT 1138.930 783.490 1140.110 784.670 ;
RECT 1138.930 605.090 1140.110 606.270 ;
RECT 1138.930 603.490 1140.110 604.670 ;
RECT 1138.930 425.090 1140.110 426.270 ;
RECT 1138.930 423.490 1140.110 424.670 ;
RECT 1138.930 245.090 1140.110 246.270 ;
RECT 1138.930 243.490 1140.110 244.670 ;
RECT 1138.930 65.090 1140.110 66.270 ;
RECT 1138.930 63.490 1140.110 64.670 ;
RECT 1138.930 -30.510 1140.110 -29.330 ;
RECT 1138.930 -32.110 1140.110 -30.930 ;
RECT 1318.930 3550.610 1320.110 3551.790 ;
RECT 1318.930 3549.010 1320.110 3550.190 ;
RECT 1318.930 3485.090 1320.110 3486.270 ;
RECT 1318.930 3483.490 1320.110 3484.670 ;
RECT 1318.930 3305.090 1320.110 3306.270 ;
RECT 1318.930 3303.490 1320.110 3304.670 ;
RECT 1318.930 3125.090 1320.110 3126.270 ;
RECT 1318.930 3123.490 1320.110 3124.670 ;
RECT 1318.930 2945.090 1320.110 2946.270 ;
RECT 1318.930 2943.490 1320.110 2944.670 ;
RECT 1318.930 2765.090 1320.110 2766.270 ;
RECT 1318.930 2763.490 1320.110 2764.670 ;
RECT 1318.930 2585.090 1320.110 2586.270 ;
RECT 1318.930 2583.490 1320.110 2584.670 ;
RECT 1318.930 2405.090 1320.110 2406.270 ;
RECT 1318.930 2403.490 1320.110 2404.670 ;
RECT 1318.930 2225.090 1320.110 2226.270 ;
RECT 1318.930 2223.490 1320.110 2224.670 ;
RECT 1318.930 2045.090 1320.110 2046.270 ;
RECT 1318.930 2043.490 1320.110 2044.670 ;
RECT 1318.930 1865.090 1320.110 1866.270 ;
RECT 1318.930 1863.490 1320.110 1864.670 ;
RECT 1318.930 1685.090 1320.110 1686.270 ;
RECT 1318.930 1683.490 1320.110 1684.670 ;
RECT 1318.930 1505.090 1320.110 1506.270 ;
RECT 1318.930 1503.490 1320.110 1504.670 ;
RECT 1318.930 1325.090 1320.110 1326.270 ;
RECT 1318.930 1323.490 1320.110 1324.670 ;
RECT 1318.930 1145.090 1320.110 1146.270 ;
RECT 1318.930 1143.490 1320.110 1144.670 ;
RECT 1318.930 965.090 1320.110 966.270 ;
RECT 1318.930 963.490 1320.110 964.670 ;
RECT 1318.930 785.090 1320.110 786.270 ;
RECT 1318.930 783.490 1320.110 784.670 ;
RECT 1318.930 605.090 1320.110 606.270 ;
RECT 1318.930 603.490 1320.110 604.670 ;
RECT 1318.930 425.090 1320.110 426.270 ;
RECT 1318.930 423.490 1320.110 424.670 ;
RECT 1318.930 245.090 1320.110 246.270 ;
RECT 1318.930 243.490 1320.110 244.670 ;
RECT 1318.930 65.090 1320.110 66.270 ;
RECT 1318.930 63.490 1320.110 64.670 ;
RECT 1318.930 -30.510 1320.110 -29.330 ;
RECT 1318.930 -32.110 1320.110 -30.930 ;
RECT 1498.930 3550.610 1500.110 3551.790 ;
RECT 1498.930 3549.010 1500.110 3550.190 ;
RECT 1498.930 3485.090 1500.110 3486.270 ;
RECT 1498.930 3483.490 1500.110 3484.670 ;
RECT 1498.930 3305.090 1500.110 3306.270 ;
RECT 1498.930 3303.490 1500.110 3304.670 ;
RECT 1498.930 3125.090 1500.110 3126.270 ;
RECT 1498.930 3123.490 1500.110 3124.670 ;
RECT 1498.930 2945.090 1500.110 2946.270 ;
RECT 1498.930 2943.490 1500.110 2944.670 ;
RECT 1498.930 2765.090 1500.110 2766.270 ;
RECT 1498.930 2763.490 1500.110 2764.670 ;
RECT 1498.930 2585.090 1500.110 2586.270 ;
RECT 1498.930 2583.490 1500.110 2584.670 ;
RECT 1498.930 2405.090 1500.110 2406.270 ;
RECT 1498.930 2403.490 1500.110 2404.670 ;
RECT 1498.930 2225.090 1500.110 2226.270 ;
RECT 1498.930 2223.490 1500.110 2224.670 ;
RECT 1498.930 2045.090 1500.110 2046.270 ;
RECT 1498.930 2043.490 1500.110 2044.670 ;
RECT 1498.930 1865.090 1500.110 1866.270 ;
RECT 1498.930 1863.490 1500.110 1864.670 ;
RECT 1498.930 1685.090 1500.110 1686.270 ;
RECT 1498.930 1683.490 1500.110 1684.670 ;
RECT 1498.930 1505.090 1500.110 1506.270 ;
RECT 1498.930 1503.490 1500.110 1504.670 ;
RECT 1498.930 1325.090 1500.110 1326.270 ;
RECT 1498.930 1323.490 1500.110 1324.670 ;
RECT 1498.930 1145.090 1500.110 1146.270 ;
RECT 1498.930 1143.490 1500.110 1144.670 ;
RECT 1498.930 965.090 1500.110 966.270 ;
RECT 1498.930 963.490 1500.110 964.670 ;
RECT 1498.930 785.090 1500.110 786.270 ;
RECT 1498.930 783.490 1500.110 784.670 ;
RECT 1498.930 605.090 1500.110 606.270 ;
RECT 1498.930 603.490 1500.110 604.670 ;
RECT 1498.930 425.090 1500.110 426.270 ;
RECT 1498.930 423.490 1500.110 424.670 ;
RECT 1498.930 245.090 1500.110 246.270 ;
RECT 1498.930 243.490 1500.110 244.670 ;
RECT 1498.930 65.090 1500.110 66.270 ;
RECT 1498.930 63.490 1500.110 64.670 ;
RECT 1498.930 -30.510 1500.110 -29.330 ;
RECT 1498.930 -32.110 1500.110 -30.930 ;
RECT 1678.930 3550.610 1680.110 3551.790 ;
RECT 1678.930 3549.010 1680.110 3550.190 ;
RECT 1678.930 3485.090 1680.110 3486.270 ;
RECT 1678.930 3483.490 1680.110 3484.670 ;
RECT 1678.930 3305.090 1680.110 3306.270 ;
RECT 1678.930 3303.490 1680.110 3304.670 ;
RECT 1678.930 3125.090 1680.110 3126.270 ;
RECT 1678.930 3123.490 1680.110 3124.670 ;
RECT 1678.930 2945.090 1680.110 2946.270 ;
RECT 1678.930 2943.490 1680.110 2944.670 ;
RECT 1678.930 2765.090 1680.110 2766.270 ;
RECT 1678.930 2763.490 1680.110 2764.670 ;
RECT 1678.930 2585.090 1680.110 2586.270 ;
RECT 1678.930 2583.490 1680.110 2584.670 ;
RECT 1678.930 2405.090 1680.110 2406.270 ;
RECT 1678.930 2403.490 1680.110 2404.670 ;
RECT 1678.930 2225.090 1680.110 2226.270 ;
RECT 1678.930 2223.490 1680.110 2224.670 ;
RECT 1678.930 2045.090 1680.110 2046.270 ;
RECT 1678.930 2043.490 1680.110 2044.670 ;
RECT 1678.930 1865.090 1680.110 1866.270 ;
RECT 1678.930 1863.490 1680.110 1864.670 ;
RECT 1678.930 1685.090 1680.110 1686.270 ;
RECT 1678.930 1683.490 1680.110 1684.670 ;
RECT 1678.930 1505.090 1680.110 1506.270 ;
RECT 1678.930 1503.490 1680.110 1504.670 ;
RECT 1678.930 1325.090 1680.110 1326.270 ;
RECT 1678.930 1323.490 1680.110 1324.670 ;
RECT 1678.930 1145.090 1680.110 1146.270 ;
RECT 1678.930 1143.490 1680.110 1144.670 ;
RECT 1678.930 965.090 1680.110 966.270 ;
RECT 1678.930 963.490 1680.110 964.670 ;
RECT 1678.930 785.090 1680.110 786.270 ;
RECT 1678.930 783.490 1680.110 784.670 ;
RECT 1678.930 605.090 1680.110 606.270 ;
RECT 1678.930 603.490 1680.110 604.670 ;
RECT 1678.930 425.090 1680.110 426.270 ;
RECT 1678.930 423.490 1680.110 424.670 ;
RECT 1678.930 245.090 1680.110 246.270 ;
RECT 1678.930 243.490 1680.110 244.670 ;
RECT 1678.930 65.090 1680.110 66.270 ;
RECT 1678.930 63.490 1680.110 64.670 ;
RECT 1678.930 -30.510 1680.110 -29.330 ;
RECT 1678.930 -32.110 1680.110 -30.930 ;
RECT 1858.930 3550.610 1860.110 3551.790 ;
RECT 1858.930 3549.010 1860.110 3550.190 ;
RECT 1858.930 3485.090 1860.110 3486.270 ;
RECT 1858.930 3483.490 1860.110 3484.670 ;
RECT 1858.930 3305.090 1860.110 3306.270 ;
RECT 1858.930 3303.490 1860.110 3304.670 ;
RECT 1858.930 3125.090 1860.110 3126.270 ;
RECT 1858.930 3123.490 1860.110 3124.670 ;
RECT 1858.930 2945.090 1860.110 2946.270 ;
RECT 1858.930 2943.490 1860.110 2944.670 ;
RECT 1858.930 2765.090 1860.110 2766.270 ;
RECT 1858.930 2763.490 1860.110 2764.670 ;
RECT 1858.930 2585.090 1860.110 2586.270 ;
RECT 1858.930 2583.490 1860.110 2584.670 ;
RECT 1858.930 2405.090 1860.110 2406.270 ;
RECT 1858.930 2403.490 1860.110 2404.670 ;
RECT 1858.930 2225.090 1860.110 2226.270 ;
RECT 1858.930 2223.490 1860.110 2224.670 ;
RECT 1858.930 2045.090 1860.110 2046.270 ;
RECT 1858.930 2043.490 1860.110 2044.670 ;
RECT 1858.930 1865.090 1860.110 1866.270 ;
RECT 1858.930 1863.490 1860.110 1864.670 ;
RECT 1858.930 1685.090 1860.110 1686.270 ;
RECT 1858.930 1683.490 1860.110 1684.670 ;
RECT 1858.930 1505.090 1860.110 1506.270 ;
RECT 1858.930 1503.490 1860.110 1504.670 ;
RECT 1858.930 1325.090 1860.110 1326.270 ;
RECT 1858.930 1323.490 1860.110 1324.670 ;
RECT 1858.930 1145.090 1860.110 1146.270 ;
RECT 1858.930 1143.490 1860.110 1144.670 ;
RECT 1858.930 965.090 1860.110 966.270 ;
RECT 1858.930 963.490 1860.110 964.670 ;
RECT 1858.930 785.090 1860.110 786.270 ;
RECT 1858.930 783.490 1860.110 784.670 ;
RECT 1858.930 605.090 1860.110 606.270 ;
RECT 1858.930 603.490 1860.110 604.670 ;
RECT 1858.930 425.090 1860.110 426.270 ;
RECT 1858.930 423.490 1860.110 424.670 ;
RECT 1858.930 245.090 1860.110 246.270 ;
RECT 1858.930 243.490 1860.110 244.670 ;
RECT 1858.930 65.090 1860.110 66.270 ;
RECT 1858.930 63.490 1860.110 64.670 ;
RECT 1858.930 -30.510 1860.110 -29.330 ;
RECT 1858.930 -32.110 1860.110 -30.930 ;
RECT 2038.930 3550.610 2040.110 3551.790 ;
RECT 2038.930 3549.010 2040.110 3550.190 ;
RECT 2038.930 3485.090 2040.110 3486.270 ;
RECT 2038.930 3483.490 2040.110 3484.670 ;
RECT 2038.930 3305.090 2040.110 3306.270 ;
RECT 2038.930 3303.490 2040.110 3304.670 ;
RECT 2038.930 3125.090 2040.110 3126.270 ;
RECT 2038.930 3123.490 2040.110 3124.670 ;
RECT 2038.930 2945.090 2040.110 2946.270 ;
RECT 2038.930 2943.490 2040.110 2944.670 ;
RECT 2038.930 2765.090 2040.110 2766.270 ;
RECT 2038.930 2763.490 2040.110 2764.670 ;
RECT 2038.930 2585.090 2040.110 2586.270 ;
RECT 2038.930 2583.490 2040.110 2584.670 ;
RECT 2038.930 2405.090 2040.110 2406.270 ;
RECT 2038.930 2403.490 2040.110 2404.670 ;
RECT 2038.930 2225.090 2040.110 2226.270 ;
RECT 2038.930 2223.490 2040.110 2224.670 ;
RECT 2038.930 2045.090 2040.110 2046.270 ;
RECT 2038.930 2043.490 2040.110 2044.670 ;
RECT 2038.930 1865.090 2040.110 1866.270 ;
RECT 2038.930 1863.490 2040.110 1864.670 ;
RECT 2038.930 1685.090 2040.110 1686.270 ;
RECT 2038.930 1683.490 2040.110 1684.670 ;
RECT 2038.930 1505.090 2040.110 1506.270 ;
RECT 2038.930 1503.490 2040.110 1504.670 ;
RECT 2038.930 1325.090 2040.110 1326.270 ;
RECT 2038.930 1323.490 2040.110 1324.670 ;
RECT 2038.930 1145.090 2040.110 1146.270 ;
RECT 2038.930 1143.490 2040.110 1144.670 ;
RECT 2038.930 965.090 2040.110 966.270 ;
RECT 2038.930 963.490 2040.110 964.670 ;
RECT 2038.930 785.090 2040.110 786.270 ;
RECT 2038.930 783.490 2040.110 784.670 ;
RECT 2038.930 605.090 2040.110 606.270 ;
RECT 2038.930 603.490 2040.110 604.670 ;
RECT 2038.930 425.090 2040.110 426.270 ;
RECT 2038.930 423.490 2040.110 424.670 ;
RECT 2038.930 245.090 2040.110 246.270 ;
RECT 2038.930 243.490 2040.110 244.670 ;
RECT 2038.930 65.090 2040.110 66.270 ;
RECT 2038.930 63.490 2040.110 64.670 ;
RECT 2038.930 -30.510 2040.110 -29.330 ;
RECT 2038.930 -32.110 2040.110 -30.930 ;
RECT 2218.930 3550.610 2220.110 3551.790 ;
RECT 2218.930 3549.010 2220.110 3550.190 ;
RECT 2218.930 3485.090 2220.110 3486.270 ;
RECT 2218.930 3483.490 2220.110 3484.670 ;
RECT 2218.930 3305.090 2220.110 3306.270 ;
RECT 2218.930 3303.490 2220.110 3304.670 ;
RECT 2218.930 3125.090 2220.110 3126.270 ;
RECT 2218.930 3123.490 2220.110 3124.670 ;
RECT 2218.930 2945.090 2220.110 2946.270 ;
RECT 2218.930 2943.490 2220.110 2944.670 ;
RECT 2218.930 2765.090 2220.110 2766.270 ;
RECT 2218.930 2763.490 2220.110 2764.670 ;
RECT 2218.930 2585.090 2220.110 2586.270 ;
RECT 2218.930 2583.490 2220.110 2584.670 ;
RECT 2218.930 2405.090 2220.110 2406.270 ;
RECT 2218.930 2403.490 2220.110 2404.670 ;
RECT 2218.930 2225.090 2220.110 2226.270 ;
RECT 2218.930 2223.490 2220.110 2224.670 ;
RECT 2218.930 2045.090 2220.110 2046.270 ;
RECT 2218.930 2043.490 2220.110 2044.670 ;
RECT 2218.930 1865.090 2220.110 1866.270 ;
RECT 2218.930 1863.490 2220.110 1864.670 ;
RECT 2218.930 1685.090 2220.110 1686.270 ;
RECT 2218.930 1683.490 2220.110 1684.670 ;
RECT 2218.930 1505.090 2220.110 1506.270 ;
RECT 2218.930 1503.490 2220.110 1504.670 ;
RECT 2218.930 1325.090 2220.110 1326.270 ;
RECT 2218.930 1323.490 2220.110 1324.670 ;
RECT 2218.930 1145.090 2220.110 1146.270 ;
RECT 2218.930 1143.490 2220.110 1144.670 ;
RECT 2218.930 965.090 2220.110 966.270 ;
RECT 2218.930 963.490 2220.110 964.670 ;
RECT 2218.930 785.090 2220.110 786.270 ;
RECT 2218.930 783.490 2220.110 784.670 ;
RECT 2218.930 605.090 2220.110 606.270 ;
RECT 2218.930 603.490 2220.110 604.670 ;
RECT 2218.930 425.090 2220.110 426.270 ;
RECT 2218.930 423.490 2220.110 424.670 ;
RECT 2218.930 245.090 2220.110 246.270 ;
RECT 2218.930 243.490 2220.110 244.670 ;
RECT 2218.930 65.090 2220.110 66.270 ;
RECT 2218.930 63.490 2220.110 64.670 ;
RECT 2218.930 -30.510 2220.110 -29.330 ;
RECT 2218.930 -32.110 2220.110 -30.930 ;
RECT 2398.930 3550.610 2400.110 3551.790 ;
RECT 2398.930 3549.010 2400.110 3550.190 ;
RECT 2398.930 3485.090 2400.110 3486.270 ;
RECT 2398.930 3483.490 2400.110 3484.670 ;
RECT 2398.930 3305.090 2400.110 3306.270 ;
RECT 2398.930 3303.490 2400.110 3304.670 ;
RECT 2398.930 3125.090 2400.110 3126.270 ;
RECT 2398.930 3123.490 2400.110 3124.670 ;
RECT 2398.930 2945.090 2400.110 2946.270 ;
RECT 2398.930 2943.490 2400.110 2944.670 ;
RECT 2398.930 2765.090 2400.110 2766.270 ;
RECT 2398.930 2763.490 2400.110 2764.670 ;
RECT 2398.930 2585.090 2400.110 2586.270 ;
RECT 2398.930 2583.490 2400.110 2584.670 ;
RECT 2398.930 2405.090 2400.110 2406.270 ;
RECT 2398.930 2403.490 2400.110 2404.670 ;
RECT 2398.930 2225.090 2400.110 2226.270 ;
RECT 2398.930 2223.490 2400.110 2224.670 ;
RECT 2398.930 2045.090 2400.110 2046.270 ;
RECT 2398.930 2043.490 2400.110 2044.670 ;
RECT 2398.930 1865.090 2400.110 1866.270 ;
RECT 2398.930 1863.490 2400.110 1864.670 ;
RECT 2398.930 1685.090 2400.110 1686.270 ;
RECT 2398.930 1683.490 2400.110 1684.670 ;
RECT 2398.930 1505.090 2400.110 1506.270 ;
RECT 2398.930 1503.490 2400.110 1504.670 ;
RECT 2398.930 1325.090 2400.110 1326.270 ;
RECT 2398.930 1323.490 2400.110 1324.670 ;
RECT 2398.930 1145.090 2400.110 1146.270 ;
RECT 2398.930 1143.490 2400.110 1144.670 ;
RECT 2398.930 965.090 2400.110 966.270 ;
RECT 2398.930 963.490 2400.110 964.670 ;
RECT 2398.930 785.090 2400.110 786.270 ;
RECT 2398.930 783.490 2400.110 784.670 ;
RECT 2398.930 605.090 2400.110 606.270 ;
RECT 2398.930 603.490 2400.110 604.670 ;
RECT 2398.930 425.090 2400.110 426.270 ;
RECT 2398.930 423.490 2400.110 424.670 ;
RECT 2398.930 245.090 2400.110 246.270 ;
RECT 2398.930 243.490 2400.110 244.670 ;
RECT 2398.930 65.090 2400.110 66.270 ;
RECT 2398.930 63.490 2400.110 64.670 ;
RECT 2398.930 -30.510 2400.110 -29.330 ;
RECT 2398.930 -32.110 2400.110 -30.930 ;
RECT 2578.930 3550.610 2580.110 3551.790 ;
RECT 2578.930 3549.010 2580.110 3550.190 ;
RECT 2578.930 3485.090 2580.110 3486.270 ;
RECT 2578.930 3483.490 2580.110 3484.670 ;
RECT 2578.930 3305.090 2580.110 3306.270 ;
RECT 2578.930 3303.490 2580.110 3304.670 ;
RECT 2578.930 3125.090 2580.110 3126.270 ;
RECT 2578.930 3123.490 2580.110 3124.670 ;
RECT 2578.930 2945.090 2580.110 2946.270 ;
RECT 2578.930 2943.490 2580.110 2944.670 ;
RECT 2578.930 2765.090 2580.110 2766.270 ;
RECT 2578.930 2763.490 2580.110 2764.670 ;
RECT 2578.930 2585.090 2580.110 2586.270 ;
RECT 2578.930 2583.490 2580.110 2584.670 ;
RECT 2578.930 2405.090 2580.110 2406.270 ;
RECT 2578.930 2403.490 2580.110 2404.670 ;
RECT 2578.930 2225.090 2580.110 2226.270 ;
RECT 2578.930 2223.490 2580.110 2224.670 ;
RECT 2578.930 2045.090 2580.110 2046.270 ;
RECT 2578.930 2043.490 2580.110 2044.670 ;
RECT 2578.930 1865.090 2580.110 1866.270 ;
RECT 2578.930 1863.490 2580.110 1864.670 ;
RECT 2578.930 1685.090 2580.110 1686.270 ;
RECT 2578.930 1683.490 2580.110 1684.670 ;
RECT 2578.930 1505.090 2580.110 1506.270 ;
RECT 2578.930 1503.490 2580.110 1504.670 ;
RECT 2578.930 1325.090 2580.110 1326.270 ;
RECT 2578.930 1323.490 2580.110 1324.670 ;
RECT 2578.930 1145.090 2580.110 1146.270 ;
RECT 2578.930 1143.490 2580.110 1144.670 ;
RECT 2578.930 965.090 2580.110 966.270 ;
RECT 2578.930 963.490 2580.110 964.670 ;
RECT 2578.930 785.090 2580.110 786.270 ;
RECT 2578.930 783.490 2580.110 784.670 ;
RECT 2578.930 605.090 2580.110 606.270 ;
RECT 2578.930 603.490 2580.110 604.670 ;
RECT 2578.930 425.090 2580.110 426.270 ;
RECT 2578.930 423.490 2580.110 424.670 ;
RECT 2578.930 245.090 2580.110 246.270 ;
RECT 2578.930 243.490 2580.110 244.670 ;
RECT 2578.930 65.090 2580.110 66.270 ;
RECT 2578.930 63.490 2580.110 64.670 ;
RECT 2578.930 -30.510 2580.110 -29.330 ;
RECT 2578.930 -32.110 2580.110 -30.930 ;
RECT 2758.930 3550.610 2760.110 3551.790 ;
RECT 2758.930 3549.010 2760.110 3550.190 ;
RECT 2758.930 3485.090 2760.110 3486.270 ;
RECT 2758.930 3483.490 2760.110 3484.670 ;
RECT 2758.930 3305.090 2760.110 3306.270 ;
RECT 2758.930 3303.490 2760.110 3304.670 ;
RECT 2758.930 3125.090 2760.110 3126.270 ;
RECT 2758.930 3123.490 2760.110 3124.670 ;
RECT 2758.930 2945.090 2760.110 2946.270 ;
RECT 2758.930 2943.490 2760.110 2944.670 ;
RECT 2758.930 2765.090 2760.110 2766.270 ;
RECT 2758.930 2763.490 2760.110 2764.670 ;
RECT 2758.930 2585.090 2760.110 2586.270 ;
RECT 2758.930 2583.490 2760.110 2584.670 ;
RECT 2758.930 2405.090 2760.110 2406.270 ;
RECT 2758.930 2403.490 2760.110 2404.670 ;
RECT 2758.930 2225.090 2760.110 2226.270 ;
RECT 2758.930 2223.490 2760.110 2224.670 ;
RECT 2758.930 2045.090 2760.110 2046.270 ;
RECT 2758.930 2043.490 2760.110 2044.670 ;
RECT 2758.930 1865.090 2760.110 1866.270 ;
RECT 2758.930 1863.490 2760.110 1864.670 ;
RECT 2758.930 1685.090 2760.110 1686.270 ;
RECT 2758.930 1683.490 2760.110 1684.670 ;
RECT 2758.930 1505.090 2760.110 1506.270 ;
RECT 2758.930 1503.490 2760.110 1504.670 ;
RECT 2758.930 1325.090 2760.110 1326.270 ;
RECT 2758.930 1323.490 2760.110 1324.670 ;
RECT 2758.930 1145.090 2760.110 1146.270 ;
RECT 2758.930 1143.490 2760.110 1144.670 ;
RECT 2758.930 965.090 2760.110 966.270 ;
RECT 2758.930 963.490 2760.110 964.670 ;
RECT 2758.930 785.090 2760.110 786.270 ;
RECT 2758.930 783.490 2760.110 784.670 ;
RECT 2758.930 605.090 2760.110 606.270 ;
RECT 2758.930 603.490 2760.110 604.670 ;
RECT 2758.930 425.090 2760.110 426.270 ;
RECT 2758.930 423.490 2760.110 424.670 ;
RECT 2758.930 245.090 2760.110 246.270 ;
RECT 2758.930 243.490 2760.110 244.670 ;
RECT 2758.930 65.090 2760.110 66.270 ;
RECT 2758.930 63.490 2760.110 64.670 ;
RECT 2758.930 -30.510 2760.110 -29.330 ;
RECT 2758.930 -32.110 2760.110 -30.930 ;
RECT 2955.110 3550.610 2956.290 3551.790 ;
RECT 2955.110 3549.010 2956.290 3550.190 ;
RECT 2955.110 3485.090 2956.290 3486.270 ;
RECT 2955.110 3483.490 2956.290 3484.670 ;
RECT 2955.110 3305.090 2956.290 3306.270 ;
RECT 2955.110 3303.490 2956.290 3304.670 ;
RECT 2955.110 3125.090 2956.290 3126.270 ;
RECT 2955.110 3123.490 2956.290 3124.670 ;
RECT 2955.110 2945.090 2956.290 2946.270 ;
RECT 2955.110 2943.490 2956.290 2944.670 ;
RECT 2955.110 2765.090 2956.290 2766.270 ;
RECT 2955.110 2763.490 2956.290 2764.670 ;
RECT 2955.110 2585.090 2956.290 2586.270 ;
RECT 2955.110 2583.490 2956.290 2584.670 ;
RECT 2955.110 2405.090 2956.290 2406.270 ;
RECT 2955.110 2403.490 2956.290 2404.670 ;
RECT 2955.110 2225.090 2956.290 2226.270 ;
RECT 2955.110 2223.490 2956.290 2224.670 ;
RECT 2955.110 2045.090 2956.290 2046.270 ;
RECT 2955.110 2043.490 2956.290 2044.670 ;
RECT 2955.110 1865.090 2956.290 1866.270 ;
RECT 2955.110 1863.490 2956.290 1864.670 ;
RECT 2955.110 1685.090 2956.290 1686.270 ;
RECT 2955.110 1683.490 2956.290 1684.670 ;
RECT 2955.110 1505.090 2956.290 1506.270 ;
RECT 2955.110 1503.490 2956.290 1504.670 ;
RECT 2955.110 1325.090 2956.290 1326.270 ;
RECT 2955.110 1323.490 2956.290 1324.670 ;
RECT 2955.110 1145.090 2956.290 1146.270 ;
RECT 2955.110 1143.490 2956.290 1144.670 ;
RECT 2955.110 965.090 2956.290 966.270 ;
RECT 2955.110 963.490 2956.290 964.670 ;
RECT 2955.110 785.090 2956.290 786.270 ;
RECT 2955.110 783.490 2956.290 784.670 ;
RECT 2955.110 605.090 2956.290 606.270 ;
RECT 2955.110 603.490 2956.290 604.670 ;
RECT 2955.110 425.090 2956.290 426.270 ;
RECT 2955.110 423.490 2956.290 424.670 ;
RECT 2955.110 245.090 2956.290 246.270 ;
RECT 2955.110 243.490 2956.290 244.670 ;
RECT 2955.110 65.090 2956.290 66.270 ;
RECT 2955.110 63.490 2956.290 64.670 ;
RECT 2955.110 -30.510 2956.290 -29.330 ;
RECT 2955.110 -32.110 2956.290 -30.930 ;
LAYER met5 ;
RECT -37.580 3551.900 -34.580 3551.910 ;
RECT 58.020 3551.900 61.020 3551.910 ;
RECT 238.020 3551.900 241.020 3551.910 ;
RECT 418.020 3551.900 421.020 3551.910 ;
RECT 598.020 3551.900 601.020 3551.910 ;
RECT 778.020 3551.900 781.020 3551.910 ;
RECT 958.020 3551.900 961.020 3551.910 ;
RECT 1138.020 3551.900 1141.020 3551.910 ;
RECT 1318.020 3551.900 1321.020 3551.910 ;
RECT 1498.020 3551.900 1501.020 3551.910 ;
RECT 1678.020 3551.900 1681.020 3551.910 ;
RECT 1858.020 3551.900 1861.020 3551.910 ;
RECT 2038.020 3551.900 2041.020 3551.910 ;
RECT 2218.020 3551.900 2221.020 3551.910 ;
RECT 2398.020 3551.900 2401.020 3551.910 ;
RECT 2578.020 3551.900 2581.020 3551.910 ;
RECT 2758.020 3551.900 2761.020 3551.910 ;
RECT 2954.200 3551.900 2957.200 3551.910 ;
RECT -37.580 3548.900 2957.200 3551.900 ;
RECT -37.580 3548.890 -34.580 3548.900 ;
RECT 58.020 3548.890 61.020 3548.900 ;
RECT 238.020 3548.890 241.020 3548.900 ;
RECT 418.020 3548.890 421.020 3548.900 ;
RECT 598.020 3548.890 601.020 3548.900 ;
RECT 778.020 3548.890 781.020 3548.900 ;
RECT 958.020 3548.890 961.020 3548.900 ;
RECT 1138.020 3548.890 1141.020 3548.900 ;
RECT 1318.020 3548.890 1321.020 3548.900 ;
RECT 1498.020 3548.890 1501.020 3548.900 ;
RECT 1678.020 3548.890 1681.020 3548.900 ;
RECT 1858.020 3548.890 1861.020 3548.900 ;
RECT 2038.020 3548.890 2041.020 3548.900 ;
RECT 2218.020 3548.890 2221.020 3548.900 ;
RECT 2398.020 3548.890 2401.020 3548.900 ;
RECT 2578.020 3548.890 2581.020 3548.900 ;
RECT 2758.020 3548.890 2761.020 3548.900 ;
RECT 2954.200 3548.890 2957.200 3548.900 ;
RECT -37.580 3486.380 -34.580 3486.390 ;
RECT 58.020 3486.380 61.020 3486.390 ;
RECT 238.020 3486.380 241.020 3486.390 ;
RECT 418.020 3486.380 421.020 3486.390 ;
RECT 598.020 3486.380 601.020 3486.390 ;
RECT 778.020 3486.380 781.020 3486.390 ;
RECT 958.020 3486.380 961.020 3486.390 ;
RECT 1138.020 3486.380 1141.020 3486.390 ;
RECT 1318.020 3486.380 1321.020 3486.390 ;
RECT 1498.020 3486.380 1501.020 3486.390 ;
RECT 1678.020 3486.380 1681.020 3486.390 ;
RECT 1858.020 3486.380 1861.020 3486.390 ;
RECT 2038.020 3486.380 2041.020 3486.390 ;
RECT 2218.020 3486.380 2221.020 3486.390 ;
RECT 2398.020 3486.380 2401.020 3486.390 ;
RECT 2578.020 3486.380 2581.020 3486.390 ;
RECT 2758.020 3486.380 2761.020 3486.390 ;
RECT 2954.200 3486.380 2957.200 3486.390 ;
RECT -42.180 3483.380 2961.800 3486.380 ;
RECT -37.580 3483.370 -34.580 3483.380 ;
RECT 58.020 3483.370 61.020 3483.380 ;
RECT 238.020 3483.370 241.020 3483.380 ;
RECT 418.020 3483.370 421.020 3483.380 ;
RECT 598.020 3483.370 601.020 3483.380 ;
RECT 778.020 3483.370 781.020 3483.380 ;
RECT 958.020 3483.370 961.020 3483.380 ;
RECT 1138.020 3483.370 1141.020 3483.380 ;
RECT 1318.020 3483.370 1321.020 3483.380 ;
RECT 1498.020 3483.370 1501.020 3483.380 ;
RECT 1678.020 3483.370 1681.020 3483.380 ;
RECT 1858.020 3483.370 1861.020 3483.380 ;
RECT 2038.020 3483.370 2041.020 3483.380 ;
RECT 2218.020 3483.370 2221.020 3483.380 ;
RECT 2398.020 3483.370 2401.020 3483.380 ;
RECT 2578.020 3483.370 2581.020 3483.380 ;
RECT 2758.020 3483.370 2761.020 3483.380 ;
RECT 2954.200 3483.370 2957.200 3483.380 ;
RECT -37.580 3306.380 -34.580 3306.390 ;
RECT 58.020 3306.380 61.020 3306.390 ;
RECT 238.020 3306.380 241.020 3306.390 ;
RECT 418.020 3306.380 421.020 3306.390 ;
RECT 598.020 3306.380 601.020 3306.390 ;
RECT 778.020 3306.380 781.020 3306.390 ;
RECT 958.020 3306.380 961.020 3306.390 ;
RECT 1138.020 3306.380 1141.020 3306.390 ;
RECT 1318.020 3306.380 1321.020 3306.390 ;
RECT 1498.020 3306.380 1501.020 3306.390 ;
RECT 1678.020 3306.380 1681.020 3306.390 ;
RECT 1858.020 3306.380 1861.020 3306.390 ;
RECT 2038.020 3306.380 2041.020 3306.390 ;
RECT 2218.020 3306.380 2221.020 3306.390 ;
RECT 2398.020 3306.380 2401.020 3306.390 ;
RECT 2578.020 3306.380 2581.020 3306.390 ;
RECT 2758.020 3306.380 2761.020 3306.390 ;
RECT 2954.200 3306.380 2957.200 3306.390 ;
RECT -42.180 3303.380 2961.800 3306.380 ;
RECT -37.580 3303.370 -34.580 3303.380 ;
RECT 58.020 3303.370 61.020 3303.380 ;
RECT 238.020 3303.370 241.020 3303.380 ;
RECT 418.020 3303.370 421.020 3303.380 ;
RECT 598.020 3303.370 601.020 3303.380 ;
RECT 778.020 3303.370 781.020 3303.380 ;
RECT 958.020 3303.370 961.020 3303.380 ;
RECT 1138.020 3303.370 1141.020 3303.380 ;
RECT 1318.020 3303.370 1321.020 3303.380 ;
RECT 1498.020 3303.370 1501.020 3303.380 ;
RECT 1678.020 3303.370 1681.020 3303.380 ;
RECT 1858.020 3303.370 1861.020 3303.380 ;
RECT 2038.020 3303.370 2041.020 3303.380 ;
RECT 2218.020 3303.370 2221.020 3303.380 ;
RECT 2398.020 3303.370 2401.020 3303.380 ;
RECT 2578.020 3303.370 2581.020 3303.380 ;
RECT 2758.020 3303.370 2761.020 3303.380 ;
RECT 2954.200 3303.370 2957.200 3303.380 ;
RECT -37.580 3126.380 -34.580 3126.390 ;
RECT 58.020 3126.380 61.020 3126.390 ;
RECT 238.020 3126.380 241.020 3126.390 ;
RECT 418.020 3126.380 421.020 3126.390 ;
RECT 598.020 3126.380 601.020 3126.390 ;
RECT 778.020 3126.380 781.020 3126.390 ;
RECT 958.020 3126.380 961.020 3126.390 ;
RECT 1138.020 3126.380 1141.020 3126.390 ;
RECT 1318.020 3126.380 1321.020 3126.390 ;
RECT 1498.020 3126.380 1501.020 3126.390 ;
RECT 1678.020 3126.380 1681.020 3126.390 ;
RECT 1858.020 3126.380 1861.020 3126.390 ;
RECT 2038.020 3126.380 2041.020 3126.390 ;
RECT 2218.020 3126.380 2221.020 3126.390 ;
RECT 2398.020 3126.380 2401.020 3126.390 ;
RECT 2578.020 3126.380 2581.020 3126.390 ;
RECT 2758.020 3126.380 2761.020 3126.390 ;
RECT 2954.200 3126.380 2957.200 3126.390 ;
RECT -42.180 3123.380 2961.800 3126.380 ;
RECT -37.580 3123.370 -34.580 3123.380 ;
RECT 58.020 3123.370 61.020 3123.380 ;
RECT 238.020 3123.370 241.020 3123.380 ;
RECT 418.020 3123.370 421.020 3123.380 ;
RECT 598.020 3123.370 601.020 3123.380 ;
RECT 778.020 3123.370 781.020 3123.380 ;
RECT 958.020 3123.370 961.020 3123.380 ;
RECT 1138.020 3123.370 1141.020 3123.380 ;
RECT 1318.020 3123.370 1321.020 3123.380 ;
RECT 1498.020 3123.370 1501.020 3123.380 ;
RECT 1678.020 3123.370 1681.020 3123.380 ;
RECT 1858.020 3123.370 1861.020 3123.380 ;
RECT 2038.020 3123.370 2041.020 3123.380 ;
RECT 2218.020 3123.370 2221.020 3123.380 ;
RECT 2398.020 3123.370 2401.020 3123.380 ;
RECT 2578.020 3123.370 2581.020 3123.380 ;
RECT 2758.020 3123.370 2761.020 3123.380 ;
RECT 2954.200 3123.370 2957.200 3123.380 ;
RECT -37.580 2946.380 -34.580 2946.390 ;
RECT 58.020 2946.380 61.020 2946.390 ;
RECT 238.020 2946.380 241.020 2946.390 ;
RECT 418.020 2946.380 421.020 2946.390 ;
RECT 598.020 2946.380 601.020 2946.390 ;
RECT 778.020 2946.380 781.020 2946.390 ;
RECT 958.020 2946.380 961.020 2946.390 ;
RECT 1138.020 2946.380 1141.020 2946.390 ;
RECT 1318.020 2946.380 1321.020 2946.390 ;
RECT 1498.020 2946.380 1501.020 2946.390 ;
RECT 1678.020 2946.380 1681.020 2946.390 ;
RECT 1858.020 2946.380 1861.020 2946.390 ;
RECT 2038.020 2946.380 2041.020 2946.390 ;
RECT 2218.020 2946.380 2221.020 2946.390 ;
RECT 2398.020 2946.380 2401.020 2946.390 ;
RECT 2578.020 2946.380 2581.020 2946.390 ;
RECT 2758.020 2946.380 2761.020 2946.390 ;
RECT 2954.200 2946.380 2957.200 2946.390 ;
RECT -42.180 2943.380 2961.800 2946.380 ;
RECT -37.580 2943.370 -34.580 2943.380 ;
RECT 58.020 2943.370 61.020 2943.380 ;
RECT 238.020 2943.370 241.020 2943.380 ;
RECT 418.020 2943.370 421.020 2943.380 ;
RECT 598.020 2943.370 601.020 2943.380 ;
RECT 778.020 2943.370 781.020 2943.380 ;
RECT 958.020 2943.370 961.020 2943.380 ;
RECT 1138.020 2943.370 1141.020 2943.380 ;
RECT 1318.020 2943.370 1321.020 2943.380 ;
RECT 1498.020 2943.370 1501.020 2943.380 ;
RECT 1678.020 2943.370 1681.020 2943.380 ;
RECT 1858.020 2943.370 1861.020 2943.380 ;
RECT 2038.020 2943.370 2041.020 2943.380 ;
RECT 2218.020 2943.370 2221.020 2943.380 ;
RECT 2398.020 2943.370 2401.020 2943.380 ;
RECT 2578.020 2943.370 2581.020 2943.380 ;
RECT 2758.020 2943.370 2761.020 2943.380 ;
RECT 2954.200 2943.370 2957.200 2943.380 ;
RECT -37.580 2766.380 -34.580 2766.390 ;
RECT 58.020 2766.380 61.020 2766.390 ;
RECT 238.020 2766.380 241.020 2766.390 ;
RECT 418.020 2766.380 421.020 2766.390 ;
RECT 598.020 2766.380 601.020 2766.390 ;
RECT 778.020 2766.380 781.020 2766.390 ;
RECT 958.020 2766.380 961.020 2766.390 ;
RECT 1138.020 2766.380 1141.020 2766.390 ;
RECT 1318.020 2766.380 1321.020 2766.390 ;
RECT 1498.020 2766.380 1501.020 2766.390 ;
RECT 1678.020 2766.380 1681.020 2766.390 ;
RECT 1858.020 2766.380 1861.020 2766.390 ;
RECT 2038.020 2766.380 2041.020 2766.390 ;
RECT 2218.020 2766.380 2221.020 2766.390 ;
RECT 2398.020 2766.380 2401.020 2766.390 ;
RECT 2578.020 2766.380 2581.020 2766.390 ;
RECT 2758.020 2766.380 2761.020 2766.390 ;
RECT 2954.200 2766.380 2957.200 2766.390 ;
RECT -42.180 2763.380 2961.800 2766.380 ;
RECT -37.580 2763.370 -34.580 2763.380 ;
RECT 58.020 2763.370 61.020 2763.380 ;
RECT 238.020 2763.370 241.020 2763.380 ;
RECT 418.020 2763.370 421.020 2763.380 ;
RECT 598.020 2763.370 601.020 2763.380 ;
RECT 778.020 2763.370 781.020 2763.380 ;
RECT 958.020 2763.370 961.020 2763.380 ;
RECT 1138.020 2763.370 1141.020 2763.380 ;
RECT 1318.020 2763.370 1321.020 2763.380 ;
RECT 1498.020 2763.370 1501.020 2763.380 ;
RECT 1678.020 2763.370 1681.020 2763.380 ;
RECT 1858.020 2763.370 1861.020 2763.380 ;
RECT 2038.020 2763.370 2041.020 2763.380 ;
RECT 2218.020 2763.370 2221.020 2763.380 ;
RECT 2398.020 2763.370 2401.020 2763.380 ;
RECT 2578.020 2763.370 2581.020 2763.380 ;
RECT 2758.020 2763.370 2761.020 2763.380 ;
RECT 2954.200 2763.370 2957.200 2763.380 ;
RECT -37.580 2586.380 -34.580 2586.390 ;
RECT 58.020 2586.380 61.020 2586.390 ;
RECT 238.020 2586.380 241.020 2586.390 ;
RECT 418.020 2586.380 421.020 2586.390 ;
RECT 598.020 2586.380 601.020 2586.390 ;
RECT 778.020 2586.380 781.020 2586.390 ;
RECT 958.020 2586.380 961.020 2586.390 ;
RECT 1138.020 2586.380 1141.020 2586.390 ;
RECT 1318.020 2586.380 1321.020 2586.390 ;
RECT 1498.020 2586.380 1501.020 2586.390 ;
RECT 1678.020 2586.380 1681.020 2586.390 ;
RECT 1858.020 2586.380 1861.020 2586.390 ;
RECT 2038.020 2586.380 2041.020 2586.390 ;
RECT 2218.020 2586.380 2221.020 2586.390 ;
RECT 2398.020 2586.380 2401.020 2586.390 ;
RECT 2578.020 2586.380 2581.020 2586.390 ;
RECT 2758.020 2586.380 2761.020 2586.390 ;
RECT 2954.200 2586.380 2957.200 2586.390 ;
RECT -42.180 2583.380 2961.800 2586.380 ;
RECT -37.580 2583.370 -34.580 2583.380 ;
RECT 58.020 2583.370 61.020 2583.380 ;
RECT 238.020 2583.370 241.020 2583.380 ;
RECT 418.020 2583.370 421.020 2583.380 ;
RECT 598.020 2583.370 601.020 2583.380 ;
RECT 778.020 2583.370 781.020 2583.380 ;
RECT 958.020 2583.370 961.020 2583.380 ;
RECT 1138.020 2583.370 1141.020 2583.380 ;
RECT 1318.020 2583.370 1321.020 2583.380 ;
RECT 1498.020 2583.370 1501.020 2583.380 ;
RECT 1678.020 2583.370 1681.020 2583.380 ;
RECT 1858.020 2583.370 1861.020 2583.380 ;
RECT 2038.020 2583.370 2041.020 2583.380 ;
RECT 2218.020 2583.370 2221.020 2583.380 ;
RECT 2398.020 2583.370 2401.020 2583.380 ;
RECT 2578.020 2583.370 2581.020 2583.380 ;
RECT 2758.020 2583.370 2761.020 2583.380 ;
RECT 2954.200 2583.370 2957.200 2583.380 ;
RECT -37.580 2406.380 -34.580 2406.390 ;
RECT 58.020 2406.380 61.020 2406.390 ;
RECT 238.020 2406.380 241.020 2406.390 ;
RECT 418.020 2406.380 421.020 2406.390 ;
RECT 598.020 2406.380 601.020 2406.390 ;
RECT 778.020 2406.380 781.020 2406.390 ;
RECT 958.020 2406.380 961.020 2406.390 ;
RECT 1138.020 2406.380 1141.020 2406.390 ;
RECT 1318.020 2406.380 1321.020 2406.390 ;
RECT 1498.020 2406.380 1501.020 2406.390 ;
RECT 1678.020 2406.380 1681.020 2406.390 ;
RECT 1858.020 2406.380 1861.020 2406.390 ;
RECT 2038.020 2406.380 2041.020 2406.390 ;
RECT 2218.020 2406.380 2221.020 2406.390 ;
RECT 2398.020 2406.380 2401.020 2406.390 ;
RECT 2578.020 2406.380 2581.020 2406.390 ;
RECT 2758.020 2406.380 2761.020 2406.390 ;
RECT 2954.200 2406.380 2957.200 2406.390 ;
RECT -42.180 2403.380 2961.800 2406.380 ;
RECT -37.580 2403.370 -34.580 2403.380 ;
RECT 58.020 2403.370 61.020 2403.380 ;
RECT 238.020 2403.370 241.020 2403.380 ;
RECT 418.020 2403.370 421.020 2403.380 ;
RECT 598.020 2403.370 601.020 2403.380 ;
RECT 778.020 2403.370 781.020 2403.380 ;
RECT 958.020 2403.370 961.020 2403.380 ;
RECT 1138.020 2403.370 1141.020 2403.380 ;
RECT 1318.020 2403.370 1321.020 2403.380 ;
RECT 1498.020 2403.370 1501.020 2403.380 ;
RECT 1678.020 2403.370 1681.020 2403.380 ;
RECT 1858.020 2403.370 1861.020 2403.380 ;
RECT 2038.020 2403.370 2041.020 2403.380 ;
RECT 2218.020 2403.370 2221.020 2403.380 ;
RECT 2398.020 2403.370 2401.020 2403.380 ;
RECT 2578.020 2403.370 2581.020 2403.380 ;
RECT 2758.020 2403.370 2761.020 2403.380 ;
RECT 2954.200 2403.370 2957.200 2403.380 ;
RECT -37.580 2226.380 -34.580 2226.390 ;
RECT 58.020 2226.380 61.020 2226.390 ;
RECT 238.020 2226.380 241.020 2226.390 ;
RECT 418.020 2226.380 421.020 2226.390 ;
RECT 598.020 2226.380 601.020 2226.390 ;
RECT 778.020 2226.380 781.020 2226.390 ;
RECT 958.020 2226.380 961.020 2226.390 ;
RECT 1138.020 2226.380 1141.020 2226.390 ;
RECT 1318.020 2226.380 1321.020 2226.390 ;
RECT 1498.020 2226.380 1501.020 2226.390 ;
RECT 1678.020 2226.380 1681.020 2226.390 ;
RECT 1858.020 2226.380 1861.020 2226.390 ;
RECT 2038.020 2226.380 2041.020 2226.390 ;
RECT 2218.020 2226.380 2221.020 2226.390 ;
RECT 2398.020 2226.380 2401.020 2226.390 ;
RECT 2578.020 2226.380 2581.020 2226.390 ;
RECT 2758.020 2226.380 2761.020 2226.390 ;
RECT 2954.200 2226.380 2957.200 2226.390 ;
RECT -42.180 2223.380 2961.800 2226.380 ;
RECT -37.580 2223.370 -34.580 2223.380 ;
RECT 58.020 2223.370 61.020 2223.380 ;
RECT 238.020 2223.370 241.020 2223.380 ;
RECT 418.020 2223.370 421.020 2223.380 ;
RECT 598.020 2223.370 601.020 2223.380 ;
RECT 778.020 2223.370 781.020 2223.380 ;
RECT 958.020 2223.370 961.020 2223.380 ;
RECT 1138.020 2223.370 1141.020 2223.380 ;
RECT 1318.020 2223.370 1321.020 2223.380 ;
RECT 1498.020 2223.370 1501.020 2223.380 ;
RECT 1678.020 2223.370 1681.020 2223.380 ;
RECT 1858.020 2223.370 1861.020 2223.380 ;
RECT 2038.020 2223.370 2041.020 2223.380 ;
RECT 2218.020 2223.370 2221.020 2223.380 ;
RECT 2398.020 2223.370 2401.020 2223.380 ;
RECT 2578.020 2223.370 2581.020 2223.380 ;
RECT 2758.020 2223.370 2761.020 2223.380 ;
RECT 2954.200 2223.370 2957.200 2223.380 ;
RECT -37.580 2046.380 -34.580 2046.390 ;
RECT 58.020 2046.380 61.020 2046.390 ;
RECT 238.020 2046.380 241.020 2046.390 ;
RECT 418.020 2046.380 421.020 2046.390 ;
RECT 598.020 2046.380 601.020 2046.390 ;
RECT 778.020 2046.380 781.020 2046.390 ;
RECT 958.020 2046.380 961.020 2046.390 ;
RECT 1138.020 2046.380 1141.020 2046.390 ;
RECT 1318.020 2046.380 1321.020 2046.390 ;
RECT 1498.020 2046.380 1501.020 2046.390 ;
RECT 1678.020 2046.380 1681.020 2046.390 ;
RECT 1858.020 2046.380 1861.020 2046.390 ;
RECT 2038.020 2046.380 2041.020 2046.390 ;
RECT 2218.020 2046.380 2221.020 2046.390 ;
RECT 2398.020 2046.380 2401.020 2046.390 ;
RECT 2578.020 2046.380 2581.020 2046.390 ;
RECT 2758.020 2046.380 2761.020 2046.390 ;
RECT 2954.200 2046.380 2957.200 2046.390 ;
RECT -42.180 2043.380 2961.800 2046.380 ;
RECT -37.580 2043.370 -34.580 2043.380 ;
RECT 58.020 2043.370 61.020 2043.380 ;
RECT 238.020 2043.370 241.020 2043.380 ;
RECT 418.020 2043.370 421.020 2043.380 ;
RECT 598.020 2043.370 601.020 2043.380 ;
RECT 778.020 2043.370 781.020 2043.380 ;
RECT 958.020 2043.370 961.020 2043.380 ;
RECT 1138.020 2043.370 1141.020 2043.380 ;
RECT 1318.020 2043.370 1321.020 2043.380 ;
RECT 1498.020 2043.370 1501.020 2043.380 ;
RECT 1678.020 2043.370 1681.020 2043.380 ;
RECT 1858.020 2043.370 1861.020 2043.380 ;
RECT 2038.020 2043.370 2041.020 2043.380 ;
RECT 2218.020 2043.370 2221.020 2043.380 ;
RECT 2398.020 2043.370 2401.020 2043.380 ;
RECT 2578.020 2043.370 2581.020 2043.380 ;
RECT 2758.020 2043.370 2761.020 2043.380 ;
RECT 2954.200 2043.370 2957.200 2043.380 ;
RECT -37.580 1866.380 -34.580 1866.390 ;
RECT 58.020 1866.380 61.020 1866.390 ;
RECT 238.020 1866.380 241.020 1866.390 ;
RECT 418.020 1866.380 421.020 1866.390 ;
RECT 598.020 1866.380 601.020 1866.390 ;
RECT 778.020 1866.380 781.020 1866.390 ;
RECT 958.020 1866.380 961.020 1866.390 ;
RECT 1138.020 1866.380 1141.020 1866.390 ;
RECT 1318.020 1866.380 1321.020 1866.390 ;
RECT 1498.020 1866.380 1501.020 1866.390 ;
RECT 1678.020 1866.380 1681.020 1866.390 ;
RECT 1858.020 1866.380 1861.020 1866.390 ;
RECT 2038.020 1866.380 2041.020 1866.390 ;
RECT 2218.020 1866.380 2221.020 1866.390 ;
RECT 2398.020 1866.380 2401.020 1866.390 ;
RECT 2578.020 1866.380 2581.020 1866.390 ;
RECT 2758.020 1866.380 2761.020 1866.390 ;
RECT 2954.200 1866.380 2957.200 1866.390 ;
RECT -42.180 1863.380 2961.800 1866.380 ;
RECT -37.580 1863.370 -34.580 1863.380 ;
RECT 58.020 1863.370 61.020 1863.380 ;
RECT 238.020 1863.370 241.020 1863.380 ;
RECT 418.020 1863.370 421.020 1863.380 ;
RECT 598.020 1863.370 601.020 1863.380 ;
RECT 778.020 1863.370 781.020 1863.380 ;
RECT 958.020 1863.370 961.020 1863.380 ;
RECT 1138.020 1863.370 1141.020 1863.380 ;
RECT 1318.020 1863.370 1321.020 1863.380 ;
RECT 1498.020 1863.370 1501.020 1863.380 ;
RECT 1678.020 1863.370 1681.020 1863.380 ;
RECT 1858.020 1863.370 1861.020 1863.380 ;
RECT 2038.020 1863.370 2041.020 1863.380 ;
RECT 2218.020 1863.370 2221.020 1863.380 ;
RECT 2398.020 1863.370 2401.020 1863.380 ;
RECT 2578.020 1863.370 2581.020 1863.380 ;
RECT 2758.020 1863.370 2761.020 1863.380 ;
RECT 2954.200 1863.370 2957.200 1863.380 ;
RECT -37.580 1686.380 -34.580 1686.390 ;
RECT 58.020 1686.380 61.020 1686.390 ;
RECT 238.020 1686.380 241.020 1686.390 ;
RECT 418.020 1686.380 421.020 1686.390 ;
RECT 598.020 1686.380 601.020 1686.390 ;
RECT 778.020 1686.380 781.020 1686.390 ;
RECT 958.020 1686.380 961.020 1686.390 ;
RECT 1138.020 1686.380 1141.020 1686.390 ;
RECT 1318.020 1686.380 1321.020 1686.390 ;
RECT 1498.020 1686.380 1501.020 1686.390 ;
RECT 1678.020 1686.380 1681.020 1686.390 ;
RECT 1858.020 1686.380 1861.020 1686.390 ;
RECT 2038.020 1686.380 2041.020 1686.390 ;
RECT 2218.020 1686.380 2221.020 1686.390 ;
RECT 2398.020 1686.380 2401.020 1686.390 ;
RECT 2578.020 1686.380 2581.020 1686.390 ;
RECT 2758.020 1686.380 2761.020 1686.390 ;
RECT 2954.200 1686.380 2957.200 1686.390 ;
RECT -42.180 1683.380 2961.800 1686.380 ;
RECT -37.580 1683.370 -34.580 1683.380 ;
RECT 58.020 1683.370 61.020 1683.380 ;
RECT 238.020 1683.370 241.020 1683.380 ;
RECT 418.020 1683.370 421.020 1683.380 ;
RECT 598.020 1683.370 601.020 1683.380 ;
RECT 778.020 1683.370 781.020 1683.380 ;
RECT 958.020 1683.370 961.020 1683.380 ;
RECT 1138.020 1683.370 1141.020 1683.380 ;
RECT 1318.020 1683.370 1321.020 1683.380 ;
RECT 1498.020 1683.370 1501.020 1683.380 ;
RECT 1678.020 1683.370 1681.020 1683.380 ;
RECT 1858.020 1683.370 1861.020 1683.380 ;
RECT 2038.020 1683.370 2041.020 1683.380 ;
RECT 2218.020 1683.370 2221.020 1683.380 ;
RECT 2398.020 1683.370 2401.020 1683.380 ;
RECT 2578.020 1683.370 2581.020 1683.380 ;
RECT 2758.020 1683.370 2761.020 1683.380 ;
RECT 2954.200 1683.370 2957.200 1683.380 ;
RECT -37.580 1506.380 -34.580 1506.390 ;
RECT 58.020 1506.380 61.020 1506.390 ;
RECT 238.020 1506.380 241.020 1506.390 ;
RECT 418.020 1506.380 421.020 1506.390 ;
RECT 598.020 1506.380 601.020 1506.390 ;
RECT 778.020 1506.380 781.020 1506.390 ;
RECT 958.020 1506.380 961.020 1506.390 ;
RECT 1138.020 1506.380 1141.020 1506.390 ;
RECT 1318.020 1506.380 1321.020 1506.390 ;
RECT 1498.020 1506.380 1501.020 1506.390 ;
RECT 1678.020 1506.380 1681.020 1506.390 ;
RECT 1858.020 1506.380 1861.020 1506.390 ;
RECT 2038.020 1506.380 2041.020 1506.390 ;
RECT 2218.020 1506.380 2221.020 1506.390 ;
RECT 2398.020 1506.380 2401.020 1506.390 ;
RECT 2578.020 1506.380 2581.020 1506.390 ;
RECT 2758.020 1506.380 2761.020 1506.390 ;
RECT 2954.200 1506.380 2957.200 1506.390 ;
RECT -42.180 1503.380 2961.800 1506.380 ;
RECT -37.580 1503.370 -34.580 1503.380 ;
RECT 58.020 1503.370 61.020 1503.380 ;
RECT 238.020 1503.370 241.020 1503.380 ;
RECT 418.020 1503.370 421.020 1503.380 ;
RECT 598.020 1503.370 601.020 1503.380 ;
RECT 778.020 1503.370 781.020 1503.380 ;
RECT 958.020 1503.370 961.020 1503.380 ;
RECT 1138.020 1503.370 1141.020 1503.380 ;
RECT 1318.020 1503.370 1321.020 1503.380 ;
RECT 1498.020 1503.370 1501.020 1503.380 ;
RECT 1678.020 1503.370 1681.020 1503.380 ;
RECT 1858.020 1503.370 1861.020 1503.380 ;
RECT 2038.020 1503.370 2041.020 1503.380 ;
RECT 2218.020 1503.370 2221.020 1503.380 ;
RECT 2398.020 1503.370 2401.020 1503.380 ;
RECT 2578.020 1503.370 2581.020 1503.380 ;
RECT 2758.020 1503.370 2761.020 1503.380 ;
RECT 2954.200 1503.370 2957.200 1503.380 ;
RECT -37.580 1326.380 -34.580 1326.390 ;
RECT 58.020 1326.380 61.020 1326.390 ;
RECT 238.020 1326.380 241.020 1326.390 ;
RECT 418.020 1326.380 421.020 1326.390 ;
RECT 598.020 1326.380 601.020 1326.390 ;
RECT 778.020 1326.380 781.020 1326.390 ;
RECT 958.020 1326.380 961.020 1326.390 ;
RECT 1138.020 1326.380 1141.020 1326.390 ;
RECT 1318.020 1326.380 1321.020 1326.390 ;
RECT 1498.020 1326.380 1501.020 1326.390 ;
RECT 1678.020 1326.380 1681.020 1326.390 ;
RECT 1858.020 1326.380 1861.020 1326.390 ;
RECT 2038.020 1326.380 2041.020 1326.390 ;
RECT 2218.020 1326.380 2221.020 1326.390 ;
RECT 2398.020 1326.380 2401.020 1326.390 ;
RECT 2578.020 1326.380 2581.020 1326.390 ;
RECT 2758.020 1326.380 2761.020 1326.390 ;
RECT 2954.200 1326.380 2957.200 1326.390 ;
RECT -42.180 1323.380 2961.800 1326.380 ;
RECT -37.580 1323.370 -34.580 1323.380 ;
RECT 58.020 1323.370 61.020 1323.380 ;
RECT 238.020 1323.370 241.020 1323.380 ;
RECT 418.020 1323.370 421.020 1323.380 ;
RECT 598.020 1323.370 601.020 1323.380 ;
RECT 778.020 1323.370 781.020 1323.380 ;
RECT 958.020 1323.370 961.020 1323.380 ;
RECT 1138.020 1323.370 1141.020 1323.380 ;
RECT 1318.020 1323.370 1321.020 1323.380 ;
RECT 1498.020 1323.370 1501.020 1323.380 ;
RECT 1678.020 1323.370 1681.020 1323.380 ;
RECT 1858.020 1323.370 1861.020 1323.380 ;
RECT 2038.020 1323.370 2041.020 1323.380 ;
RECT 2218.020 1323.370 2221.020 1323.380 ;
RECT 2398.020 1323.370 2401.020 1323.380 ;
RECT 2578.020 1323.370 2581.020 1323.380 ;
RECT 2758.020 1323.370 2761.020 1323.380 ;
RECT 2954.200 1323.370 2957.200 1323.380 ;
RECT -37.580 1146.380 -34.580 1146.390 ;
RECT 58.020 1146.380 61.020 1146.390 ;
RECT 238.020 1146.380 241.020 1146.390 ;
RECT 418.020 1146.380 421.020 1146.390 ;
RECT 598.020 1146.380 601.020 1146.390 ;
RECT 778.020 1146.380 781.020 1146.390 ;
RECT 958.020 1146.380 961.020 1146.390 ;
RECT 1138.020 1146.380 1141.020 1146.390 ;
RECT 1318.020 1146.380 1321.020 1146.390 ;
RECT 1498.020 1146.380 1501.020 1146.390 ;
RECT 1678.020 1146.380 1681.020 1146.390 ;
RECT 1858.020 1146.380 1861.020 1146.390 ;
RECT 2038.020 1146.380 2041.020 1146.390 ;
RECT 2218.020 1146.380 2221.020 1146.390 ;
RECT 2398.020 1146.380 2401.020 1146.390 ;
RECT 2578.020 1146.380 2581.020 1146.390 ;
RECT 2758.020 1146.380 2761.020 1146.390 ;
RECT 2954.200 1146.380 2957.200 1146.390 ;
RECT -42.180 1143.380 2961.800 1146.380 ;
RECT -37.580 1143.370 -34.580 1143.380 ;
RECT 58.020 1143.370 61.020 1143.380 ;
RECT 238.020 1143.370 241.020 1143.380 ;
RECT 418.020 1143.370 421.020 1143.380 ;
RECT 598.020 1143.370 601.020 1143.380 ;
RECT 778.020 1143.370 781.020 1143.380 ;
RECT 958.020 1143.370 961.020 1143.380 ;
RECT 1138.020 1143.370 1141.020 1143.380 ;
RECT 1318.020 1143.370 1321.020 1143.380 ;
RECT 1498.020 1143.370 1501.020 1143.380 ;
RECT 1678.020 1143.370 1681.020 1143.380 ;
RECT 1858.020 1143.370 1861.020 1143.380 ;
RECT 2038.020 1143.370 2041.020 1143.380 ;
RECT 2218.020 1143.370 2221.020 1143.380 ;
RECT 2398.020 1143.370 2401.020 1143.380 ;
RECT 2578.020 1143.370 2581.020 1143.380 ;
RECT 2758.020 1143.370 2761.020 1143.380 ;
RECT 2954.200 1143.370 2957.200 1143.380 ;
RECT -37.580 966.380 -34.580 966.390 ;
RECT 58.020 966.380 61.020 966.390 ;
RECT 238.020 966.380 241.020 966.390 ;
RECT 418.020 966.380 421.020 966.390 ;
RECT 598.020 966.380 601.020 966.390 ;
RECT 778.020 966.380 781.020 966.390 ;
RECT 958.020 966.380 961.020 966.390 ;
RECT 1138.020 966.380 1141.020 966.390 ;
RECT 1318.020 966.380 1321.020 966.390 ;
RECT 1498.020 966.380 1501.020 966.390 ;
RECT 1678.020 966.380 1681.020 966.390 ;
RECT 1858.020 966.380 1861.020 966.390 ;
RECT 2038.020 966.380 2041.020 966.390 ;
RECT 2218.020 966.380 2221.020 966.390 ;
RECT 2398.020 966.380 2401.020 966.390 ;
RECT 2578.020 966.380 2581.020 966.390 ;
RECT 2758.020 966.380 2761.020 966.390 ;
RECT 2954.200 966.380 2957.200 966.390 ;
RECT -42.180 963.380 2961.800 966.380 ;
RECT -37.580 963.370 -34.580 963.380 ;
RECT 58.020 963.370 61.020 963.380 ;
RECT 238.020 963.370 241.020 963.380 ;
RECT 418.020 963.370 421.020 963.380 ;
RECT 598.020 963.370 601.020 963.380 ;
RECT 778.020 963.370 781.020 963.380 ;
RECT 958.020 963.370 961.020 963.380 ;
RECT 1138.020 963.370 1141.020 963.380 ;
RECT 1318.020 963.370 1321.020 963.380 ;
RECT 1498.020 963.370 1501.020 963.380 ;
RECT 1678.020 963.370 1681.020 963.380 ;
RECT 1858.020 963.370 1861.020 963.380 ;
RECT 2038.020 963.370 2041.020 963.380 ;
RECT 2218.020 963.370 2221.020 963.380 ;
RECT 2398.020 963.370 2401.020 963.380 ;
RECT 2578.020 963.370 2581.020 963.380 ;
RECT 2758.020 963.370 2761.020 963.380 ;
RECT 2954.200 963.370 2957.200 963.380 ;
RECT -37.580 786.380 -34.580 786.390 ;
RECT 58.020 786.380 61.020 786.390 ;
RECT 238.020 786.380 241.020 786.390 ;
RECT 418.020 786.380 421.020 786.390 ;
RECT 598.020 786.380 601.020 786.390 ;
RECT 778.020 786.380 781.020 786.390 ;
RECT 958.020 786.380 961.020 786.390 ;
RECT 1138.020 786.380 1141.020 786.390 ;
RECT 1318.020 786.380 1321.020 786.390 ;
RECT 1498.020 786.380 1501.020 786.390 ;
RECT 1678.020 786.380 1681.020 786.390 ;
RECT 1858.020 786.380 1861.020 786.390 ;
RECT 2038.020 786.380 2041.020 786.390 ;
RECT 2218.020 786.380 2221.020 786.390 ;
RECT 2398.020 786.380 2401.020 786.390 ;
RECT 2578.020 786.380 2581.020 786.390 ;
RECT 2758.020 786.380 2761.020 786.390 ;
RECT 2954.200 786.380 2957.200 786.390 ;
RECT -42.180 783.380 2961.800 786.380 ;
RECT -37.580 783.370 -34.580 783.380 ;
RECT 58.020 783.370 61.020 783.380 ;
RECT 238.020 783.370 241.020 783.380 ;
RECT 418.020 783.370 421.020 783.380 ;
RECT 598.020 783.370 601.020 783.380 ;
RECT 778.020 783.370 781.020 783.380 ;
RECT 958.020 783.370 961.020 783.380 ;
RECT 1138.020 783.370 1141.020 783.380 ;
RECT 1318.020 783.370 1321.020 783.380 ;
RECT 1498.020 783.370 1501.020 783.380 ;
RECT 1678.020 783.370 1681.020 783.380 ;
RECT 1858.020 783.370 1861.020 783.380 ;
RECT 2038.020 783.370 2041.020 783.380 ;
RECT 2218.020 783.370 2221.020 783.380 ;
RECT 2398.020 783.370 2401.020 783.380 ;
RECT 2578.020 783.370 2581.020 783.380 ;
RECT 2758.020 783.370 2761.020 783.380 ;
RECT 2954.200 783.370 2957.200 783.380 ;
RECT -37.580 606.380 -34.580 606.390 ;
RECT 58.020 606.380 61.020 606.390 ;
RECT 238.020 606.380 241.020 606.390 ;
RECT 418.020 606.380 421.020 606.390 ;
RECT 598.020 606.380 601.020 606.390 ;
RECT 778.020 606.380 781.020 606.390 ;
RECT 958.020 606.380 961.020 606.390 ;
RECT 1138.020 606.380 1141.020 606.390 ;
RECT 1318.020 606.380 1321.020 606.390 ;
RECT 1498.020 606.380 1501.020 606.390 ;
RECT 1678.020 606.380 1681.020 606.390 ;
RECT 1858.020 606.380 1861.020 606.390 ;
RECT 2038.020 606.380 2041.020 606.390 ;
RECT 2218.020 606.380 2221.020 606.390 ;
RECT 2398.020 606.380 2401.020 606.390 ;
RECT 2578.020 606.380 2581.020 606.390 ;
RECT 2758.020 606.380 2761.020 606.390 ;
RECT 2954.200 606.380 2957.200 606.390 ;
RECT -42.180 603.380 2961.800 606.380 ;
RECT -37.580 603.370 -34.580 603.380 ;
RECT 58.020 603.370 61.020 603.380 ;
RECT 238.020 603.370 241.020 603.380 ;
RECT 418.020 603.370 421.020 603.380 ;
RECT 598.020 603.370 601.020 603.380 ;
RECT 778.020 603.370 781.020 603.380 ;
RECT 958.020 603.370 961.020 603.380 ;
RECT 1138.020 603.370 1141.020 603.380 ;
RECT 1318.020 603.370 1321.020 603.380 ;
RECT 1498.020 603.370 1501.020 603.380 ;
RECT 1678.020 603.370 1681.020 603.380 ;
RECT 1858.020 603.370 1861.020 603.380 ;
RECT 2038.020 603.370 2041.020 603.380 ;
RECT 2218.020 603.370 2221.020 603.380 ;
RECT 2398.020 603.370 2401.020 603.380 ;
RECT 2578.020 603.370 2581.020 603.380 ;
RECT 2758.020 603.370 2761.020 603.380 ;
RECT 2954.200 603.370 2957.200 603.380 ;
RECT -37.580 426.380 -34.580 426.390 ;
RECT 58.020 426.380 61.020 426.390 ;
RECT 238.020 426.380 241.020 426.390 ;
RECT 418.020 426.380 421.020 426.390 ;
RECT 598.020 426.380 601.020 426.390 ;
RECT 778.020 426.380 781.020 426.390 ;
RECT 958.020 426.380 961.020 426.390 ;
RECT 1138.020 426.380 1141.020 426.390 ;
RECT 1318.020 426.380 1321.020 426.390 ;
RECT 1498.020 426.380 1501.020 426.390 ;
RECT 1678.020 426.380 1681.020 426.390 ;
RECT 1858.020 426.380 1861.020 426.390 ;
RECT 2038.020 426.380 2041.020 426.390 ;
RECT 2218.020 426.380 2221.020 426.390 ;
RECT 2398.020 426.380 2401.020 426.390 ;
RECT 2578.020 426.380 2581.020 426.390 ;
RECT 2758.020 426.380 2761.020 426.390 ;
RECT 2954.200 426.380 2957.200 426.390 ;
RECT -42.180 423.380 2961.800 426.380 ;
RECT -37.580 423.370 -34.580 423.380 ;
RECT 58.020 423.370 61.020 423.380 ;
RECT 238.020 423.370 241.020 423.380 ;
RECT 418.020 423.370 421.020 423.380 ;
RECT 598.020 423.370 601.020 423.380 ;
RECT 778.020 423.370 781.020 423.380 ;
RECT 958.020 423.370 961.020 423.380 ;
RECT 1138.020 423.370 1141.020 423.380 ;
RECT 1318.020 423.370 1321.020 423.380 ;
RECT 1498.020 423.370 1501.020 423.380 ;
RECT 1678.020 423.370 1681.020 423.380 ;
RECT 1858.020 423.370 1861.020 423.380 ;
RECT 2038.020 423.370 2041.020 423.380 ;
RECT 2218.020 423.370 2221.020 423.380 ;
RECT 2398.020 423.370 2401.020 423.380 ;
RECT 2578.020 423.370 2581.020 423.380 ;
RECT 2758.020 423.370 2761.020 423.380 ;
RECT 2954.200 423.370 2957.200 423.380 ;
RECT -37.580 246.380 -34.580 246.390 ;
RECT 58.020 246.380 61.020 246.390 ;
RECT 238.020 246.380 241.020 246.390 ;
RECT 418.020 246.380 421.020 246.390 ;
RECT 598.020 246.380 601.020 246.390 ;
RECT 778.020 246.380 781.020 246.390 ;
RECT 958.020 246.380 961.020 246.390 ;
RECT 1138.020 246.380 1141.020 246.390 ;
RECT 1318.020 246.380 1321.020 246.390 ;
RECT 1498.020 246.380 1501.020 246.390 ;
RECT 1678.020 246.380 1681.020 246.390 ;
RECT 1858.020 246.380 1861.020 246.390 ;
RECT 2038.020 246.380 2041.020 246.390 ;
RECT 2218.020 246.380 2221.020 246.390 ;
RECT 2398.020 246.380 2401.020 246.390 ;
RECT 2578.020 246.380 2581.020 246.390 ;
RECT 2758.020 246.380 2761.020 246.390 ;
RECT 2954.200 246.380 2957.200 246.390 ;
RECT -42.180 243.380 2961.800 246.380 ;
RECT -37.580 243.370 -34.580 243.380 ;
RECT 58.020 243.370 61.020 243.380 ;
RECT 238.020 243.370 241.020 243.380 ;
RECT 418.020 243.370 421.020 243.380 ;
RECT 598.020 243.370 601.020 243.380 ;
RECT 778.020 243.370 781.020 243.380 ;
RECT 958.020 243.370 961.020 243.380 ;
RECT 1138.020 243.370 1141.020 243.380 ;
RECT 1318.020 243.370 1321.020 243.380 ;
RECT 1498.020 243.370 1501.020 243.380 ;
RECT 1678.020 243.370 1681.020 243.380 ;
RECT 1858.020 243.370 1861.020 243.380 ;
RECT 2038.020 243.370 2041.020 243.380 ;
RECT 2218.020 243.370 2221.020 243.380 ;
RECT 2398.020 243.370 2401.020 243.380 ;
RECT 2578.020 243.370 2581.020 243.380 ;
RECT 2758.020 243.370 2761.020 243.380 ;
RECT 2954.200 243.370 2957.200 243.380 ;
RECT -37.580 66.380 -34.580 66.390 ;
RECT 58.020 66.380 61.020 66.390 ;
RECT 238.020 66.380 241.020 66.390 ;
RECT 418.020 66.380 421.020 66.390 ;
RECT 598.020 66.380 601.020 66.390 ;
RECT 778.020 66.380 781.020 66.390 ;
RECT 958.020 66.380 961.020 66.390 ;
RECT 1138.020 66.380 1141.020 66.390 ;
RECT 1318.020 66.380 1321.020 66.390 ;
RECT 1498.020 66.380 1501.020 66.390 ;
RECT 1678.020 66.380 1681.020 66.390 ;
RECT 1858.020 66.380 1861.020 66.390 ;
RECT 2038.020 66.380 2041.020 66.390 ;
RECT 2218.020 66.380 2221.020 66.390 ;
RECT 2398.020 66.380 2401.020 66.390 ;
RECT 2578.020 66.380 2581.020 66.390 ;
RECT 2758.020 66.380 2761.020 66.390 ;
RECT 2954.200 66.380 2957.200 66.390 ;
RECT -42.180 63.380 2961.800 66.380 ;
RECT -37.580 63.370 -34.580 63.380 ;
RECT 58.020 63.370 61.020 63.380 ;
RECT 238.020 63.370 241.020 63.380 ;
RECT 418.020 63.370 421.020 63.380 ;
RECT 598.020 63.370 601.020 63.380 ;
RECT 778.020 63.370 781.020 63.380 ;
RECT 958.020 63.370 961.020 63.380 ;
RECT 1138.020 63.370 1141.020 63.380 ;
RECT 1318.020 63.370 1321.020 63.380 ;
RECT 1498.020 63.370 1501.020 63.380 ;
RECT 1678.020 63.370 1681.020 63.380 ;
RECT 1858.020 63.370 1861.020 63.380 ;
RECT 2038.020 63.370 2041.020 63.380 ;
RECT 2218.020 63.370 2221.020 63.380 ;
RECT 2398.020 63.370 2401.020 63.380 ;
RECT 2578.020 63.370 2581.020 63.380 ;
RECT 2758.020 63.370 2761.020 63.380 ;
RECT 2954.200 63.370 2957.200 63.380 ;
RECT -37.580 -29.220 -34.580 -29.210 ;
RECT 58.020 -29.220 61.020 -29.210 ;
RECT 238.020 -29.220 241.020 -29.210 ;
RECT 418.020 -29.220 421.020 -29.210 ;
RECT 598.020 -29.220 601.020 -29.210 ;
RECT 778.020 -29.220 781.020 -29.210 ;
RECT 958.020 -29.220 961.020 -29.210 ;
RECT 1138.020 -29.220 1141.020 -29.210 ;
RECT 1318.020 -29.220 1321.020 -29.210 ;
RECT 1498.020 -29.220 1501.020 -29.210 ;
RECT 1678.020 -29.220 1681.020 -29.210 ;
RECT 1858.020 -29.220 1861.020 -29.210 ;
RECT 2038.020 -29.220 2041.020 -29.210 ;
RECT 2218.020 -29.220 2221.020 -29.210 ;
RECT 2398.020 -29.220 2401.020 -29.210 ;
RECT 2578.020 -29.220 2581.020 -29.210 ;
RECT 2758.020 -29.220 2761.020 -29.210 ;
RECT 2954.200 -29.220 2957.200 -29.210 ;
RECT -37.580 -32.220 2957.200 -29.220 ;
RECT -37.580 -32.230 -34.580 -32.220 ;
RECT 58.020 -32.230 61.020 -32.220 ;
RECT 238.020 -32.230 241.020 -32.220 ;
RECT 418.020 -32.230 421.020 -32.220 ;
RECT 598.020 -32.230 601.020 -32.220 ;
RECT 778.020 -32.230 781.020 -32.220 ;
RECT 958.020 -32.230 961.020 -32.220 ;
RECT 1138.020 -32.230 1141.020 -32.220 ;
RECT 1318.020 -32.230 1321.020 -32.220 ;
RECT 1498.020 -32.230 1501.020 -32.220 ;
RECT 1678.020 -32.230 1681.020 -32.220 ;
RECT 1858.020 -32.230 1861.020 -32.220 ;
RECT 2038.020 -32.230 2041.020 -32.220 ;
RECT 2218.020 -32.230 2221.020 -32.220 ;
RECT 2398.020 -32.230 2401.020 -32.220 ;
RECT 2578.020 -32.230 2581.020 -32.220 ;
RECT 2758.020 -32.230 2761.020 -32.220 ;
RECT 2954.200 -32.230 2957.200 -32.220 ;
END
END vdda2
PIN vssa2
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT -42.180 -36.820 -39.180 3556.500 ;
RECT 148.020 -36.820 151.020 3556.500 ;
RECT 328.020 -36.820 331.020 3556.500 ;
RECT 508.020 -36.820 511.020 3556.500 ;
RECT 688.020 -36.820 691.020 3556.500 ;
RECT 868.020 -36.820 871.020 3556.500 ;
RECT 1048.020 -36.820 1051.020 3556.500 ;
RECT 1228.020 -36.820 1231.020 3556.500 ;
RECT 1408.020 -36.820 1411.020 3556.500 ;
RECT 1588.020 -36.820 1591.020 3556.500 ;
RECT 1768.020 -36.820 1771.020 3556.500 ;
RECT 1948.020 -36.820 1951.020 3556.500 ;
RECT 2128.020 -36.820 2131.020 3556.500 ;
RECT 2308.020 -36.820 2311.020 3556.500 ;
RECT 2488.020 -36.820 2491.020 3556.500 ;
RECT 2668.020 -36.820 2671.020 3556.500 ;
RECT 2848.020 -36.820 2851.020 3556.500 ;
RECT 2958.800 -36.820 2961.800 3556.500 ;
LAYER via4 ;
RECT -41.270 3555.210 -40.090 3556.390 ;
RECT -41.270 3553.610 -40.090 3554.790 ;
RECT -41.270 3395.090 -40.090 3396.270 ;
RECT -41.270 3393.490 -40.090 3394.670 ;
RECT -41.270 3215.090 -40.090 3216.270 ;
RECT -41.270 3213.490 -40.090 3214.670 ;
RECT -41.270 3035.090 -40.090 3036.270 ;
RECT -41.270 3033.490 -40.090 3034.670 ;
RECT -41.270 2855.090 -40.090 2856.270 ;
RECT -41.270 2853.490 -40.090 2854.670 ;
RECT -41.270 2675.090 -40.090 2676.270 ;
RECT -41.270 2673.490 -40.090 2674.670 ;
RECT -41.270 2495.090 -40.090 2496.270 ;
RECT -41.270 2493.490 -40.090 2494.670 ;
RECT -41.270 2315.090 -40.090 2316.270 ;
RECT -41.270 2313.490 -40.090 2314.670 ;
RECT -41.270 2135.090 -40.090 2136.270 ;
RECT -41.270 2133.490 -40.090 2134.670 ;
RECT -41.270 1955.090 -40.090 1956.270 ;
RECT -41.270 1953.490 -40.090 1954.670 ;
RECT -41.270 1775.090 -40.090 1776.270 ;
RECT -41.270 1773.490 -40.090 1774.670 ;
RECT -41.270 1595.090 -40.090 1596.270 ;
RECT -41.270 1593.490 -40.090 1594.670 ;
RECT -41.270 1415.090 -40.090 1416.270 ;
RECT -41.270 1413.490 -40.090 1414.670 ;
RECT -41.270 1235.090 -40.090 1236.270 ;
RECT -41.270 1233.490 -40.090 1234.670 ;
RECT -41.270 1055.090 -40.090 1056.270 ;
RECT -41.270 1053.490 -40.090 1054.670 ;
RECT -41.270 875.090 -40.090 876.270 ;
RECT -41.270 873.490 -40.090 874.670 ;
RECT -41.270 695.090 -40.090 696.270 ;
RECT -41.270 693.490 -40.090 694.670 ;
RECT -41.270 515.090 -40.090 516.270 ;
RECT -41.270 513.490 -40.090 514.670 ;
RECT -41.270 335.090 -40.090 336.270 ;
RECT -41.270 333.490 -40.090 334.670 ;
RECT -41.270 155.090 -40.090 156.270 ;
RECT -41.270 153.490 -40.090 154.670 ;
RECT -41.270 -35.110 -40.090 -33.930 ;
RECT -41.270 -36.710 -40.090 -35.530 ;
RECT 148.930 3555.210 150.110 3556.390 ;
RECT 148.930 3553.610 150.110 3554.790 ;
RECT 148.930 3395.090 150.110 3396.270 ;
RECT 148.930 3393.490 150.110 3394.670 ;
RECT 148.930 3215.090 150.110 3216.270 ;
RECT 148.930 3213.490 150.110 3214.670 ;
RECT 148.930 3035.090 150.110 3036.270 ;
RECT 148.930 3033.490 150.110 3034.670 ;
RECT 148.930 2855.090 150.110 2856.270 ;
RECT 148.930 2853.490 150.110 2854.670 ;
RECT 148.930 2675.090 150.110 2676.270 ;
RECT 148.930 2673.490 150.110 2674.670 ;
RECT 148.930 2495.090 150.110 2496.270 ;
RECT 148.930 2493.490 150.110 2494.670 ;
RECT 148.930 2315.090 150.110 2316.270 ;
RECT 148.930 2313.490 150.110 2314.670 ;
RECT 148.930 2135.090 150.110 2136.270 ;
RECT 148.930 2133.490 150.110 2134.670 ;
RECT 148.930 1955.090 150.110 1956.270 ;
RECT 148.930 1953.490 150.110 1954.670 ;
RECT 148.930 1775.090 150.110 1776.270 ;
RECT 148.930 1773.490 150.110 1774.670 ;
RECT 148.930 1595.090 150.110 1596.270 ;
RECT 148.930 1593.490 150.110 1594.670 ;
RECT 148.930 1415.090 150.110 1416.270 ;
RECT 148.930 1413.490 150.110 1414.670 ;
RECT 148.930 1235.090 150.110 1236.270 ;
RECT 148.930 1233.490 150.110 1234.670 ;
RECT 148.930 1055.090 150.110 1056.270 ;
RECT 148.930 1053.490 150.110 1054.670 ;
RECT 148.930 875.090 150.110 876.270 ;
RECT 148.930 873.490 150.110 874.670 ;
RECT 148.930 695.090 150.110 696.270 ;
RECT 148.930 693.490 150.110 694.670 ;
RECT 148.930 515.090 150.110 516.270 ;
RECT 148.930 513.490 150.110 514.670 ;
RECT 148.930 335.090 150.110 336.270 ;
RECT 148.930 333.490 150.110 334.670 ;
RECT 148.930 155.090 150.110 156.270 ;
RECT 148.930 153.490 150.110 154.670 ;
RECT 148.930 -35.110 150.110 -33.930 ;
RECT 148.930 -36.710 150.110 -35.530 ;
RECT 328.930 3555.210 330.110 3556.390 ;
RECT 328.930 3553.610 330.110 3554.790 ;
RECT 328.930 3395.090 330.110 3396.270 ;
RECT 328.930 3393.490 330.110 3394.670 ;
RECT 328.930 3215.090 330.110 3216.270 ;
RECT 328.930 3213.490 330.110 3214.670 ;
RECT 328.930 3035.090 330.110 3036.270 ;
RECT 328.930 3033.490 330.110 3034.670 ;
RECT 328.930 2855.090 330.110 2856.270 ;
RECT 328.930 2853.490 330.110 2854.670 ;
RECT 328.930 2675.090 330.110 2676.270 ;
RECT 328.930 2673.490 330.110 2674.670 ;
RECT 328.930 2495.090 330.110 2496.270 ;
RECT 328.930 2493.490 330.110 2494.670 ;
RECT 328.930 2315.090 330.110 2316.270 ;
RECT 328.930 2313.490 330.110 2314.670 ;
RECT 328.930 2135.090 330.110 2136.270 ;
RECT 328.930 2133.490 330.110 2134.670 ;
RECT 328.930 1955.090 330.110 1956.270 ;
RECT 328.930 1953.490 330.110 1954.670 ;
RECT 328.930 1775.090 330.110 1776.270 ;
RECT 328.930 1773.490 330.110 1774.670 ;
RECT 328.930 1595.090 330.110 1596.270 ;
RECT 328.930 1593.490 330.110 1594.670 ;
RECT 328.930 1415.090 330.110 1416.270 ;
RECT 328.930 1413.490 330.110 1414.670 ;
RECT 328.930 1235.090 330.110 1236.270 ;
RECT 328.930 1233.490 330.110 1234.670 ;
RECT 328.930 1055.090 330.110 1056.270 ;
RECT 328.930 1053.490 330.110 1054.670 ;
RECT 328.930 875.090 330.110 876.270 ;
RECT 328.930 873.490 330.110 874.670 ;
RECT 328.930 695.090 330.110 696.270 ;
RECT 328.930 693.490 330.110 694.670 ;
RECT 328.930 515.090 330.110 516.270 ;
RECT 328.930 513.490 330.110 514.670 ;
RECT 328.930 335.090 330.110 336.270 ;
RECT 328.930 333.490 330.110 334.670 ;
RECT 328.930 155.090 330.110 156.270 ;
RECT 328.930 153.490 330.110 154.670 ;
RECT 328.930 -35.110 330.110 -33.930 ;
RECT 328.930 -36.710 330.110 -35.530 ;
RECT 508.930 3555.210 510.110 3556.390 ;
RECT 508.930 3553.610 510.110 3554.790 ;
RECT 508.930 3395.090 510.110 3396.270 ;
RECT 508.930 3393.490 510.110 3394.670 ;
RECT 508.930 3215.090 510.110 3216.270 ;
RECT 508.930 3213.490 510.110 3214.670 ;
RECT 508.930 3035.090 510.110 3036.270 ;
RECT 508.930 3033.490 510.110 3034.670 ;
RECT 508.930 2855.090 510.110 2856.270 ;
RECT 508.930 2853.490 510.110 2854.670 ;
RECT 508.930 2675.090 510.110 2676.270 ;
RECT 508.930 2673.490 510.110 2674.670 ;
RECT 508.930 2495.090 510.110 2496.270 ;
RECT 508.930 2493.490 510.110 2494.670 ;
RECT 508.930 2315.090 510.110 2316.270 ;
RECT 508.930 2313.490 510.110 2314.670 ;
RECT 508.930 2135.090 510.110 2136.270 ;
RECT 508.930 2133.490 510.110 2134.670 ;
RECT 508.930 1955.090 510.110 1956.270 ;
RECT 508.930 1953.490 510.110 1954.670 ;
RECT 508.930 1775.090 510.110 1776.270 ;
RECT 508.930 1773.490 510.110 1774.670 ;
RECT 508.930 1595.090 510.110 1596.270 ;
RECT 508.930 1593.490 510.110 1594.670 ;
RECT 508.930 1415.090 510.110 1416.270 ;
RECT 508.930 1413.490 510.110 1414.670 ;
RECT 508.930 1235.090 510.110 1236.270 ;
RECT 508.930 1233.490 510.110 1234.670 ;
RECT 508.930 1055.090 510.110 1056.270 ;
RECT 508.930 1053.490 510.110 1054.670 ;
RECT 508.930 875.090 510.110 876.270 ;
RECT 508.930 873.490 510.110 874.670 ;
RECT 508.930 695.090 510.110 696.270 ;
RECT 508.930 693.490 510.110 694.670 ;
RECT 508.930 515.090 510.110 516.270 ;
RECT 508.930 513.490 510.110 514.670 ;
RECT 508.930 335.090 510.110 336.270 ;
RECT 508.930 333.490 510.110 334.670 ;
RECT 508.930 155.090 510.110 156.270 ;
RECT 508.930 153.490 510.110 154.670 ;
RECT 508.930 -35.110 510.110 -33.930 ;
RECT 508.930 -36.710 510.110 -35.530 ;
RECT 688.930 3555.210 690.110 3556.390 ;
RECT 688.930 3553.610 690.110 3554.790 ;
RECT 688.930 3395.090 690.110 3396.270 ;
RECT 688.930 3393.490 690.110 3394.670 ;
RECT 688.930 3215.090 690.110 3216.270 ;
RECT 688.930 3213.490 690.110 3214.670 ;
RECT 688.930 3035.090 690.110 3036.270 ;
RECT 688.930 3033.490 690.110 3034.670 ;
RECT 688.930 2855.090 690.110 2856.270 ;
RECT 688.930 2853.490 690.110 2854.670 ;
RECT 688.930 2675.090 690.110 2676.270 ;
RECT 688.930 2673.490 690.110 2674.670 ;
RECT 688.930 2495.090 690.110 2496.270 ;
RECT 688.930 2493.490 690.110 2494.670 ;
RECT 688.930 2315.090 690.110 2316.270 ;
RECT 688.930 2313.490 690.110 2314.670 ;
RECT 688.930 2135.090 690.110 2136.270 ;
RECT 688.930 2133.490 690.110 2134.670 ;
RECT 688.930 1955.090 690.110 1956.270 ;
RECT 688.930 1953.490 690.110 1954.670 ;
RECT 688.930 1775.090 690.110 1776.270 ;
RECT 688.930 1773.490 690.110 1774.670 ;
RECT 688.930 1595.090 690.110 1596.270 ;
RECT 688.930 1593.490 690.110 1594.670 ;
RECT 688.930 1415.090 690.110 1416.270 ;
RECT 688.930 1413.490 690.110 1414.670 ;
RECT 688.930 1235.090 690.110 1236.270 ;
RECT 688.930 1233.490 690.110 1234.670 ;
RECT 688.930 1055.090 690.110 1056.270 ;
RECT 688.930 1053.490 690.110 1054.670 ;
RECT 688.930 875.090 690.110 876.270 ;
RECT 688.930 873.490 690.110 874.670 ;
RECT 688.930 695.090 690.110 696.270 ;
RECT 688.930 693.490 690.110 694.670 ;
RECT 688.930 515.090 690.110 516.270 ;
RECT 688.930 513.490 690.110 514.670 ;
RECT 688.930 335.090 690.110 336.270 ;
RECT 688.930 333.490 690.110 334.670 ;
RECT 688.930 155.090 690.110 156.270 ;
RECT 688.930 153.490 690.110 154.670 ;
RECT 688.930 -35.110 690.110 -33.930 ;
RECT 688.930 -36.710 690.110 -35.530 ;
RECT 868.930 3555.210 870.110 3556.390 ;
RECT 868.930 3553.610 870.110 3554.790 ;
RECT 868.930 3395.090 870.110 3396.270 ;
RECT 868.930 3393.490 870.110 3394.670 ;
RECT 868.930 3215.090 870.110 3216.270 ;
RECT 868.930 3213.490 870.110 3214.670 ;
RECT 868.930 3035.090 870.110 3036.270 ;
RECT 868.930 3033.490 870.110 3034.670 ;
RECT 868.930 2855.090 870.110 2856.270 ;
RECT 868.930 2853.490 870.110 2854.670 ;
RECT 868.930 2675.090 870.110 2676.270 ;
RECT 868.930 2673.490 870.110 2674.670 ;
RECT 868.930 2495.090 870.110 2496.270 ;
RECT 868.930 2493.490 870.110 2494.670 ;
RECT 868.930 2315.090 870.110 2316.270 ;
RECT 868.930 2313.490 870.110 2314.670 ;
RECT 868.930 2135.090 870.110 2136.270 ;
RECT 868.930 2133.490 870.110 2134.670 ;
RECT 868.930 1955.090 870.110 1956.270 ;
RECT 868.930 1953.490 870.110 1954.670 ;
RECT 868.930 1775.090 870.110 1776.270 ;
RECT 868.930 1773.490 870.110 1774.670 ;
RECT 868.930 1595.090 870.110 1596.270 ;
RECT 868.930 1593.490 870.110 1594.670 ;
RECT 868.930 1415.090 870.110 1416.270 ;
RECT 868.930 1413.490 870.110 1414.670 ;
RECT 868.930 1235.090 870.110 1236.270 ;
RECT 868.930 1233.490 870.110 1234.670 ;
RECT 868.930 1055.090 870.110 1056.270 ;
RECT 868.930 1053.490 870.110 1054.670 ;
RECT 868.930 875.090 870.110 876.270 ;
RECT 868.930 873.490 870.110 874.670 ;
RECT 868.930 695.090 870.110 696.270 ;
RECT 868.930 693.490 870.110 694.670 ;
RECT 868.930 515.090 870.110 516.270 ;
RECT 868.930 513.490 870.110 514.670 ;
RECT 868.930 335.090 870.110 336.270 ;
RECT 868.930 333.490 870.110 334.670 ;
RECT 868.930 155.090 870.110 156.270 ;
RECT 868.930 153.490 870.110 154.670 ;
RECT 868.930 -35.110 870.110 -33.930 ;
RECT 868.930 -36.710 870.110 -35.530 ;
RECT 1048.930 3555.210 1050.110 3556.390 ;
RECT 1048.930 3553.610 1050.110 3554.790 ;
RECT 1048.930 3395.090 1050.110 3396.270 ;
RECT 1048.930 3393.490 1050.110 3394.670 ;
RECT 1048.930 3215.090 1050.110 3216.270 ;
RECT 1048.930 3213.490 1050.110 3214.670 ;
RECT 1048.930 3035.090 1050.110 3036.270 ;
RECT 1048.930 3033.490 1050.110 3034.670 ;
RECT 1048.930 2855.090 1050.110 2856.270 ;
RECT 1048.930 2853.490 1050.110 2854.670 ;
RECT 1048.930 2675.090 1050.110 2676.270 ;
RECT 1048.930 2673.490 1050.110 2674.670 ;
RECT 1048.930 2495.090 1050.110 2496.270 ;
RECT 1048.930 2493.490 1050.110 2494.670 ;
RECT 1048.930 2315.090 1050.110 2316.270 ;
RECT 1048.930 2313.490 1050.110 2314.670 ;
RECT 1048.930 2135.090 1050.110 2136.270 ;
RECT 1048.930 2133.490 1050.110 2134.670 ;
RECT 1048.930 1955.090 1050.110 1956.270 ;
RECT 1048.930 1953.490 1050.110 1954.670 ;
RECT 1048.930 1775.090 1050.110 1776.270 ;
RECT 1048.930 1773.490 1050.110 1774.670 ;
RECT 1048.930 1595.090 1050.110 1596.270 ;
RECT 1048.930 1593.490 1050.110 1594.670 ;
RECT 1048.930 1415.090 1050.110 1416.270 ;
RECT 1048.930 1413.490 1050.110 1414.670 ;
RECT 1048.930 1235.090 1050.110 1236.270 ;
RECT 1048.930 1233.490 1050.110 1234.670 ;
RECT 1048.930 1055.090 1050.110 1056.270 ;
RECT 1048.930 1053.490 1050.110 1054.670 ;
RECT 1048.930 875.090 1050.110 876.270 ;
RECT 1048.930 873.490 1050.110 874.670 ;
RECT 1048.930 695.090 1050.110 696.270 ;
RECT 1048.930 693.490 1050.110 694.670 ;
RECT 1048.930 515.090 1050.110 516.270 ;
RECT 1048.930 513.490 1050.110 514.670 ;
RECT 1048.930 335.090 1050.110 336.270 ;
RECT 1048.930 333.490 1050.110 334.670 ;
RECT 1048.930 155.090 1050.110 156.270 ;
RECT 1048.930 153.490 1050.110 154.670 ;
RECT 1048.930 -35.110 1050.110 -33.930 ;
RECT 1048.930 -36.710 1050.110 -35.530 ;
RECT 1228.930 3555.210 1230.110 3556.390 ;
RECT 1228.930 3553.610 1230.110 3554.790 ;
RECT 1228.930 3395.090 1230.110 3396.270 ;
RECT 1228.930 3393.490 1230.110 3394.670 ;
RECT 1228.930 3215.090 1230.110 3216.270 ;
RECT 1228.930 3213.490 1230.110 3214.670 ;
RECT 1228.930 3035.090 1230.110 3036.270 ;
RECT 1228.930 3033.490 1230.110 3034.670 ;
RECT 1228.930 2855.090 1230.110 2856.270 ;
RECT 1228.930 2853.490 1230.110 2854.670 ;
RECT 1228.930 2675.090 1230.110 2676.270 ;
RECT 1228.930 2673.490 1230.110 2674.670 ;
RECT 1228.930 2495.090 1230.110 2496.270 ;
RECT 1228.930 2493.490 1230.110 2494.670 ;
RECT 1228.930 2315.090 1230.110 2316.270 ;
RECT 1228.930 2313.490 1230.110 2314.670 ;
RECT 1228.930 2135.090 1230.110 2136.270 ;
RECT 1228.930 2133.490 1230.110 2134.670 ;
RECT 1228.930 1955.090 1230.110 1956.270 ;
RECT 1228.930 1953.490 1230.110 1954.670 ;
RECT 1228.930 1775.090 1230.110 1776.270 ;
RECT 1228.930 1773.490 1230.110 1774.670 ;
RECT 1228.930 1595.090 1230.110 1596.270 ;
RECT 1228.930 1593.490 1230.110 1594.670 ;
RECT 1228.930 1415.090 1230.110 1416.270 ;
RECT 1228.930 1413.490 1230.110 1414.670 ;
RECT 1228.930 1235.090 1230.110 1236.270 ;
RECT 1228.930 1233.490 1230.110 1234.670 ;
RECT 1228.930 1055.090 1230.110 1056.270 ;
RECT 1228.930 1053.490 1230.110 1054.670 ;
RECT 1228.930 875.090 1230.110 876.270 ;
RECT 1228.930 873.490 1230.110 874.670 ;
RECT 1228.930 695.090 1230.110 696.270 ;
RECT 1228.930 693.490 1230.110 694.670 ;
RECT 1228.930 515.090 1230.110 516.270 ;
RECT 1228.930 513.490 1230.110 514.670 ;
RECT 1228.930 335.090 1230.110 336.270 ;
RECT 1228.930 333.490 1230.110 334.670 ;
RECT 1228.930 155.090 1230.110 156.270 ;
RECT 1228.930 153.490 1230.110 154.670 ;
RECT 1228.930 -35.110 1230.110 -33.930 ;
RECT 1228.930 -36.710 1230.110 -35.530 ;
RECT 1408.930 3555.210 1410.110 3556.390 ;
RECT 1408.930 3553.610 1410.110 3554.790 ;
RECT 1408.930 3395.090 1410.110 3396.270 ;
RECT 1408.930 3393.490 1410.110 3394.670 ;
RECT 1408.930 3215.090 1410.110 3216.270 ;
RECT 1408.930 3213.490 1410.110 3214.670 ;
RECT 1408.930 3035.090 1410.110 3036.270 ;
RECT 1408.930 3033.490 1410.110 3034.670 ;
RECT 1408.930 2855.090 1410.110 2856.270 ;
RECT 1408.930 2853.490 1410.110 2854.670 ;
RECT 1408.930 2675.090 1410.110 2676.270 ;
RECT 1408.930 2673.490 1410.110 2674.670 ;
RECT 1408.930 2495.090 1410.110 2496.270 ;
RECT 1408.930 2493.490 1410.110 2494.670 ;
RECT 1408.930 2315.090 1410.110 2316.270 ;
RECT 1408.930 2313.490 1410.110 2314.670 ;
RECT 1408.930 2135.090 1410.110 2136.270 ;
RECT 1408.930 2133.490 1410.110 2134.670 ;
RECT 1408.930 1955.090 1410.110 1956.270 ;
RECT 1408.930 1953.490 1410.110 1954.670 ;
RECT 1408.930 1775.090 1410.110 1776.270 ;
RECT 1408.930 1773.490 1410.110 1774.670 ;
RECT 1408.930 1595.090 1410.110 1596.270 ;
RECT 1408.930 1593.490 1410.110 1594.670 ;
RECT 1408.930 1415.090 1410.110 1416.270 ;
RECT 1408.930 1413.490 1410.110 1414.670 ;
RECT 1408.930 1235.090 1410.110 1236.270 ;
RECT 1408.930 1233.490 1410.110 1234.670 ;
RECT 1408.930 1055.090 1410.110 1056.270 ;
RECT 1408.930 1053.490 1410.110 1054.670 ;
RECT 1408.930 875.090 1410.110 876.270 ;
RECT 1408.930 873.490 1410.110 874.670 ;
RECT 1408.930 695.090 1410.110 696.270 ;
RECT 1408.930 693.490 1410.110 694.670 ;
RECT 1408.930 515.090 1410.110 516.270 ;
RECT 1408.930 513.490 1410.110 514.670 ;
RECT 1408.930 335.090 1410.110 336.270 ;
RECT 1408.930 333.490 1410.110 334.670 ;
RECT 1408.930 155.090 1410.110 156.270 ;
RECT 1408.930 153.490 1410.110 154.670 ;
RECT 1408.930 -35.110 1410.110 -33.930 ;
RECT 1408.930 -36.710 1410.110 -35.530 ;
RECT 1588.930 3555.210 1590.110 3556.390 ;
RECT 1588.930 3553.610 1590.110 3554.790 ;
RECT 1588.930 3395.090 1590.110 3396.270 ;
RECT 1588.930 3393.490 1590.110 3394.670 ;
RECT 1588.930 3215.090 1590.110 3216.270 ;
RECT 1588.930 3213.490 1590.110 3214.670 ;
RECT 1588.930 3035.090 1590.110 3036.270 ;
RECT 1588.930 3033.490 1590.110 3034.670 ;
RECT 1588.930 2855.090 1590.110 2856.270 ;
RECT 1588.930 2853.490 1590.110 2854.670 ;
RECT 1588.930 2675.090 1590.110 2676.270 ;
RECT 1588.930 2673.490 1590.110 2674.670 ;
RECT 1588.930 2495.090 1590.110 2496.270 ;
RECT 1588.930 2493.490 1590.110 2494.670 ;
RECT 1588.930 2315.090 1590.110 2316.270 ;
RECT 1588.930 2313.490 1590.110 2314.670 ;
RECT 1588.930 2135.090 1590.110 2136.270 ;
RECT 1588.930 2133.490 1590.110 2134.670 ;
RECT 1588.930 1955.090 1590.110 1956.270 ;
RECT 1588.930 1953.490 1590.110 1954.670 ;
RECT 1588.930 1775.090 1590.110 1776.270 ;
RECT 1588.930 1773.490 1590.110 1774.670 ;
RECT 1588.930 1595.090 1590.110 1596.270 ;
RECT 1588.930 1593.490 1590.110 1594.670 ;
RECT 1588.930 1415.090 1590.110 1416.270 ;
RECT 1588.930 1413.490 1590.110 1414.670 ;
RECT 1588.930 1235.090 1590.110 1236.270 ;
RECT 1588.930 1233.490 1590.110 1234.670 ;
RECT 1588.930 1055.090 1590.110 1056.270 ;
RECT 1588.930 1053.490 1590.110 1054.670 ;
RECT 1588.930 875.090 1590.110 876.270 ;
RECT 1588.930 873.490 1590.110 874.670 ;
RECT 1588.930 695.090 1590.110 696.270 ;
RECT 1588.930 693.490 1590.110 694.670 ;
RECT 1588.930 515.090 1590.110 516.270 ;
RECT 1588.930 513.490 1590.110 514.670 ;
RECT 1588.930 335.090 1590.110 336.270 ;
RECT 1588.930 333.490 1590.110 334.670 ;
RECT 1588.930 155.090 1590.110 156.270 ;
RECT 1588.930 153.490 1590.110 154.670 ;
RECT 1588.930 -35.110 1590.110 -33.930 ;
RECT 1588.930 -36.710 1590.110 -35.530 ;
RECT 1768.930 3555.210 1770.110 3556.390 ;
RECT 1768.930 3553.610 1770.110 3554.790 ;
RECT 1768.930 3395.090 1770.110 3396.270 ;
RECT 1768.930 3393.490 1770.110 3394.670 ;
RECT 1768.930 3215.090 1770.110 3216.270 ;
RECT 1768.930 3213.490 1770.110 3214.670 ;
RECT 1768.930 3035.090 1770.110 3036.270 ;
RECT 1768.930 3033.490 1770.110 3034.670 ;
RECT 1768.930 2855.090 1770.110 2856.270 ;
RECT 1768.930 2853.490 1770.110 2854.670 ;
RECT 1768.930 2675.090 1770.110 2676.270 ;
RECT 1768.930 2673.490 1770.110 2674.670 ;
RECT 1768.930 2495.090 1770.110 2496.270 ;
RECT 1768.930 2493.490 1770.110 2494.670 ;
RECT 1768.930 2315.090 1770.110 2316.270 ;
RECT 1768.930 2313.490 1770.110 2314.670 ;
RECT 1768.930 2135.090 1770.110 2136.270 ;
RECT 1768.930 2133.490 1770.110 2134.670 ;
RECT 1768.930 1955.090 1770.110 1956.270 ;
RECT 1768.930 1953.490 1770.110 1954.670 ;
RECT 1768.930 1775.090 1770.110 1776.270 ;
RECT 1768.930 1773.490 1770.110 1774.670 ;
RECT 1768.930 1595.090 1770.110 1596.270 ;
RECT 1768.930 1593.490 1770.110 1594.670 ;
RECT 1768.930 1415.090 1770.110 1416.270 ;
RECT 1768.930 1413.490 1770.110 1414.670 ;
RECT 1768.930 1235.090 1770.110 1236.270 ;
RECT 1768.930 1233.490 1770.110 1234.670 ;
RECT 1768.930 1055.090 1770.110 1056.270 ;
RECT 1768.930 1053.490 1770.110 1054.670 ;
RECT 1768.930 875.090 1770.110 876.270 ;
RECT 1768.930 873.490 1770.110 874.670 ;
RECT 1768.930 695.090 1770.110 696.270 ;
RECT 1768.930 693.490 1770.110 694.670 ;
RECT 1768.930 515.090 1770.110 516.270 ;
RECT 1768.930 513.490 1770.110 514.670 ;
RECT 1768.930 335.090 1770.110 336.270 ;
RECT 1768.930 333.490 1770.110 334.670 ;
RECT 1768.930 155.090 1770.110 156.270 ;
RECT 1768.930 153.490 1770.110 154.670 ;
RECT 1768.930 -35.110 1770.110 -33.930 ;
RECT 1768.930 -36.710 1770.110 -35.530 ;
RECT 1948.930 3555.210 1950.110 3556.390 ;
RECT 1948.930 3553.610 1950.110 3554.790 ;
RECT 1948.930 3395.090 1950.110 3396.270 ;
RECT 1948.930 3393.490 1950.110 3394.670 ;
RECT 1948.930 3215.090 1950.110 3216.270 ;
RECT 1948.930 3213.490 1950.110 3214.670 ;
RECT 1948.930 3035.090 1950.110 3036.270 ;
RECT 1948.930 3033.490 1950.110 3034.670 ;
RECT 1948.930 2855.090 1950.110 2856.270 ;
RECT 1948.930 2853.490 1950.110 2854.670 ;
RECT 1948.930 2675.090 1950.110 2676.270 ;
RECT 1948.930 2673.490 1950.110 2674.670 ;
RECT 1948.930 2495.090 1950.110 2496.270 ;
RECT 1948.930 2493.490 1950.110 2494.670 ;
RECT 1948.930 2315.090 1950.110 2316.270 ;
RECT 1948.930 2313.490 1950.110 2314.670 ;
RECT 1948.930 2135.090 1950.110 2136.270 ;
RECT 1948.930 2133.490 1950.110 2134.670 ;
RECT 1948.930 1955.090 1950.110 1956.270 ;
RECT 1948.930 1953.490 1950.110 1954.670 ;
RECT 1948.930 1775.090 1950.110 1776.270 ;
RECT 1948.930 1773.490 1950.110 1774.670 ;
RECT 1948.930 1595.090 1950.110 1596.270 ;
RECT 1948.930 1593.490 1950.110 1594.670 ;
RECT 1948.930 1415.090 1950.110 1416.270 ;
RECT 1948.930 1413.490 1950.110 1414.670 ;
RECT 1948.930 1235.090 1950.110 1236.270 ;
RECT 1948.930 1233.490 1950.110 1234.670 ;
RECT 1948.930 1055.090 1950.110 1056.270 ;
RECT 1948.930 1053.490 1950.110 1054.670 ;
RECT 1948.930 875.090 1950.110 876.270 ;
RECT 1948.930 873.490 1950.110 874.670 ;
RECT 1948.930 695.090 1950.110 696.270 ;
RECT 1948.930 693.490 1950.110 694.670 ;
RECT 1948.930 515.090 1950.110 516.270 ;
RECT 1948.930 513.490 1950.110 514.670 ;
RECT 1948.930 335.090 1950.110 336.270 ;
RECT 1948.930 333.490 1950.110 334.670 ;
RECT 1948.930 155.090 1950.110 156.270 ;
RECT 1948.930 153.490 1950.110 154.670 ;
RECT 1948.930 -35.110 1950.110 -33.930 ;
RECT 1948.930 -36.710 1950.110 -35.530 ;
RECT 2128.930 3555.210 2130.110 3556.390 ;
RECT 2128.930 3553.610 2130.110 3554.790 ;
RECT 2128.930 3395.090 2130.110 3396.270 ;
RECT 2128.930 3393.490 2130.110 3394.670 ;
RECT 2128.930 3215.090 2130.110 3216.270 ;
RECT 2128.930 3213.490 2130.110 3214.670 ;
RECT 2128.930 3035.090 2130.110 3036.270 ;
RECT 2128.930 3033.490 2130.110 3034.670 ;
RECT 2128.930 2855.090 2130.110 2856.270 ;
RECT 2128.930 2853.490 2130.110 2854.670 ;
RECT 2128.930 2675.090 2130.110 2676.270 ;
RECT 2128.930 2673.490 2130.110 2674.670 ;
RECT 2128.930 2495.090 2130.110 2496.270 ;
RECT 2128.930 2493.490 2130.110 2494.670 ;
RECT 2128.930 2315.090 2130.110 2316.270 ;
RECT 2128.930 2313.490 2130.110 2314.670 ;
RECT 2128.930 2135.090 2130.110 2136.270 ;
RECT 2128.930 2133.490 2130.110 2134.670 ;
RECT 2128.930 1955.090 2130.110 1956.270 ;
RECT 2128.930 1953.490 2130.110 1954.670 ;
RECT 2128.930 1775.090 2130.110 1776.270 ;
RECT 2128.930 1773.490 2130.110 1774.670 ;
RECT 2128.930 1595.090 2130.110 1596.270 ;
RECT 2128.930 1593.490 2130.110 1594.670 ;
RECT 2128.930 1415.090 2130.110 1416.270 ;
RECT 2128.930 1413.490 2130.110 1414.670 ;
RECT 2128.930 1235.090 2130.110 1236.270 ;
RECT 2128.930 1233.490 2130.110 1234.670 ;
RECT 2128.930 1055.090 2130.110 1056.270 ;
RECT 2128.930 1053.490 2130.110 1054.670 ;
RECT 2128.930 875.090 2130.110 876.270 ;
RECT 2128.930 873.490 2130.110 874.670 ;
RECT 2128.930 695.090 2130.110 696.270 ;
RECT 2128.930 693.490 2130.110 694.670 ;
RECT 2128.930 515.090 2130.110 516.270 ;
RECT 2128.930 513.490 2130.110 514.670 ;
RECT 2128.930 335.090 2130.110 336.270 ;
RECT 2128.930 333.490 2130.110 334.670 ;
RECT 2128.930 155.090 2130.110 156.270 ;
RECT 2128.930 153.490 2130.110 154.670 ;
RECT 2128.930 -35.110 2130.110 -33.930 ;
RECT 2128.930 -36.710 2130.110 -35.530 ;
RECT 2308.930 3555.210 2310.110 3556.390 ;
RECT 2308.930 3553.610 2310.110 3554.790 ;
RECT 2308.930 3395.090 2310.110 3396.270 ;
RECT 2308.930 3393.490 2310.110 3394.670 ;
RECT 2308.930 3215.090 2310.110 3216.270 ;
RECT 2308.930 3213.490 2310.110 3214.670 ;
RECT 2308.930 3035.090 2310.110 3036.270 ;
RECT 2308.930 3033.490 2310.110 3034.670 ;
RECT 2308.930 2855.090 2310.110 2856.270 ;
RECT 2308.930 2853.490 2310.110 2854.670 ;
RECT 2308.930 2675.090 2310.110 2676.270 ;
RECT 2308.930 2673.490 2310.110 2674.670 ;
RECT 2308.930 2495.090 2310.110 2496.270 ;
RECT 2308.930 2493.490 2310.110 2494.670 ;
RECT 2308.930 2315.090 2310.110 2316.270 ;
RECT 2308.930 2313.490 2310.110 2314.670 ;
RECT 2308.930 2135.090 2310.110 2136.270 ;
RECT 2308.930 2133.490 2310.110 2134.670 ;
RECT 2308.930 1955.090 2310.110 1956.270 ;
RECT 2308.930 1953.490 2310.110 1954.670 ;
RECT 2308.930 1775.090 2310.110 1776.270 ;
RECT 2308.930 1773.490 2310.110 1774.670 ;
RECT 2308.930 1595.090 2310.110 1596.270 ;
RECT 2308.930 1593.490 2310.110 1594.670 ;
RECT 2308.930 1415.090 2310.110 1416.270 ;
RECT 2308.930 1413.490 2310.110 1414.670 ;
RECT 2308.930 1235.090 2310.110 1236.270 ;
RECT 2308.930 1233.490 2310.110 1234.670 ;
RECT 2308.930 1055.090 2310.110 1056.270 ;
RECT 2308.930 1053.490 2310.110 1054.670 ;
RECT 2308.930 875.090 2310.110 876.270 ;
RECT 2308.930 873.490 2310.110 874.670 ;
RECT 2308.930 695.090 2310.110 696.270 ;
RECT 2308.930 693.490 2310.110 694.670 ;
RECT 2308.930 515.090 2310.110 516.270 ;
RECT 2308.930 513.490 2310.110 514.670 ;
RECT 2308.930 335.090 2310.110 336.270 ;
RECT 2308.930 333.490 2310.110 334.670 ;
RECT 2308.930 155.090 2310.110 156.270 ;
RECT 2308.930 153.490 2310.110 154.670 ;
RECT 2308.930 -35.110 2310.110 -33.930 ;
RECT 2308.930 -36.710 2310.110 -35.530 ;
RECT 2488.930 3555.210 2490.110 3556.390 ;
RECT 2488.930 3553.610 2490.110 3554.790 ;
RECT 2488.930 3395.090 2490.110 3396.270 ;
RECT 2488.930 3393.490 2490.110 3394.670 ;
RECT 2488.930 3215.090 2490.110 3216.270 ;
RECT 2488.930 3213.490 2490.110 3214.670 ;
RECT 2488.930 3035.090 2490.110 3036.270 ;
RECT 2488.930 3033.490 2490.110 3034.670 ;
RECT 2488.930 2855.090 2490.110 2856.270 ;
RECT 2488.930 2853.490 2490.110 2854.670 ;
RECT 2488.930 2675.090 2490.110 2676.270 ;
RECT 2488.930 2673.490 2490.110 2674.670 ;
RECT 2488.930 2495.090 2490.110 2496.270 ;
RECT 2488.930 2493.490 2490.110 2494.670 ;
RECT 2488.930 2315.090 2490.110 2316.270 ;
RECT 2488.930 2313.490 2490.110 2314.670 ;
RECT 2488.930 2135.090 2490.110 2136.270 ;
RECT 2488.930 2133.490 2490.110 2134.670 ;
RECT 2488.930 1955.090 2490.110 1956.270 ;
RECT 2488.930 1953.490 2490.110 1954.670 ;
RECT 2488.930 1775.090 2490.110 1776.270 ;
RECT 2488.930 1773.490 2490.110 1774.670 ;
RECT 2488.930 1595.090 2490.110 1596.270 ;
RECT 2488.930 1593.490 2490.110 1594.670 ;
RECT 2488.930 1415.090 2490.110 1416.270 ;
RECT 2488.930 1413.490 2490.110 1414.670 ;
RECT 2488.930 1235.090 2490.110 1236.270 ;
RECT 2488.930 1233.490 2490.110 1234.670 ;
RECT 2488.930 1055.090 2490.110 1056.270 ;
RECT 2488.930 1053.490 2490.110 1054.670 ;
RECT 2488.930 875.090 2490.110 876.270 ;
RECT 2488.930 873.490 2490.110 874.670 ;
RECT 2488.930 695.090 2490.110 696.270 ;
RECT 2488.930 693.490 2490.110 694.670 ;
RECT 2488.930 515.090 2490.110 516.270 ;
RECT 2488.930 513.490 2490.110 514.670 ;
RECT 2488.930 335.090 2490.110 336.270 ;
RECT 2488.930 333.490 2490.110 334.670 ;
RECT 2488.930 155.090 2490.110 156.270 ;
RECT 2488.930 153.490 2490.110 154.670 ;
RECT 2488.930 -35.110 2490.110 -33.930 ;
RECT 2488.930 -36.710 2490.110 -35.530 ;
RECT 2668.930 3555.210 2670.110 3556.390 ;
RECT 2668.930 3553.610 2670.110 3554.790 ;
RECT 2668.930 3395.090 2670.110 3396.270 ;
RECT 2668.930 3393.490 2670.110 3394.670 ;
RECT 2668.930 3215.090 2670.110 3216.270 ;
RECT 2668.930 3213.490 2670.110 3214.670 ;
RECT 2668.930 3035.090 2670.110 3036.270 ;
RECT 2668.930 3033.490 2670.110 3034.670 ;
RECT 2668.930 2855.090 2670.110 2856.270 ;
RECT 2668.930 2853.490 2670.110 2854.670 ;
RECT 2668.930 2675.090 2670.110 2676.270 ;
RECT 2668.930 2673.490 2670.110 2674.670 ;
RECT 2668.930 2495.090 2670.110 2496.270 ;
RECT 2668.930 2493.490 2670.110 2494.670 ;
RECT 2668.930 2315.090 2670.110 2316.270 ;
RECT 2668.930 2313.490 2670.110 2314.670 ;
RECT 2668.930 2135.090 2670.110 2136.270 ;
RECT 2668.930 2133.490 2670.110 2134.670 ;
RECT 2668.930 1955.090 2670.110 1956.270 ;
RECT 2668.930 1953.490 2670.110 1954.670 ;
RECT 2668.930 1775.090 2670.110 1776.270 ;
RECT 2668.930 1773.490 2670.110 1774.670 ;
RECT 2668.930 1595.090 2670.110 1596.270 ;
RECT 2668.930 1593.490 2670.110 1594.670 ;
RECT 2668.930 1415.090 2670.110 1416.270 ;
RECT 2668.930 1413.490 2670.110 1414.670 ;
RECT 2668.930 1235.090 2670.110 1236.270 ;
RECT 2668.930 1233.490 2670.110 1234.670 ;
RECT 2668.930 1055.090 2670.110 1056.270 ;
RECT 2668.930 1053.490 2670.110 1054.670 ;
RECT 2668.930 875.090 2670.110 876.270 ;
RECT 2668.930 873.490 2670.110 874.670 ;
RECT 2668.930 695.090 2670.110 696.270 ;
RECT 2668.930 693.490 2670.110 694.670 ;
RECT 2668.930 515.090 2670.110 516.270 ;
RECT 2668.930 513.490 2670.110 514.670 ;
RECT 2668.930 335.090 2670.110 336.270 ;
RECT 2668.930 333.490 2670.110 334.670 ;
RECT 2668.930 155.090 2670.110 156.270 ;
RECT 2668.930 153.490 2670.110 154.670 ;
RECT 2668.930 -35.110 2670.110 -33.930 ;
RECT 2668.930 -36.710 2670.110 -35.530 ;
RECT 2848.930 3555.210 2850.110 3556.390 ;
RECT 2848.930 3553.610 2850.110 3554.790 ;
RECT 2848.930 3395.090 2850.110 3396.270 ;
RECT 2848.930 3393.490 2850.110 3394.670 ;
RECT 2848.930 3215.090 2850.110 3216.270 ;
RECT 2848.930 3213.490 2850.110 3214.670 ;
RECT 2848.930 3035.090 2850.110 3036.270 ;
RECT 2848.930 3033.490 2850.110 3034.670 ;
RECT 2848.930 2855.090 2850.110 2856.270 ;
RECT 2848.930 2853.490 2850.110 2854.670 ;
RECT 2848.930 2675.090 2850.110 2676.270 ;
RECT 2848.930 2673.490 2850.110 2674.670 ;
RECT 2848.930 2495.090 2850.110 2496.270 ;
RECT 2848.930 2493.490 2850.110 2494.670 ;
RECT 2848.930 2315.090 2850.110 2316.270 ;
RECT 2848.930 2313.490 2850.110 2314.670 ;
RECT 2848.930 2135.090 2850.110 2136.270 ;
RECT 2848.930 2133.490 2850.110 2134.670 ;
RECT 2848.930 1955.090 2850.110 1956.270 ;
RECT 2848.930 1953.490 2850.110 1954.670 ;
RECT 2848.930 1775.090 2850.110 1776.270 ;
RECT 2848.930 1773.490 2850.110 1774.670 ;
RECT 2848.930 1595.090 2850.110 1596.270 ;
RECT 2848.930 1593.490 2850.110 1594.670 ;
RECT 2848.930 1415.090 2850.110 1416.270 ;
RECT 2848.930 1413.490 2850.110 1414.670 ;
RECT 2848.930 1235.090 2850.110 1236.270 ;
RECT 2848.930 1233.490 2850.110 1234.670 ;
RECT 2848.930 1055.090 2850.110 1056.270 ;
RECT 2848.930 1053.490 2850.110 1054.670 ;
RECT 2848.930 875.090 2850.110 876.270 ;
RECT 2848.930 873.490 2850.110 874.670 ;
RECT 2848.930 695.090 2850.110 696.270 ;
RECT 2848.930 693.490 2850.110 694.670 ;
RECT 2848.930 515.090 2850.110 516.270 ;
RECT 2848.930 513.490 2850.110 514.670 ;
RECT 2848.930 335.090 2850.110 336.270 ;
RECT 2848.930 333.490 2850.110 334.670 ;
RECT 2848.930 155.090 2850.110 156.270 ;
RECT 2848.930 153.490 2850.110 154.670 ;
RECT 2848.930 -35.110 2850.110 -33.930 ;
RECT 2848.930 -36.710 2850.110 -35.530 ;
RECT 2959.710 3555.210 2960.890 3556.390 ;
RECT 2959.710 3553.610 2960.890 3554.790 ;
RECT 2959.710 3395.090 2960.890 3396.270 ;
RECT 2959.710 3393.490 2960.890 3394.670 ;
RECT 2959.710 3215.090 2960.890 3216.270 ;
RECT 2959.710 3213.490 2960.890 3214.670 ;
RECT 2959.710 3035.090 2960.890 3036.270 ;
RECT 2959.710 3033.490 2960.890 3034.670 ;
RECT 2959.710 2855.090 2960.890 2856.270 ;
RECT 2959.710 2853.490 2960.890 2854.670 ;
RECT 2959.710 2675.090 2960.890 2676.270 ;
RECT 2959.710 2673.490 2960.890 2674.670 ;
RECT 2959.710 2495.090 2960.890 2496.270 ;
RECT 2959.710 2493.490 2960.890 2494.670 ;
RECT 2959.710 2315.090 2960.890 2316.270 ;
RECT 2959.710 2313.490 2960.890 2314.670 ;
RECT 2959.710 2135.090 2960.890 2136.270 ;
RECT 2959.710 2133.490 2960.890 2134.670 ;
RECT 2959.710 1955.090 2960.890 1956.270 ;
RECT 2959.710 1953.490 2960.890 1954.670 ;
RECT 2959.710 1775.090 2960.890 1776.270 ;
RECT 2959.710 1773.490 2960.890 1774.670 ;
RECT 2959.710 1595.090 2960.890 1596.270 ;
RECT 2959.710 1593.490 2960.890 1594.670 ;
RECT 2959.710 1415.090 2960.890 1416.270 ;
RECT 2959.710 1413.490 2960.890 1414.670 ;
RECT 2959.710 1235.090 2960.890 1236.270 ;
RECT 2959.710 1233.490 2960.890 1234.670 ;
RECT 2959.710 1055.090 2960.890 1056.270 ;
RECT 2959.710 1053.490 2960.890 1054.670 ;
RECT 2959.710 875.090 2960.890 876.270 ;
RECT 2959.710 873.490 2960.890 874.670 ;
RECT 2959.710 695.090 2960.890 696.270 ;
RECT 2959.710 693.490 2960.890 694.670 ;
RECT 2959.710 515.090 2960.890 516.270 ;
RECT 2959.710 513.490 2960.890 514.670 ;
RECT 2959.710 335.090 2960.890 336.270 ;
RECT 2959.710 333.490 2960.890 334.670 ;
RECT 2959.710 155.090 2960.890 156.270 ;
RECT 2959.710 153.490 2960.890 154.670 ;
RECT 2959.710 -35.110 2960.890 -33.930 ;
RECT 2959.710 -36.710 2960.890 -35.530 ;
LAYER met5 ;
RECT -42.180 3556.500 -39.180 3556.510 ;
RECT 148.020 3556.500 151.020 3556.510 ;
RECT 328.020 3556.500 331.020 3556.510 ;
RECT 508.020 3556.500 511.020 3556.510 ;
RECT 688.020 3556.500 691.020 3556.510 ;
RECT 868.020 3556.500 871.020 3556.510 ;
RECT 1048.020 3556.500 1051.020 3556.510 ;
RECT 1228.020 3556.500 1231.020 3556.510 ;
RECT 1408.020 3556.500 1411.020 3556.510 ;
RECT 1588.020 3556.500 1591.020 3556.510 ;
RECT 1768.020 3556.500 1771.020 3556.510 ;
RECT 1948.020 3556.500 1951.020 3556.510 ;
RECT 2128.020 3556.500 2131.020 3556.510 ;
RECT 2308.020 3556.500 2311.020 3556.510 ;
RECT 2488.020 3556.500 2491.020 3556.510 ;
RECT 2668.020 3556.500 2671.020 3556.510 ;
RECT 2848.020 3556.500 2851.020 3556.510 ;
RECT 2958.800 3556.500 2961.800 3556.510 ;
RECT -42.180 3553.500 2961.800 3556.500 ;
RECT -42.180 3553.490 -39.180 3553.500 ;
RECT 148.020 3553.490 151.020 3553.500 ;
RECT 328.020 3553.490 331.020 3553.500 ;
RECT 508.020 3553.490 511.020 3553.500 ;
RECT 688.020 3553.490 691.020 3553.500 ;
RECT 868.020 3553.490 871.020 3553.500 ;
RECT 1048.020 3553.490 1051.020 3553.500 ;
RECT 1228.020 3553.490 1231.020 3553.500 ;
RECT 1408.020 3553.490 1411.020 3553.500 ;
RECT 1588.020 3553.490 1591.020 3553.500 ;
RECT 1768.020 3553.490 1771.020 3553.500 ;
RECT 1948.020 3553.490 1951.020 3553.500 ;
RECT 2128.020 3553.490 2131.020 3553.500 ;
RECT 2308.020 3553.490 2311.020 3553.500 ;
RECT 2488.020 3553.490 2491.020 3553.500 ;
RECT 2668.020 3553.490 2671.020 3553.500 ;
RECT 2848.020 3553.490 2851.020 3553.500 ;
RECT 2958.800 3553.490 2961.800 3553.500 ;
RECT -42.180 3396.380 -39.180 3396.390 ;
RECT 148.020 3396.380 151.020 3396.390 ;
RECT 328.020 3396.380 331.020 3396.390 ;
RECT 508.020 3396.380 511.020 3396.390 ;
RECT 688.020 3396.380 691.020 3396.390 ;
RECT 868.020 3396.380 871.020 3396.390 ;
RECT 1048.020 3396.380 1051.020 3396.390 ;
RECT 1228.020 3396.380 1231.020 3396.390 ;
RECT 1408.020 3396.380 1411.020 3396.390 ;
RECT 1588.020 3396.380 1591.020 3396.390 ;
RECT 1768.020 3396.380 1771.020 3396.390 ;
RECT 1948.020 3396.380 1951.020 3396.390 ;
RECT 2128.020 3396.380 2131.020 3396.390 ;
RECT 2308.020 3396.380 2311.020 3396.390 ;
RECT 2488.020 3396.380 2491.020 3396.390 ;
RECT 2668.020 3396.380 2671.020 3396.390 ;
RECT 2848.020 3396.380 2851.020 3396.390 ;
RECT 2958.800 3396.380 2961.800 3396.390 ;
RECT -42.180 3393.380 2961.800 3396.380 ;
RECT -42.180 3393.370 -39.180 3393.380 ;
RECT 148.020 3393.370 151.020 3393.380 ;
RECT 328.020 3393.370 331.020 3393.380 ;
RECT 508.020 3393.370 511.020 3393.380 ;
RECT 688.020 3393.370 691.020 3393.380 ;
RECT 868.020 3393.370 871.020 3393.380 ;
RECT 1048.020 3393.370 1051.020 3393.380 ;
RECT 1228.020 3393.370 1231.020 3393.380 ;
RECT 1408.020 3393.370 1411.020 3393.380 ;
RECT 1588.020 3393.370 1591.020 3393.380 ;
RECT 1768.020 3393.370 1771.020 3393.380 ;
RECT 1948.020 3393.370 1951.020 3393.380 ;
RECT 2128.020 3393.370 2131.020 3393.380 ;
RECT 2308.020 3393.370 2311.020 3393.380 ;
RECT 2488.020 3393.370 2491.020 3393.380 ;
RECT 2668.020 3393.370 2671.020 3393.380 ;
RECT 2848.020 3393.370 2851.020 3393.380 ;
RECT 2958.800 3393.370 2961.800 3393.380 ;
RECT -42.180 3216.380 -39.180 3216.390 ;
RECT 148.020 3216.380 151.020 3216.390 ;
RECT 328.020 3216.380 331.020 3216.390 ;
RECT 508.020 3216.380 511.020 3216.390 ;
RECT 688.020 3216.380 691.020 3216.390 ;
RECT 868.020 3216.380 871.020 3216.390 ;
RECT 1048.020 3216.380 1051.020 3216.390 ;
RECT 1228.020 3216.380 1231.020 3216.390 ;
RECT 1408.020 3216.380 1411.020 3216.390 ;
RECT 1588.020 3216.380 1591.020 3216.390 ;
RECT 1768.020 3216.380 1771.020 3216.390 ;
RECT 1948.020 3216.380 1951.020 3216.390 ;
RECT 2128.020 3216.380 2131.020 3216.390 ;
RECT 2308.020 3216.380 2311.020 3216.390 ;
RECT 2488.020 3216.380 2491.020 3216.390 ;
RECT 2668.020 3216.380 2671.020 3216.390 ;
RECT 2848.020 3216.380 2851.020 3216.390 ;
RECT 2958.800 3216.380 2961.800 3216.390 ;
RECT -42.180 3213.380 2961.800 3216.380 ;
RECT -42.180 3213.370 -39.180 3213.380 ;
RECT 148.020 3213.370 151.020 3213.380 ;
RECT 328.020 3213.370 331.020 3213.380 ;
RECT 508.020 3213.370 511.020 3213.380 ;
RECT 688.020 3213.370 691.020 3213.380 ;
RECT 868.020 3213.370 871.020 3213.380 ;
RECT 1048.020 3213.370 1051.020 3213.380 ;
RECT 1228.020 3213.370 1231.020 3213.380 ;
RECT 1408.020 3213.370 1411.020 3213.380 ;
RECT 1588.020 3213.370 1591.020 3213.380 ;
RECT 1768.020 3213.370 1771.020 3213.380 ;
RECT 1948.020 3213.370 1951.020 3213.380 ;
RECT 2128.020 3213.370 2131.020 3213.380 ;
RECT 2308.020 3213.370 2311.020 3213.380 ;
RECT 2488.020 3213.370 2491.020 3213.380 ;
RECT 2668.020 3213.370 2671.020 3213.380 ;
RECT 2848.020 3213.370 2851.020 3213.380 ;
RECT 2958.800 3213.370 2961.800 3213.380 ;
RECT -42.180 3036.380 -39.180 3036.390 ;
RECT 148.020 3036.380 151.020 3036.390 ;
RECT 328.020 3036.380 331.020 3036.390 ;
RECT 508.020 3036.380 511.020 3036.390 ;
RECT 688.020 3036.380 691.020 3036.390 ;
RECT 868.020 3036.380 871.020 3036.390 ;
RECT 1048.020 3036.380 1051.020 3036.390 ;
RECT 1228.020 3036.380 1231.020 3036.390 ;
RECT 1408.020 3036.380 1411.020 3036.390 ;
RECT 1588.020 3036.380 1591.020 3036.390 ;
RECT 1768.020 3036.380 1771.020 3036.390 ;
RECT 1948.020 3036.380 1951.020 3036.390 ;
RECT 2128.020 3036.380 2131.020 3036.390 ;
RECT 2308.020 3036.380 2311.020 3036.390 ;
RECT 2488.020 3036.380 2491.020 3036.390 ;
RECT 2668.020 3036.380 2671.020 3036.390 ;
RECT 2848.020 3036.380 2851.020 3036.390 ;
RECT 2958.800 3036.380 2961.800 3036.390 ;
RECT -42.180 3033.380 2961.800 3036.380 ;
RECT -42.180 3033.370 -39.180 3033.380 ;
RECT 148.020 3033.370 151.020 3033.380 ;
RECT 328.020 3033.370 331.020 3033.380 ;
RECT 508.020 3033.370 511.020 3033.380 ;
RECT 688.020 3033.370 691.020 3033.380 ;
RECT 868.020 3033.370 871.020 3033.380 ;
RECT 1048.020 3033.370 1051.020 3033.380 ;
RECT 1228.020 3033.370 1231.020 3033.380 ;
RECT 1408.020 3033.370 1411.020 3033.380 ;
RECT 1588.020 3033.370 1591.020 3033.380 ;
RECT 1768.020 3033.370 1771.020 3033.380 ;
RECT 1948.020 3033.370 1951.020 3033.380 ;
RECT 2128.020 3033.370 2131.020 3033.380 ;
RECT 2308.020 3033.370 2311.020 3033.380 ;
RECT 2488.020 3033.370 2491.020 3033.380 ;
RECT 2668.020 3033.370 2671.020 3033.380 ;
RECT 2848.020 3033.370 2851.020 3033.380 ;
RECT 2958.800 3033.370 2961.800 3033.380 ;
RECT -42.180 2856.380 -39.180 2856.390 ;
RECT 148.020 2856.380 151.020 2856.390 ;
RECT 328.020 2856.380 331.020 2856.390 ;
RECT 508.020 2856.380 511.020 2856.390 ;
RECT 688.020 2856.380 691.020 2856.390 ;
RECT 868.020 2856.380 871.020 2856.390 ;
RECT 1048.020 2856.380 1051.020 2856.390 ;
RECT 1228.020 2856.380 1231.020 2856.390 ;
RECT 1408.020 2856.380 1411.020 2856.390 ;
RECT 1588.020 2856.380 1591.020 2856.390 ;
RECT 1768.020 2856.380 1771.020 2856.390 ;
RECT 1948.020 2856.380 1951.020 2856.390 ;
RECT 2128.020 2856.380 2131.020 2856.390 ;
RECT 2308.020 2856.380 2311.020 2856.390 ;
RECT 2488.020 2856.380 2491.020 2856.390 ;
RECT 2668.020 2856.380 2671.020 2856.390 ;
RECT 2848.020 2856.380 2851.020 2856.390 ;
RECT 2958.800 2856.380 2961.800 2856.390 ;
RECT -42.180 2853.380 2961.800 2856.380 ;
RECT -42.180 2853.370 -39.180 2853.380 ;
RECT 148.020 2853.370 151.020 2853.380 ;
RECT 328.020 2853.370 331.020 2853.380 ;
RECT 508.020 2853.370 511.020 2853.380 ;
RECT 688.020 2853.370 691.020 2853.380 ;
RECT 868.020 2853.370 871.020 2853.380 ;
RECT 1048.020 2853.370 1051.020 2853.380 ;
RECT 1228.020 2853.370 1231.020 2853.380 ;
RECT 1408.020 2853.370 1411.020 2853.380 ;
RECT 1588.020 2853.370 1591.020 2853.380 ;
RECT 1768.020 2853.370 1771.020 2853.380 ;
RECT 1948.020 2853.370 1951.020 2853.380 ;
RECT 2128.020 2853.370 2131.020 2853.380 ;
RECT 2308.020 2853.370 2311.020 2853.380 ;
RECT 2488.020 2853.370 2491.020 2853.380 ;
RECT 2668.020 2853.370 2671.020 2853.380 ;
RECT 2848.020 2853.370 2851.020 2853.380 ;
RECT 2958.800 2853.370 2961.800 2853.380 ;
RECT -42.180 2676.380 -39.180 2676.390 ;
RECT 148.020 2676.380 151.020 2676.390 ;
RECT 328.020 2676.380 331.020 2676.390 ;
RECT 508.020 2676.380 511.020 2676.390 ;
RECT 688.020 2676.380 691.020 2676.390 ;
RECT 868.020 2676.380 871.020 2676.390 ;
RECT 1048.020 2676.380 1051.020 2676.390 ;
RECT 1228.020 2676.380 1231.020 2676.390 ;
RECT 1408.020 2676.380 1411.020 2676.390 ;
RECT 1588.020 2676.380 1591.020 2676.390 ;
RECT 1768.020 2676.380 1771.020 2676.390 ;
RECT 1948.020 2676.380 1951.020 2676.390 ;
RECT 2128.020 2676.380 2131.020 2676.390 ;
RECT 2308.020 2676.380 2311.020 2676.390 ;
RECT 2488.020 2676.380 2491.020 2676.390 ;
RECT 2668.020 2676.380 2671.020 2676.390 ;
RECT 2848.020 2676.380 2851.020 2676.390 ;
RECT 2958.800 2676.380 2961.800 2676.390 ;
RECT -42.180 2673.380 2961.800 2676.380 ;
RECT -42.180 2673.370 -39.180 2673.380 ;
RECT 148.020 2673.370 151.020 2673.380 ;
RECT 328.020 2673.370 331.020 2673.380 ;
RECT 508.020 2673.370 511.020 2673.380 ;
RECT 688.020 2673.370 691.020 2673.380 ;
RECT 868.020 2673.370 871.020 2673.380 ;
RECT 1048.020 2673.370 1051.020 2673.380 ;
RECT 1228.020 2673.370 1231.020 2673.380 ;
RECT 1408.020 2673.370 1411.020 2673.380 ;
RECT 1588.020 2673.370 1591.020 2673.380 ;
RECT 1768.020 2673.370 1771.020 2673.380 ;
RECT 1948.020 2673.370 1951.020 2673.380 ;
RECT 2128.020 2673.370 2131.020 2673.380 ;
RECT 2308.020 2673.370 2311.020 2673.380 ;
RECT 2488.020 2673.370 2491.020 2673.380 ;
RECT 2668.020 2673.370 2671.020 2673.380 ;
RECT 2848.020 2673.370 2851.020 2673.380 ;
RECT 2958.800 2673.370 2961.800 2673.380 ;
RECT -42.180 2496.380 -39.180 2496.390 ;
RECT 148.020 2496.380 151.020 2496.390 ;
RECT 328.020 2496.380 331.020 2496.390 ;
RECT 508.020 2496.380 511.020 2496.390 ;
RECT 688.020 2496.380 691.020 2496.390 ;
RECT 868.020 2496.380 871.020 2496.390 ;
RECT 1048.020 2496.380 1051.020 2496.390 ;
RECT 1228.020 2496.380 1231.020 2496.390 ;
RECT 1408.020 2496.380 1411.020 2496.390 ;
RECT 1588.020 2496.380 1591.020 2496.390 ;
RECT 1768.020 2496.380 1771.020 2496.390 ;
RECT 1948.020 2496.380 1951.020 2496.390 ;
RECT 2128.020 2496.380 2131.020 2496.390 ;
RECT 2308.020 2496.380 2311.020 2496.390 ;
RECT 2488.020 2496.380 2491.020 2496.390 ;
RECT 2668.020 2496.380 2671.020 2496.390 ;
RECT 2848.020 2496.380 2851.020 2496.390 ;
RECT 2958.800 2496.380 2961.800 2496.390 ;
RECT -42.180 2493.380 2961.800 2496.380 ;
RECT -42.180 2493.370 -39.180 2493.380 ;
RECT 148.020 2493.370 151.020 2493.380 ;
RECT 328.020 2493.370 331.020 2493.380 ;
RECT 508.020 2493.370 511.020 2493.380 ;
RECT 688.020 2493.370 691.020 2493.380 ;
RECT 868.020 2493.370 871.020 2493.380 ;
RECT 1048.020 2493.370 1051.020 2493.380 ;
RECT 1228.020 2493.370 1231.020 2493.380 ;
RECT 1408.020 2493.370 1411.020 2493.380 ;
RECT 1588.020 2493.370 1591.020 2493.380 ;
RECT 1768.020 2493.370 1771.020 2493.380 ;
RECT 1948.020 2493.370 1951.020 2493.380 ;
RECT 2128.020 2493.370 2131.020 2493.380 ;
RECT 2308.020 2493.370 2311.020 2493.380 ;
RECT 2488.020 2493.370 2491.020 2493.380 ;
RECT 2668.020 2493.370 2671.020 2493.380 ;
RECT 2848.020 2493.370 2851.020 2493.380 ;
RECT 2958.800 2493.370 2961.800 2493.380 ;
RECT -42.180 2316.380 -39.180 2316.390 ;
RECT 148.020 2316.380 151.020 2316.390 ;
RECT 328.020 2316.380 331.020 2316.390 ;
RECT 508.020 2316.380 511.020 2316.390 ;
RECT 688.020 2316.380 691.020 2316.390 ;
RECT 868.020 2316.380 871.020 2316.390 ;
RECT 1048.020 2316.380 1051.020 2316.390 ;
RECT 1228.020 2316.380 1231.020 2316.390 ;
RECT 1408.020 2316.380 1411.020 2316.390 ;
RECT 1588.020 2316.380 1591.020 2316.390 ;
RECT 1768.020 2316.380 1771.020 2316.390 ;
RECT 1948.020 2316.380 1951.020 2316.390 ;
RECT 2128.020 2316.380 2131.020 2316.390 ;
RECT 2308.020 2316.380 2311.020 2316.390 ;
RECT 2488.020 2316.380 2491.020 2316.390 ;
RECT 2668.020 2316.380 2671.020 2316.390 ;
RECT 2848.020 2316.380 2851.020 2316.390 ;
RECT 2958.800 2316.380 2961.800 2316.390 ;
RECT -42.180 2313.380 2961.800 2316.380 ;
RECT -42.180 2313.370 -39.180 2313.380 ;
RECT 148.020 2313.370 151.020 2313.380 ;
RECT 328.020 2313.370 331.020 2313.380 ;
RECT 508.020 2313.370 511.020 2313.380 ;
RECT 688.020 2313.370 691.020 2313.380 ;
RECT 868.020 2313.370 871.020 2313.380 ;
RECT 1048.020 2313.370 1051.020 2313.380 ;
RECT 1228.020 2313.370 1231.020 2313.380 ;
RECT 1408.020 2313.370 1411.020 2313.380 ;
RECT 1588.020 2313.370 1591.020 2313.380 ;
RECT 1768.020 2313.370 1771.020 2313.380 ;
RECT 1948.020 2313.370 1951.020 2313.380 ;
RECT 2128.020 2313.370 2131.020 2313.380 ;
RECT 2308.020 2313.370 2311.020 2313.380 ;
RECT 2488.020 2313.370 2491.020 2313.380 ;
RECT 2668.020 2313.370 2671.020 2313.380 ;
RECT 2848.020 2313.370 2851.020 2313.380 ;
RECT 2958.800 2313.370 2961.800 2313.380 ;
RECT -42.180 2136.380 -39.180 2136.390 ;
RECT 148.020 2136.380 151.020 2136.390 ;
RECT 328.020 2136.380 331.020 2136.390 ;
RECT 508.020 2136.380 511.020 2136.390 ;
RECT 688.020 2136.380 691.020 2136.390 ;
RECT 868.020 2136.380 871.020 2136.390 ;
RECT 1048.020 2136.380 1051.020 2136.390 ;
RECT 1228.020 2136.380 1231.020 2136.390 ;
RECT 1408.020 2136.380 1411.020 2136.390 ;
RECT 1588.020 2136.380 1591.020 2136.390 ;
RECT 1768.020 2136.380 1771.020 2136.390 ;
RECT 1948.020 2136.380 1951.020 2136.390 ;
RECT 2128.020 2136.380 2131.020 2136.390 ;
RECT 2308.020 2136.380 2311.020 2136.390 ;
RECT 2488.020 2136.380 2491.020 2136.390 ;
RECT 2668.020 2136.380 2671.020 2136.390 ;
RECT 2848.020 2136.380 2851.020 2136.390 ;
RECT 2958.800 2136.380 2961.800 2136.390 ;
RECT -42.180 2133.380 2961.800 2136.380 ;
RECT -42.180 2133.370 -39.180 2133.380 ;
RECT 148.020 2133.370 151.020 2133.380 ;
RECT 328.020 2133.370 331.020 2133.380 ;
RECT 508.020 2133.370 511.020 2133.380 ;
RECT 688.020 2133.370 691.020 2133.380 ;
RECT 868.020 2133.370 871.020 2133.380 ;
RECT 1048.020 2133.370 1051.020 2133.380 ;
RECT 1228.020 2133.370 1231.020 2133.380 ;
RECT 1408.020 2133.370 1411.020 2133.380 ;
RECT 1588.020 2133.370 1591.020 2133.380 ;
RECT 1768.020 2133.370 1771.020 2133.380 ;
RECT 1948.020 2133.370 1951.020 2133.380 ;
RECT 2128.020 2133.370 2131.020 2133.380 ;
RECT 2308.020 2133.370 2311.020 2133.380 ;
RECT 2488.020 2133.370 2491.020 2133.380 ;
RECT 2668.020 2133.370 2671.020 2133.380 ;
RECT 2848.020 2133.370 2851.020 2133.380 ;
RECT 2958.800 2133.370 2961.800 2133.380 ;
RECT -42.180 1956.380 -39.180 1956.390 ;
RECT 148.020 1956.380 151.020 1956.390 ;
RECT 328.020 1956.380 331.020 1956.390 ;
RECT 508.020 1956.380 511.020 1956.390 ;
RECT 688.020 1956.380 691.020 1956.390 ;
RECT 868.020 1956.380 871.020 1956.390 ;
RECT 1048.020 1956.380 1051.020 1956.390 ;
RECT 1228.020 1956.380 1231.020 1956.390 ;
RECT 1408.020 1956.380 1411.020 1956.390 ;
RECT 1588.020 1956.380 1591.020 1956.390 ;
RECT 1768.020 1956.380 1771.020 1956.390 ;
RECT 1948.020 1956.380 1951.020 1956.390 ;
RECT 2128.020 1956.380 2131.020 1956.390 ;
RECT 2308.020 1956.380 2311.020 1956.390 ;
RECT 2488.020 1956.380 2491.020 1956.390 ;
RECT 2668.020 1956.380 2671.020 1956.390 ;
RECT 2848.020 1956.380 2851.020 1956.390 ;
RECT 2958.800 1956.380 2961.800 1956.390 ;
RECT -42.180 1953.380 2961.800 1956.380 ;
RECT -42.180 1953.370 -39.180 1953.380 ;
RECT 148.020 1953.370 151.020 1953.380 ;
RECT 328.020 1953.370 331.020 1953.380 ;
RECT 508.020 1953.370 511.020 1953.380 ;
RECT 688.020 1953.370 691.020 1953.380 ;
RECT 868.020 1953.370 871.020 1953.380 ;
RECT 1048.020 1953.370 1051.020 1953.380 ;
RECT 1228.020 1953.370 1231.020 1953.380 ;
RECT 1408.020 1953.370 1411.020 1953.380 ;
RECT 1588.020 1953.370 1591.020 1953.380 ;
RECT 1768.020 1953.370 1771.020 1953.380 ;
RECT 1948.020 1953.370 1951.020 1953.380 ;
RECT 2128.020 1953.370 2131.020 1953.380 ;
RECT 2308.020 1953.370 2311.020 1953.380 ;
RECT 2488.020 1953.370 2491.020 1953.380 ;
RECT 2668.020 1953.370 2671.020 1953.380 ;
RECT 2848.020 1953.370 2851.020 1953.380 ;
RECT 2958.800 1953.370 2961.800 1953.380 ;
RECT -42.180 1776.380 -39.180 1776.390 ;
RECT 148.020 1776.380 151.020 1776.390 ;
RECT 328.020 1776.380 331.020 1776.390 ;
RECT 508.020 1776.380 511.020 1776.390 ;
RECT 688.020 1776.380 691.020 1776.390 ;
RECT 868.020 1776.380 871.020 1776.390 ;
RECT 1048.020 1776.380 1051.020 1776.390 ;
RECT 1228.020 1776.380 1231.020 1776.390 ;
RECT 1408.020 1776.380 1411.020 1776.390 ;
RECT 1588.020 1776.380 1591.020 1776.390 ;
RECT 1768.020 1776.380 1771.020 1776.390 ;
RECT 1948.020 1776.380 1951.020 1776.390 ;
RECT 2128.020 1776.380 2131.020 1776.390 ;
RECT 2308.020 1776.380 2311.020 1776.390 ;
RECT 2488.020 1776.380 2491.020 1776.390 ;
RECT 2668.020 1776.380 2671.020 1776.390 ;
RECT 2848.020 1776.380 2851.020 1776.390 ;
RECT 2958.800 1776.380 2961.800 1776.390 ;
RECT -42.180 1773.380 2961.800 1776.380 ;
RECT -42.180 1773.370 -39.180 1773.380 ;
RECT 148.020 1773.370 151.020 1773.380 ;
RECT 328.020 1773.370 331.020 1773.380 ;
RECT 508.020 1773.370 511.020 1773.380 ;
RECT 688.020 1773.370 691.020 1773.380 ;
RECT 868.020 1773.370 871.020 1773.380 ;
RECT 1048.020 1773.370 1051.020 1773.380 ;
RECT 1228.020 1773.370 1231.020 1773.380 ;
RECT 1408.020 1773.370 1411.020 1773.380 ;
RECT 1588.020 1773.370 1591.020 1773.380 ;
RECT 1768.020 1773.370 1771.020 1773.380 ;
RECT 1948.020 1773.370 1951.020 1773.380 ;
RECT 2128.020 1773.370 2131.020 1773.380 ;
RECT 2308.020 1773.370 2311.020 1773.380 ;
RECT 2488.020 1773.370 2491.020 1773.380 ;
RECT 2668.020 1773.370 2671.020 1773.380 ;
RECT 2848.020 1773.370 2851.020 1773.380 ;
RECT 2958.800 1773.370 2961.800 1773.380 ;
RECT -42.180 1596.380 -39.180 1596.390 ;
RECT 148.020 1596.380 151.020 1596.390 ;
RECT 328.020 1596.380 331.020 1596.390 ;
RECT 508.020 1596.380 511.020 1596.390 ;
RECT 688.020 1596.380 691.020 1596.390 ;
RECT 868.020 1596.380 871.020 1596.390 ;
RECT 1048.020 1596.380 1051.020 1596.390 ;
RECT 1228.020 1596.380 1231.020 1596.390 ;
RECT 1408.020 1596.380 1411.020 1596.390 ;
RECT 1588.020 1596.380 1591.020 1596.390 ;
RECT 1768.020 1596.380 1771.020 1596.390 ;
RECT 1948.020 1596.380 1951.020 1596.390 ;
RECT 2128.020 1596.380 2131.020 1596.390 ;
RECT 2308.020 1596.380 2311.020 1596.390 ;
RECT 2488.020 1596.380 2491.020 1596.390 ;
RECT 2668.020 1596.380 2671.020 1596.390 ;
RECT 2848.020 1596.380 2851.020 1596.390 ;
RECT 2958.800 1596.380 2961.800 1596.390 ;
RECT -42.180 1593.380 2961.800 1596.380 ;
RECT -42.180 1593.370 -39.180 1593.380 ;
RECT 148.020 1593.370 151.020 1593.380 ;
RECT 328.020 1593.370 331.020 1593.380 ;
RECT 508.020 1593.370 511.020 1593.380 ;
RECT 688.020 1593.370 691.020 1593.380 ;
RECT 868.020 1593.370 871.020 1593.380 ;
RECT 1048.020 1593.370 1051.020 1593.380 ;
RECT 1228.020 1593.370 1231.020 1593.380 ;
RECT 1408.020 1593.370 1411.020 1593.380 ;
RECT 1588.020 1593.370 1591.020 1593.380 ;
RECT 1768.020 1593.370 1771.020 1593.380 ;
RECT 1948.020 1593.370 1951.020 1593.380 ;
RECT 2128.020 1593.370 2131.020 1593.380 ;
RECT 2308.020 1593.370 2311.020 1593.380 ;
RECT 2488.020 1593.370 2491.020 1593.380 ;
RECT 2668.020 1593.370 2671.020 1593.380 ;
RECT 2848.020 1593.370 2851.020 1593.380 ;
RECT 2958.800 1593.370 2961.800 1593.380 ;
RECT -42.180 1416.380 -39.180 1416.390 ;
RECT 148.020 1416.380 151.020 1416.390 ;
RECT 328.020 1416.380 331.020 1416.390 ;
RECT 508.020 1416.380 511.020 1416.390 ;
RECT 688.020 1416.380 691.020 1416.390 ;
RECT 868.020 1416.380 871.020 1416.390 ;
RECT 1048.020 1416.380 1051.020 1416.390 ;
RECT 1228.020 1416.380 1231.020 1416.390 ;
RECT 1408.020 1416.380 1411.020 1416.390 ;
RECT 1588.020 1416.380 1591.020 1416.390 ;
RECT 1768.020 1416.380 1771.020 1416.390 ;
RECT 1948.020 1416.380 1951.020 1416.390 ;
RECT 2128.020 1416.380 2131.020 1416.390 ;
RECT 2308.020 1416.380 2311.020 1416.390 ;
RECT 2488.020 1416.380 2491.020 1416.390 ;
RECT 2668.020 1416.380 2671.020 1416.390 ;
RECT 2848.020 1416.380 2851.020 1416.390 ;
RECT 2958.800 1416.380 2961.800 1416.390 ;
RECT -42.180 1413.380 2961.800 1416.380 ;
RECT -42.180 1413.370 -39.180 1413.380 ;
RECT 148.020 1413.370 151.020 1413.380 ;
RECT 328.020 1413.370 331.020 1413.380 ;
RECT 508.020 1413.370 511.020 1413.380 ;
RECT 688.020 1413.370 691.020 1413.380 ;
RECT 868.020 1413.370 871.020 1413.380 ;
RECT 1048.020 1413.370 1051.020 1413.380 ;
RECT 1228.020 1413.370 1231.020 1413.380 ;
RECT 1408.020 1413.370 1411.020 1413.380 ;
RECT 1588.020 1413.370 1591.020 1413.380 ;
RECT 1768.020 1413.370 1771.020 1413.380 ;
RECT 1948.020 1413.370 1951.020 1413.380 ;
RECT 2128.020 1413.370 2131.020 1413.380 ;
RECT 2308.020 1413.370 2311.020 1413.380 ;
RECT 2488.020 1413.370 2491.020 1413.380 ;
RECT 2668.020 1413.370 2671.020 1413.380 ;
RECT 2848.020 1413.370 2851.020 1413.380 ;
RECT 2958.800 1413.370 2961.800 1413.380 ;
RECT -42.180 1236.380 -39.180 1236.390 ;
RECT 148.020 1236.380 151.020 1236.390 ;
RECT 328.020 1236.380 331.020 1236.390 ;
RECT 508.020 1236.380 511.020 1236.390 ;
RECT 688.020 1236.380 691.020 1236.390 ;
RECT 868.020 1236.380 871.020 1236.390 ;
RECT 1048.020 1236.380 1051.020 1236.390 ;
RECT 1228.020 1236.380 1231.020 1236.390 ;
RECT 1408.020 1236.380 1411.020 1236.390 ;
RECT 1588.020 1236.380 1591.020 1236.390 ;
RECT 1768.020 1236.380 1771.020 1236.390 ;
RECT 1948.020 1236.380 1951.020 1236.390 ;
RECT 2128.020 1236.380 2131.020 1236.390 ;
RECT 2308.020 1236.380 2311.020 1236.390 ;
RECT 2488.020 1236.380 2491.020 1236.390 ;
RECT 2668.020 1236.380 2671.020 1236.390 ;
RECT 2848.020 1236.380 2851.020 1236.390 ;
RECT 2958.800 1236.380 2961.800 1236.390 ;
RECT -42.180 1233.380 2961.800 1236.380 ;
RECT -42.180 1233.370 -39.180 1233.380 ;
RECT 148.020 1233.370 151.020 1233.380 ;
RECT 328.020 1233.370 331.020 1233.380 ;
RECT 508.020 1233.370 511.020 1233.380 ;
RECT 688.020 1233.370 691.020 1233.380 ;
RECT 868.020 1233.370 871.020 1233.380 ;
RECT 1048.020 1233.370 1051.020 1233.380 ;
RECT 1228.020 1233.370 1231.020 1233.380 ;
RECT 1408.020 1233.370 1411.020 1233.380 ;
RECT 1588.020 1233.370 1591.020 1233.380 ;
RECT 1768.020 1233.370 1771.020 1233.380 ;
RECT 1948.020 1233.370 1951.020 1233.380 ;
RECT 2128.020 1233.370 2131.020 1233.380 ;
RECT 2308.020 1233.370 2311.020 1233.380 ;
RECT 2488.020 1233.370 2491.020 1233.380 ;
RECT 2668.020 1233.370 2671.020 1233.380 ;
RECT 2848.020 1233.370 2851.020 1233.380 ;
RECT 2958.800 1233.370 2961.800 1233.380 ;
RECT -42.180 1056.380 -39.180 1056.390 ;
RECT 148.020 1056.380 151.020 1056.390 ;
RECT 328.020 1056.380 331.020 1056.390 ;
RECT 508.020 1056.380 511.020 1056.390 ;
RECT 688.020 1056.380 691.020 1056.390 ;
RECT 868.020 1056.380 871.020 1056.390 ;
RECT 1048.020 1056.380 1051.020 1056.390 ;
RECT 1228.020 1056.380 1231.020 1056.390 ;
RECT 1408.020 1056.380 1411.020 1056.390 ;
RECT 1588.020 1056.380 1591.020 1056.390 ;
RECT 1768.020 1056.380 1771.020 1056.390 ;
RECT 1948.020 1056.380 1951.020 1056.390 ;
RECT 2128.020 1056.380 2131.020 1056.390 ;
RECT 2308.020 1056.380 2311.020 1056.390 ;
RECT 2488.020 1056.380 2491.020 1056.390 ;
RECT 2668.020 1056.380 2671.020 1056.390 ;
RECT 2848.020 1056.380 2851.020 1056.390 ;
RECT 2958.800 1056.380 2961.800 1056.390 ;
RECT -42.180 1053.380 2961.800 1056.380 ;
RECT -42.180 1053.370 -39.180 1053.380 ;
RECT 148.020 1053.370 151.020 1053.380 ;
RECT 328.020 1053.370 331.020 1053.380 ;
RECT 508.020 1053.370 511.020 1053.380 ;
RECT 688.020 1053.370 691.020 1053.380 ;
RECT 868.020 1053.370 871.020 1053.380 ;
RECT 1048.020 1053.370 1051.020 1053.380 ;
RECT 1228.020 1053.370 1231.020 1053.380 ;
RECT 1408.020 1053.370 1411.020 1053.380 ;
RECT 1588.020 1053.370 1591.020 1053.380 ;
RECT 1768.020 1053.370 1771.020 1053.380 ;
RECT 1948.020 1053.370 1951.020 1053.380 ;
RECT 2128.020 1053.370 2131.020 1053.380 ;
RECT 2308.020 1053.370 2311.020 1053.380 ;
RECT 2488.020 1053.370 2491.020 1053.380 ;
RECT 2668.020 1053.370 2671.020 1053.380 ;
RECT 2848.020 1053.370 2851.020 1053.380 ;
RECT 2958.800 1053.370 2961.800 1053.380 ;
RECT -42.180 876.380 -39.180 876.390 ;
RECT 148.020 876.380 151.020 876.390 ;
RECT 328.020 876.380 331.020 876.390 ;
RECT 508.020 876.380 511.020 876.390 ;
RECT 688.020 876.380 691.020 876.390 ;
RECT 868.020 876.380 871.020 876.390 ;
RECT 1048.020 876.380 1051.020 876.390 ;
RECT 1228.020 876.380 1231.020 876.390 ;
RECT 1408.020 876.380 1411.020 876.390 ;
RECT 1588.020 876.380 1591.020 876.390 ;
RECT 1768.020 876.380 1771.020 876.390 ;
RECT 1948.020 876.380 1951.020 876.390 ;
RECT 2128.020 876.380 2131.020 876.390 ;
RECT 2308.020 876.380 2311.020 876.390 ;
RECT 2488.020 876.380 2491.020 876.390 ;
RECT 2668.020 876.380 2671.020 876.390 ;
RECT 2848.020 876.380 2851.020 876.390 ;
RECT 2958.800 876.380 2961.800 876.390 ;
RECT -42.180 873.380 2961.800 876.380 ;
RECT -42.180 873.370 -39.180 873.380 ;
RECT 148.020 873.370 151.020 873.380 ;
RECT 328.020 873.370 331.020 873.380 ;
RECT 508.020 873.370 511.020 873.380 ;
RECT 688.020 873.370 691.020 873.380 ;
RECT 868.020 873.370 871.020 873.380 ;
RECT 1048.020 873.370 1051.020 873.380 ;
RECT 1228.020 873.370 1231.020 873.380 ;
RECT 1408.020 873.370 1411.020 873.380 ;
RECT 1588.020 873.370 1591.020 873.380 ;
RECT 1768.020 873.370 1771.020 873.380 ;
RECT 1948.020 873.370 1951.020 873.380 ;
RECT 2128.020 873.370 2131.020 873.380 ;
RECT 2308.020 873.370 2311.020 873.380 ;
RECT 2488.020 873.370 2491.020 873.380 ;
RECT 2668.020 873.370 2671.020 873.380 ;
RECT 2848.020 873.370 2851.020 873.380 ;
RECT 2958.800 873.370 2961.800 873.380 ;
RECT -42.180 696.380 -39.180 696.390 ;
RECT 148.020 696.380 151.020 696.390 ;
RECT 328.020 696.380 331.020 696.390 ;
RECT 508.020 696.380 511.020 696.390 ;
RECT 688.020 696.380 691.020 696.390 ;
RECT 868.020 696.380 871.020 696.390 ;
RECT 1048.020 696.380 1051.020 696.390 ;
RECT 1228.020 696.380 1231.020 696.390 ;
RECT 1408.020 696.380 1411.020 696.390 ;
RECT 1588.020 696.380 1591.020 696.390 ;
RECT 1768.020 696.380 1771.020 696.390 ;
RECT 1948.020 696.380 1951.020 696.390 ;
RECT 2128.020 696.380 2131.020 696.390 ;
RECT 2308.020 696.380 2311.020 696.390 ;
RECT 2488.020 696.380 2491.020 696.390 ;
RECT 2668.020 696.380 2671.020 696.390 ;
RECT 2848.020 696.380 2851.020 696.390 ;
RECT 2958.800 696.380 2961.800 696.390 ;
RECT -42.180 693.380 2961.800 696.380 ;
RECT -42.180 693.370 -39.180 693.380 ;
RECT 148.020 693.370 151.020 693.380 ;
RECT 328.020 693.370 331.020 693.380 ;
RECT 508.020 693.370 511.020 693.380 ;
RECT 688.020 693.370 691.020 693.380 ;
RECT 868.020 693.370 871.020 693.380 ;
RECT 1048.020 693.370 1051.020 693.380 ;
RECT 1228.020 693.370 1231.020 693.380 ;
RECT 1408.020 693.370 1411.020 693.380 ;
RECT 1588.020 693.370 1591.020 693.380 ;
RECT 1768.020 693.370 1771.020 693.380 ;
RECT 1948.020 693.370 1951.020 693.380 ;
RECT 2128.020 693.370 2131.020 693.380 ;
RECT 2308.020 693.370 2311.020 693.380 ;
RECT 2488.020 693.370 2491.020 693.380 ;
RECT 2668.020 693.370 2671.020 693.380 ;
RECT 2848.020 693.370 2851.020 693.380 ;
RECT 2958.800 693.370 2961.800 693.380 ;
RECT -42.180 516.380 -39.180 516.390 ;
RECT 148.020 516.380 151.020 516.390 ;
RECT 328.020 516.380 331.020 516.390 ;
RECT 508.020 516.380 511.020 516.390 ;
RECT 688.020 516.380 691.020 516.390 ;
RECT 868.020 516.380 871.020 516.390 ;
RECT 1048.020 516.380 1051.020 516.390 ;
RECT 1228.020 516.380 1231.020 516.390 ;
RECT 1408.020 516.380 1411.020 516.390 ;
RECT 1588.020 516.380 1591.020 516.390 ;
RECT 1768.020 516.380 1771.020 516.390 ;
RECT 1948.020 516.380 1951.020 516.390 ;
RECT 2128.020 516.380 2131.020 516.390 ;
RECT 2308.020 516.380 2311.020 516.390 ;
RECT 2488.020 516.380 2491.020 516.390 ;
RECT 2668.020 516.380 2671.020 516.390 ;
RECT 2848.020 516.380 2851.020 516.390 ;
RECT 2958.800 516.380 2961.800 516.390 ;
RECT -42.180 513.380 2961.800 516.380 ;
RECT -42.180 513.370 -39.180 513.380 ;
RECT 148.020 513.370 151.020 513.380 ;
RECT 328.020 513.370 331.020 513.380 ;
RECT 508.020 513.370 511.020 513.380 ;
RECT 688.020 513.370 691.020 513.380 ;
RECT 868.020 513.370 871.020 513.380 ;
RECT 1048.020 513.370 1051.020 513.380 ;
RECT 1228.020 513.370 1231.020 513.380 ;
RECT 1408.020 513.370 1411.020 513.380 ;
RECT 1588.020 513.370 1591.020 513.380 ;
RECT 1768.020 513.370 1771.020 513.380 ;
RECT 1948.020 513.370 1951.020 513.380 ;
RECT 2128.020 513.370 2131.020 513.380 ;
RECT 2308.020 513.370 2311.020 513.380 ;
RECT 2488.020 513.370 2491.020 513.380 ;
RECT 2668.020 513.370 2671.020 513.380 ;
RECT 2848.020 513.370 2851.020 513.380 ;
RECT 2958.800 513.370 2961.800 513.380 ;
RECT -42.180 336.380 -39.180 336.390 ;
RECT 148.020 336.380 151.020 336.390 ;
RECT 328.020 336.380 331.020 336.390 ;
RECT 508.020 336.380 511.020 336.390 ;
RECT 688.020 336.380 691.020 336.390 ;
RECT 868.020 336.380 871.020 336.390 ;
RECT 1048.020 336.380 1051.020 336.390 ;
RECT 1228.020 336.380 1231.020 336.390 ;
RECT 1408.020 336.380 1411.020 336.390 ;
RECT 1588.020 336.380 1591.020 336.390 ;
RECT 1768.020 336.380 1771.020 336.390 ;
RECT 1948.020 336.380 1951.020 336.390 ;
RECT 2128.020 336.380 2131.020 336.390 ;
RECT 2308.020 336.380 2311.020 336.390 ;
RECT 2488.020 336.380 2491.020 336.390 ;
RECT 2668.020 336.380 2671.020 336.390 ;
RECT 2848.020 336.380 2851.020 336.390 ;
RECT 2958.800 336.380 2961.800 336.390 ;
RECT -42.180 333.380 2961.800 336.380 ;
RECT -42.180 333.370 -39.180 333.380 ;
RECT 148.020 333.370 151.020 333.380 ;
RECT 328.020 333.370 331.020 333.380 ;
RECT 508.020 333.370 511.020 333.380 ;
RECT 688.020 333.370 691.020 333.380 ;
RECT 868.020 333.370 871.020 333.380 ;
RECT 1048.020 333.370 1051.020 333.380 ;
RECT 1228.020 333.370 1231.020 333.380 ;
RECT 1408.020 333.370 1411.020 333.380 ;
RECT 1588.020 333.370 1591.020 333.380 ;
RECT 1768.020 333.370 1771.020 333.380 ;
RECT 1948.020 333.370 1951.020 333.380 ;
RECT 2128.020 333.370 2131.020 333.380 ;
RECT 2308.020 333.370 2311.020 333.380 ;
RECT 2488.020 333.370 2491.020 333.380 ;
RECT 2668.020 333.370 2671.020 333.380 ;
RECT 2848.020 333.370 2851.020 333.380 ;
RECT 2958.800 333.370 2961.800 333.380 ;
RECT -42.180 156.380 -39.180 156.390 ;
RECT 148.020 156.380 151.020 156.390 ;
RECT 328.020 156.380 331.020 156.390 ;
RECT 508.020 156.380 511.020 156.390 ;
RECT 688.020 156.380 691.020 156.390 ;
RECT 868.020 156.380 871.020 156.390 ;
RECT 1048.020 156.380 1051.020 156.390 ;
RECT 1228.020 156.380 1231.020 156.390 ;
RECT 1408.020 156.380 1411.020 156.390 ;
RECT 1588.020 156.380 1591.020 156.390 ;
RECT 1768.020 156.380 1771.020 156.390 ;
RECT 1948.020 156.380 1951.020 156.390 ;
RECT 2128.020 156.380 2131.020 156.390 ;
RECT 2308.020 156.380 2311.020 156.390 ;
RECT 2488.020 156.380 2491.020 156.390 ;
RECT 2668.020 156.380 2671.020 156.390 ;
RECT 2848.020 156.380 2851.020 156.390 ;
RECT 2958.800 156.380 2961.800 156.390 ;
RECT -42.180 153.380 2961.800 156.380 ;
RECT -42.180 153.370 -39.180 153.380 ;
RECT 148.020 153.370 151.020 153.380 ;
RECT 328.020 153.370 331.020 153.380 ;
RECT 508.020 153.370 511.020 153.380 ;
RECT 688.020 153.370 691.020 153.380 ;
RECT 868.020 153.370 871.020 153.380 ;
RECT 1048.020 153.370 1051.020 153.380 ;
RECT 1228.020 153.370 1231.020 153.380 ;
RECT 1408.020 153.370 1411.020 153.380 ;
RECT 1588.020 153.370 1591.020 153.380 ;
RECT 1768.020 153.370 1771.020 153.380 ;
RECT 1948.020 153.370 1951.020 153.380 ;
RECT 2128.020 153.370 2131.020 153.380 ;
RECT 2308.020 153.370 2311.020 153.380 ;
RECT 2488.020 153.370 2491.020 153.380 ;
RECT 2668.020 153.370 2671.020 153.380 ;
RECT 2848.020 153.370 2851.020 153.380 ;
RECT 2958.800 153.370 2961.800 153.380 ;
RECT -42.180 -33.820 -39.180 -33.810 ;
RECT 148.020 -33.820 151.020 -33.810 ;
RECT 328.020 -33.820 331.020 -33.810 ;
RECT 508.020 -33.820 511.020 -33.810 ;
RECT 688.020 -33.820 691.020 -33.810 ;
RECT 868.020 -33.820 871.020 -33.810 ;
RECT 1048.020 -33.820 1051.020 -33.810 ;
RECT 1228.020 -33.820 1231.020 -33.810 ;
RECT 1408.020 -33.820 1411.020 -33.810 ;
RECT 1588.020 -33.820 1591.020 -33.810 ;
RECT 1768.020 -33.820 1771.020 -33.810 ;
RECT 1948.020 -33.820 1951.020 -33.810 ;
RECT 2128.020 -33.820 2131.020 -33.810 ;
RECT 2308.020 -33.820 2311.020 -33.810 ;
RECT 2488.020 -33.820 2491.020 -33.810 ;
RECT 2668.020 -33.820 2671.020 -33.810 ;
RECT 2848.020 -33.820 2851.020 -33.810 ;
RECT 2958.800 -33.820 2961.800 -33.810 ;
RECT -42.180 -36.820 2961.800 -33.820 ;
RECT -42.180 -36.830 -39.180 -36.820 ;
RECT 148.020 -36.830 151.020 -36.820 ;
RECT 328.020 -36.830 331.020 -36.820 ;
RECT 508.020 -36.830 511.020 -36.820 ;
RECT 688.020 -36.830 691.020 -36.820 ;
RECT 868.020 -36.830 871.020 -36.820 ;
RECT 1048.020 -36.830 1051.020 -36.820 ;
RECT 1228.020 -36.830 1231.020 -36.820 ;
RECT 1408.020 -36.830 1411.020 -36.820 ;
RECT 1588.020 -36.830 1591.020 -36.820 ;
RECT 1768.020 -36.830 1771.020 -36.820 ;
RECT 1948.020 -36.830 1951.020 -36.820 ;
RECT 2128.020 -36.830 2131.020 -36.820 ;
RECT 2308.020 -36.830 2311.020 -36.820 ;
RECT 2488.020 -36.830 2491.020 -36.820 ;
RECT 2668.020 -36.830 2671.020 -36.820 ;
RECT 2848.020 -36.830 2851.020 -36.820 ;
RECT 2958.800 -36.830 2961.800 -36.820 ;
END
END vssa2
OBS
LAYER li1 ;
RECT 277.840 58.555 1466.480 1035.205 ;
RECT 1776.600 472.235 1965.200 649.205 ;
LAYER met1 ;
RECT 1349.250 1059.000 1349.570 1059.060 ;
RECT 1528.190 1059.000 1528.510 1059.060 ;
RECT 1349.250 1058.860 1528.510 1059.000 ;
RECT 1349.250 1058.800 1349.570 1058.860 ;
RECT 1528.190 1058.800 1528.510 1058.860 ;
RECT 1108.210 1058.660 1108.530 1058.720 ;
RECT 1541.990 1058.660 1542.310 1058.720 ;
RECT 1108.210 1058.520 1542.310 1058.660 ;
RECT 1108.210 1058.460 1108.530 1058.520 ;
RECT 1541.990 1058.460 1542.310 1058.520 ;
RECT 1468.850 1058.320 1469.170 1058.380 ;
RECT 1968.870 1058.320 1969.190 1058.380 ;
RECT 1468.850 1058.180 1969.190 1058.320 ;
RECT 1468.850 1058.120 1469.170 1058.180 ;
RECT 1968.870 1058.120 1969.190 1058.180 ;
RECT 987.690 1057.980 988.010 1058.040 ;
RECT 1597.190 1057.980 1597.510 1058.040 ;
RECT 987.690 1057.840 1597.510 1057.980 ;
RECT 987.690 1057.780 988.010 1057.840 ;
RECT 1597.190 1057.780 1597.510 1057.840 ;
RECT 1228.730 1057.640 1229.050 1057.700 ;
RECT 1852.490 1057.640 1852.810 1057.700 ;
RECT 1228.730 1057.500 1852.810 1057.640 ;
RECT 1228.730 1057.440 1229.050 1057.500 ;
RECT 1852.490 1057.440 1852.810 1057.500 ;
RECT 868.090 1057.300 868.410 1057.360 ;
RECT 1604.090 1057.300 1604.410 1057.360 ;
RECT 868.090 1057.160 1604.410 1057.300 ;
RECT 868.090 1057.100 868.410 1057.160 ;
RECT 1604.090 1057.100 1604.410 1057.160 ;
RECT 747.570 1056.960 747.890 1057.020 ;
RECT 1521.290 1056.960 1521.610 1057.020 ;
RECT 747.570 1056.820 1521.610 1056.960 ;
RECT 747.570 1056.760 747.890 1056.820 ;
RECT 1521.290 1056.760 1521.610 1056.820 ;
RECT 627.050 1056.620 627.370 1056.680 ;
RECT 1631.690 1056.620 1632.010 1056.680 ;
RECT 627.050 1056.480 1632.010 1056.620 ;
RECT 627.050 1056.420 627.370 1056.480 ;
RECT 1631.690 1056.420 1632.010 1056.480 ;
RECT 506.530 1056.280 506.850 1056.340 ;
RECT 1562.690 1056.280 1563.010 1056.340 ;
RECT 506.530 1056.140 1563.010 1056.280 ;
RECT 506.530 1056.080 506.850 1056.140 ;
RECT 1562.690 1056.080 1563.010 1056.140 ;
RECT 386.930 1055.940 387.250 1056.000 ;
RECT 1811.090 1055.940 1811.410 1056.000 ;
RECT 386.930 1055.800 1811.410 1055.940 ;
RECT 386.930 1055.740 387.250 1055.800 ;
RECT 1811.090 1055.740 1811.410 1055.800 ;
LAYER met1 ;
RECT 277.840 58.400 1468.250 1037.460 ;
LAYER met1 ;
RECT 1489.090 683.300 1489.410 683.360 ;
RECT 1969.330 683.300 1969.650 683.360 ;
RECT 1489.090 683.160 1969.650 683.300 ;
RECT 1489.090 683.100 1489.410 683.160 ;
RECT 1969.330 683.100 1969.650 683.160 ;
RECT 1811.090 673.440 1811.410 673.500 ;
RECT 1858.010 673.440 1858.330 673.500 ;
RECT 1811.090 673.300 1858.330 673.440 ;
RECT 1811.090 673.240 1811.410 673.300 ;
RECT 1858.010 673.240 1858.330 673.300 ;
RECT 1562.690 672.760 1563.010 672.820 ;
RECT 1784.410 672.760 1784.730 672.820 ;
RECT 1562.690 672.620 1784.730 672.760 ;
RECT 1562.690 672.560 1563.010 672.620 ;
RECT 1784.410 672.560 1784.730 672.620 ;
RECT 1852.490 672.760 1852.810 672.820 ;
RECT 1943.570 672.760 1943.890 672.820 ;
RECT 1852.490 672.620 1943.890 672.760 ;
RECT 1852.490 672.560 1852.810 672.620 ;
RECT 1943.570 672.560 1943.890 672.620 ;
RECT 1617.890 669.700 1618.210 669.760 ;
RECT 1967.490 669.700 1967.810 669.760 ;
RECT 1617.890 669.560 1967.810 669.700 ;
RECT 1617.890 669.500 1618.210 669.560 ;
RECT 1967.490 669.500 1967.810 669.560 ;
RECT 1576.490 648.960 1576.810 649.020 ;
RECT 1752.670 648.960 1752.990 649.020 ;
RECT 1576.490 648.820 1752.990 648.960 ;
RECT 1576.490 648.760 1576.810 648.820 ;
RECT 1752.670 648.760 1752.990 648.820 ;
RECT 1562.690 635.020 1563.010 635.080 ;
RECT 1752.670 635.020 1752.990 635.080 ;
RECT 1562.690 634.880 1752.990 635.020 ;
RECT 1562.690 634.820 1563.010 634.880 ;
RECT 1752.670 634.820 1752.990 634.880 ;
RECT 1604.090 620.740 1604.410 620.800 ;
RECT 1752.670 620.740 1752.990 620.800 ;
RECT 1604.090 620.600 1752.990 620.740 ;
RECT 1604.090 620.540 1604.410 620.600 ;
RECT 1752.670 620.540 1752.990 620.600 ;
RECT 1487.710 579.940 1488.030 580.000 ;
RECT 1752.670 579.940 1752.990 580.000 ;
RECT 1487.710 579.800 1752.990 579.940 ;
RECT 1487.710 579.740 1488.030 579.800 ;
RECT 1752.670 579.740 1752.990 579.800 ;
RECT 1493.690 545.260 1494.010 545.320 ;
RECT 1752.670 545.260 1752.990 545.320 ;
RECT 1493.690 545.120 1752.990 545.260 ;
RECT 1493.690 545.060 1494.010 545.120 ;
RECT 1752.670 545.060 1752.990 545.120 ;
LAYER met1 ;
RECT 1776.600 472.080 1967.890 649.760 ;
LAYER met1 ;
RECT 1968.410 497.460 1968.730 497.720 ;
RECT 1968.500 495.680 1968.640 497.460 ;
RECT 1968.410 495.420 1968.730 495.680 ;
RECT 1528.190 448.020 1528.510 448.080 ;
RECT 1961.510 448.020 1961.830 448.080 ;
RECT 1528.190 447.880 1961.830 448.020 ;
RECT 1528.190 447.820 1528.510 447.880 ;
RECT 1961.510 447.820 1961.830 447.880 ;
RECT 1521.290 447.340 1521.610 447.400 ;
RECT 1939.430 447.340 1939.750 447.400 ;
RECT 1521.290 447.200 1939.750 447.340 ;
RECT 1521.290 447.140 1521.610 447.200 ;
RECT 1939.430 447.140 1939.750 447.200 ;
RECT 1541.990 447.000 1542.310 447.060 ;
RECT 1888.830 447.000 1889.150 447.060 ;
RECT 1541.990 446.860 1889.150 447.000 ;
RECT 1541.990 446.800 1542.310 446.860 ;
RECT 1888.830 446.800 1889.150 446.860 ;
RECT 1597.190 446.660 1597.510 446.720 ;
RECT 1900.790 446.660 1901.110 446.720 ;
RECT 1597.190 446.520 1901.110 446.660 ;
RECT 1597.190 446.460 1597.510 446.520 ;
RECT 1900.790 446.460 1901.110 446.520 ;
RECT 1631.690 446.320 1632.010 446.380 ;
RECT 1815.230 446.320 1815.550 446.380 ;
RECT 1631.690 446.180 1815.550 446.320 ;
RECT 1631.690 446.120 1632.010 446.180 ;
RECT 1815.230 446.120 1815.550 446.180 ;
RECT 1487.250 445.980 1487.570 446.040 ;
RECT 1876.870 445.980 1877.190 446.040 ;
RECT 1487.250 445.840 1877.190 445.980 ;
RECT 1487.250 445.780 1487.570 445.840 ;
RECT 1876.870 445.780 1877.190 445.840 ;
RECT 1790.390 445.640 1790.710 445.700 ;
RECT 1863.990 445.640 1864.310 445.700 ;
RECT 1790.390 445.500 1864.310 445.640 ;
RECT 1790.390 445.440 1790.710 445.500 ;
RECT 1863.990 445.440 1864.310 445.500 ;
RECT 1488.170 445.300 1488.490 445.360 ;
RECT 1778.430 445.300 1778.750 445.360 ;
RECT 1488.170 445.160 1778.750 445.300 ;
RECT 1488.170 445.100 1488.490 445.160 ;
RECT 1778.430 445.100 1778.750 445.160 ;
RECT 1811.090 445.300 1811.410 445.360 ;
RECT 1925.630 445.300 1925.950 445.360 ;
RECT 1811.090 445.160 1925.950 445.300 ;
RECT 1811.090 445.100 1811.410 445.160 ;
RECT 1925.630 445.100 1925.950 445.160 ;
RECT 1487.710 444.960 1488.030 445.020 ;
RECT 1852.030 444.960 1852.350 445.020 ;
RECT 1487.710 444.820 1852.350 444.960 ;
RECT 1487.710 444.760 1488.030 444.820 ;
RECT 1852.030 444.760 1852.350 444.820 ;
RECT 1797.290 441.900 1797.610 441.960 ;
RECT 1803.270 441.900 1803.590 441.960 ;
RECT 1797.290 441.760 1803.590 441.900 ;
RECT 1797.290 441.700 1797.610 441.760 ;
RECT 1803.270 441.700 1803.590 441.760 ;
RECT 335.410 34.240 335.730 34.300 ;
RECT 1968.410 34.240 1968.730 34.300 ;
RECT 335.410 34.100 1968.730 34.240 ;
RECT 335.410 34.040 335.730 34.100 ;
RECT 1968.410 34.040 1968.730 34.100 ;
RECT 575.530 33.900 575.850 33.960 ;
RECT 1790.390 33.900 1790.710 33.960 ;
RECT 575.530 33.760 1790.710 33.900 ;
RECT 575.530 33.700 575.850 33.760 ;
RECT 1790.390 33.700 1790.710 33.760 ;
RECT 455.930 33.560 456.250 33.620 ;
RECT 1562.690 33.560 1563.010 33.620 ;
RECT 455.930 33.420 1563.010 33.560 ;
RECT 455.930 33.360 456.250 33.420 ;
RECT 1562.690 33.360 1563.010 33.420 ;
RECT 816.570 33.220 816.890 33.280 ;
RECT 1797.290 33.220 1797.610 33.280 ;
RECT 816.570 33.080 1797.610 33.220 ;
RECT 816.570 33.020 816.890 33.080 ;
RECT 1797.290 33.020 1797.610 33.080 ;
RECT 696.050 32.880 696.370 32.940 ;
RECT 1576.490 32.880 1576.810 32.940 ;
RECT 696.050 32.740 1576.810 32.880 ;
RECT 696.050 32.680 696.370 32.740 ;
RECT 1576.490 32.680 1576.810 32.740 ;
RECT 1177.210 32.540 1177.530 32.600 ;
RECT 1970.250 32.540 1970.570 32.600 ;
RECT 1177.210 32.400 1970.570 32.540 ;
RECT 1177.210 32.340 1177.530 32.400 ;
RECT 1970.250 32.340 1970.570 32.400 ;
RECT 937.090 32.200 937.410 32.260 ;
RECT 1617.890 32.200 1618.210 32.260 ;
RECT 937.090 32.060 1618.210 32.200 ;
RECT 937.090 32.000 937.410 32.060 ;
RECT 1617.890 32.000 1618.210 32.060 ;
RECT 1297.730 31.860 1298.050 31.920 ;
RECT 1969.790 31.860 1970.110 31.920 ;
RECT 1297.730 31.720 1970.110 31.860 ;
RECT 1297.730 31.660 1298.050 31.720 ;
RECT 1969.790 31.660 1970.110 31.720 ;
RECT 1057.610 31.520 1057.930 31.580 ;
RECT 1493.690 31.520 1494.010 31.580 ;
RECT 1057.610 31.380 1494.010 31.520 ;
RECT 1057.610 31.320 1057.930 31.380 ;
RECT 1493.690 31.320 1494.010 31.380 ;
RECT 1418.250 31.180 1418.570 31.240 ;
RECT 1811.090 31.180 1811.410 31.240 ;
RECT 1418.250 31.040 1811.410 31.180 ;
RECT 1418.250 30.980 1418.570 31.040 ;
RECT 1811.090 30.980 1811.410 31.040 ;
LAYER via ;
RECT 1349.280 1058.800 1349.540 1059.060 ;
RECT 1528.220 1058.800 1528.480 1059.060 ;
RECT 1108.240 1058.460 1108.500 1058.720 ;
RECT 1542.020 1058.460 1542.280 1058.720 ;
RECT 1468.880 1058.120 1469.140 1058.380 ;
RECT 1968.900 1058.120 1969.160 1058.380 ;
RECT 987.720 1057.780 987.980 1058.040 ;
RECT 1597.220 1057.780 1597.480 1058.040 ;
RECT 1228.760 1057.440 1229.020 1057.700 ;
RECT 1852.520 1057.440 1852.780 1057.700 ;
RECT 868.120 1057.100 868.380 1057.360 ;
RECT 1604.120 1057.100 1604.380 1057.360 ;
RECT 747.600 1056.760 747.860 1057.020 ;
RECT 1521.320 1056.760 1521.580 1057.020 ;
RECT 627.080 1056.420 627.340 1056.680 ;
RECT 1631.720 1056.420 1631.980 1056.680 ;
RECT 506.560 1056.080 506.820 1056.340 ;
RECT 1562.720 1056.080 1562.980 1056.340 ;
RECT 386.960 1055.740 387.220 1056.000 ;
RECT 1811.120 1055.740 1811.380 1056.000 ;
RECT 1489.120 683.100 1489.380 683.360 ;
RECT 1969.360 683.100 1969.620 683.360 ;
RECT 1811.120 673.240 1811.380 673.500 ;
RECT 1858.040 673.240 1858.300 673.500 ;
RECT 1562.720 672.560 1562.980 672.820 ;
RECT 1784.440 672.560 1784.700 672.820 ;
RECT 1852.520 672.560 1852.780 672.820 ;
RECT 1943.600 672.560 1943.860 672.820 ;
RECT 1617.920 669.500 1618.180 669.760 ;
RECT 1967.520 669.500 1967.780 669.760 ;
RECT 1576.520 648.760 1576.780 649.020 ;
RECT 1752.700 648.760 1752.960 649.020 ;
RECT 1562.720 634.820 1562.980 635.080 ;
RECT 1752.700 634.820 1752.960 635.080 ;
RECT 1604.120 620.540 1604.380 620.800 ;
RECT 1752.700 620.540 1752.960 620.800 ;
RECT 1487.740 579.740 1488.000 580.000 ;
RECT 1752.700 579.740 1752.960 580.000 ;
RECT 1493.720 545.060 1493.980 545.320 ;
RECT 1752.700 545.060 1752.960 545.320 ;
RECT 1968.440 497.460 1968.700 497.720 ;
RECT 1968.440 495.420 1968.700 495.680 ;
RECT 1528.220 447.820 1528.480 448.080 ;
RECT 1961.540 447.820 1961.800 448.080 ;
RECT 1521.320 447.140 1521.580 447.400 ;
RECT 1939.460 447.140 1939.720 447.400 ;
RECT 1542.020 446.800 1542.280 447.060 ;
RECT 1888.860 446.800 1889.120 447.060 ;
RECT 1597.220 446.460 1597.480 446.720 ;
RECT 1900.820 446.460 1901.080 446.720 ;
RECT 1631.720 446.120 1631.980 446.380 ;
RECT 1815.260 446.120 1815.520 446.380 ;
RECT 1487.280 445.780 1487.540 446.040 ;
RECT 1876.900 445.780 1877.160 446.040 ;
RECT 1790.420 445.440 1790.680 445.700 ;
RECT 1864.020 445.440 1864.280 445.700 ;
RECT 1488.200 445.100 1488.460 445.360 ;
RECT 1778.460 445.100 1778.720 445.360 ;
RECT 1811.120 445.100 1811.380 445.360 ;
RECT 1925.660 445.100 1925.920 445.360 ;
RECT 1487.740 444.760 1488.000 445.020 ;
RECT 1852.060 444.760 1852.320 445.020 ;
RECT 1797.320 441.700 1797.580 441.960 ;
RECT 1803.300 441.700 1803.560 441.960 ;
RECT 335.440 34.040 335.700 34.300 ;
RECT 1968.440 34.040 1968.700 34.300 ;
RECT 575.560 33.700 575.820 33.960 ;
RECT 1790.420 33.700 1790.680 33.960 ;
RECT 455.960 33.360 456.220 33.620 ;
RECT 1562.720 33.360 1562.980 33.620 ;
RECT 816.600 33.020 816.860 33.280 ;
RECT 1797.320 33.020 1797.580 33.280 ;
RECT 696.080 32.680 696.340 32.940 ;
RECT 1576.520 32.680 1576.780 32.940 ;
RECT 1177.240 32.340 1177.500 32.600 ;
RECT 1970.280 32.340 1970.540 32.600 ;
RECT 937.120 32.000 937.380 32.260 ;
RECT 1617.920 32.000 1618.180 32.260 ;
RECT 1297.760 31.660 1298.020 31.920 ;
RECT 1969.820 31.660 1970.080 31.920 ;
RECT 1057.640 31.320 1057.900 31.580 ;
RECT 1493.720 31.320 1493.980 31.580 ;
RECT 1418.280 30.980 1418.540 31.240 ;
RECT 1811.120 30.980 1811.380 31.240 ;
LAYER met2 ;
RECT 1349.280 1058.770 1349.540 1059.090 ;
RECT 1528.220 1058.770 1528.480 1059.090 ;
RECT 1108.240 1058.430 1108.500 1058.750 ;
RECT 987.720 1057.750 987.980 1058.070 ;
RECT 868.120 1057.070 868.380 1057.390 ;
RECT 747.600 1056.730 747.860 1057.050 ;
RECT 627.080 1056.390 627.340 1056.710 ;
RECT 506.560 1056.050 506.820 1056.370 ;
RECT 386.960 1055.710 387.220 1056.030 ;
RECT 387.020 1046.000 387.160 1055.710 ;
RECT 506.620 1046.000 506.760 1056.050 ;
RECT 627.140 1046.000 627.280 1056.390 ;
RECT 747.660 1046.000 747.800 1056.730 ;
RECT 868.180 1046.000 868.320 1057.070 ;
RECT 987.780 1046.000 987.920 1057.750 ;
RECT 1108.300 1046.000 1108.440 1058.430 ;
RECT 1228.760 1057.410 1229.020 1057.730 ;
RECT 1228.820 1046.000 1228.960 1057.410 ;
RECT 1349.340 1046.000 1349.480 1058.770 ;
RECT 1468.880 1058.090 1469.140 1058.410 ;
RECT 1468.940 1046.000 1469.080 1058.090 ;
RECT 1521.320 1056.730 1521.580 1057.050 ;
RECT 386.950 1042.000 387.230 1046.000 ;
RECT 506.550 1042.000 506.830 1046.000 ;
RECT 627.070 1042.000 627.350 1046.000 ;
RECT 747.590 1042.000 747.870 1046.000 ;
RECT 868.110 1042.000 868.390 1046.000 ;
RECT 987.710 1042.000 987.990 1046.000 ;
RECT 1108.230 1042.000 1108.510 1046.000 ;
RECT 1228.750 1042.000 1229.030 1046.000 ;
RECT 1349.270 1042.000 1349.550 1046.000 ;
RECT 1468.870 1042.000 1469.150 1046.000 ;
LAYER met2 ;
RECT 280.700 1041.720 386.670 1042.000 ;
RECT 387.510 1041.720 506.270 1042.000 ;
RECT 507.110 1041.720 626.790 1042.000 ;
RECT 627.630 1041.720 747.310 1042.000 ;
RECT 748.150 1041.720 867.830 1042.000 ;
RECT 868.670 1041.720 987.430 1042.000 ;
RECT 988.270 1041.720 1107.950 1042.000 ;
RECT 1108.790 1041.720 1228.470 1042.000 ;
RECT 1229.310 1041.720 1348.990 1042.000 ;
RECT 1349.830 1041.720 1468.590 1042.000 ;
RECT 1469.430 1041.720 1470.070 1042.000 ;
RECT 280.700 50.280 1470.070 1041.720 ;
LAYER met2 ;
RECT 1487.270 864.435 1487.550 864.805 ;
RECT 1487.340 446.070 1487.480 864.435 ;
RECT 1489.110 686.275 1489.390 686.645 ;
RECT 1489.180 683.390 1489.320 686.275 ;
RECT 1489.120 683.070 1489.380 683.390 ;
RECT 1487.740 579.710 1488.000 580.030 ;
RECT 1487.800 508.485 1487.940 579.710 ;
RECT 1493.720 545.030 1493.980 545.350 ;
RECT 1487.730 508.115 1488.010 508.485 ;
RECT 1487.280 445.750 1487.540 446.070 ;
RECT 1488.200 445.070 1488.460 445.390 ;
RECT 1487.740 444.730 1488.000 445.050 ;
RECT 1487.800 153.525 1487.940 444.730 ;
RECT 1488.260 330.325 1488.400 445.070 ;
RECT 1488.190 329.955 1488.470 330.325 ;
RECT 1487.730 153.155 1488.010 153.525 ;
LAYER met2 ;
RECT 280.700 50.000 335.150 50.280 ;
RECT 335.990 50.000 455.670 50.280 ;
RECT 456.510 50.000 575.270 50.280 ;
RECT 576.110 50.000 695.790 50.280 ;
RECT 696.630 50.000 816.310 50.280 ;
RECT 817.150 50.000 936.830 50.280 ;
RECT 937.670 50.000 1057.350 50.280 ;
RECT 1058.190 50.000 1176.950 50.280 ;
RECT 1177.790 50.000 1297.470 50.280 ;
RECT 1298.310 50.000 1417.990 50.280 ;
RECT 1418.830 50.000 1470.070 50.280 ;
LAYER met2 ;
RECT 335.430 46.000 335.710 50.000 ;
RECT 455.950 46.000 456.230 50.000 ;
RECT 575.550 46.000 575.830 50.000 ;
RECT 696.070 46.000 696.350 50.000 ;
RECT 816.590 46.000 816.870 50.000 ;
RECT 937.110 46.000 937.390 50.000 ;
RECT 1057.630 46.000 1057.910 50.000 ;
RECT 1177.230 46.000 1177.510 50.000 ;
RECT 1297.750 46.000 1298.030 50.000 ;
RECT 1418.270 46.000 1418.550 50.000 ;
RECT 335.500 34.330 335.640 46.000 ;
RECT 335.440 34.010 335.700 34.330 ;
RECT 456.020 33.650 456.160 46.000 ;
RECT 575.620 33.990 575.760 46.000 ;
RECT 575.560 33.670 575.820 33.990 ;
RECT 455.960 33.330 456.220 33.650 ;
RECT 696.140 32.970 696.280 46.000 ;
RECT 816.660 33.310 816.800 46.000 ;
RECT 816.600 32.990 816.860 33.310 ;
RECT 696.080 32.650 696.340 32.970 ;
RECT 937.180 32.290 937.320 46.000 ;
RECT 937.120 31.970 937.380 32.290 ;
RECT 1057.700 31.610 1057.840 46.000 ;
RECT 1177.300 32.630 1177.440 46.000 ;
RECT 1177.240 32.310 1177.500 32.630 ;
RECT 1297.820 31.950 1297.960 46.000 ;
RECT 1297.760 31.630 1298.020 31.950 ;
RECT 1057.640 31.290 1057.900 31.610 ;
RECT 1418.340 31.270 1418.480 46.000 ;
RECT 1493.780 31.610 1493.920 545.030 ;
RECT 1521.380 447.430 1521.520 1056.730 ;
RECT 1528.280 448.110 1528.420 1058.770 ;
RECT 1542.020 1058.430 1542.280 1058.750 ;
RECT 1528.220 447.790 1528.480 448.110 ;
RECT 1521.320 447.110 1521.580 447.430 ;
RECT 1542.080 447.090 1542.220 1058.430 ;
RECT 1968.900 1058.090 1969.160 1058.410 ;
RECT 1597.220 1057.750 1597.480 1058.070 ;
RECT 1562.720 1056.050 1562.980 1056.370 ;
RECT 1562.780 672.850 1562.920 1056.050 ;
RECT 1562.720 672.530 1562.980 672.850 ;
RECT 1576.520 648.730 1576.780 649.050 ;
RECT 1562.720 634.790 1562.980 635.110 ;
RECT 1542.020 446.770 1542.280 447.090 ;
RECT 1562.780 33.650 1562.920 634.790 ;
RECT 1562.720 33.330 1562.980 33.650 ;
RECT 1576.580 32.970 1576.720 648.730 ;
RECT 1597.280 446.750 1597.420 1057.750 ;
RECT 1852.520 1057.410 1852.780 1057.730 ;
RECT 1604.120 1057.070 1604.380 1057.390 ;
RECT 1604.180 620.830 1604.320 1057.070 ;
RECT 1631.720 1056.390 1631.980 1056.710 ;
RECT 1617.920 669.470 1618.180 669.790 ;
RECT 1604.120 620.510 1604.380 620.830 ;
RECT 1597.220 446.430 1597.480 446.750 ;
RECT 1576.520 32.650 1576.780 32.970 ;
RECT 1617.980 32.290 1618.120 669.470 ;
RECT 1631.780 446.410 1631.920 1056.390 ;
RECT 1811.120 1055.710 1811.380 1056.030 ;
RECT 1811.180 673.530 1811.320 1055.710 ;
RECT 1811.120 673.210 1811.380 673.530 ;
RECT 1852.580 672.850 1852.720 1057.410 ;
RECT 1858.040 673.210 1858.300 673.530 ;
RECT 1784.440 672.530 1784.700 672.850 ;
RECT 1852.520 672.530 1852.780 672.850 ;
RECT 1784.500 660.000 1784.640 672.530 ;
RECT 1833.190 671.315 1833.470 671.685 ;
RECT 1821.230 669.275 1821.510 669.645 ;
RECT 1821.300 660.000 1821.440 669.275 ;
RECT 1833.260 660.000 1833.400 671.315 ;
RECT 1858.100 660.000 1858.240 673.210 ;
RECT 1943.600 672.530 1943.860 672.850 ;
RECT 1943.660 660.000 1943.800 672.530 ;
RECT 1967.520 669.470 1967.780 669.790 ;
RECT 1967.580 660.000 1967.720 669.470 ;
RECT 1784.500 659.940 1784.790 660.000 ;
RECT 1821.300 659.940 1821.590 660.000 ;
RECT 1833.260 659.940 1833.550 660.000 ;
RECT 1858.100 659.940 1858.390 660.000 ;
RECT 1784.510 656.000 1784.790 659.940 ;
RECT 1821.310 656.000 1821.590 659.940 ;
RECT 1833.270 656.000 1833.550 659.940 ;
RECT 1858.110 656.000 1858.390 659.940 ;
RECT 1905.410 656.610 1905.690 656.725 ;
RECT 1906.870 656.610 1907.150 660.000 ;
RECT 1905.410 656.470 1907.150 656.610 ;
RECT 1905.410 656.355 1905.690 656.470 ;
RECT 1906.870 656.000 1907.150 656.470 ;
RECT 1918.290 656.610 1918.570 656.725 ;
RECT 1918.830 656.610 1919.110 660.000 ;
RECT 1943.660 659.940 1943.950 660.000 ;
RECT 1967.580 659.940 1967.870 660.000 ;
RECT 1918.290 656.470 1919.110 656.610 ;
RECT 1918.290 656.355 1918.570 656.470 ;
RECT 1918.830 656.000 1919.110 656.470 ;
RECT 1943.670 656.000 1943.950 659.940 ;
RECT 1967.590 656.000 1967.870 659.940 ;
LAYER met2 ;
RECT 1779.910 655.720 1784.230 656.000 ;
RECT 1785.070 655.720 1797.110 656.000 ;
RECT 1797.950 655.720 1809.070 656.000 ;
RECT 1809.910 655.720 1821.030 656.000 ;
RECT 1821.870 655.720 1832.990 656.000 ;
RECT 1833.830 655.720 1845.870 656.000 ;
RECT 1846.710 655.720 1857.830 656.000 ;
RECT 1858.670 655.720 1869.790 656.000 ;
RECT 1870.630 655.720 1882.670 656.000 ;
RECT 1883.510 655.720 1894.630 656.000 ;
RECT 1895.470 655.720 1906.590 656.000 ;
RECT 1907.430 655.720 1918.550 656.000 ;
RECT 1919.390 655.720 1931.430 656.000 ;
RECT 1932.270 655.720 1943.390 656.000 ;
RECT 1944.230 655.720 1955.350 656.000 ;
RECT 1956.190 655.720 1967.310 656.000 ;
LAYER met2 ;
RECT 1752.690 654.315 1752.970 654.685 ;
RECT 1752.760 649.050 1752.900 654.315 ;
RECT 1752.700 648.730 1752.960 649.050 ;
RECT 1752.690 636.635 1752.970 637.005 ;
RECT 1752.760 635.110 1752.900 636.635 ;
RECT 1752.700 634.790 1752.960 635.110 ;
RECT 1752.700 620.510 1752.960 620.830 ;
RECT 1752.760 617.965 1752.900 620.510 ;
RECT 1752.690 617.595 1752.970 617.965 ;
RECT 1752.690 582.235 1752.970 582.605 ;
RECT 1752.760 580.030 1752.900 582.235 ;
RECT 1752.700 579.710 1752.960 580.030 ;
RECT 1752.690 545.515 1752.970 545.885 ;
RECT 1752.760 545.350 1752.900 545.515 ;
RECT 1752.700 545.030 1752.960 545.350 ;
LAYER met2 ;
RECT 1779.910 464.280 1967.860 655.720 ;
LAYER met2 ;
RECT 1968.430 617.595 1968.710 617.965 ;
RECT 1968.500 497.750 1968.640 617.595 ;
RECT 1968.960 514.605 1969.100 1058.090 ;
RECT 1969.360 683.070 1969.620 683.390 ;
RECT 1968.890 514.235 1969.170 514.605 ;
RECT 1968.440 497.430 1968.700 497.750 ;
RECT 1968.440 495.390 1968.700 495.710 ;
LAYER met2 ;
RECT 1780.470 464.000 1791.590 464.280 ;
RECT 1792.430 464.000 1804.470 464.280 ;
RECT 1805.310 464.000 1816.430 464.280 ;
RECT 1817.270 464.000 1828.390 464.280 ;
RECT 1829.230 464.000 1841.270 464.280 ;
RECT 1842.110 464.000 1853.230 464.280 ;
RECT 1854.070 464.000 1865.190 464.280 ;
RECT 1866.030 464.000 1877.150 464.280 ;
RECT 1877.990 464.000 1890.030 464.280 ;
RECT 1890.870 464.000 1901.990 464.280 ;
RECT 1902.830 464.000 1913.950 464.280 ;
RECT 1914.790 464.000 1926.830 464.280 ;
RECT 1927.670 464.000 1938.790 464.280 ;
RECT 1939.630 464.000 1950.750 464.280 ;
RECT 1951.590 464.000 1962.710 464.280 ;
RECT 1963.550 464.000 1967.860 464.280 ;
LAYER met2 ;
RECT 1779.910 460.770 1780.190 464.000 ;
RECT 1804.750 460.770 1805.030 464.000 ;
RECT 1816.710 460.770 1816.990 464.000 ;
RECT 1853.510 460.770 1853.790 464.000 ;
RECT 1865.470 460.770 1865.750 464.000 ;
RECT 1877.430 460.770 1877.710 464.000 ;
RECT 1890.310 460.770 1890.590 464.000 ;
RECT 1902.270 460.770 1902.550 464.000 ;
RECT 1927.110 460.770 1927.390 464.000 ;
RECT 1778.520 460.630 1780.190 460.770 ;
RECT 1631.720 446.090 1631.980 446.410 ;
RECT 1778.520 445.390 1778.660 460.630 ;
RECT 1779.910 460.000 1780.190 460.630 ;
RECT 1803.360 460.630 1805.030 460.770 ;
RECT 1790.420 445.410 1790.680 445.730 ;
RECT 1778.460 445.070 1778.720 445.390 ;
RECT 1790.480 33.990 1790.620 445.410 ;
RECT 1803.360 441.990 1803.500 460.630 ;
RECT 1804.750 460.000 1805.030 460.630 ;
RECT 1815.320 460.630 1816.990 460.770 ;
RECT 1815.320 446.410 1815.460 460.630 ;
RECT 1816.710 460.000 1816.990 460.630 ;
RECT 1852.120 460.630 1853.790 460.770 ;
RECT 1815.260 446.090 1815.520 446.410 ;
RECT 1811.120 445.070 1811.380 445.390 ;
RECT 1797.320 441.670 1797.580 441.990 ;
RECT 1803.300 441.670 1803.560 441.990 ;
RECT 1790.420 33.670 1790.680 33.990 ;
RECT 1797.380 33.310 1797.520 441.670 ;
RECT 1797.320 32.990 1797.580 33.310 ;
RECT 1617.920 31.970 1618.180 32.290 ;
RECT 1493.720 31.290 1493.980 31.610 ;
RECT 1811.180 31.270 1811.320 445.070 ;
RECT 1852.120 445.050 1852.260 460.630 ;
RECT 1853.510 460.000 1853.790 460.630 ;
RECT 1864.080 460.630 1865.750 460.770 ;
RECT 1864.080 445.730 1864.220 460.630 ;
RECT 1865.470 460.000 1865.750 460.630 ;
RECT 1876.960 460.630 1877.710 460.770 ;
RECT 1876.960 446.070 1877.100 460.630 ;
RECT 1877.430 460.000 1877.710 460.630 ;
RECT 1888.920 460.630 1890.590 460.770 ;
RECT 1888.920 447.090 1889.060 460.630 ;
RECT 1890.310 460.000 1890.590 460.630 ;
RECT 1900.880 460.630 1902.550 460.770 ;
RECT 1888.860 446.770 1889.120 447.090 ;
RECT 1900.880 446.750 1901.020 460.630 ;
RECT 1902.270 460.000 1902.550 460.630 ;
RECT 1925.720 460.630 1927.390 460.770 ;
RECT 1900.820 446.430 1901.080 446.750 ;
RECT 1876.900 445.750 1877.160 446.070 ;
RECT 1864.020 445.410 1864.280 445.730 ;
RECT 1925.720 445.390 1925.860 460.630 ;
RECT 1927.110 460.000 1927.390 460.630 ;
RECT 1939.070 460.090 1939.350 464.000 ;
RECT 1951.030 460.770 1951.310 464.000 ;
RECT 1962.990 460.770 1963.270 464.000 ;
RECT 1949.640 460.630 1951.310 460.770 ;
RECT 1939.070 460.000 1939.660 460.090 ;
RECT 1939.130 459.950 1939.660 460.000 ;
RECT 1939.520 447.430 1939.660 459.950 ;
RECT 1939.460 447.110 1939.720 447.430 ;
RECT 1949.640 447.285 1949.780 460.630 ;
RECT 1951.030 460.000 1951.310 460.630 ;
RECT 1961.600 460.630 1963.270 460.770 ;
RECT 1961.600 448.110 1961.740 460.630 ;
RECT 1962.990 460.000 1963.270 460.630 ;
RECT 1961.540 447.790 1961.800 448.110 ;
RECT 1949.570 446.915 1949.850 447.285 ;
RECT 1925.660 445.070 1925.920 445.390 ;
RECT 1852.060 444.730 1852.320 445.050 ;
RECT 1968.500 34.330 1968.640 495.390 ;
RECT 1969.420 479.245 1969.560 683.070 ;
RECT 1969.810 566.595 1970.090 566.965 ;
RECT 1969.350 478.875 1969.630 479.245 ;
RECT 1968.440 34.010 1968.700 34.330 ;
RECT 1969.880 31.950 1970.020 566.595 ;
RECT 1970.270 545.515 1970.550 545.885 ;
RECT 1970.340 32.630 1970.480 545.515 ;
RECT 1970.280 32.310 1970.540 32.630 ;
RECT 1969.820 31.630 1970.080 31.950 ;
RECT 1418.280 30.950 1418.540 31.270 ;
RECT 1811.120 30.950 1811.380 31.270 ;
LAYER via2 ;
RECT 1487.270 864.480 1487.550 864.760 ;
RECT 1489.110 686.320 1489.390 686.600 ;
RECT 1487.730 508.160 1488.010 508.440 ;
RECT 1488.190 330.000 1488.470 330.280 ;
RECT 1487.730 153.200 1488.010 153.480 ;
RECT 1833.190 671.360 1833.470 671.640 ;
RECT 1821.230 669.320 1821.510 669.600 ;
RECT 1905.410 656.400 1905.690 656.680 ;
RECT 1918.290 656.400 1918.570 656.680 ;
RECT 1752.690 654.360 1752.970 654.640 ;
RECT 1752.690 636.680 1752.970 636.960 ;
RECT 1752.690 617.640 1752.970 617.920 ;
RECT 1752.690 582.280 1752.970 582.560 ;
RECT 1752.690 545.560 1752.970 545.840 ;
RECT 1968.430 617.640 1968.710 617.920 ;
RECT 1968.890 514.280 1969.170 514.560 ;
RECT 1949.570 446.960 1949.850 447.240 ;
RECT 1969.810 566.640 1970.090 566.920 ;
RECT 1969.350 478.920 1969.630 479.200 ;
RECT 1970.270 545.560 1970.550 545.840 ;
LAYER met3 ;
RECT 276.000 1030.040 1471.730 1035.285 ;
LAYER met3 ;
RECT 261.550 1029.330 261.930 1029.340 ;
RECT 272.000 1029.330 276.000 1029.640 ;
RECT 261.550 1029.040 276.000 1029.330 ;
RECT 261.550 1029.030 272.780 1029.040 ;
RECT 261.550 1029.020 261.930 1029.030 ;
LAYER met3 ;
RECT 276.400 1028.640 1471.730 1030.040 ;
RECT 276.000 865.480 1471.730 1028.640 ;
RECT 276.000 864.080 1467.600 865.480 ;
LAYER met3 ;
RECT 1468.000 864.770 1472.000 865.080 ;
RECT 1487.245 864.770 1487.575 864.785 ;
RECT 1468.000 864.480 1487.575 864.770 ;
RECT 1471.540 864.470 1487.575 864.480 ;
RECT 1487.245 864.455 1487.575 864.470 ;
LAYER met3 ;
RECT 276.000 851.880 1471.730 864.080 ;
LAYER met3 ;
RECT 260.630 851.170 261.010 851.180 ;
RECT 272.000 851.170 276.000 851.480 ;
RECT 260.630 850.880 276.000 851.170 ;
RECT 260.630 850.870 272.780 850.880 ;
RECT 260.630 850.860 261.010 850.870 ;
LAYER met3 ;
RECT 276.400 850.480 1471.730 851.880 ;
RECT 276.000 687.320 1471.730 850.480 ;
RECT 276.000 685.920 1467.600 687.320 ;
LAYER met3 ;
RECT 1468.000 686.610 1472.000 686.920 ;
RECT 1489.085 686.610 1489.415 686.625 ;
RECT 1468.000 686.320 1489.415 686.610 ;
RECT 1471.540 686.310 1489.415 686.320 ;
RECT 1489.085 686.295 1489.415 686.310 ;
LAYER met3 ;
RECT 276.000 673.720 1471.730 685.920 ;
LAYER met3 ;
RECT 272.000 672.720 276.000 673.320 ;
RECT 272.630 670.300 272.930 672.720 ;
LAYER met3 ;
RECT 276.400 672.320 1471.730 673.720 ;
LAYER met3 ;
RECT 272.590 669.980 272.970 670.300 ;
LAYER met3 ;
RECT 276.000 509.160 1471.730 672.320 ;
LAYER met3 ;
RECT 1473.190 671.650 1473.570 671.660 ;
RECT 1531.150 671.650 1531.530 671.660 ;
RECT 1473.190 671.350 1531.530 671.650 ;
RECT 1473.190 671.340 1473.570 671.350 ;
RECT 1531.150 671.340 1531.530 671.350 ;
RECT 1700.430 671.650 1700.810 671.660 ;
RECT 1705.030 671.650 1705.410 671.660 ;
RECT 1700.430 671.350 1705.410 671.650 ;
RECT 1700.430 671.340 1700.810 671.350 ;
RECT 1705.030 671.340 1705.410 671.350 ;
RECT 1773.110 671.650 1773.490 671.660 ;
RECT 1820.030 671.650 1820.410 671.660 ;
RECT 1773.110 671.350 1820.410 671.650 ;
RECT 1773.110 671.340 1773.490 671.350 ;
RECT 1820.030 671.340 1820.410 671.350 ;
RECT 1828.310 671.650 1828.690 671.660 ;
RECT 1833.165 671.650 1833.495 671.665 ;
RECT 1828.310 671.350 1833.495 671.650 ;
RECT 1828.310 671.340 1828.690 671.350 ;
RECT 1833.165 671.335 1833.495 671.350 ;
RECT 1814.510 669.610 1814.890 669.620 ;
RECT 1821.205 669.610 1821.535 669.625 ;
RECT 1814.510 669.310 1821.535 669.610 ;
RECT 1814.510 669.300 1814.890 669.310 ;
RECT 1821.205 669.295 1821.535 669.310 ;
RECT 1532.070 668.250 1532.450 668.260 ;
RECT 1559.670 668.250 1560.050 668.260 ;
RECT 1532.070 667.950 1560.050 668.250 ;
RECT 1532.070 667.940 1532.450 667.950 ;
RECT 1559.670 667.940 1560.050 667.950 ;
RECT 1608.430 668.250 1608.810 668.260 ;
RECT 1658.110 668.250 1658.490 668.260 ;
RECT 1608.430 667.950 1658.490 668.250 ;
RECT 1608.430 667.940 1608.810 667.950 ;
RECT 1658.110 667.940 1658.490 667.950 ;
RECT 1706.870 668.250 1707.250 668.260 ;
RECT 1772.190 668.250 1772.570 668.260 ;
RECT 1706.870 667.950 1772.570 668.250 ;
RECT 1706.870 667.940 1707.250 667.950 ;
RECT 1772.190 667.940 1772.570 667.950 ;
RECT 1904.670 656.690 1905.050 656.700 ;
RECT 1905.385 656.690 1905.715 656.705 ;
RECT 1904.670 656.390 1905.715 656.690 ;
RECT 1904.670 656.380 1905.050 656.390 ;
RECT 1905.385 656.375 1905.715 656.390 ;
RECT 1918.265 656.700 1918.595 656.705 ;
RECT 1918.265 656.690 1918.850 656.700 ;
RECT 1918.265 656.390 1919.050 656.690 ;
RECT 1918.265 656.380 1918.850 656.390 ;
RECT 1918.265 656.375 1918.595 656.380 ;
RECT 1752.665 654.650 1752.995 654.665 ;
RECT 1752.665 654.520 1771.460 654.650 ;
RECT 1752.665 654.350 1774.720 654.520 ;
RECT 1752.665 654.335 1752.995 654.350 ;
RECT 1770.720 653.920 1774.720 654.350 ;
LAYER met3 ;
RECT 1775.120 653.520 1966.720 654.385 ;
RECT 1774.720 638.600 1966.720 653.520 ;
RECT 1774.720 637.240 1966.320 638.600 ;
RECT 1775.120 637.200 1966.320 637.240 ;
LAYER met3 ;
RECT 1752.665 636.970 1752.995 636.985 ;
RECT 1752.665 636.840 1771.460 636.970 ;
RECT 1752.665 636.670 1774.720 636.840 ;
RECT 1752.665 636.655 1752.995 636.670 ;
RECT 1770.720 636.240 1774.720 636.670 ;
LAYER met3 ;
RECT 1775.120 635.840 1966.720 637.200 ;
RECT 1774.720 620.920 1966.720 635.840 ;
RECT 1774.720 619.520 1966.320 620.920 ;
LAYER met3 ;
RECT 1966.720 619.920 1970.720 620.520 ;
LAYER met3 ;
RECT 1774.720 618.200 1966.720 619.520 ;
LAYER met3 ;
RECT 1752.665 617.930 1752.995 617.945 ;
RECT 1752.665 617.800 1771.460 617.930 ;
RECT 1752.665 617.630 1774.720 617.800 ;
RECT 1752.665 617.615 1752.995 617.630 ;
RECT 1770.720 617.200 1774.720 617.630 ;
LAYER met3 ;
RECT 1775.120 616.800 1966.720 618.200 ;
LAYER met3 ;
RECT 1968.190 617.945 1968.490 619.920 ;
RECT 1968.190 617.630 1968.735 617.945 ;
RECT 1968.405 617.615 1968.735 617.630 ;
LAYER met3 ;
RECT 1774.720 603.240 1966.720 616.800 ;
RECT 1774.720 601.840 1966.320 603.240 ;
RECT 1774.720 600.520 1966.720 601.840 ;
RECT 1775.120 599.120 1966.720 600.520 ;
RECT 1774.720 584.200 1966.720 599.120 ;
RECT 1774.720 582.840 1966.320 584.200 ;
RECT 1775.120 582.800 1966.320 582.840 ;
LAYER met3 ;
RECT 1752.665 582.570 1752.995 582.585 ;
RECT 1752.665 582.440 1771.460 582.570 ;
RECT 1752.665 582.270 1774.720 582.440 ;
RECT 1752.665 582.255 1752.995 582.270 ;
RECT 1770.720 581.840 1774.720 582.270 ;
LAYER met3 ;
RECT 1775.120 581.440 1966.720 582.800 ;
RECT 1774.720 566.520 1966.720 581.440 ;
LAYER met3 ;
RECT 1969.785 566.930 1970.115 566.945 ;
RECT 1969.785 566.615 1970.330 566.930 ;
LAYER met3 ;
RECT 1774.720 565.160 1966.320 566.520 ;
LAYER met3 ;
RECT 1970.030 566.120 1970.330 566.615 ;
RECT 1966.720 565.520 1970.720 566.120 ;
LAYER met3 ;
RECT 1775.120 565.120 1966.320 565.160 ;
RECT 1775.120 563.760 1966.720 565.120 ;
RECT 1774.720 548.840 1966.720 563.760 ;
RECT 1774.720 547.440 1966.320 548.840 ;
LAYER met3 ;
RECT 1966.720 547.840 1970.720 548.440 ;
LAYER met3 ;
RECT 1774.720 546.120 1966.720 547.440 ;
LAYER met3 ;
RECT 1752.665 545.850 1752.995 545.865 ;
RECT 1752.665 545.720 1771.460 545.850 ;
RECT 1752.665 545.550 1774.720 545.720 ;
RECT 1752.665 545.535 1752.995 545.550 ;
RECT 1770.720 545.120 1774.720 545.550 ;
LAYER met3 ;
RECT 1775.120 544.720 1966.720 546.120 ;
LAYER met3 ;
RECT 1970.030 545.865 1970.330 547.840 ;
RECT 1970.030 545.550 1970.575 545.865 ;
RECT 1970.245 545.535 1970.575 545.550 ;
LAYER met3 ;
RECT 1774.720 531.160 1966.720 544.720 ;
RECT 1774.720 529.760 1966.320 531.160 ;
RECT 1774.720 528.440 1966.720 529.760 ;
RECT 1775.120 527.040 1966.720 528.440 ;
RECT 1774.720 512.120 1966.720 527.040 ;
LAYER met3 ;
RECT 1968.865 514.570 1969.195 514.585 ;
RECT 1968.865 514.255 1969.410 514.570 ;
LAYER met3 ;
RECT 1774.720 510.760 1966.320 512.120 ;
LAYER met3 ;
RECT 1969.110 511.720 1969.410 514.255 ;
RECT 1966.720 511.120 1970.720 511.720 ;
LAYER met3 ;
RECT 1775.120 510.720 1966.320 510.760 ;
LAYER met3 ;
RECT 1755.630 510.490 1756.010 510.500 ;
RECT 1755.630 510.360 1771.460 510.490 ;
RECT 1755.630 510.190 1774.720 510.360 ;
RECT 1755.630 510.180 1756.010 510.190 ;
RECT 1770.720 509.760 1774.720 510.190 ;
LAYER met3 ;
RECT 1775.120 509.360 1966.720 510.720 ;
RECT 276.000 507.760 1467.600 509.160 ;
LAYER met3 ;
RECT 1468.000 508.450 1472.000 508.760 ;
RECT 1487.705 508.450 1488.035 508.465 ;
RECT 1468.000 508.160 1488.035 508.450 ;
RECT 1471.540 508.150 1488.035 508.160 ;
RECT 1487.705 508.135 1488.035 508.150 ;
LAYER met3 ;
RECT 276.000 495.560 1471.730 507.760 ;
LAYER met3 ;
RECT 272.000 494.560 276.000 495.160 ;
RECT 272.630 492.140 272.930 494.560 ;
LAYER met3 ;
RECT 276.400 494.160 1471.730 495.560 ;
LAYER met3 ;
RECT 272.590 491.820 272.970 492.140 ;
LAYER met3 ;
RECT 276.000 331.000 1471.730 494.160 ;
RECT 1774.720 494.440 1966.720 509.360 ;
RECT 1774.720 493.040 1966.320 494.440 ;
RECT 1774.720 491.720 1966.720 493.040 ;
LAYER met3 ;
RECT 1603.830 491.450 1604.210 491.460 ;
RECT 1608.430 491.450 1608.810 491.460 ;
RECT 1603.830 491.150 1608.810 491.450 ;
RECT 1603.830 491.140 1604.210 491.150 ;
RECT 1608.430 491.140 1608.810 491.150 ;
LAYER met3 ;
RECT 1775.120 490.320 1966.720 491.720 ;
RECT 1774.720 476.760 1966.720 490.320 ;
LAYER met3 ;
RECT 1969.325 479.210 1969.655 479.225 ;
RECT 1969.110 478.895 1969.655 479.210 ;
LAYER met3 ;
RECT 1774.720 475.360 1966.320 476.760 ;
LAYER met3 ;
RECT 1969.110 476.360 1969.410 478.895 ;
RECT 1966.720 475.760 1970.720 476.360 ;
LAYER met3 ;
RECT 1774.720 474.040 1966.720 475.360 ;
RECT 1775.120 472.640 1966.720 474.040 ;
RECT 1774.720 472.155 1966.720 472.640 ;
LAYER met3 ;
RECT 1946.070 447.250 1946.450 447.260 ;
RECT 1949.545 447.250 1949.875 447.265 ;
RECT 1946.070 446.950 1949.875 447.250 ;
RECT 1946.070 446.940 1946.450 446.950 ;
RECT 1949.545 446.935 1949.875 446.950 ;
RECT 1484.230 443.850 1484.610 443.860 ;
RECT 1531.150 443.850 1531.530 443.860 ;
RECT 1484.230 443.550 1531.530 443.850 ;
RECT 1484.230 443.540 1484.610 443.550 ;
RECT 1531.150 443.540 1531.530 443.550 ;
RECT 1690.310 443.850 1690.690 443.860 ;
RECT 1758.390 443.850 1758.770 443.860 ;
RECT 1690.310 443.550 1758.770 443.850 ;
RECT 1690.310 443.540 1690.690 443.550 ;
RECT 1758.390 443.540 1758.770 443.550 ;
RECT 1835.670 440.450 1836.050 440.460 ;
RECT 1882.590 440.450 1882.970 440.460 ;
RECT 1835.670 440.150 1882.970 440.450 ;
RECT 1835.670 440.140 1836.050 440.150 ;
RECT 1882.590 440.140 1882.970 440.150 ;
LAYER met3 ;
RECT 276.000 329.600 1467.600 331.000 ;
LAYER met3 ;
RECT 1468.000 330.290 1472.000 330.600 ;
RECT 1488.165 330.290 1488.495 330.305 ;
RECT 1468.000 330.000 1488.495 330.290 ;
RECT 1471.540 329.990 1488.495 330.000 ;
RECT 1488.165 329.975 1488.495 329.990 ;
RECT 270.750 320.770 271.130 320.780 ;
RECT 270.750 320.470 272.930 320.770 ;
RECT 270.750 320.460 271.130 320.470 ;
RECT 272.630 318.360 272.930 320.470 ;
LAYER met3 ;
RECT 276.000 318.760 1471.730 329.600 ;
LAYER met3 ;
RECT 1485.150 321.450 1485.530 321.460 ;
RECT 1531.150 321.450 1531.530 321.460 ;
RECT 1485.150 321.150 1531.530 321.450 ;
RECT 1485.150 321.140 1485.530 321.150 ;
RECT 1531.150 321.140 1531.530 321.150 ;
RECT 1580.830 321.450 1581.210 321.460 ;
RECT 1654.430 321.450 1654.810 321.460 ;
RECT 1580.830 321.150 1654.810 321.450 ;
RECT 1580.830 321.140 1581.210 321.150 ;
RECT 1654.430 321.140 1654.810 321.150 ;
RECT 1703.190 321.450 1703.570 321.460 ;
RECT 1724.350 321.450 1724.730 321.460 ;
RECT 1703.190 321.150 1724.730 321.450 ;
RECT 1703.190 321.140 1703.570 321.150 ;
RECT 1724.350 321.140 1724.730 321.150 ;
RECT 272.000 317.760 276.000 318.360 ;
LAYER met3 ;
RECT 276.400 317.360 1471.730 318.760 ;
RECT 276.000 154.200 1471.730 317.360 ;
RECT 276.000 152.800 1467.600 154.200 ;
LAYER met3 ;
RECT 1468.000 153.490 1472.000 153.800 ;
RECT 1487.705 153.490 1488.035 153.505 ;
RECT 1468.000 153.200 1488.035 153.490 ;
RECT 1471.540 153.190 1488.035 153.200 ;
RECT 1487.705 153.175 1488.035 153.190 ;
RECT 272.590 140.940 272.970 141.260 ;
RECT 272.630 140.200 272.930 140.940 ;
LAYER met3 ;
RECT 276.000 140.600 1471.730 152.800 ;
LAYER met3 ;
RECT 1890.870 144.650 1891.250 144.660 ;
RECT 1904.670 144.650 1905.050 144.660 ;
RECT 1890.870 144.350 1905.050 144.650 ;
RECT 1890.870 144.340 1891.250 144.350 ;
RECT 1904.670 144.340 1905.050 144.350 ;
RECT 272.000 139.600 276.000 140.200 ;
LAYER met3 ;
RECT 276.400 139.200 1471.730 140.600 ;
RECT 276.000 58.475 1471.730 139.200 ;
LAYER via3 ;
RECT 261.580 1029.020 261.900 1029.340 ;
RECT 260.660 850.860 260.980 851.180 ;
RECT 272.620 669.980 272.940 670.300 ;
RECT 1473.220 671.340 1473.540 671.660 ;
RECT 1531.180 671.340 1531.500 671.660 ;
RECT 1700.460 671.340 1700.780 671.660 ;
RECT 1705.060 671.340 1705.380 671.660 ;
RECT 1773.140 671.340 1773.460 671.660 ;
RECT 1820.060 671.340 1820.380 671.660 ;
RECT 1828.340 671.340 1828.660 671.660 ;
RECT 1814.540 669.300 1814.860 669.620 ;
RECT 1532.100 667.940 1532.420 668.260 ;
RECT 1559.700 667.940 1560.020 668.260 ;
RECT 1608.460 667.940 1608.780 668.260 ;
RECT 1658.140 667.940 1658.460 668.260 ;
RECT 1706.900 667.940 1707.220 668.260 ;
RECT 1772.220 667.940 1772.540 668.260 ;
RECT 1904.700 656.380 1905.020 656.700 ;
RECT 1918.500 656.380 1918.820 656.700 ;
RECT 1755.660 510.180 1755.980 510.500 ;
RECT 272.620 491.820 272.940 492.140 ;
RECT 1603.860 491.140 1604.180 491.460 ;
RECT 1608.460 491.140 1608.780 491.460 ;
RECT 1946.100 446.940 1946.420 447.260 ;
RECT 1484.260 443.540 1484.580 443.860 ;
RECT 1531.180 443.540 1531.500 443.860 ;
RECT 1690.340 443.540 1690.660 443.860 ;
RECT 1758.420 443.540 1758.740 443.860 ;
RECT 1835.700 440.140 1836.020 440.460 ;
RECT 1882.620 440.140 1882.940 440.460 ;
RECT 270.780 320.460 271.100 320.780 ;
RECT 1485.180 321.140 1485.500 321.460 ;
RECT 1531.180 321.140 1531.500 321.460 ;
RECT 1580.860 321.140 1581.180 321.460 ;
RECT 1654.460 321.140 1654.780 321.460 ;
RECT 1703.220 321.140 1703.540 321.460 ;
RECT 1724.380 321.140 1724.700 321.460 ;
RECT 272.620 140.940 272.940 141.260 ;
RECT 1890.900 144.340 1891.220 144.660 ;
RECT 1904.700 144.340 1905.020 144.660 ;
LAYER met4 ;
RECT 261.575 1029.015 261.905 1029.345 ;
RECT 260.655 850.855 260.985 851.185 ;
RECT 258.390 671.650 259.570 672.090 ;
RECT 260.670 671.650 260.970 850.855 ;
RECT 258.390 671.350 260.970 671.650 ;
RECT 258.390 670.910 259.570 671.350 ;
RECT 261.590 451.090 261.890 1029.015 ;
RECT 272.615 669.975 272.945 670.305 ;
RECT 272.630 668.690 272.930 669.975 ;
RECT 272.190 667.510 273.370 668.690 ;
RECT 272.615 491.815 272.945 492.145 ;
RECT 272.630 485.090 272.930 491.815 ;
RECT 272.190 483.910 273.370 485.090 ;
RECT 261.150 449.910 262.330 451.090 ;
RECT 270.350 320.710 271.530 321.890 ;
RECT 277.710 321.450 278.890 321.890 ;
RECT 289.670 321.450 290.850 321.890 ;
RECT 277.710 321.150 290.850 321.450 ;
RECT 277.710 320.710 278.890 321.150 ;
RECT 289.670 320.710 290.850 321.150 ;
RECT 270.775 320.455 271.105 320.710 ;
RECT 272.190 140.510 273.370 141.690 ;
LAYER met4 ;
RECT 296.535 58.400 310.020 1035.360 ;
RECT 313.020 58.400 328.020 1035.360 ;
RECT 331.020 58.400 364.020 1035.360 ;
RECT 367.020 58.400 382.020 1035.360 ;
RECT 385.020 58.400 400.020 1035.360 ;
RECT 403.020 58.400 418.020 1035.360 ;
RECT 421.020 58.400 454.020 1035.360 ;
RECT 457.020 58.400 472.020 1035.360 ;
RECT 475.020 58.400 490.020 1035.360 ;
RECT 493.020 58.400 508.020 1035.360 ;
RECT 511.020 58.400 544.020 1035.360 ;
RECT 547.020 58.400 562.020 1035.360 ;
RECT 565.020 58.400 580.020 1035.360 ;
RECT 583.020 58.400 598.020 1035.360 ;
RECT 601.020 58.400 634.020 1035.360 ;
RECT 637.020 58.400 652.020 1035.360 ;
RECT 655.020 58.400 670.020 1035.360 ;
RECT 673.020 58.400 688.020 1035.360 ;
RECT 691.020 58.400 724.020 1035.360 ;
RECT 727.020 58.400 742.020 1035.360 ;
RECT 745.020 58.400 760.020 1035.360 ;
RECT 763.020 58.400 778.020 1035.360 ;
RECT 781.020 58.400 814.020 1035.360 ;
RECT 817.020 58.400 832.020 1035.360 ;
RECT 835.020 58.400 850.020 1035.360 ;
RECT 853.020 58.400 868.020 1035.360 ;
RECT 871.020 58.400 904.020 1035.360 ;
RECT 907.020 58.400 922.020 1035.360 ;
RECT 925.020 58.400 940.020 1035.360 ;
RECT 943.020 58.400 958.020 1035.360 ;
RECT 961.020 58.400 994.020 1035.360 ;
RECT 997.020 58.400 1012.020 1035.360 ;
RECT 1015.020 58.400 1030.020 1035.360 ;
RECT 1033.020 58.400 1048.020 1035.360 ;
RECT 1051.020 58.400 1084.020 1035.360 ;
RECT 1087.020 58.400 1102.020 1035.360 ;
RECT 1105.020 58.400 1120.020 1035.360 ;
RECT 1123.020 58.400 1138.020 1035.360 ;
RECT 1141.020 58.400 1174.020 1035.360 ;
RECT 1177.020 58.400 1192.020 1035.360 ;
RECT 1195.020 58.400 1210.020 1035.360 ;
RECT 1213.020 58.400 1228.020 1035.360 ;
RECT 1231.020 58.400 1264.020 1035.360 ;
RECT 1267.020 58.400 1282.020 1035.360 ;
RECT 1285.020 58.400 1300.020 1035.360 ;
RECT 1303.020 58.400 1318.020 1035.360 ;
RECT 1321.020 58.400 1354.020 1035.360 ;
RECT 1357.020 58.400 1372.020 1035.360 ;
RECT 1375.020 58.400 1390.020 1035.360 ;
RECT 1393.020 58.400 1408.020 1035.360 ;
RECT 1411.020 58.400 1444.020 1035.360 ;
RECT 1447.020 58.400 1448.960 1035.360 ;
LAYER met4 ;
RECT 1449.360 58.400 1450.960 1035.360 ;
LAYER met4 ;
RECT 1451.360 58.400 1462.020 1035.360 ;
RECT 1465.020 58.400 1471.705 1035.360 ;
LAYER met4 ;
RECT 1473.215 671.335 1473.545 671.665 ;
RECT 1473.230 668.690 1473.530 671.335 ;
RECT 1530.750 670.910 1531.930 672.090 ;
RECT 1700.030 670.910 1701.210 672.090 ;
RECT 1704.630 670.910 1705.810 672.090 ;
RECT 1772.710 670.910 1773.890 672.090 ;
RECT 1819.630 670.910 1820.810 672.090 ;
RECT 1827.910 670.910 1829.090 672.090 ;
RECT 1814.535 669.295 1814.865 669.625 ;
RECT 1814.550 668.690 1814.850 669.295 ;
RECT 1472.790 667.510 1473.970 668.690 ;
RECT 1531.670 667.510 1532.850 668.690 ;
RECT 1559.270 667.510 1560.450 668.690 ;
RECT 1608.030 667.510 1609.210 668.690 ;
RECT 1657.710 667.510 1658.890 668.690 ;
RECT 1706.470 667.510 1707.650 668.690 ;
RECT 1771.790 667.510 1772.970 668.690 ;
RECT 1814.110 667.510 1815.290 668.690 ;
RECT 1904.695 656.375 1905.025 656.705 ;
RECT 1918.495 656.375 1918.825 656.705 ;
RECT 1755.655 510.175 1755.985 510.505 ;
RECT 1603.430 490.710 1604.610 491.890 ;
RECT 1608.030 490.710 1609.210 491.890 ;
RECT 1700.950 491.450 1702.130 491.890 ;
RECT 1704.630 491.450 1705.810 491.890 ;
RECT 1700.950 491.150 1705.810 491.450 ;
RECT 1700.950 490.710 1702.130 491.150 ;
RECT 1704.630 490.710 1705.810 491.150 ;
RECT 1530.750 446.510 1531.930 447.690 ;
RECT 1483.830 443.110 1485.010 444.290 ;
RECT 1531.190 443.865 1531.490 446.510 ;
RECT 1531.175 443.535 1531.505 443.865 ;
RECT 1689.910 443.110 1691.090 444.290 ;
RECT 1755.670 321.890 1755.970 510.175 ;
LAYER met4 ;
RECT 1793.455 472.080 1796.080 649.360 ;
LAYER met4 ;
RECT 1871.280 472.080 1872.880 649.360 ;
RECT 1882.190 446.510 1883.370 447.690 ;
RECT 1757.990 443.110 1759.170 444.290 ;
RECT 1835.270 439.710 1836.450 440.890 ;
RECT 1882.630 440.465 1882.930 446.510 ;
RECT 1882.615 440.135 1882.945 440.465 ;
RECT 1484.750 320.710 1485.930 321.890 ;
RECT 1530.750 320.710 1531.930 321.890 ;
RECT 1580.430 320.710 1581.610 321.890 ;
RECT 1654.030 320.710 1655.210 321.890 ;
RECT 1702.790 320.710 1703.970 321.890 ;
RECT 1723.950 320.710 1725.130 321.890 ;
RECT 1755.230 320.710 1756.410 321.890 ;
RECT 1904.710 144.665 1905.010 656.375 ;
RECT 1918.510 491.890 1918.810 656.375 ;
RECT 1918.070 490.710 1919.250 491.890 ;
RECT 1945.670 446.510 1946.850 447.690 ;
RECT 1890.895 144.335 1891.225 144.665 ;
RECT 1904.695 144.335 1905.025 144.665 ;
RECT 1890.910 141.690 1891.210 144.335 ;
RECT 1890.470 140.510 1891.650 141.690 ;
LAYER via4 ;
RECT 270.350 320.710 271.530 321.890 ;
LAYER met5 ;
RECT 258.180 670.700 387.660 672.300 ;
RECT 386.060 668.900 387.660 670.700 ;
RECT 425.620 670.700 613.060 672.300 ;
RECT 425.620 668.900 427.220 670.700 ;
RECT 611.460 668.900 613.060 670.700 ;
RECT 615.140 670.700 809.020 672.300 ;
RECT 615.140 668.900 616.740 670.700 ;
RECT 738.420 668.900 740.940 670.700 ;
RECT 807.420 668.900 809.020 670.700 ;
RECT 826.740 670.700 853.180 672.300 ;
RECT 826.740 668.900 828.340 670.700 ;
RECT 851.580 668.900 853.180 670.700 ;
RECT 856.180 670.700 953.460 672.300 ;
RECT 856.180 668.900 857.780 670.700 ;
RECT 951.860 668.900 953.460 670.700 ;
RECT 1077.900 670.700 1159.540 672.300 ;
RECT 1077.900 668.900 1079.500 670.700 ;
RECT 1157.940 668.900 1159.540 670.700 ;
RECT 1170.820 670.700 1403.340 672.300 ;
RECT 1170.820 668.900 1172.420 670.700 ;
RECT 1401.740 668.900 1403.340 670.700 ;
RECT 1406.340 670.700 1477.860 672.300 ;
RECT 1530.540 670.700 1701.420 672.300 ;
RECT 1704.420 670.700 1774.100 672.300 ;
RECT 1819.420 670.700 1829.300 672.300 ;
RECT 1406.340 668.900 1407.940 670.700 ;
RECT 1476.260 668.900 1477.860 670.700 ;
RECT 271.980 667.300 361.900 668.900 ;
RECT 386.060 667.300 427.220 668.900 ;
RECT 429.300 667.300 587.300 668.900 ;
RECT 360.300 665.500 361.900 667.300 ;
RECT 429.300 665.500 430.900 667.300 ;
RECT 360.300 663.900 430.900 665.500 ;
RECT 585.700 665.500 587.300 667.300 ;
RECT 603.180 667.300 608.460 668.900 ;
RECT 611.460 667.300 616.740 668.900 ;
RECT 633.540 667.300 642.500 668.900 ;
RECT 603.180 665.500 604.780 667.300 ;
RECT 585.700 663.900 604.780 665.500 ;
RECT 606.860 665.500 608.460 667.300 ;
RECT 633.540 665.500 635.140 667.300 ;
RECT 606.860 663.900 635.140 665.500 ;
RECT 640.900 665.500 642.500 667.300 ;
RECT 660.220 667.300 769.460 668.900 ;
RECT 807.420 667.300 828.340 668.900 ;
RECT 830.420 667.300 840.300 668.900 ;
RECT 851.580 667.300 865.140 668.900 ;
RECT 660.220 665.500 661.820 667.300 ;
RECT 640.900 663.900 661.820 665.500 ;
RECT 767.860 665.500 769.460 667.300 ;
RECT 830.420 665.500 832.020 667.300 ;
RECT 767.860 663.900 832.020 665.500 ;
RECT 838.700 665.500 840.300 667.300 ;
RECT 854.340 665.500 855.940 667.300 ;
RECT 838.700 663.900 855.940 665.500 ;
RECT 863.540 665.500 865.140 667.300 ;
RECT 900.340 667.300 947.020 668.900 ;
RECT 951.860 667.300 1087.780 668.900 ;
RECT 900.340 665.500 901.940 667.300 ;
RECT 863.540 663.900 901.940 665.500 ;
RECT 945.420 665.500 947.020 667.300 ;
RECT 957.380 665.500 958.980 667.300 ;
RECT 945.420 663.900 958.980 665.500 ;
RECT 961.060 665.500 962.660 667.300 ;
RECT 1077.900 665.500 1079.500 667.300 ;
RECT 961.060 663.900 1079.500 665.500 ;
RECT 1086.180 665.500 1087.780 667.300 ;
RECT 1101.820 667.300 1109.860 668.900 ;
RECT 1101.820 665.500 1103.420 667.300 ;
RECT 1086.180 663.900 1103.420 665.500 ;
RECT 1108.260 665.500 1109.860 667.300 ;
RECT 1121.140 667.300 1155.860 668.900 ;
RECT 1157.940 667.300 1172.420 668.900 ;
RECT 1175.420 667.300 1246.020 668.900 ;
RECT 1121.140 665.500 1122.740 667.300 ;
RECT 1108.260 663.900 1122.740 665.500 ;
RECT 1154.260 665.500 1155.860 667.300 ;
RECT 1175.420 665.500 1177.020 667.300 ;
RECT 1154.260 663.900 1177.020 665.500 ;
RECT 1244.420 665.500 1246.020 667.300 ;
RECT 1277.540 667.300 1282.820 668.900 ;
RECT 1277.540 665.500 1279.140 667.300 ;
RECT 1244.420 663.900 1279.140 665.500 ;
RECT 1281.220 665.500 1282.820 667.300 ;
RECT 1323.540 665.500 1326.060 668.900 ;
RECT 1401.740 667.300 1432.780 668.900 ;
RECT 1405.420 665.500 1407.020 667.300 ;
RECT 1281.220 663.900 1407.020 665.500 ;
RECT 1431.180 665.500 1432.780 667.300 ;
RECT 1455.100 667.300 1474.180 668.900 ;
RECT 1476.260 667.300 1533.060 668.900 ;
RECT 1559.060 667.300 1609.420 668.900 ;
RECT 1657.500 667.300 1707.860 668.900 ;
RECT 1771.580 667.300 1815.500 668.900 ;
RECT 1455.100 665.500 1456.700 667.300 ;
RECT 1431.180 663.900 1456.700 665.500 ;
LAYER met5 ;
RECT 1132.180 506.300 1145.740 507.900 ;
LAYER met5 ;
RECT 1461.540 488.700 1464.060 492.100 ;
RECT 1530.540 490.500 1604.820 492.100 ;
RECT 1607.820 490.500 1702.340 492.100 ;
RECT 1704.420 490.500 1799.860 492.100 ;
RECT 303.260 487.100 354.540 488.700 ;
RECT 303.260 485.300 304.860 487.100 ;
RECT 271.980 483.700 304.860 485.300 ;
RECT 352.940 485.300 354.540 487.100 ;
RECT 399.860 487.100 451.140 488.700 ;
RECT 399.860 485.300 401.460 487.100 ;
RECT 352.940 483.700 401.460 485.300 ;
RECT 449.540 485.300 451.140 487.100 ;
RECT 496.460 487.100 547.740 488.700 ;
RECT 496.460 485.300 498.060 487.100 ;
RECT 449.540 483.700 498.060 485.300 ;
RECT 546.140 485.300 547.740 487.100 ;
RECT 593.060 487.100 644.340 488.700 ;
RECT 593.060 485.300 594.660 487.100 ;
RECT 546.140 483.700 594.660 485.300 ;
RECT 642.740 485.300 644.340 487.100 ;
RECT 689.660 487.100 740.940 488.700 ;
RECT 689.660 485.300 691.260 487.100 ;
RECT 642.740 483.700 691.260 485.300 ;
RECT 739.340 485.300 740.940 487.100 ;
RECT 786.260 487.100 837.540 488.700 ;
RECT 786.260 485.300 787.860 487.100 ;
RECT 739.340 483.700 787.860 485.300 ;
RECT 835.940 485.300 837.540 487.100 ;
RECT 882.860 487.100 934.140 488.700 ;
RECT 882.860 485.300 884.460 487.100 ;
RECT 835.940 483.700 884.460 485.300 ;
RECT 932.540 485.300 934.140 487.100 ;
RECT 979.460 487.100 1030.740 488.700 ;
RECT 979.460 485.300 981.060 487.100 ;
RECT 932.540 483.700 981.060 485.300 ;
RECT 1029.140 485.300 1030.740 487.100 ;
RECT 1076.060 487.100 1127.340 488.700 ;
RECT 1076.060 485.300 1077.660 487.100 ;
RECT 1029.140 483.700 1077.660 485.300 ;
RECT 1125.740 485.300 1127.340 487.100 ;
RECT 1172.660 487.100 1223.940 488.700 ;
RECT 1172.660 485.300 1174.260 487.100 ;
RECT 1125.740 483.700 1174.260 485.300 ;
RECT 1222.340 485.300 1223.940 487.100 ;
RECT 1269.260 487.100 1320.540 488.700 ;
RECT 1269.260 485.300 1270.860 487.100 ;
RECT 1222.340 483.700 1270.860 485.300 ;
RECT 1318.940 485.300 1320.540 487.100 ;
RECT 1413.700 487.100 1510.980 488.700 ;
RECT 1413.700 485.300 1415.300 487.100 ;
RECT 1318.940 483.700 1415.300 485.300 ;
RECT 1509.380 485.300 1510.980 487.100 ;
RECT 1530.540 485.300 1532.140 490.500 ;
RECT 1798.260 488.700 1799.860 490.500 ;
RECT 1802.860 490.500 1870.700 492.100 ;
RECT 1802.860 488.700 1804.460 490.500 ;
RECT 1798.260 487.100 1804.460 488.700 ;
RECT 1509.380 483.700 1532.140 485.300 ;
RECT 1799.180 483.700 1801.700 487.100 ;
RECT 1869.100 485.300 1870.700 490.500 ;
RECT 1916.940 490.500 1919.460 492.100 ;
RECT 1916.940 485.300 1918.540 490.500 ;
RECT 1869.100 483.700 1918.540 485.300 ;
RECT 1546.180 453.100 1636.100 454.700 ;
RECT 260.940 449.700 282.780 451.300 ;
RECT 281.180 444.500 282.780 449.700 ;
RECT 296.820 449.700 310.380 451.300 ;
RECT 296.820 444.500 298.420 449.700 ;
RECT 281.180 442.900 298.420 444.500 ;
RECT 308.780 444.500 310.380 449.700 ;
RECT 393.420 449.700 406.980 451.300 ;
RECT 393.420 444.500 395.020 449.700 ;
RECT 308.780 442.900 346.260 444.500 ;
RECT 344.660 437.700 346.260 442.900 ;
RECT 372.260 442.900 395.020 444.500 ;
RECT 405.380 444.500 406.980 449.700 ;
RECT 490.020 449.700 503.580 451.300 ;
RECT 490.020 444.500 491.620 449.700 ;
RECT 405.380 442.900 442.860 444.500 ;
RECT 372.260 437.700 373.860 442.900 ;
RECT 344.660 436.100 373.860 437.700 ;
RECT 441.260 437.700 442.860 442.900 ;
RECT 468.860 442.900 491.620 444.500 ;
RECT 501.980 444.500 503.580 449.700 ;
RECT 586.620 449.700 600.180 451.300 ;
RECT 586.620 444.500 588.220 449.700 ;
RECT 501.980 442.900 539.460 444.500 ;
RECT 468.860 437.700 470.460 442.900 ;
RECT 441.260 436.100 470.460 437.700 ;
RECT 537.860 437.700 539.460 442.900 ;
RECT 565.460 442.900 588.220 444.500 ;
RECT 598.580 444.500 600.180 449.700 ;
RECT 683.220 449.700 696.780 451.300 ;
RECT 683.220 444.500 684.820 449.700 ;
RECT 598.580 442.900 636.060 444.500 ;
RECT 565.460 437.700 567.060 442.900 ;
RECT 537.860 436.100 567.060 437.700 ;
RECT 634.460 437.700 636.060 442.900 ;
RECT 662.060 442.900 684.820 444.500 ;
RECT 695.180 444.500 696.780 449.700 ;
RECT 779.820 449.700 793.380 451.300 ;
RECT 779.820 444.500 781.420 449.700 ;
RECT 695.180 442.900 732.660 444.500 ;
RECT 662.060 437.700 663.660 442.900 ;
RECT 634.460 436.100 663.660 437.700 ;
RECT 731.060 437.700 732.660 442.900 ;
RECT 758.660 442.900 781.420 444.500 ;
RECT 791.780 444.500 793.380 449.700 ;
RECT 876.420 449.700 889.980 451.300 ;
RECT 876.420 444.500 878.020 449.700 ;
RECT 791.780 442.900 829.260 444.500 ;
RECT 758.660 437.700 760.260 442.900 ;
RECT 731.060 436.100 760.260 437.700 ;
RECT 827.660 437.700 829.260 442.900 ;
RECT 855.260 442.900 878.020 444.500 ;
RECT 888.380 444.500 889.980 449.700 ;
RECT 973.020 449.700 986.580 451.300 ;
RECT 973.020 444.500 974.620 449.700 ;
RECT 888.380 442.900 925.860 444.500 ;
RECT 855.260 437.700 856.860 442.900 ;
RECT 827.660 436.100 856.860 437.700 ;
RECT 924.260 437.700 925.860 442.900 ;
RECT 951.860 442.900 974.620 444.500 ;
RECT 984.980 444.500 986.580 449.700 ;
RECT 1069.620 449.700 1083.180 451.300 ;
RECT 1069.620 444.500 1071.220 449.700 ;
RECT 984.980 442.900 1022.460 444.500 ;
RECT 951.860 437.700 953.460 442.900 ;
RECT 924.260 436.100 953.460 437.700 ;
RECT 1020.860 437.700 1022.460 442.900 ;
RECT 1048.460 442.900 1071.220 444.500 ;
RECT 1081.580 444.500 1083.180 449.700 ;
RECT 1166.220 449.700 1179.780 451.300 ;
RECT 1166.220 444.500 1167.820 449.700 ;
RECT 1081.580 442.900 1119.060 444.500 ;
RECT 1048.460 437.700 1050.060 442.900 ;
RECT 1020.860 436.100 1050.060 437.700 ;
RECT 1117.460 437.700 1119.060 442.900 ;
RECT 1145.060 442.900 1167.820 444.500 ;
RECT 1178.180 444.500 1179.780 449.700 ;
RECT 1262.820 449.700 1276.380 451.300 ;
RECT 1262.820 444.500 1264.420 449.700 ;
RECT 1178.180 442.900 1215.660 444.500 ;
RECT 1145.060 437.700 1146.660 442.900 ;
RECT 1117.460 436.100 1146.660 437.700 ;
RECT 1214.060 437.700 1215.660 442.900 ;
RECT 1241.660 442.900 1264.420 444.500 ;
RECT 1274.780 444.500 1276.380 449.700 ;
RECT 1546.180 447.900 1547.780 453.100 ;
RECT 1530.540 446.300 1547.780 447.900 ;
RECT 1634.500 447.900 1636.100 453.100 ;
RECT 1634.500 446.300 1683.940 447.900 ;
RECT 1881.980 446.300 1898.300 447.900 ;
RECT 1682.340 444.500 1683.940 446.300 ;
RECT 1274.780 442.900 1313.180 444.500 ;
RECT 1241.660 437.700 1243.260 442.900 ;
RECT 1311.580 441.100 1313.180 442.900 ;
RECT 1368.620 442.900 1409.780 444.500 ;
RECT 1311.580 439.500 1346.300 441.100 ;
RECT 1214.060 436.100 1243.260 437.700 ;
RECT 1344.700 434.300 1346.300 439.500 ;
RECT 1368.620 434.300 1370.220 442.900 ;
RECT 1408.180 441.100 1409.780 442.900 ;
RECT 1442.220 442.900 1485.220 444.500 ;
RECT 1682.340 442.900 1691.300 444.500 ;
RECT 1757.780 442.900 1800.780 444.500 ;
RECT 1442.220 441.100 1443.820 442.900 ;
RECT 1408.180 439.500 1443.820 441.100 ;
RECT 1799.180 441.100 1800.780 442.900 ;
RECT 1896.700 441.100 1898.300 446.300 ;
RECT 1944.540 446.300 1947.060 447.900 ;
RECT 1944.540 441.100 1946.140 446.300 ;
RECT 1799.180 439.500 1836.660 441.100 ;
RECT 1896.700 439.500 1946.140 441.100 ;
RECT 1344.700 432.700 1370.220 434.300 ;
RECT -979.805 320.500 1486.140 322.100 ;
RECT 1530.540 320.500 1581.820 322.100 ;
RECT 1653.820 320.500 1704.180 322.100 ;
RECT 1723.740 320.500 1756.620 322.100 ;
RECT 301.420 147.100 352.700 148.700 ;
RECT 301.420 141.900 303.020 147.100 ;
RECT 271.980 140.300 303.020 141.900 ;
RECT 351.100 141.900 352.700 147.100 ;
RECT 398.940 147.100 449.300 148.700 ;
RECT 398.940 141.900 400.540 147.100 ;
RECT 351.100 140.300 400.540 141.900 ;
RECT 447.700 141.900 449.300 147.100 ;
RECT 495.540 147.100 545.900 148.700 ;
RECT 495.540 141.900 497.140 147.100 ;
RECT 447.700 140.300 497.140 141.900 ;
RECT 544.300 141.900 545.900 147.100 ;
RECT 592.140 147.100 642.500 148.700 ;
RECT 592.140 141.900 593.740 147.100 ;
RECT 544.300 140.300 593.740 141.900 ;
RECT 640.900 141.900 642.500 147.100 ;
RECT 688.740 147.100 739.100 148.700 ;
RECT 688.740 141.900 690.340 147.100 ;
RECT 640.900 140.300 690.340 141.900 ;
RECT 737.500 141.900 739.100 147.100 ;
RECT 785.340 147.100 835.700 148.700 ;
RECT 785.340 141.900 786.940 147.100 ;
RECT 737.500 140.300 786.940 141.900 ;
RECT 834.100 141.900 835.700 147.100 ;
RECT 881.940 147.100 932.300 148.700 ;
RECT 881.940 141.900 883.540 147.100 ;
RECT 834.100 140.300 883.540 141.900 ;
RECT 930.700 141.900 932.300 147.100 ;
RECT 978.540 147.100 1028.900 148.700 ;
RECT 978.540 141.900 980.140 147.100 ;
RECT 930.700 140.300 980.140 141.900 ;
RECT 1027.300 141.900 1028.900 147.100 ;
RECT 1075.140 147.100 1125.500 148.700 ;
RECT 1075.140 141.900 1076.740 147.100 ;
RECT 1027.300 140.300 1076.740 141.900 ;
RECT 1123.900 141.900 1125.500 147.100 ;
RECT 1171.740 147.100 1222.100 148.700 ;
RECT 1171.740 141.900 1173.340 147.100 ;
RECT 1123.900 140.300 1173.340 141.900 ;
RECT 1220.500 141.900 1222.100 147.100 ;
RECT 1268.340 147.100 1318.700 148.700 ;
RECT 1268.340 141.900 1269.940 147.100 ;
RECT 1220.500 140.300 1269.940 141.900 ;
RECT 1317.100 141.900 1318.700 147.100 ;
RECT 1364.940 141.900 1367.460 148.700 ;
RECT 1412.780 147.100 1464.060 148.700 ;
RECT 1412.780 141.900 1414.380 147.100 ;
RECT 1317.100 140.300 1414.380 141.900 ;
RECT 1462.460 141.900 1464.060 147.100 ;
RECT 1509.380 147.100 1560.660 148.700 ;
RECT 1509.380 141.900 1510.980 147.100 ;
RECT 1462.460 140.300 1510.980 141.900 ;
RECT 1559.060 141.900 1560.660 147.100 ;
RECT 1605.980 147.100 1657.260 148.700 ;
RECT 1605.980 141.900 1607.580 147.100 ;
RECT 1559.060 140.300 1607.580 141.900 ;
RECT 1655.660 141.900 1657.260 147.100 ;
RECT 1702.580 147.100 1753.860 148.700 ;
RECT 1702.580 141.900 1704.180 147.100 ;
RECT 1655.660 140.300 1704.180 141.900 ;
RECT 1752.260 141.900 1753.860 147.100 ;
RECT 1799.180 147.100 1851.380 148.700 ;
RECT 1799.180 141.900 1800.780 147.100 ;
RECT 1752.260 140.300 1800.780 141.900 ;
RECT 1849.780 141.900 1851.380 147.100 ;
RECT 1849.780 140.300 1891.860 141.900 ;
END
END user_project_wrapper
END LIBRARY